Sample records for electron beam lithography

  1. Mapper: high throughput maskless lithography

    NASA Astrophysics Data System (ADS)

    Kuiper, V.; Kampherbeek, B. J.; Wieland, M. J.; de Boer, G.; ten Berge, G. F.; Boers, J.; Jager, R.; van de Peut, T.; Peijster, J. J. M.; Slot, E.; Steenbrink, S. W. H. K.; Teepen, T. F.; van Veen, A. H. V.

    2009-01-01

    Maskless electron beam lithography, or electron beam direct write, has been around for a long time in the semiconductor industry and was pioneered from the mid-1960s onwards. This technique has been used for mask writing applications as well as device engineering and in some cases chip manufacturing. However because of its relatively low throughput compared to optical lithography, electron beam lithography has never been the mainstream lithography technology. To extend optical lithography double patterning, as a bridging technology, and EUV lithography are currently explored. Irrespective of the technical viability of both approaches, one thing seems clear. They will be expensive [1]. MAPPER Lithography is developing a maskless lithography technology based on massively-parallel electron-beam writing with high speed optical data transport for switching the electron beams. In this way optical columns can be made with a throughput of 10-20 wafers per hour. By clustering several of these columns together high throughputs can be realized in a small footprint. This enables a highly cost-competitive alternative to double patterning and EUV alternatives. In 2007 MAPPER obtained its Proof of Lithography milestone by exposing in its Demonstrator 45 nm half pitch structures with 110 electron beams in parallel, where all the beams where individually switched on and off [2]. In 2008 MAPPER has taken a next step in its development by building several tools. A new platform has been designed and built which contains a 300 mm wafer stage, a wafer handler and an electron beam column with 110 parallel electron beams. This manuscript describes the first patterning results with this 300 mm platform.

  2. Demonstration of lithography patterns using reflective e-beam direct write

    NASA Astrophysics Data System (ADS)

    Freed, Regina; Sun, Jeff; Brodie, Alan; Petric, Paul; McCord, Mark; Ronse, Kurt; Haspeslagh, Luc; Vereecke, Bart

    2011-04-01

    Traditionally, e-beam direct write lithography has been too slow for most lithography applications. E-beam direct write lithography has been used for mask writing rather than wafer processing since the maximum blur requirements limit column beam current - which drives e-beam throughput. To print small features and a fine pitch with an e-beam tool requires a sacrifice in processing time unless one significantly increases the total number of beams on a single writing tool. Because of the uncertainty with regards to the optical lithography roadmap beyond the 22 nm technology node, the semiconductor equipment industry is in the process of designing and testing e-beam lithography tools with the potential for high volume wafer processing. For this work, we report on the development and current status of a new maskless, direct write e-beam lithography tool which has the potential for high volume lithography at and below the 22 nm technology node. A Reflective Electron Beam Lithography (REBL) tool is being developed for high throughput electron beam direct write maskless lithography. The system is targeting critical patterning steps at the 22 nm node and beyond at a capital cost equivalent to conventional lithography. Reflective Electron Beam Lithography incorporates a number of novel technologies to generate and expose lithographic patterns with a throughput and footprint comparable to current 193 nm immersion lithography systems. A patented, reflective electron optic or Digital Pattern Generator (DPG) enables the unique approach. The Digital Pattern Generator is a CMOS ASIC chip with an array of small, independently controllable lens elements (lenslets), which act as an array of electron mirrors. In this way, the REBL system is capable of generating the pattern to be written using massively parallel exposure by ~1 million beams at extremely high data rates (~ 1Tbps). A rotary stage concept using a rotating platen carrying multiple wafers optimizes the writing strategy of the DPG to achieve the capability of high throughput for sparse pattern wafer levels. The lens elements on the DPG are fabricated at IMEC (Leuven, Belgium) under IMEC's CMORE program. The CMOS fabricated DPG contains ~ 1,000,000 lens elements, allowing for 1,000,000 individually controllable beamlets. A single lens element consists of 5 electrodes, each of which can be set at controlled voltage levels to either absorb or reflect the electron beam. A system using a linear movable stage and the DPG integrated into the electron optics module was used to expose patterns on device representative wafers. Results of these exposure tests are discussed.

  3. Combination photo and electron beam lithography with polymethyl methacrylate (PMMA) resist.

    PubMed

    Carbaugh, Daniel J; Pandya, Sneha G; Wright, Jason T; Kaya, Savas; Rahman, Faiz

    2017-11-10

    We describe techniques for performing photolithography and electron beam lithography in succession on the same resist-covered substrate. Larger openings are defined in the resist film through photolithography whereas smaller openings are defined through conventional electron beam lithography. The two processes are carried out one after the other and without an intermediate wet development step. At the conclusion of the two exposures, the resist film is developed once to reveal both large and small openings. Interestingly, these techniques are applicable to both positive and negative tone lithographies with both optical and electron beam exposure. Polymethyl methacrylate, by itself or mixed with a photocatalytic cross-linking agent, is used for this purpose. We demonstrate that such resists are sensitive to both ultraviolet and electron beam irradiation. All four possible combinations, consisting of optical and electron beam lithographies, carried out in positive and negative tone modes have been described. Demonstration grating structures have been shown and process conditions have been described for all four cases.

  4. MAPPER: high-throughput maskless lithography

    NASA Astrophysics Data System (ADS)

    Wieland, M. J.; de Boer, G.; ten Berge, G. F.; Jager, R.; van de Peut, T.; Peijster, J. J. M.; Slot, E.; Steenbrink, S. W. H. K.; Teepen, T. F.; van Veen, A. H. V.; Kampherbeek, B. J.

    2009-03-01

    Maskless electron beam lithography, or electron beam direct write, has been around for a long time in the semiconductor industry and was pioneered from the mid-1960s onwards. This technique has been used for mask writing applications as well as device engineering and in some cases chip manufacturing. However because of its relatively low throughput compared to optical lithography, electron beam lithography has never been the mainstream lithography technology. To extend optical lithography double patterning, as a bridging technology, and EUV lithography are currently explored. Irrespective of the technical viability of both approaches, one thing seems clear. They will be expensive [1]. MAPPER Lithography is developing a maskless lithography technology based on massively-parallel electron-beam writing with high speed optical data transport for switching the electron beams. In this way optical columns can be made with a throughput of 10-20 wafers per hour. By clustering several of these columns together high throughputs can be realized in a small footprint. This enables a highly cost-competitive alternative to double patterning and EUV alternatives. In 2007 MAPPER obtained its Proof of Lithography milestone by exposing in its Demonstrator 45 nm half pitch structures with 110 electron beams in parallel, where all the beams where individually switched on and off [2]. In 2008 MAPPER has taken a next step in its development by building several tools. The objective of building these tools is to involve semiconductor companies to be able to verify tool performance in their own environment. To enable this, the tools will have a 300 mm wafer stage in addition to a 110-beam optics column. First exposures at 45 nm half pitch resolution have been performed and analyzed. On the same wafer it is observed that all beams print and based on analysis of 11 beams the CD for the different patterns is within 2.2 nm from target and the CD uniformity for the different patterns is better than 2.8 nm.

  5. Electron Beam/Optical Hybrid Lithography For The Production Of Gallium Arsenide Monolithic Microwave Integrated Circuits (Mimics)

    NASA Astrophysics Data System (ADS)

    Nagarajan, Rao M.; Rask, Steven D.

    1988-06-01

    A hybrid lithography technique is described in which selected levels are fabricated by high resolution direct write electron beam lithography and all other levels are fabricated optically. This technique permits subhalf micron geometries and the site-by-site alignment for each field written by electron beam lithography while still maintaining the high throughput possible with optical lithography. The goal is to improve throughput and reduce overall cost of fabricating MIMIC GaAS chips without compromising device performance. The lithography equipment used for these experiments is the Cambridge Electron beam vector scan system EBMF 6.4 capable of achieving ultra high current densities with a beam of circular cross section and a gaussian intensity profile operated at 20 kev. The optical aligner is a Karl Suss Contact aligner. The flexibility of the Cambridge electron beam system is matched to the less flexible Karl Suss contact aligner. The lithography related factors, such as image placement, exposure and process related analyses, which influence overlay, pattern quality and performance, are discussed. A process chip containing 3.2768mm fields in an eleven by eleven array was used for alignment evaluation on a 3" semi-insulating GaAS wafer. Each test chip contained five optical verniers and four Prometrix registration marks per field along with metal bumps for alignment marks. The process parameters for these chips are identical to those of HEMT/epi-MESFET ohmic contact and gate layer processes. These layers were used to evaluate the overlay accuracy because of their critical alignment and dimensional control requirements. Two cases were examined: (1) Electron beam written gate layers aligned to optically imaged ohmic contact layers and (2) Electron beam written gate layers aligned to electron beam written ohmic contact layers. The effect of substrate charging by the electron beam is also investigated. The resulting peak overlay error accuracies are: (1) Electron beam to optical with t 0.2μm (2 sigma) and (2) Electron beam to electron beam with f 0.lμm (2 sigma). These results suggest that the electron beam/optical hybrid lithography techniques could be used for MIMIC volume production as alignment tolerances required by GaAS chips are met in both cases. These results are discussed in detail.

  6. Interconnections in ULSI: Correlation and Crosstalk

    DTIC Science & Technology

    1992-12-31

    basic tool is electron beam lithography of poly (methyl methacrylate) (PMMA). The two central issues to creating very dense patterns as described...direct lithographic techniques. Fig. 2: Ti/Au (2 nm/15 nm) grating with 38 nm pitch fabricated by electron beam lithography using our high contrast...G. H. Bernstein, G. Bazan, and D. A. Hill, "Spatial Density of Lines in PMMA by Electron Beam Lithography ," Journal of Vacuum Science and Technology

  7. Intregrating metallic wiring with three-dimensional polystyrene colloidal crystals using electron-beam lithography and three-dimensional laser lithography

    NASA Astrophysics Data System (ADS)

    Tian, Yaolan; Isotalo, Tero J.; Konttinen, Mikko P.; Li, Jiawei; Heiskanen, Samuli; Geng, Zhuoran; Maasilta, Ilari J.

    2017-02-01

    We demonstrate a method to fabricate narrow, down to a few micron wide metallic leads on top of a three-dimensional (3D) colloidal crystal self-assembled from polystyrene (PS) nanospheres of diameter 260 nm, using electron-beam lithography. This fabrication is not straightforward due to the fact that PS nanospheres cannot usually survive the harsh chemical treatments required in the development and lift-off steps of electron-beam lithography. We solve this problem by increasing the chemical resistance of the PS nanospheres using an additional electron-beam irradiation step, which allows the spheres to retain their shape and their self-assembled structure, even after baking to a temperature of 160 °C, the exposure to the resist developer and the exposure to acetone, all of which are required for the electron-beam lithography step. Moreover, we show that by depositing an aluminum oxide capping layer on top of the colloidal crystal after the e-beam irradiation, the surface is smooth enough so that continuous metal wiring can be deposited by the electron-beam lithography. Finally, we also demonstrate a way to self-assemble PS colloidal crystals into a microscale container, which was fabricated using direct-write 3D laser-lithography. Metallic wiring was also successfully integrated with the combination of a container structure and a PS colloidal crystal. Our goal is to make a device for studies of thermal transport in 3D phononic crystals, but other phononic or photonic crystal applications could also be envisioned.

  8. The range and intensity of backscattered electrons for use in the creation of high fidelity electron beam lithography patterns.

    PubMed

    Czaplewski, David A; Holt, Martin V; Ocola, Leonidas E

    2013-08-02

    We present a set of universal curves that predict the range and intensity of backscattered electrons which can be used in conjunction with electron beam lithography to create high fidelity nanoscale patterns. The experimental method combines direct write dose, backscattered dose, and a self-reinforcing pattern geometry to measure the dose provided by backscattered electrons to a nanoscale volume on the substrate surface at various distances from the electron source. Electron beam lithography is used to precisely control the number and position of incident electrons on the surface of the material. Atomic force microscopy is used to measure the height of the negative electron beam lithography resist. Our data shows that the range and the intensity of backscattered electrons can be predicted using the density and the atomic number of any solid material, respectively. The data agrees with two independent Monte Carlo simulations without any fitting parameters. These measurements are the most accurate electron range measurements to date.

  9. Modeling of projection electron lithography

    NASA Astrophysics Data System (ADS)

    Mack, Chris A.

    2000-07-01

    Projection Electron Lithography (PEL) has recently become a leading candidate for the next generation of lithography systems after the successful demonstration of SCAPEL by Lucent Technologies and PREVAIL by IBM. These systems use a scattering membrane mask followed by a lens with limited angular acceptance range to form an image of the mask when illuminated by high energy electrons. This paper presents an initial modeling system for such types of projection electron lithography systems. Monte Carlo modeling of electron scattering within the mask structure creates an effective mask 'diffraction' pattern, to borrow the standard optical terminology. A cutoff of this scattered pattern by the imaging 'lens' provides an electron energy distribution striking the wafer. This distribution is then convolved with a 'point spread function,' the results of a Monte Carlo scattering calculation of a point beam of electrons striking the resist coated substrate and including the effects of beam blur. Resist exposure and development models from standard electron beam lithography simulation are used to simulate the final three-dimensional resist profile.

  10. Electron-beam lithography for micro and nano-optical applications

    NASA Technical Reports Server (NTRS)

    Wilson, Daniel W.; Muller, Richard E.; Echternach, Pierre M.

    2005-01-01

    Direct-write electron-beam lithography has proven to be a powerful technique for fabricating a variety of micro- and nano-optical devices. Binary E-beam lithography is the workhorse technique for fabricating optical devices that require complicated precision nano-scale features. We describe a bi-layer resist system and virtual-mark height measurement for improving the reliability of fabricating binary patterns. Analog E-beam lithography is a newer technique that has found significant application in the fabrication of diffractive optical elements. We describe our techniques for fabricating analog surface-relief profiles in E-beam resist, including some discussion regarding overcoming the problems of resist heating and charging. We also describe a multiple-field-size exposure scheme for suppression of field-stitch induced ghost diffraction orders produced by blazed diffraction gratings on non-flat substrates.

  11. Diffractive optical elements on non-flat substrates using electron beam lithography

    NASA Technical Reports Server (NTRS)

    Maker, Paul D. (Inventor); Muller, Richard E. (Inventor); Wilson, Daniel W. (Inventor)

    2002-01-01

    The present disclosure describes a technique for creating diffraction gratings on curved surfaces with electron beam lithography. The curved surface can act as an optical element to produce flat and aberration-free images in imaging spectrometers. In addition, the fabrication technique can modify the power structure of the grating orders so that there is more energy in the first order than for a typical grating. The inventors noticed that by using electron-beam lithography techniques, a variety of convex gratings that are well-suited to the requirements of imaging spectrometers can be manufactured.

  12. Planar techniques for fabricating X-ray diffraction gratings and zone plates

    NASA Technical Reports Server (NTRS)

    Smith, H. I.; Anderson, E. H.; Hawryluk, A. M.; Schattenburg, M. L.

    1984-01-01

    The state of current planar techniques in the fabrication of Fresnel zone plates and diffraction gratings is reviewed. Among the fabrication techniques described are multilayer resist techniques; scanning electron beam lithography; and holographic lithography. Consideration is also given to: X-ray lithography; ion beam lithography; and electroplating. SEM photographs of the undercut profiles obtained in a type AZ 135OB photoresistor by holographic lithography are provided.

  13. Large area fabrication of plasmonic nanoparticle grating structure by conventional scanning electron microscope

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sudheer,, E-mail: sudheer@rrcat.gov.in; Tiwari, P.; Rai, V. N.

    Plasmonic nanoparticle grating (PNG) structure of different periods has been fabricated by electron beam lithography using silver halide based transmission electron microscope film as a substrate. Conventional scanning electron microscope is used as a fabrication tool for electron beam lithography. Optical microscope and energy dispersive spectroscopy (EDS) have been used for its morphological and elemental characterization. Optical characterization is performed by UV-Vis absorption spectroscopic technique.

  14. Direct write electron beam lithography: a historical overview

    NASA Astrophysics Data System (ADS)

    Pfeiffer, Hans C.

    2010-09-01

    Maskless pattern generation capability in combination with practically limitless resolution made probe-forming electron beam systems attractive tools in the semiconductor fabrication process. However, serial exposure of pattern elements with a scanning beam is a slow process and throughput presented a key challenge in electron beam lithography from the beginning. To meet this challenge imaging concepts with increasing exposure efficiency have been developed projecting ever larger number of pixels in parallel. This evolution started in the 1960s with the SEM-type Gaussian beam systems writing one pixel at a time directly on wafers. During the 1970s IBM pioneered the concept of shaped beams containing multiple pixels which led to higher throughput and an early success of e-beam direct write (EBDW) in large scale manufacturing of semiconductor chips. EBDW in a mix-and match approach with optical lithography provided unique flexibility in part number management and cycle time reduction and proved extremely cost effective in IBM's Quick-Turn-Around-Time (QTAT) facilities. But shaped beams did not keep pace with Moore's law because of limitations imposed by the physics of charged particles: Coulomb interactions between beam electrons cause image blur and consequently limit beam current and throughput. A new technology approach was needed. Physically separating beam electrons into multiple beamlets to reduce Coulomb interaction led to the development of massively parallel projection of pixels. Electron projection lithography (EPL) - a mask based imaging technique emulating optical steppers - was pursued during the 1990s by Bell Labs with SCALPEL and by IBM with PREVAIL in partnership with Nikon. In 2003 Nikon shipped the first NCR-EB1A e-beam stepper based on the PREVAIL technology to Selete. It exposed pattern segments containing 10 million pixels in single shot and represented the first successful demonstration of massively parallel pixel projection. However the window of opportunity for EPL had closed with the quick implementation of immersion lithography and the interest of the industry has since shifted back to maskless lithography (ML2). This historical overview of EBDW will highlight opportunities and limitation of the technology with particular focus on technical challenges facing the current ML2 development efforts in Europe and the US. A brief status report and risk assessment of the ML2 approaches will be provided.

  15. Miniature low voltage beam systems producable by combined lithographies

    NASA Astrophysics Data System (ADS)

    Koops, Hans W. P.; Munro, Eric; Rouse, John; Kretz, Johannes; Rudolph, Michael; Weber, Markus; Dahm, Gerold

    The project of a miniaturized vacuum microelectronic 100 GHz switch is described. It implies the development of a field emission electron gun as well as the investigation of miniaturized lenses and deflectors. Electrostatic elements are designed and developed for this application. Connector pads and wiring pattern are created by conventional electron beam lithography and a lift-off or etching process. Wire and other 3-dimensional structures are grown using electron beam induced deposition. This additive lithography allows to form electrodes and resistors of a preset conductivity. The scanning electron microscope features positioning the structures with nm precision. An unconventional lithography system is used that is capable of controlling the pixel dwell time within a shape with different time functions. With this special function 3-dimensional structures can be generated like free standing square shaped electrodes. The switch is built by computer controlled additive lithography avoiding assembly from parts. Lenses of micrometer dimensions were investigated with numerical electron optics programs computing the 3-dimensional potential and field distribution. From the extracted axial field distribution the electron optic characteristic parameters, like focal length, chromatic and spherical aberration, were calculated for various lens excitations. The analysis reveals that miniaturized optics for low energy electrons, as low as 30 eV, are diffraction limited. For a lens with 2 μm focal length, a chromatic aberration disc of 1 nm contributes to 12 nm diffraction disc. The spherical aberration blurs the probe by 0.02 nm, assuming an aperture of 0.01 rad. Employing hydrogen ions at 100 V, a probe diameter of 0.3 nm generated by chromatic aberration is possible. Miniaturized electron optical probe forming systems and imaging systems can be constructed with those lenses. Its application as lithography systems with massive parallel beams can be forseen.

  16. Using a neural network to proximity correct patterns written with a Cambridge electron beam microfabricator 10.5 lithography system

    NASA Astrophysics Data System (ADS)

    Cummings, K. D.; Frye, R. C.; Rietman, E. A.

    1990-10-01

    This letter describes the initial results of using a theoretical determination of the proximity function and an adaptively trained neural network to proximity-correct patterns written on a Cambridge electron beam lithography system. The methods described are complete and may be applied to any electron beam exposure system that can modify the dose during exposure. The patterns produced in resist show the effects of proximity correction versus noncorrected patterns.

  17. United States Air Force High School Apprenticeship Program. 1990 Program Management Report. Volume 3

    DTIC Science & Technology

    1991-04-18

    User Guide Shelly Knupp 73 Computer-Aided Design (CAD) Area Christopher O’Dell 74 Electron Beam Lithography Suzette Yu 68 Flight Dynamics Laboratory 75...fabrication. I Mr. Ed Davis, for the background knowledge of device processes and I information on electron beam lithography . Captain Mike Cheney, for...researcher may write gates on to the wafer by a process called lithography . This is the most crucial and complex part of the process. Two types of proven

  18. A Computer-Based, Interactive Videodisc Job Aid and Expert System for Electron Beam Lithography Integration and Diagnostic Procedures.

    ERIC Educational Resources Information Center

    Stevenson, Kimberly

    This master's thesis describes the development of an expert system and interactive videodisc computer-based instructional job aid used for assisting in the integration of electron beam lithography devices. Comparable to all comprehensive training, expert system and job aid development require a criterion-referenced systems approach treatment to…

  19. Physical Limitations in Lithography for Microelectronics.

    ERIC Educational Resources Information Center

    Flavin, P. G.

    1981-01-01

    Describes techniques being used in the production of microelectronics kits which have replaced traditional optical lithography, including contact and optical projection printing, and X-ray and electron beam lithography. Also includes limitations of each technique described. (SK)

  20. A method to restrain the charging effect on an insulating substrate in high energy electron beam lithography

    NASA Astrophysics Data System (ADS)

    Mingyan, Yu; Shirui, Zhao; Yupeng, Jing; Yunbo, Shi; Baoqin, Chen

    2014-12-01

    Pattern distortions caused by the charging effect should be reduced while using the electron beam lithography process on an insulating substrate. We have developed a novel process by using the SX AR-PC 5000/90.1 solution as a spin-coated conductive layer, to help to fabricate nanoscale patterns of poly-methyl-methacrylate polymer resist on glass for phased array device application. This method can restrain the influence of the charging effect on the insulating substrate effectively. Experimental results show that the novel process can solve the problems of the distortion of resist patterns and electron beam main field stitching error, thus ensuring the accuracy of the stitching and overlay of the electron beam lithography system. The main characteristic of the novel process is that it is compatible to the multi-layer semiconductor process inside a clean room, and is a green process, quite simple, fast, and low cost. It can also provide a broad scope in the device development on insulating the substrate, such as high density biochips, flexible electronics and liquid crystal display screens.

  1. Development of inorganic resists for electron beam lithography: Novel materials and simulations

    NASA Astrophysics Data System (ADS)

    Jeyakumar, Augustin

    Electron beam lithography is gaining widespread utilization as the semiconductor industry progresses towards both advanced optical and non-optical lithographic technologies for high resolution patterning. The current resist technologies are based on organic systems that are imaged most commonly through chain scission, networking, or a chemically amplified polarity change in the material. Alternative resists based on inorganic systems were developed and characterized in this research for high resolution electron beam lithography and their interactions with incident electrons were investigated using Monte Carlo simulations. A novel inorganic resist imaging scheme was developed using metal-organic precursors which decompose to form metal oxides upon electron beam irradiation that can serve as inorganic hard masks for hybrid bilayer inorganic-organic imaging systems and also as directly patternable high resolution metal oxide structures. The electron beam imaging properties of these metal-organic materials were correlated to the precursor structure by studying effects such as interactions between high atomic number species and the incident electrons. Optimal single and multicomponent precursors were designed for utilization as viable inorganic resist materials for sub-50nm patterning in electron beam lithography. The electron beam imaging characteristics of the most widely used inorganic resist material, hydrogen silsesquioxane (HSQ), was also enhanced using a dual processing imaging approach with thermal curing as well as a sensitizer catalyzed imaging approach. The interaction between incident electrons and the high atomic number species contained in these inorganic resists was also studied using Monte Carlo simulations. The resolution attainable using inorganic systems as compared to organic systems can be greater for accelerating voltages greater than 50 keV due to minimized lateral scattering in the high density inorganic systems. The effects of loading nanoparticles in an electron beam resist was also investigated using a newly developed hybrid Monte Carlo approach that accounts for multiple components in a solid film. The resolution of the nanocomposite resist process was found to degrade with increasing nanoparticle loading. Finally, the electron beam patterning of self-assembled monolayers, which were found to primarily utilize backscattered electrons from the high atomic number substrate materials to form images, was also investigated and characterized. It was found that backscattered electrons limit the resolution attainable at low incident electron energies.

  2. Multi-shaped beam: development status and update on lithography results

    NASA Astrophysics Data System (ADS)

    Slodowski, Matthias; Doering, Hans-Joachim; Dorl, Wolfgang; Stolberg, Ines A.

    2011-04-01

    According to the ITRS [1] photo mask is a significant challenge for the 22nm technology node requirements and beyond. Mask making capability and cost escalation continue to be critical for future lithography progress. On the technological side mask specifications and complexity have increased more quickly than the half-pitch requirements on the wafer designated by the roadmap due to advanced optical proximity correction and double patterning demands. From the economical perspective mask costs have significantly increased each generation, in which mask writing represents a major portion. The availability of a multi-electron-beam lithography system for mask write application is considered a potential solution to overcome these challenges [2, 3]. In this paper an update of the development status of a full-package high-throughput multi electron-beam writer, called Multi Shaped Beam (MSB), will be presented. Lithography performance results, which are most relevant for mask writing applications, will be disclosed. The MSB technology is an evolutionary development of the matured single Variable Shaped Beam (VSB) technology. An arrangement of Multi Deflection Arrays (MDA) allows operation with multiple shaped beams of variable size, which can be deflected and controlled individually [4]. This evolutionary MSB approach is associated with a lower level of risk and a relatively short time to implementation compared to the known revolutionary concepts [3, 5, 6]. Lithography performance is demonstrated through exposed pattern. Further details of the substrate positioning platform performance will be disclosed. It will become apparent that the MSB operational mode enables lithography on the same and higher performance level compared to single VSB and that there are no specific additional lithography challenges existing beside those which have already been addressed [1].

  3. High-throughput NGL electron-beam direct-write lithography system

    NASA Astrophysics Data System (ADS)

    Parker, N. William; Brodie, Alan D.; McCoy, John H.

    2000-07-01

    Electron beam lithography systems have historically had low throughput. The only practical solution to this limitation is an approach using many beams writing simultaneously. For single-column multi-beam systems, including projection optics (SCALPELR and PREVAIL) and blanked aperture arrays, throughput and resolution are limited by space-charge effects. Multibeam micro-column (one beam per column) systems are limited by the need for low voltage operation, electrical connection density and fabrication complexities. In this paper, we discuss a new multi-beam concept employing multiple columns each with multiple beams to generate a very large total number of parallel writing beams. This overcomes the limitations of space-charge interactions and low voltage operation. We also discuss a rationale leading to the optimum number of columns and beams per column. Using this approach we show how production throughputs >= 60 wafers per hour can be achieved at CDs

  4. Electron beam mask writer EBM-9500 for logic 7nm node generation

    NASA Astrophysics Data System (ADS)

    Matsui, Hideki; Kamikubo, Takashi; Nakahashi, Satoshi; Nomura, Haruyuki; Nakayamada, Noriaki; Suganuma, Mizuna; Kato, Yasuo; Yashima, Jun; Katsap, Victor; Saito, Kenichi; Kobayashi, Ryoei; Miyamoto, Nobuo; Ogasawara, Munehiro

    2016-10-01

    Semiconductor scaling is slowing down because of difficulties of device manufacturing below logic 7nm node generation. Various lithography candidates which include ArF immersion with resolution enhancement technology (like Inversed Lithography technology), Extreme Ultra Violet lithography and Nano Imprint lithography are being developed to address the situation. In such advanced lithography, shot counts of mask patterns are estimated to increase explosively in critical layers, and then it is hoped that multi beam mask writer (MBMW) is released to handle them within realistic write time. However, ArF immersion technology with multiple patterning will continue to be a mainstream lithography solution for most of the layers. Then, the shot counts in less critical layers are estimated to be stable because of the limitation of resolution in ArF immersion technology. Therefore, single beam mask writer (SBMW) can play an important role for mask production still, relative to MBMW. Also the demand of SBMW seems actually strong for the logic 7nm node. To realize this, we have developed a new SBMW, EBM-9500 for mask fabrication in this generation. A newly introduced electron beam source enables higher current density of 1200A/cm2. Heating effect correction function has also been newly introduced to satisfy the requirements for both pattern accuracy and throughput. In this paper, we will report the configuration and performance of EBM-9500.

  5. Lithography-based fabrication of nanopore arrays in freestanding SiN and graphene membranes

    NASA Astrophysics Data System (ADS)

    Verschueren, Daniel V.; Yang, Wayne; Dekker, Cees

    2018-04-01

    We report a simple and scalable technique for the fabrication of nanopore arrays on freestanding SiN and graphene membranes based on electron-beam lithography and reactive ion etching. By controlling the dose of the single-shot electron-beam exposure, circular nanopores of any size down to 16 nm in diameter can be fabricated in both materials at high accuracy and precision. We demonstrate the sensing capabilities of these nanopores by translocating dsDNA through pores fabricated using this method, and find signal-to-noise characteristics on par with transmission-electron-microscope-drilled nanopores. This versatile lithography-based approach allows for the high-throughput manufacturing of nanopores and can in principle be used on any substrate, in particular membranes made out of transferable two-dimensional materials.

  6. Efficient storage, computation, and exposure of computer-generated holograms by electron-beam lithography.

    PubMed

    Newman, D M; Hawley, R W; Goeckel, D L; Crawford, R D; Abraham, S; Gallagher, N C

    1993-05-10

    An efficient storage format was developed for computer-generated holograms for use in electron-beam lithography. This method employs run-length encoding and Lempel-Ziv-Welch compression and succeeds in exposing holograms that were previously infeasible owing to the hologram's tremendous pattern-data file size. These holograms also require significant computation; thus the algorithm was implemented on a parallel computer, which improved performance by 2 orders of magnitude. The decompression algorithm was integrated into the Cambridge electron-beam machine's front-end processor.Although this provides much-needed ability, some hardware enhancements will be required in the future to overcome inadequacies in the current front-end processor that result in a lengthy exposure time.

  7. Eco-friendly electron beam lithography using water-developable resist material derived from biomass

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Oshima, Akihiro; Wakabayashi, Takanori; Kozawa, Takahiro; Tagawa, Seiichi

    2012-07-01

    We investigated the eco-friendly electron beam (EB) lithography using a high-sensitive negative type of water-developable resist material derived from biomass on hardmask layer for tri-layer processes. A water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB lithography was developed for environmental affair, safety, easiness of handling, and health of the working people, instead of the common developable process of trimethylphenylammonium hydroxide. The images of 200 nm line and 800 nm space pattern with exposure dose of 7.0 μC/cm2 and CF4 etching selectivity of 2.2 with hardmask layer were provided by specific process conditions.

  8. NbN superconducting nanonetwork fabricated using porous silicon templates and high-resolution electron beam lithography

    NASA Astrophysics Data System (ADS)

    Salvato, M.; Baghdadi, R.; Cirillo, C.; Prischepa, S. L.; Dolgiy, A. L.; Bondarenko, V. P.; Lombardi, F.; Attanasio, C.

    2017-11-01

    Superconducting NbN nanonetworks with a very small number of interconnected nanowires, with diameter of the order of 4 nm, are fabricated combining a bottom-up (use of porous silicon nanotemplates) with a top-down technique (high-resolution electron beam lithography). The method is easy to control and allows the fabrication of devices, on a robust support, with electrical properties close to a one-dimensional superconductor that can be used fruitfully for novel applications.

  9. Deterministic Integration of Quantum Dots into on-Chip Multimode Interference Beamsplitters Using in Situ Electron Beam Lithography.

    PubMed

    Schnauber, Peter; Schall, Johannes; Bounouar, Samir; Höhne, Theresa; Park, Suk-In; Ryu, Geun-Hwan; Heindel, Tobias; Burger, Sven; Song, Jin-Dong; Rodt, Sven; Reitzenstein, Stephan

    2018-04-11

    The development of multinode quantum optical circuits has attracted great attention in recent years. In particular, interfacing quantum-light sources, gates, and detectors on a single chip is highly desirable for the realization of large networks. In this context, fabrication techniques that enable the deterministic integration of preselected quantum-light emitters into nanophotonic elements play a key role when moving forward to circuits containing multiple emitters. Here, we present the deterministic integration of an InAs quantum dot into a 50/50 multimode interference beamsplitter via in situ electron beam lithography. We demonstrate the combined emitter-gate interface functionality by measuring triggered single-photon emission on-chip with g (2) (0) = 0.13 ± 0.02. Due to its high patterning resolution as well as spectral and spatial control, in situ electron beam lithography allows for integration of preselected quantum emitters into complex photonic systems. Being a scalable single-step approach, it paves the way toward multinode, fully integrated quantum photonic chips.

  10. Use of Sacrificial Nanoparticles to Remove the Effects of Shot-noise in Contact Holes Fabricated by E-beam Lithography.

    PubMed

    Rananavare, Shankar B; Morakinyo, Moshood K

    2017-02-12

    Nano-patterns fabricated with extreme ultraviolet (EUV) or electron-beam (E-beam) lithography exhibit unexpected variations in size. This variation has been attributed to statistical fluctuations in the number of photons/electrons arriving at a given nano-region arising from shot-noise (SN). The SN varies inversely to the square root of a number of photons/electrons. For a fixed dosage, the SN is larger in EUV and E-beam lithographies than for traditional (193 nm) optical lithography. Bottom-up and top-down patterning approaches are combined to minimize the effects of shot noise in nano-hole patterning. Specifically, an amino-silane surfactant self-assembles on a silicon wafer that is subsequently spin-coated with a 100 nm film of a PMMA-based E-beam photoresist. Exposure to the E-beam and the subsequent development uncover the underlying surfactant film at the bottoms of the holes. Dipping the wafer in a suspension of negatively charged, citrate-capped, 20 nm gold nanoparticles (GNP) deposits one particle per hole. The exposed positively charged surfactant film in the hole electrostatically funnels the negatively charged nanoparticle to the center of an exposed hole, which permanently fixes the positional registry. Next, by heating near the glass transition temperature of the photoresist polymer, the photoresist film reflows and engulfs the nanoparticles. This process erases the holes affected by SN but leaves the deposited GNPs locked in place by strong electrostatic binding. Treatment with oxygen plasma exposes the GNPs by etching a thin layer of the photoresist. Wet-etching the exposed GNPs with a solution of I2/KI yields uniform holes located at the center of indentations patterned by E-beam lithography. The experiments presented show that the approach reduces the variation in the size of the holes caused by SN from 35% to below 10%. The method extends the patterning limits of transistor contact holes to below 20 nm.

  11. Trehalose glycopolymer resists allow direct writing of protein patterns by electron-beam lithography

    NASA Astrophysics Data System (ADS)

    Bat, Erhan; Lee, Juneyoung; Lau, Uland Y.; Maynard, Heather D.

    2015-03-01

    Direct-write patterning of multiple proteins on surfaces is of tremendous interest for a myriad of applications. Precise arrangement of different proteins at increasingly smaller dimensions is a fundamental challenge to apply the materials in tissue engineering, diagnostics, proteomics and biosensors. Herein, we present a new resist that protects proteins during electron-beam exposure and its application in direct-write patterning of multiple proteins. Polymers with pendant trehalose units are shown to effectively crosslink to surfaces as negative resists, while at the same time providing stabilization to proteins during the vacuum and electron-beam irradiation steps. In this manner, arbitrary patterns of several different classes of proteins such as enzymes, growth factors and immunoglobulins are realized. Utilizing the high-precision alignment capability of electron-beam lithography, surfaces with complex patterns of multiple proteins are successfully generated at the micrometre and nanometre scale without requiring cleanroom conditions.

  12. Graphene as discharge layer for electron beam lithography on insulating substrate

    NASA Astrophysics Data System (ADS)

    Liu, Junku; Li, Qunqing; Ren, Mengxin; Zhang, Lihui; Chen, Mo; Fan, Shoushan

    2013-09-01

    Charging of insulating substrates is a common problem during Electron Beam lithography (EBL), which deflects the beam and distorts the pattern. A homogeneous, electrically conductive, and transparent graphene layer is used as a discharge layer for EBL processes on insulating substrates. The EBL resolution is improved compared with the metal discharge layer. Dense arrays of holes with diameters of 50 nm and gratings with line/space of 50/30 nm are obtained on quartz substrate. The pattern placement errors and proximity effect are suppressed over a large area and high quality complex nanostructures are fabricated using graphene as a conductive layer.

  13. REBL: design progress toward 16 nm half-pitch maskless projection electron beam lithography

    NASA Astrophysics Data System (ADS)

    McCord, Mark A.; Petric, Paul; Ummethala, Upendra; Carroll, Allen; Kojima, Shinichi; Grella, Luca; Shriyan, Sameet; Rettner, Charles T.; Bevis, Chris F.

    2012-03-01

    REBL (Reflective Electron Beam Lithography) is a novel concept for high speed maskless projection electron beam lithography. Originally targeting 45 nm HP (half pitch) under a DARPA funded contract, we are now working on optimizing the optics and architecture for the commercial silicon integrated circuit fabrication market at the equivalent of 16 nm HP. The shift to smaller features requires innovation in most major subsystems of the tool, including optics, stage, and metrology. We also require better simulation and understanding of the exposure process. In order to meet blur requirements for 16 nm lithography, we are both shrinking the pixel size and reducing the beam current. Throughput will be maintained by increasing the number of columns as well as other design optimizations. In consequence, the maximum stage speed required to meet wafer throughput targets at 16 nm will be much less than originally planned for at 45 nm. As a result, we are changing the stage architecture from a rotary design to a linear design that can still meet the throughput requirements but with more conventional technology that entails less technical risk. The linear concept also allows for simplifications in the datapath, primarily from being able to reuse pattern data across dies and columns. Finally, we are now able to demonstrate working dynamic pattern generator (DPG) chips, CMOS chips with microfabricated lenslets on top to prevent crosstalk between pixels.

  14. Lithography alternatives meet design style reality: How do they "line" up?

    NASA Astrophysics Data System (ADS)

    Smayling, Michael C.

    2016-03-01

    Optical lithography resolution scaling has stalled, giving innovative alternatives a window of opportunity. One important factor that impacts these lithographic approaches is the transition in design style from 2D to 1D for advanced CMOS logic. Just as the transition from 3D circuits to 2D fabrication 50 years ago created an opportunity for a new breed of electronics companies, the transition today presents exciting and challenging time for lithographers. Today, we are looking at a range of non-optical lithography processes. Those considered here can be broadly categorized: self-aligned lithography, self-assembled lithography, deposition lithography, nano-imprint lithography, pixelated e-beam lithography, shot-based e-beam lithography .Do any of these alternatives benefit from or take advantage of 1D layout? Yes, for example SAPD + CL (Self Aligned Pitch Division combined with Complementary Lithography). This is a widely adopted process for CMOS nodes at 22nm and below. Can there be additional design / process co-optimization? In spite of the simple-looking nature of 1D layout, the placement of "cut" in the lines and "holes" for interlayer connections can be tuned for a given process capability. Examples of such optimization have been presented at this conference, typically showing a reduction of at least one in the number of cut or hole patterns needed.[1,2] Can any of the alternatives complement each other or optical lithography? Yes.[3] For example, DSA (Directed Self Assembly) combines optical lithography with self-assembly. CEBL (Complementary e-Beam Lithography) combines optical lithography with SAPD for lines with shot-based e-beam lithography for cuts and holes. Does one (shrinking) size fit all? No, that's why we have many alternatives. For example NIL (Nano-imprint Lithography) has been introduced for NAND Flash patterning where the (trending lower) defectivity is acceptable for the product. Deposition lithography has been introduced in 3D NAND Flash to set the channel length of select and memory transistors.

  15. Nanofabrication on unconventional substrates using transferred hard masks

    DOE PAGES

    Li, Luozhou; Bayn, Igal; Lu, Ming; ...

    2015-01-15

    Here, a major challenge in nanofabrication is to pattern unconventional substrates that cannot be processed for a variety of reasons, such as incompatibility with spin coating, electron beam lithography, optical lithography, or wet chemical steps. Here, we present a versatile nanofabrication method based on re-usable silicon membrane hard masks, patterned using standard lithography and mature silicon processing technology. These masks, transferred precisely onto targeted regions, can be in the millimetre scale. They allow for fabrication on a wide range of substrates, including rough, soft, and non-conductive materials, enabling feature linewidths down to 10 nm. Plasma etching, lift-off, and ion implantationmore » are realized without the need for scanning electron/ion beam processing, UV exposure, or wet etching on target substrates.« less

  16. Mix & match electron beam & scanning probe lithography for high throughput sub-10 nm lithography

    NASA Astrophysics Data System (ADS)

    Kaestner, Marcus; Hofer, Manuel; Rangelow, Ivo W.

    2013-03-01

    The prosperous demonstration of a technique able to produce features with single nanometer (SN) resolution could guide the semiconductor industry into the desired beyond CMOS era. In the lithographic community immense efforts are being made to develop extreme ultra-violet lithography (EUVL) and multiple-e-beam direct-write systems as possible successor for next generation lithography (NGL). However, patterning below 20 nm resolution and sub-10 nm overlay alignment accuracy becomes an extremely challenging quest. Herein, the combination of electron beam lithography (EBL) or EUVL with the outstanding capabilities of closed-loop scanning proximal probe nanolithography (SPL) reveals a promising way to improve both patterning resolution and reproducibility in combination with excellent overlay and placement accuracy. In particular, the imaging and lithographic resolution capabilities provided by scanning probe microscopy (SPM) methods touches the atomic level, which expresses the theoretical limit of constructing nanoelectronic devices. Furthermore, the symbiosis between EBL (EUVL) and SPL expands the process window of EBL (EUVL) far beyond state-of-the-art allowing SPL-based pre- and post-patterning of EBL (EUVL) written features at critical dimension level with theoretically nanometer precise pattern overlay alignment. Moreover, we can modify the EBL (EUVL) pattern before as well as after the development step. In this paper we demonstrate proof of concept using the ultra-high resolution molecular glass resist calixarene. Therefor we applied Gaussian E-beam lithography system operating at 10 keV and a home-developed SPL set-up. The introduced Mix and Match lithography strategy enables a powerful use of our SPL set-up especially as post-patterning tool for inspection and repair functions below the sub-10 nm critical dimension level.

  17. Analysis of e-beam impact on the resist stack in e-beam lithography process

    NASA Astrophysics Data System (ADS)

    Indykeiwicz, K.; Paszkiewicz, B.

    2013-07-01

    Paper presents research on the sub-micron gate, AlGaN /GaN HEMT type transistors, fabrication by e-beam lithography and lift-off technique. The impact of the electron beam on the resists layer and the substrate was analyzed by MC method in Casino v3.2 software. The influence of technological process parameters on the metal structures resolution and quality for paths 100 nm, 300 nm and 500 nm wide and 20 μm long was studied. Qualitative simulation correspondences to the conducted experiments were obtained.

  18. A lithium niobate electro-optic tunable Bragg filter fabricated by electron beam lithography

    NASA Astrophysics Data System (ADS)

    Pierno, L.; Dispenza, M.; Secchi, A.; Fiorello, A.; Foglietti, V.

    2008-06-01

    We have designed and fabricated a lithium niobate tunable Bragg filter patterned by electron beam lithography and etched by reactive ion etching. Devices with 1 mm, 2 mm and 4 mm length and 360 and 1080 nm Bragg period, with 5 pm V-1 tuning efficiency, have been characterized. Some applications were identified. Optical simulation based on finite element model (FEM) software showing the optical filtering curve and the coupling factor dependence on the manufacturing parameter is reported. The tuning of the filter window position is electro-optically controlled.

  19. Intelligent Luminescence for Communication Display and Identification

    DTIC Science & Technology

    2007-07-18

    34Fabrication of two-dimensional photonic crystals using interference lithography and electrodeposition of CdSe," Appl. Phys. Letts. 79, 3392-3394 (2001). 7...studies were performed on holographically derived structures fonned in SUS by a four-laser beam interference pattern. As shown in Figure 7 a SUS polymer...dielectric material, as patterned by electron-beam lithography , consisting of a periodic dielectric modulation with integrated line, point and

  20. Fabrication of Tunnel Junctions For Direct Detector Arrays With Single-Electron Transistor Readout Using Electron-Beam Lithography

    NASA Technical Reports Server (NTRS)

    Stevenson, T. R.; Hsieh, W.-T.; Li, M. J.; Stahle, C. M.; Rhee, K. W.; Teufel, J.; Schoelkopf, R. J.

    2002-01-01

    This paper will describe the fabrication of small aluminum tunnel junctions for applications in astronomy. Antenna-coupled superconducting tunnel junctions with integrated single-electron transistor readout have the potential for photon-counting sensitivity at sub-millimeter wavelengths. The junctions for the detector and single-electron transistor can be made with electron-beam lithography and a standard self-aligned double-angle deposition process. However, high yield and uniformity of the junctions is required for large-format detector arrays. This paper will describe how measurement and modification of the sensitivity ratio in the resist bilayer was used to greatly improve the reliability of forming devices with uniform, sub-micron size, low-leakage junctions.

  1. Micro-fabrication method of graphite mesa microdevices based on optical lithography technology

    NASA Astrophysics Data System (ADS)

    Zhang, Cheng; Wen, Donghui; Zhu, Huamin; Zhang, Xiaorui; Yang, Xing; Shi, Yunsheng; Zheng, Tianxiang

    2017-12-01

    Graphite mesa microdevices have incommensurate contact nanometer interfaces, superlubricity, high-speed self-retraction, and other characteristics, which have potential applications in high-performance oscillators and micro-scale switches, memory devices, and gyroscopes. However, the current method of fabricating graphite mesa microdevices is mainly based on high-cost, low efficiency electron beam lithography technology. In this paper, the processing technologies of graphite mesa microdevices with various shapes and sizes were investigated by a low-cost micro-fabrication method, which was mainly based on optical lithography technology. The characterization results showed that the optical lithography technology could realize a large-area of patterning on the graphite surface, and the graphite mesa microdevices, which have a regular shape, neat arrangement, and high verticality could be fabricated in large batches through optical lithography technology. The experiments and analyses showed that the graphite mesa microdevices fabricated through optical lithography technology basically have the same self-retracting characteristics as those fabricated through electron beam lithography technology, and the maximum size of the graphite mesa microdevices with self-retracting phenomenon can reach 10 µm  ×  10 µm. Therefore, the proposed method of this paper can realize the high-efficiency and low-cost processing of graphite mesa microdevices, which is significant for batch fabrication and application of graphite mesa microdevices.

  2. Theoretical study of fabrication of line-and-space patterns with 7 nm quarter-pitch using electron beam lithography with chemically amplified resist process: III. Post exposure baking on quartz substrates

    NASA Astrophysics Data System (ADS)

    Kozawa, Takahiro

    2015-09-01

    Electron beam (EB) lithography is a key technology for the fabrication of photomasks for ArF immersion and extreme ultraviolet (EUV) lithography and molds for nanoimprint lithography. In this study, the temporal change in the chemical gradient of line-and-space patterns with a 7 nm quarter-pitch (7 nm space width and 21 nm line width) was calculated until it became constant, independently of postexposure baking (PEB) time, to clarify the feasibility of single nano patterning on quartz substrates using EB lithography with chemically amplified resist processes. When the quencher diffusion constant is the same as the acid diffusion constant, the maximum chemical gradient of the line-and-space pattern with a 7 nm quarter-pitch did not differ much from that with a 14 nm half-pitch under the condition described above. Also, from the viewpoint of process control, a low quencher diffusion constant is considered to be preferable for the fabrication of line-and-space patterns with a 7 nm quarter-pitch on quartz substrates.

  3. Looking into the crystal ball: future device learning using hybrid e-beam and optical lithography (Keynote Paper)

    NASA Astrophysics Data System (ADS)

    Steen, S. E.; McNab, S. J.; Sekaric, L.; Babich, I.; Patel, J.; Bucchignano, J.; Rooks, M.; Fried, D. M.; Topol, A. W.; Brancaccio, J. R.; Yu, R.; Hergenrother, J. M.; Doyle, J. P.; Nunes, R.; Viswanathan, R. G.; Purushothaman, S.; Rothwell, M. B.

    2005-05-01

    Semiconductor process development teams are faced with increasing process and integration complexity while the time between lithographic capability and volume production has remained more or less constant over the last decade. Lithography tools have often gated the volume checkpoint of a new device node on the ITRS roadmap. The processes have to be redeveloped after the tooling capability for the new groundrule is obtained since straight scaling is no longer sufficient. In certain cases the time window that the process development teams have is actually decreasing. In the extreme, some forecasts are showing that by the time the 45nm technology node is scheduled for volume production, the tooling vendors will just begin shipping the tools required for this technology node. To address this time pressure, IBM has implemented a hybrid-lithography strategy that marries the advantages of optical lithography (high throughput) with electron beam direct write lithography (high resolution and alignment capability). This hybrid-lithography scheme allows for the timely development of semiconductor processes for the 32nm node, and beyond. In this paper we will describe how hybrid lithography has enabled early process integration and device learning and how IBM applied e-beam & optical hybrid lithography to create the world's smallest working SRAM cell.

  4. Surface-enhanced Raman scattering active gold nanoparticle/nanohole arrays fabricated through electron beam lithography

    NASA Astrophysics Data System (ADS)

    Wu, Tsunghsueh; Lin, Yang-Wei

    2018-03-01

    Effective surface-enhanced Raman scattering (SERS)-active substrates from gold nanoparticle and gold nanohole arrays were successfully fabricated through electron beam lithography with precise computer-aided control of the unit size and intergap distance. Their SERS performance was evaluated using 4-mercaptobenzoic acid (4-MBA). These gold arrays yielded strong SERS signals under 785 nm laser excitation. The enhancement factors for 4-MBA molecules on the prepared gold nanoparticle and nanohole arrays maxed at 1.08 × 107 and 8.61 × 106, respectively. The observed increase in SERS enhancement was attributed to the localized surface plasmon resonance (LSPR) wavelength shifting toward the near-infrared regime when the gold nanohole diameter increased, in agreement with the theoretical prediction in this study. The contribution of LSPR to the Raman enhancement from nanohole arrays deposited on fluorine-doped tin oxide glass was elucidated by comparing SERS and transmission spectra. This simple fabrication procedure, which entails employing electron beam lithography and the controllability of the intergap distance, suggests highly promising uses of nanohole arrays as functional components in sensing and photonic devices.

  5. Deterministic Integration of Quantum Dots into on-Chip Multimode Interference Beamsplitters Using in Situ Electron Beam Lithography

    NASA Astrophysics Data System (ADS)

    Schnauber, Peter; Schall, Johannes; Bounouar, Samir; Höhne, Theresa; Park, Suk-In; Ryu, Geun-Hwan; Heindel, Tobias; Burger, Sven; Song, Jin-Dong; Rodt, Sven; Reitzenstein, Stephan

    2018-04-01

    The development of multi-node quantum optical circuits has attracted great attention in recent years. In particular, interfacing quantum-light sources, gates and detectors on a single chip is highly desirable for the realization of large networks. In this context, fabrication techniques that enable the deterministic integration of pre-selected quantum-light emitters into nanophotonic elements play a key role when moving forward to circuits containing multiple emitters. Here, we present the deterministic integration of an InAs quantum dot into a 50/50 multi-mode interference beamsplitter via in-situ electron beam lithography. We demonstrate the combined emitter-gate interface functionality by measuring triggered single-photon emission on-chip with $g^{(2)}(0) = 0.13\\pm 0.02$. Due to its high patterning resolution as well as spectral and spatial control, in-situ electron beam lithography allows for integration of pre-selected quantum emitters into complex photonic systems. Being a scalable single-step approach, it paves the way towards multi-node, fully integrated quantum photonic chips.

  6. High-Tc superconducting microbolometer for terahertz applications

    NASA Astrophysics Data System (ADS)

    Ulysse, C.; Gaugue, A.; Adam, A.; Kreisler, A. J.; Villégier, J.-C.; Thomassin, J.-L.

    2002-05-01

    Superconducting hot electron bolometer mixers are now a competitive alternative to Schottky diode mixers in the terahertz frequency range because of their ultra wideband (from millimeter waves to visible light), high conversion gain, and low intrinsic noise level. High Tc superconductor materials can be used to make hot electron bolometers and present some advantage in term of operating temperature and cooling. In this paper, we present first a model for the study of superconducting hot electron bolometers responsivity in direct detection mode, in order to establish a firm basis for the design of future THz mixers. Secondly, an original process to realize YBaCuO hot electron bolometer mixers will be described. Submicron YBaCuO superconducting structures are expitaxially sputter deposited on MgO substrates and patterned by using electron beam lithography in combination with optical lithography. Metal masks achieved by electron beam lithography are insuring a good bridge definition and protection during ion etching. Finally, detection experiments are being performed with a laser at 850 nm wavelength, in homodyne mode in order to prove the feasibility and potential performances of these devices.

  7. Marching of the microlithography horses: electron, ion, and photon: past, present, and future

    NASA Astrophysics Data System (ADS)

    Lin, Burn J.

    2007-03-01

    Microlithography patterning employs one of three media; electron, ion, and photon. They are in a way like horses, racing towards the mainstream. Some horses such as electrons run fast but repel each other. Ion beams behave like electron beams but are less developed. The photon beam is the undisputed workhorse, taking microlithography from the 5-μm minimum feature size to 32-nm half pitch. This paper examines the history of microlithography in pattern generation, proximity printing, and projection printing, then identifies the strong and weak points of each technology. In addition to ion-beam and e-beam lithography, the coverage of optical lithography spans the wavelength from 436 to 13.5 nm. Our learning from history helps us prevent mistakes in the future. In almost all cases, making or using the mask presents one of the limiting problems, no matter the type of beams or the replication method. Only the maskless method relieves us from mask-related problems. A way to overcome the low throughput handicap of maskless systems is to use multiple e-beam direct writing, whose imaging lens can be economically and compactly fabricated using MEMS techniques. In a way, the history of microlithography parallels that of aviation. Proximity printing is like the Wright-Brothers' plane; 1X projection printing, single-engine propeller plane with unitized body; reduction step-and-repeat projection printing, multi-engine commercial airliner; scanners, jet airliners. Optical lithography has improved in many ways than just increasing NA and reducing wavelength just as the commercial airliners improving in many other areas than just the speed. The SST increased the speed of airliners by more than a factor of two just as optical resolution doubled with double exposures. EUV lithography with the wavelength reduced by an order of magnitude is similar to the space shuttle increasing its speed to more than 10 times that of the SST. Multiple-beam direct write systems are like helicopters. They do not need airports(masks) but we need a lot of beams to carry the same payload.

  8. Experimental, theoretical, and device application development of nanoscale focused electron-beam-induced deposition

    NASA Astrophysics Data System (ADS)

    Randolph, Steven Jeffrey

    Electron-beam-induced deposition (EBID) is a highly versatile nanofabrication technique that allows for growth of a variety of materials with nanoscale precision and resolution. While several applications and studies of EBID have been reported and published, there is still a significant lack of understanding of the complex mechanisms involved in the process. Consequently, EBID process control is, in general, limited and certain common experimental results regarding nanofiber growth have yet to be fully explained. Such anomalous results have been addressed in this work both experimentally and by computer simulation. Specifically, a correlation between SiOx nanofiber deposition observations and the phenomenon of electron beam heating (EBH) was shown by comparison of thermal computer models and experimental results. Depending on the beam energy, beam current, and nanostructure geometry, the heat generated can be substantial and may influence the deposition rate. Temperature dependent EBID growth experiments qualitatively verified the results of the EBH model. Additionally, EBID was used to produce surface image layers for maskless, direct-write lithography (MDL). A single layer process used directly written SiOx features as a masking layer for amorphous silicon thin films. A bilayer process implemented a secondary masking layer consisting of standard photoresist into which a pattern---directly written by EBID tungsten---was transferred. The single layer process was found to be extremely sensitive to the etch selectivity of the plasma etch. In the bilayer process, EBID tungsten was written onto photoresist and the pattern transferred by means of oxygen plasma dry development following a brief refractory descum. Conditions were developed to reduce the spatial spread of electrons in the photoresist layer and obtain ˜ 35 nm lines. Finally, an EBID-based technique for field emitter repair was applied to the Digital Electrostatically focused e-beam Array Lithography (DEAL) parallel electron beam lithography configuration to repair damaged or missing carbon nanofiber cathodes. The I-V response and lithography results from EBID tungsten-based devices were comparable to CNF-based DEAL devices indicating a successful repair technique.

  9. X-ray lithography source

    DOEpatents

    Piestrup, Melvin A.; Boyers, David G.; Pincus, Cary

    1991-01-01

    A high-intensity, inexpensive X-ray source for X-ray lithography for the production of integrated circuits. Foil stacks are bombarded with a high-energy electron beam of 25 to 250 MeV to produce a flux of soft X-rays of 500 eV to 3 keV. Methods of increasing the total X-ray power and making the cross section of the X-ray beam uniform are described. Methods of obtaining the desired X-ray-beam field size, optimum frequency spectrum and elminating the neutron flux are all described. A method of obtaining a plurality of station operation is also described which makes the process more efficient and economical. The satisfying of these issues makes transition radiation an exellent moderate-priced X-ray source for lithography.

  10. Rapid prototyping of Fresnel zone plates via direct Ga(+) ion beam lithography for high-resolution X-ray imaging.

    PubMed

    Keskinbora, Kahraman; Grévent, Corinne; Eigenthaler, Ulrike; Weigand, Markus; Schütz, Gisela

    2013-11-26

    A significant challenge to the wide utilization of X-ray microscopy lies in the difficulty in fabricating adequate high-resolution optics. To date, electron beam lithography has been the dominant technique for the fabrication of diffractive focusing optics called Fresnel zone plates (FZP), even though this preparation method is usually very complicated and is composed of many fabrication steps. In this work, we demonstrate an alternative method that allows the direct, simple, and fast fabrication of FZPs using focused Ga(+) beam lithography practically, in a single step. This method enabled us to prepare a high-resolution FZP in less than 13 min. The performance of the FZP was evaluated in a scanning transmission soft X-ray microscope where nanostructures as small as sub-29 nm in width were clearly resolved, with an ultimate cutoff resolution of 24.25 nm, demonstrating the highest first-order resolution for any FZP fabricated by the ion beam lithography technique. This rapid and simple fabrication scheme illustrates the capabilities and the potential of direct ion beam lithography (IBL) and is expected to increase the accessibility of high-resolution optics to a wider community of researchers working on soft X-ray and extreme ultraviolet microscopy using synchrotron radiation and advanced laboratory sources.

  11. Sub-100nm, Maskless Deep-UV Zone-Plate Array Lithography

    DTIC Science & Technology

    2004-05-07

    The basic idea is to use fiducial grids, fabricated using interference lithography (or a derivative thereof) to determine the placement of features...sensed, and corrections are fed back to the beam-control electronics to cancel errors in the beam’s position. The virtue of interference lithography ...Sub-100nm, Maskless Deep-UV Zone-Plate Array Lithography Project Period: March 1, 2001 – February 28, 2004 F i n a l R e p o r t Army Research

  12. Electron Beam Lithography Double Step Exposure Technique for Fabrication of Mushroom-Like Profile in Bilayer Resist System

    NASA Astrophysics Data System (ADS)

    Kornelia, Indykiewicz; Bogdan, Paszkiewicz; Tomasz, Szymański; Regina, Paszkiewicz

    2015-01-01

    The Hi/Lo bilayer resist system exposure in e-beam lithography (EBL) process, intended for mushroom-like profile fabrication, was studied. Different exposure parameters and theirs influence on the resist layers were simulated in CASINO software and the obtained results were compared with the experimental data. The AFM technique was used for the estimation of the e-beam penetration depth in the resist stack. Performed numerical and experimental results allow us to establish the useful ranges of the exposure parameters.

  13. Aberration correction for charged particle lithography

    NASA Astrophysics Data System (ADS)

    Munro, Eric; Zhu, Xieqing; Rouse, John A.; Liu, Haoning

    2001-12-01

    At present, the throughput of projection-type charge particle lithography systems, such as PREVAIL and SCALPEL, is limited primarily by the combined effects of field curvature in the projection lenses and Coulomb interaction in the particle beam. These are fundamental physical limitations, inherent in charged particle optics, so there seems little scope for significantly improving the design of such systems, using conventional rotationally symmetric electron lenses. This paper explores the possibility of overcoming the field aberrations of round electron lense, by using a novel aberration corrector, proposed by Professor H. Rose of University of Darmstadt, called a hexapole planator. In this scheme, a set of round lenses is first used to simultaneously correct distortion and coma. The hexapole planator is then used to correct the field curvature and astigmatism, and to create a negative spherical aberration. The size of the transfer lenses around the planator can then be adjusted to zero the residual spherical aberration. In a way, an electron optical projection system is obtained that is free of all primary geometrical aberrations. In this paper, the feasibility of this concept has been studied with a computer simulation. The simulations verify that this scheme can indeed work, for both electrostatic and magnetic projection systems. Two design studies have been carried out. The first is for an electrostatic system that could be used for ion beam lithography, and the second is for a magnetic projection system for electron beam lithography. In both cases, designs have been achieved in which all primary third-order geometrical aberrations are totally eliminated.

  14. Micro and Nano Systems for Space Exploration

    NASA Technical Reports Server (NTRS)

    Manohara, Harish

    2007-01-01

    This slide presentation reviews the use of micro and nano systems in Space exploration. Included are: an explanation of the rationales behind nano and micro technologies for space exploration, a review of how the devices are fabricated, including details on lithography with more information on Electron Beam (E-Beam) lithography, and X-ray lithography, a review of micro gyroscopes and inchworm Microactuator as examples of the use of MicroElectoMechanical (MEMS) technology. Also included is information on Carbon Nanotubes, including a review of the CVD growth process. These micro-nano systems have given rise to the next generation of miniature X-ray Diffraction, X-ray Fluorescence instruments, mass spectrometers, and terahertz frequency vacuum tube oscillators and amplifiers, scanning electron microscopes and energy dispersive x-ray spectroscope. The nanotechnology has also given rise to coating technology, such as silicon nanotip anti-reflection coating.

  15. Stitching-error reduction in gratings by shot-shifted electron-beam lithography

    NASA Technical Reports Server (NTRS)

    Dougherty, D. J.; Muller, R. E.; Maker, P. D.; Forouhar, S.

    2001-01-01

    Calculations of the grating spatial-frequency spectrum and the filtering properties of multiple-pass electron-beam writing demonstrate a tradeoff between stitching-error suppression and minimum pitch separation. High-resolution measurements of optical-diffraction patterns show a 25-dB reduction in stitching-error side modes.

  16. X-ray lithography source

    DOEpatents

    Piestrup, M.A.; Boyers, D.G.; Pincus, C.

    1991-12-31

    A high-intensity, inexpensive X-ray source for X-ray lithography for the production of integrated circuits is disclosed. Foil stacks are bombarded with a high-energy electron beam of 25 to 250 MeV to produce a flux of soft X-rays of 500 eV to 3 keV. Methods of increasing the total X-ray power and making the cross section of the X-ray beam uniform are described. Methods of obtaining the desired X-ray-beam field size, optimum frequency spectrum and eliminating the neutron flux are all described. A method of obtaining a plurality of station operation is also described which makes the process more efficient and economical. The satisfying of these issues makes transition radiation an excellent moderate-priced X-ray source for lithography. 26 figures.

  17. GaN-based light emitting diodes using p-type trench structure for improving internal quantum efficiency

    NASA Astrophysics Data System (ADS)

    Kim, Garam; Sun, Min-Chul; Kim, Jang Hyun; Park, Euyhwan; Park, Byung-Gook

    2017-01-01

    In order to improve the internal quantum efficiency of GaN-based LEDs, a LED structure featuring a p-type trench in the multi-quantum well (MQW) is proposed. This structure has effects on spreading holes into the MQW and reducing the quantum-confined stark effect (QCSE). In addition, two simple fabrication methods using electron-beam (e-beam) lithography or selective wet etching for manufacturing the p-type structure are also proposed. From the measurement results of the manufactured GaN-based LEDs, it is confirmed that the proposed structure using e-beam lithography or selective wet etching shows improved light output power compared to the conventional structure because of more uniform hole distribution. It is also confirmed that the proposed structure formed by e-beam lithography has a significant effect on strain relaxation and reduction in the QCSE from the electro-luminescence measurement.

  18. Organic solvent-free sugar-based transparency nanopatterning material derived from biomass for eco-friendly optical biochips using green lithography

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Oshima, Akihiro; Oyama, Tomoko G.; Ito, Kenta; Sugahara, Kigenn; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2014-05-01

    An organic solvent-free sugar-based transparency nanopatterning material which had specific desired properties such as nanostructures of subwavelength grating and moth-eye antireflection, acceptable thermal stability of 160 °C, and low imaginary refractive index of less than 0.005 at 350-800 nm was proposed using electron beam lithography. The organic solvent-free sugar-based transparency nanopatterning material is expected for non-petroleum resources, environmental affair, safety, easiness of handling, and health of the working people, instead of the common developable process of tetramethylammonium hydroxide. 120 nm moth-eye antireflection nanopatterns images with exposure dose of 10 μC/cm2 were provided by specific process conditions of electron beam lithography. The developed sugar derivatives with hydroxyl groups and EB sensitive groups in the organic solvent-free sugar-based transparency nanopatterning material were applicable to future development of optical interface films of biology and electronics as a novel chemical design.

  19. A high resolution water soluble fullerene molecular resist for electron beam lithography.

    PubMed

    Chen, X; Palmer, R E; Robinson, A P G

    2008-07-09

    Traditionally, many lithography resists have used hazardous, environmentally damaging or flammable chemicals as casting solvent and developer. There is now a strong drive towards processes that are safer and more environmentally friendly. We report nanometre-scale patterning of a fullerene molecular resist film with electron beam lithography, using water as casting solvent and developer. Negative tone behaviour is demonstrated after exposure and development. The sensitivity of this resist to 20 keV electrons is 1.5 × 10(-2) C cm(-2). Arrays of lines with a width of 30-35 nm and pitches of 200 and 400 nm, and arrays of dots with a diameter of 40 nm and a pitch of 200 nm have been patterned at 30 keV. The etch durability of this resist was found to be ∼2 times that of a standard novolac based resist. Initial results of the chemical amplification of this material for enhanced sensitivity are also presented.

  20. Fabrication of frequency selective surface for band stop IR-filter

    NASA Astrophysics Data System (ADS)

    Mishra, Akshita; Sudheer, Tiwari, P.; Mondal, P.; Bhatt, H.; Rai, V. N.; Srivastava, A. K.

    2016-05-01

    Fabrication and characterization of frequency selective surfaces (FSS) on silicon dioxide/ silicon is reported. Electron beam lithography based techniques are used for the fabrication of periodic slot structure in tungsten layer on silicon dioxide/silicon. The fabrication process consists of growth of SiO2 on silicon, tungsten deposition, electron beam lithography, and wet etching of tungsten. The optical characterization of the structural pattern was carried out using fourier transform infrared spectroscopy (FTIR). The reflectance spectra clearly show a resonance peak at 9.09 µm in the mid infrared region. This indicates that the patterned surface acts as band stop filter in the mid-infrared region.

  1. Effects on electron scattering and resist characteristics using assisting underlayers for e-beam direct write lithography

    NASA Astrophysics Data System (ADS)

    Thrun, Xaver; Choi, Kang-Hoon; Hanisch, Norbert; Hohle, Christoph; Steidel, Katja; Guerrero, Douglas; Figueiro, Thiago; Bartha, Johann W.

    2013-03-01

    Resist processing for future technology nodes becomes more and more complex. The resist film thickness is getting thinner and hardmask concepts (trilayer) are needed for reproducible etch transfer into the stack. Additional layers between resist and substrate are influencing the electron scattering in e-beam lithography and may also improve sensitivity and resolution. In this study, bare silicon wafers with different assisting underlayers were processed in a 300 mm CMOS manufacturing environment and were exposed on a 50 keV VISTEC SB3050DW variable-shaped electron beam direct writer at Fraunhofer CNT. The underlayers are organic-inorganic hybrid coatings with different metal additives. The negative-tone resist was evaluated in terms of contrast, sensitivity, resolution and LWR/LER as a function of the stack. The interactions between resist and different assisting underlayers on e-beam direct writing will be investigated. These layers could be used to optimize the trade-off among resolution, LWR and sensitivity in future applications.

  2. Proximity Effect Correction by Pattern Modified Stencil Mask in Large-Field Projection Electron-Beam Lithography

    NASA Astrophysics Data System (ADS)

    Kobinata, Hideo; Yamashita, Hiroshi; Nomura, Eiichi; Nakajima, Ken; Kuroki, Yukinori

    1998-12-01

    A new method for proximity effect correction, suitable for large-field electron-beam (EB) projection lithography with high accelerating voltage, such as SCALPEL and PREVAIL in the case where a stencil mask is used, is discussed. In this lithography, a large-field is exposed by the same dose, and thus, the dose modification method, which is used in the variable-shaped beam and the cell projection methods, cannot be used in this case. In this study, we report on development of a new proximity effect correction method which uses a pattern modified stencil mask suitable for high accelerating voltage and large-field EB projection lithography. In order to obtain the mask bias value, we have investigated linewidth reduction, due to the proximity effect, in the peripheral memory cell area, and found that it could be expressed by a simple function and all the correction parameters were easily determined from only the mask pattern data. The proximity effect for the peripheral array pattern could also be corrected by considering the pattern density. Calculated linewidth deviation was 3% or less for a 0.07-µm-L/S memory cell pattern and 5% or less for a 0.14-µm-line and 0.42-µm-space peripheral array pattern, simultaneously.

  3. PREVAIL-EPL alpha tool electron optics subsystem

    NASA Astrophysics Data System (ADS)

    Pfeiffer, Hans C.; Dhaliwal, Rajinder S.; Golladay, Steven D.; Doran, Samuel K.; Gordon, Michael S.; Kendall, Rodney A.; Lieberman, Jon E.; Pinckney, David J.; Quickle, Robert J.; Robinson, Christopher F.; Rockrohr, James D.; Stickel, Werner; Tressler, Eileen V.

    2001-08-01

    The IBM/Nikon alliance is continuing pursuit of an EPL stepper alpha tool based on the PREVAIL technology. This paper provides a status report of the alliance activity with particular focus on the Electron Optical Subsystem developed at IBM. We have previously reported on design features of the PREVAIL alpha system. The new state-of-the-art e-beam lithography concepts have since been reduced to practice and turned into functional building blocks of a production level lithography tool. The electron optical alpha tool subsystem has been designed, build, assembled and tested at IBM's Semiconductor Research and Development Center (SRDC) in East Fishkill, New York. After demonstrating subsystem functionality, the electron optical column and all associated control electronics hardware and software have been shipped during January 2001 to Nikon's facility in Kumagaya, Japan, for integration into the Nikon commercial e-beam stepper alpha tool. Early pre-shipment results obtained with this electron optical subsystem are presented.

  4. Progress and process improvements for multiple electron-beam direct write

    NASA Astrophysics Data System (ADS)

    Servin, Isabelle; Pourteau, Marie-Line; Pradelles, Jonathan; Essomba, Philippe; Lattard, Ludovic; Brandt, Pieter; Wieland, Marco

    2017-06-01

    Massively parallel electron beam direct write (MP-EBDW) lithography is a cost-effective patterning solution, complementary to optical lithography, for a variety of applications ranging from 200 to 14 nm. This paper will present last process/integration results to achieve targets for both 28 and 45 nm nodes. For 28 nm node, we mainly focus on line-width roughness (LWR) mitigation by playing with stack, new resist platform and bias design strategy. The lines roughness was reduced by using thicker spin-on-carbon (SOC) hardmask (-14%) or non-chemically amplified (non-CAR) resist with bias writing strategy implementation (-20%). Etch transfer into trilayer has been demonstrated by preserving pattern fidelity and profiles for both CAR and non-CAR resists. For 45 nm node, we demonstrate the electron-beam process integration within optical CMOS flows. Resists based on KrF platform show a full compatibility with multiple stacks to fit with conventional optical flow used for critical layers. Electron-beam resist performances have been optimized to fit the specifications in terms of resolution, energy latitude, LWR and stack compatibility. The patterning process overview showing the latest achievements is mature enough to enable starting the multi-beam technology pre-production mode.

  5. Fabrication of frequency selective surface for band stop IR-filter

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mishra, Akshita, E-mail: akshitamishra27@gmail.com; Sudheer,; Tiwari, P.

    2016-05-23

    Fabrication and characterization of frequency selective surfaces (FSS) on silicon dioxide/ silicon is reported. Electron beam lithography based techniques are used for the fabrication of periodic slot structure in tungsten layer on silicon dioxide/silicon. The fabrication process consists of growth of SiO{sub 2} on silicon, tungsten deposition, electron beam lithography, and wet etching of tungsten. The optical characterization of the structural pattern was carried out using fourier transform infrared spectroscopy (FTIR). The reflectance spectra clearly show a resonance peak at 9.09 µm in the mid infrared region. This indicates that the patterned surface acts as band stop filter in the mid-infraredmore » region.« less

  6. Lithographic technologies that haven't (yet) made it: lessons learned (Plenary Paper)

    NASA Astrophysics Data System (ADS)

    Pease, R. Fabian

    2005-05-01

    Since the introduction of the integrated circuit we have been inventing ways to extend the feature resolution beyond the optical limit. Using a focused electron beam linewidths of less than 100nm were demonstrated in 1960 and a mere three years later we achieved a 10nm feature. In the 1970's and 80's several semiconductor manufacturers undertook programs to introduce electron beam lithography (EBL) and X-ray lithography (XRL) based primarily on the rationale that both had superior resolution. Those programs consumed many millions of dollars and yielded, and continue to yield, very imaginative systems but have failed to displace deep ultraviolet lithography (DUVL) despite its inferior resolution. One lesson learned is an old one: to displace an established technology the new must be 10x better than the old. Thus it is irrational that even today a form of XRL employing 13nm X-rays is still being pursued despite showing performance inferior to that of DUVL. What constitutes 'better' depends on the application and thus there are niche markets for forms of lithography other than DUVL. But for mainstream semiconductor chip manufacturing there is no prospect within the next decade of displacing optical lithography which can be stretched even to 10nm features by applying novel techniques coupled with massive computation.

  7. Polystyrene negative resist for high-resolution electron beam lithography

    PubMed Central

    2011-01-01

    We studied the exposure behavior of low molecular weight polystyrene as a negative tone electron beam lithography (EBL) resist, with the goal of finding the ultimate achievable resolution. It demonstrated fairly well-defined patterning of a 20-nm period line array and a 15-nm period dot array, which are the densest patterns ever achieved using organic EBL resists. Such dense patterns can be achieved both at 20 and 5 keV beam energies using different developers. In addition to its ultra-high resolution capability, polystyrene is a simple and low-cost resist with easy process control and practically unlimited shelf life. It is also considerably more resistant to dry etching than PMMA. With a low sensitivity, it would find applications where negative resist is desired and throughput is not a major concern. PMID:21749679

  8. Projection Reduction Exposure with Variable Axis Immersion Lenses (PREVAIL)-A High Throughput E-Beam Projection Approach for Next Generation Lithography

    NASA Astrophysics Data System (ADS)

    Pfeiffer, Hans

    1999-12-01

    Projection reduction exposure with variable axis immersion lenses (PREVAIL) represents the high throughput e-beam projection approach to next generation lithography (NGL), which IBM is pursuing in cooperation with Nikon Corporation as an alliance partner. This paper discusses the challenges and accomplishments of the PREVAIL project. The supreme challenge facing all e-beam lithography approaches has been and still is throughput. Since the throughput of e-beam projection systems is severely limited by the available optical field size, the key to success is the ability to overcome this limitation. The PREVAIL technique overcomes field-limiting off-axis aberrations through the use of variable axis lenses, which electronically shift the optical axis simultaneously with the deflected beam, so that the beam effectively remains on axis. The resist images obtained with the proof-of-concept (POC) system demonstrate that PREVAIL effectively eliminates off-axis aberrations affecting both the resolution and placement accuracy of pixels. As part of the POC system a high emittance gun has been developed to provide uniform illumination of the patterned subfield, and to fill the large numerical aperture projection optics designed to significantly reduce beam blur caused by Coulombinteraction.

  9. Optimizing a synchrotron based x-ray lithography system for IC manufacturing

    NASA Astrophysics Data System (ADS)

    Kovacs, Stephen; Speiser, Kenneth; Thaw, Winston; Heese, Richard N.

    1990-05-01

    The electron storage ring is a realistic solution as a radiation source for production grade, industrial X-ray lithography system. Today several large scale plans are in motion to design and implement synchrotron storage rings of different types for this purpose in the USA and abroad. Most of the scientific and technological problems related to the physics, design and manufacturing engineering, and commissioning of these systems for microlithography have been resolved or are under extensive study. However, investigation on issues connected to application of Synchrotron Orbit Radiation (SOR ) in chip production environment has been somewhat neglected. In this paper we have filled this gap pointing out direct effects of some basic synchrotron design parameters and associated subsystems (injector, X-ray beam line) on the operation and cost of lithography in production. The following factors were considered: synchrotron configuration, injection energy, beam intensity variability, number of beam lines and wafer exposure concept. A cost model has been worked out and applied to three different X-ray Lithography Source (XLS) systems. The results of these applications are compared and conclusions drawn.

  10. Tailoring plasmonic nanoparticles and fractal patterns

    NASA Astrophysics Data System (ADS)

    Rosa, Lorenzo; Juodkazis, Saulius

    2011-12-01

    We studied new three-dimensional tailoring of nano-particles by ion-beam and electron-beam lithographies, aiming for features and nano-gaps down to 10 nm size. Electron-beam patterning is demonstrated for 2D fabrication in combination with plasmonic metal deposition and lift-off, with full control of spectral features of plasmonic nano-particles and patterns on dielectric substrates. We present wide-angle bow-tie rounded nano-antennas whose plasmonic resonances achieve strong field enhancement at engineered wavelength range, and show how the addition of fractal patterns defined by standard electron beam lithography achieve light field enhancement from visible to far-IR spectral range and scalable up towards THz band. Field enhancement is evaluated by FDTD modeling on full-3D simulation domains using complex material models, showing the modeling method capabilities and the effect of staircase approximations on field enhancement and resonance conditions, especially at metal corners, where a minimum rounding radius of 2 nm is resolved and a five-fold reduction of spurious ringing at sharp corners is obtained by the use of conformal meshing.

  11. Projection Exposure with Variable Axis Immersion Lenses: A High-Throughput Electron Beam Approach to “Suboptical” Lithography

    NASA Astrophysics Data System (ADS)

    Pfeiffer, Hans

    1995-12-01

    IBM's high-throughput e-beam stepper approach PRojection Exposure with Variable Axis Immersion Lenses (PREVAIL) is reviewed. The PREVAIL concept combines technology building blocks of our probe-forming EL-3 and EL-4 systems with the exposure efficiency of pattern projection. The technology represents an extension of the shaped-beam approach toward massively parallel pixel projection. As demonstrated, the use of variable-axis lenses can provide large field coverage through reduction of off-axis aberrations which limit the performance of conventional projection systems. Subfield pattern sections containing 107 or more pixels can be electronically selected (mask plane), projected and positioned (wafer plane) at high speed. To generate the entire chip pattern subfields must be stitched together sequentially in a combination of electronic and mechanical positioning of mask and wafer. The PREVAIL technology promises throughput levels competitive with those of optical steppers at superior resolution. The PREVAIL project is being pursued to demonstrate the viability of the technology and to develop an e-beam alternative to “suboptical” lithography.

  12. Ecofriendly ethanol-developable processes for electron beam lithography using positive-tone dextrin resist material

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Sugino, Naoto; Hanabata, Makoto; Oshima, Akihiro; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2017-07-01

    From the viewpoints of the utilization of agricultural resources and advanced use of biomass, this study is aimed at expanding the resolution limits of ecofriendly ethanol-developable processes for electron-beam lithography using a positive-tone dextrin resist material with high hydrophilicity on a cellulose-based underlayer. The images of 20-nm-hole and 40-nm-line patterns with an exposure dose of approximately 1800 µC/cm2 were provided by ecofriendly ethanol-developable processes instead of the common development processes using tetramethylammonium hydroxide and organic solvents. The CF4 etching selectivity of the positive-tone dextrin resist material was approximately 10% lower than that of the polymethyl methacrylate used as a reference resist material.

  13. Design of an electron projection system with slider lenses and multiple beams

    NASA Astrophysics Data System (ADS)

    Moonen, Daniel; Leunissen, Peter L. H. A.; de Jager, Patrick W.; Kruit, Pieter; Bleeker, Arno J.; Van der Mast, Karel D.

    2002-07-01

    The commercial applicability of electron beam projection lithography systems may be limited at high resolution because of low throughput. The main limitations to the throughput are: (i) Beam current. The Coulomb interaction between electrons result in an image blue. Therefore less beam current can be allowed at higher resolution, impacting the illuminate time of the wafer. (ii) Exposure field size. Early attempts to improve throughput with 'full chip' electron beam projection systems failed, because the system suffered from large off-axis aberrations of the electron optics, which severely restricted the useful field size. This has impact on the overhead time. A new type of projection optics will be proposed in this paper to overcome both limits. A slider lens is proposed that allows an effective field that is much larger than schemes proposed by SCALPEL and PREVAIL. The full width of the die can be exposed without mechanical scanning by sliding the beam through the slit-like bore of the lens. Locally, at the beam position, a 'round'-lens field is created with a combination of a rectangular magnetic field and quadruples that are positioned inside the lens. A die can now be exposed during a single mechanical scan as in state-of-the-art light optical tools. The total beam current can be improved without impact on the Coulomb interaction blur by combining several beams in a single lithography system if these beams do not interfere with each other. Several optical layouts have been proposed that combined up to 5 beams in a projection system consisting of a doublet of slider lenses. This type of projection optics has a potential throughput of 50 WPH at 45 nm with a resist sensitivity of 6 (mu) C/cm2.

  14. A combined electron beam/optical lithography process step for the fabrication of sub-half-micron-gate-length MMIC chips

    NASA Technical Reports Server (NTRS)

    Sewell, James S.; Bozada, Christopher A.

    1994-01-01

    Advanced radar and communication systems rely heavily on state-of-the-art microelectronics. Systems such as the phased-array radar require many transmit/receive (T/R) modules which are made up of many millimeter wave - microwave integrated circuits (MMIC's). The heart of a MMIC chip is the Gallium Arsenide (GaAs) field-effect transistor (FET). The transistor gate length is the critical feature that determines the operating frequency of the radar system. A smaller gate length will typically result in a higher frequency. In order to make a phased array radar system economically feasible, manufacturers must be capable of producing very large quantities of small-gate-length MMIC chips at a relatively low cost per chip. This requires the processing of a large number of wafers with a large number of chips per wafer, minimum processing time, and a very high chip yield. One of the bottlenecks in the fabrication of MIMIC chips is the transistor gate definition. The definition of sub-half-micron gates for GaAs-based field-effect transistors is generally performed by direct-write electron beam lithography (EBL). Because of the throughput limitations of EBL, the gate-layer fabrication is conventionally divided into two lithographic processes where EBL is used to generate the gate fingers and optical lithography is used to generate the large-area gate pads and interconnects. As a result, two complete sequences of resist application, exposure, development, metallization and lift-off are required for the entire gate structure. We have baselined a hybrid process, referred to as EBOL (electron beam/optical lithography), in which a single application of a multi-level resist is used for both exposures. The entire gate structure, (gate fingers, interconnects and pads), is then formed with a single metallization and lift-off process. The EBOL process thus retains the advantages of the high-resolution E-beam lithography and the high throughput of optical lithography while essentially eliminating an entire lithography/metallization/lift-off process sequence. This technique has been proven to be reliable for both trapezoidal and mushroom gates and has been successfully applied to metal-semiconductor and high-electron-mobility field-effect transistor (MESFET and HEMT) wafers containing devices with gate lengths down to 0.10 micron and 75 x 75 micron gate pads. The yields and throughput of these wafers have been very high with no loss in device performance. We will discuss the entire EBOL process technology including the multilayer resist structure, exposure conditions, process sensitivities, metal edge definition, device results, comparison to the standard gate-layer process, and its suitability for manufacturing.

  15. A combined electron beam/optical lithography process step for the fabrication of sub-half-micron-gate-length MMIC chips

    NASA Astrophysics Data System (ADS)

    Sewell, James S.; Bozada, Christopher A.

    1994-02-01

    Advanced radar and communication systems rely heavily on state-of-the-art microelectronics. Systems such as the phased-array radar require many transmit/receive (T/R) modules which are made up of many millimeter wave - microwave integrated circuits (MMIC's). The heart of a MMIC chip is the Gallium Arsenide (GaAs) field-effect transistor (FET). The transistor gate length is the critical feature that determines the operating frequency of the radar system. A smaller gate length will typically result in a higher frequency. In order to make a phased array radar system economically feasible, manufacturers must be capable of producing very large quantities of small-gate-length MMIC chips at a relatively low cost per chip. This requires the processing of a large number of wafers with a large number of chips per wafer, minimum processing time, and a very high chip yield. One of the bottlenecks in the fabrication of MIMIC chips is the transistor gate definition. The definition of sub-half-micron gates for GaAs-based field-effect transistors is generally performed by direct-write electron beam lithography (EBL). Because of the throughput limitations of EBL, the gate-layer fabrication is conventionally divided into two lithographic processes where EBL is used to generate the gate fingers and optical lithography is used to generate the large-area gate pads and interconnects. As a result, two complete sequences of resist application, exposure, development, metallization and lift-off are required for the entire gate structure. We have baselined a hybrid process, referred to as EBOL (electron beam/optical lithography), in which a single application of a multi-level resist is used for both exposures. The entire gate structure, (gate fingers, interconnects and pads), is then formed with a single metallization and lift-off process. The EBOL process thus retains the advantages of the high-resolution E-beam lithography and the high throughput of optical lithography while essentially eliminating an entire lithography/metallization/lift-off process sequence. This technique has been proven to be reliable for both trapezoidal and mushroom gates and has been successfully applied to metal-semiconductor and high-electron-mobility field-effect transistor (MESFET and HEMT) wafers containing devices with gate lengths down to 0.10 micron and 75 x 75 micron gate pads. The yields and throughput of these wafers have been very high with no loss in device performance. We will discuss the entire EBOL process technology including the multilayer resist structure, exposure conditions, process sensitivities, metal edge definition, device results, comparison to the standard gate-layer process, and its suitability for manufacturing.

  16. Nanoscale-Barrier Formation Induced by Low-Dose Electron-Beam Exposure in Ultrathin MoS2 Transistors.

    PubMed

    Matsunaga, Masahiro; Higuchi, Ayaka; He, Guanchen; Yamada, Tetsushi; Krüger, Peter; Ochiai, Yuichi; Gong, Yongji; Vajtai, Robert; Ajayan, Pulickel M; Bird, Jonathan P; Aoki, Nobuyuki

    2016-10-05

    Utilizing an innovative combination of scanning-probe and spectroscopic techniques, supported by first-principles calculations, we demonstrate how electron-beam exposure of field-effect transistors, implemented from ultrathin molybdenum disulfide (MoS 2 ), may cause nanoscale structural modifications that in turn significantly modify the electrical operation of these devices. Quite surprisingly, these modifications are induced by even the relatively low electron doses used in conventional electron-beam lithography, which are found to induce compressive strain in the atomically thin MoS 2 . Likely arising from sulfur-vacancy formation in the exposed regions, the strain gives rise to a local widening of the MoS 2 bandgap, an idea that is supported both by our experiment and by the results of first-principles calculations. A nanoscale potential barrier develops at the boundary between exposed and unexposed regions and may cause extrinsic variations in the resulting electrical characteristics exhibited by the transistor. The widespread use of electron-beam lithography in nanofabrication implies that the presence of such strain must be carefully considered when seeking to harness the potential of atomically thin transistors. At the same time, this work also promises the possibility of exploiting the strain as a means to achieve "bandstructure engineering" in such devices.

  17. Electrostatically focused addressable field emission array chips (AFEA's) for high-speed massively parallel maskless digital E-beam direct write lithography and scanning electron microscopy

    DOEpatents

    Thomas, Clarence E.; Baylor, Larry R.; Voelkl, Edgar; Simpson, Michael L.; Paulus, Michael J.; Lowndes, Douglas H.; Whealton, John H.; Whitson, John C.; Wilgen, John B.

    2002-12-24

    Systems and methods are described for addressable field emission array (AFEA) chips. A method of operating an addressable field-emission array, includes: generating a plurality of electron beams from a pluralitly of emitters that compose the addressable field-emission array; and focusing at least one of the plurality of electron beams with an on-chip electrostatic focusing stack. The systems and methods provide advantages including the avoidance of space-charge blow-up.

  18. Sub-micron lines patterning into silica using water developable chitosan bioresist films for eco-friendly positive tone e-beam and UV lithography

    NASA Astrophysics Data System (ADS)

    Caillau, Mathieu; Chevalier, Céline; Crémillieu, Pierre; Delair, Thierry; Soppera, Olivier; Leuschel, Benjamin; Ray, Cédric; Moulin, Christophe; Jonin, Christian; Benichou, Emmanuel; Brevet, Pierre-François; Yeromonahos, Christelle; Laurenceau, Emmanuelle; Chevolot, Yann; Leclercq, Jean-Louis

    2018-03-01

    Biopolymers represent natural, renewable and abundant materials. Their use is steadily growing in various areas (food, health, building …) but, in lithography, despite some works, resists, solvents and developers are still oil-based and hazardous chemicals. In this work, we replaced synthetic resist by chitosan, a natural, abundant and hydrophilic polysaccharide. High resolution sub-micron patterns were obtained through chitosan films as water developable, chemically unmodified, positive tone mask resist for an eco-friendly electron beam and deep-UV (193 nm) lithography process. Sub-micron patterns were also successfully obtained using a 248 nm photomasker thanks to the addition of biosourced photoactivator, riboflavin. Patterns were then transferred by plasma etching into silica even for high resolution patterns.

  19. Compton backscattered collimated x-ray source

    DOEpatents

    Ruth, R.D.; Huang, Z.

    1998-10-20

    A high-intensity, inexpensive and collimated x-ray source is disclosed for applications such as x-ray lithography is disclosed. An intense pulse from a high power laser, stored in a high-finesse resonator, repetitively collides nearly head-on with and Compton backscatters off a bunched electron beam, having relatively low energy and circulating in a compact storage ring. Both the laser and the electron beams are tightly focused and matched at the interaction region inside the optical resonator. The laser-electron interaction not only gives rise to x-rays at the desired wavelength, but also cools and stabilizes the electrons against intrabeam scattering and Coulomb repulsion with each other in the storage ring. This cooling provides a compact, intense bunch of electrons suitable for many applications. In particular, a sufficient amount of x-rays can be generated by this device to make it an excellent and flexible Compton backscattered x-ray (CBX) source for high throughput x-ray lithography and many other applications. 4 figs.

  20. Compton backscattered collimated x-ray source

    DOEpatents

    Ruth, Ronald D.; Huang, Zhirong

    1998-01-01

    A high-intensity, inexpensive and collimated x-ray source for applications such as x-ray lithography is disclosed. An intense pulse from a high power laser, stored in a high-finesse resonator, repetitively collides nearly head-on with and Compton backscatters off a bunched electron beam, having relatively low energy and circulating in a compact storage ring. Both the laser and the electron beams are tightly focused and matched at the interaction region inside the optical resonator. The laser-electron interaction not only gives rise to x-rays at the desired wavelength, but also cools and stabilizes the electrons against intrabeam scattering and Coulomb repulsion with each other in the storage ring. This cooling provides a compact, intense bunch of electrons suitable for many applications. In particular, a sufficient amount of x-rays can be generated by this device to make it an excellent and flexible Compton backscattered x-ray (CBX) source for high throughput x-ray lithography and many other applications.

  1. Compton backscattered collmated X-ray source

    DOEpatents

    Ruth, Ronald D.; Huang, Zhirong

    2000-01-01

    A high-intensity, inexpensive and collimated x-ray source for applications such as x-ray lithography is disclosed. An intense pulse from a high power laser, stored in a high-finesse resonator, repetitively collides nearly head-on with and Compton backscatters off a bunched electron beam, having relatively low energy and circulating in a compact storage ring. Both the laser and the electron beams are tightly focused and matched at the interaction region inside the optical resonator. The laser-electron interaction not only gives rise to x-rays at the desired wavelength, but also cools and stabilizes the electrons against intrabeam scattering and Coulomb repulsion with each other in the storage ring. This cooling provides a compact, intense bunch of electrons suitable for many applications. In particular, a sufficient amount of x-rays can be generated by this device to make it an excellent and flexible Compton backscattered x-ray (CBX) source for high throughput x-ray lithography and many other applications.

  2. Printed Biopolymer-Based Electro-Optic Device Components

    DTIC Science & Technology

    2013-07-01

    devices and fabricated e-beam lithography-based master molds. Printed micro and nanostructures using a newly developed spin-on nanoprinting (SNAP...polymeric materials. Among the natural biopolymers , deoxyribonucleic acid (DNA) is an attractive material which can be used to make electronic and...photonic devices [2, 3]. If patterned on the micro and nanoscale using a soft lithography technique, high quality biodegradable optical devices can be

  3. Effect of Alignment on Transport Properties of Carbon Nanotube/Metallic Junctions

    NASA Technical Reports Server (NTRS)

    Wincheski, Buzz; Namkung, Min; Smits, Jan; Williams, Phillip; Harvey, Robert

    2003-01-01

    Ballistic and spin coherent transport in single walled carbon nanotubes (SWCNT) are predicted to enable high sensitivity single-nanotube devices for strain and magnetic field sensing. Based upon these phenomena, electron beam lithography procedures have been developed to study the transport properties of purified HiPCO single walled carbon nanotubes for development into sensory materials for nondestructive evaluation. Purified nanotubes are dispersed in solvent suspension and then deposited on the device substrate before metallic contacts are defined and deposited through electron beam lithography. This procedure produces randomly dispersed ropes, typically 2 - 20 nm in diameter, of single walled carbon nanotubes. Transport and scanning probe microscopy studies have shown a good correlation between the junction resistance and tube density, alignment, and contact quality. In order to improve transport properties of the junctions a technique has been developed to align and concentrate nanotubes at specific locations on the substrate surface. Lithographic techniques are used to define local areas where high frequency electric fields are to be concentrated. Application of the fields while the substrate is exposed to nanotube-containing solution results in nanotube arrays aligned with the electric field lines. A second electron beam lithography layer is then used to deposit metallic contacts across the aligned tubes. Experimental measurements are presented showing the increased tube alignment and improvement in the transport properties of the junctions.

  4. Fabrication of high-resolution nanostructures of complex geometry by the single-spot nanolithography method

    PubMed Central

    Anisimova, Margarita; Samardak, Aleksei; Ognev, Alexey

    2015-01-01

    Summary The paper presents a method for the high-resolution production of polymer nanopatterns with controllable geometrical parameters by means of a single-spot electron-beam lithography technique. The essence of the method entails the overexposure of a positive-tone resist, spin-coated onto a substrate where nanoscale spots are exposed to an electron beam with a dose greater than 0.1 pC per dot. A single-spot enables the fabrication of a nanoring, while a chain of spots placed at distance of 5–30 nm from each other allows the production of a polymer pattern of complex geometry of sub-10 nm resolution. We demonstrate that in addition to the naturally oxidized silicon substrates, gold-coated substrates can also successfully be used for the single-spot nanopattering technique. An explanation of the results related to the resist overexposure was demonstrated using Monte Carlo simulations. Our nanofabrication method significantly accelerates (up to 10 times) the fabrication rate as compared to conventional lithography on positive-tone resist. This technique can be potentially employed in the electronics industry for the production of nanoprinted lithography molds, etching masks, nanoelectronics, nanophotonics, NEMS and MEMS devices. PMID:25977869

  5. Aberration-Corrected Electron Beam Lithography at the One Nanometer Length Scale

    DOE PAGES

    Manfrinato, Vitor R.; Stein, Aaron; Zhang, Lihua; ...

    2017-04-18

    Patterning materials efficiently at the smallest length scales has been a longstanding challenge in nanotechnology. Electron-beam lithography (EBL) is the primary method for patterning arbitrary features, but EBL has not reliably provided sub-4 nm patterns. The few competing techniques that have achieved this resolution are orders of magnitude slower than EBL. In this work, we employed an aberration-corrected scanning transmission electron microscope for lithography to achieve unprecedented resolution. Here we show aberration-corrected EBL at the one nanometer length scale using poly(methyl methacrylate) (PMMA) and have produced both the smallest isolated feature in any conventional resist (1.7 ± 0.5 nm) andmore » the highest density patterns in PMMA (10.7 nm pitch for negative-tone and 17.5 nm pitch for positive-tone PMMA). We also demonstrate pattern transfer from the resist to semiconductor and metallic materials at the sub-5 nm scale. These results indicate that polymer-based nanofabrication can achieve feature sizes comparable to the Kuhn length of PMMA and ten times smaller than its radius of gyration. Use of aberration-corrected EBL will increase the resolution, speed, and complexity in nanomaterial fabrication.« less

  6. Directed Block Copolymer Assembly versus Electron Beam Lithography for Bit-Patterned Media with Areal Density of 1 Terabit/inch(2) and Beyond.

    PubMed

    Yang, Xiaomin; Wan, Lei; Xiao, Shuaigang; Xu, Yuan; Weller, Dieter K

    2009-07-28

    The directed self-assembly of block copolymer (BCP) offers a new route to perfect nanolithographic patterning at sub-50 nm length scale with molecular scale precision. We have explored the feasibility of using the BCP approach versus the conventional electron beam (e-beam) lithography to create highly dense dot patterns for bit-patterned media (BPM) applications. Cylinder-forming poly(styrene-b-methyl methacrylate) (PS-b-PMMA) directly self-assembled on a chemically prepatterned substrate. The nearly perfect hexagonal arrays of perpendicularly oriented cylindrical pores at a density of approximately 1 Terabit per square inch (Tb/in.(2)) are achieved over an arbitrarily large area. Considerable gains in the BCP process are observed relative to the conventional e-beam lithography in terms of the dot size variation, the placement accuracy, the pattern uniformity, and the exposure latitude. The maximum dimensional latitude in the cylinder-forming BCP patterns and the maximum skew angle that the BCP can tolerate have been investigated for the first time. The dimensional latitude restricts the formation of more than one lattice configuration in certain ranges. More defects in BCP patterns are observed when using low molecular weight BCP materials or on non-hexagonal prepatterns due to the dimensional latitude restriction. Finally, the limitations and challenges in the BCP approach that are associated with BPM applications will be briefly discussed.

  7. Extreme-ultraviolet and electron beam lithography processing using water developable resist material

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi

    2017-08-01

    In order to achieve the use of pure water in the developable process of extreme-ultraviolet and electron beam lithography, instead of conventionally used tetramethylammonium hydroxide and organic solvents, a water developable resist material was designed and developed. The water-developable resist material was derived from woody biomass with beta-linked disaccharide unit for environmental affair, safety, easiness of handling, and health of the working people. 80 nm dense line patterning images with exposure dose of 22 μC/cm2 and CF4 etching selectivity of 1.8 with hardmask layer were provided by specific process conditions. The approach of our water-developable resist material will be one of the most promising technologies ready to be investigated into production of medical device applications.

  8. Enhancing the photon-extraction efficiency of site-controlled quantum dots by deterministically fabricated microlenses

    NASA Astrophysics Data System (ADS)

    Kaganskiy, Arsenty; Fischbach, Sarah; Strittmatter, André; Rodt, Sven; Heindel, Tobias; Reitzenstein, Stephan

    2018-04-01

    We report on the realization of scalable single-photon sources (SPSs) based on single site-controlled quantum dots (SCQDs) and deterministically fabricated microlenses. The fabrication process comprises the buried-stressor growth technique complemented with low-temperature in-situ electron-beam lithography for the integration of SCQDs into microlens structures with high yield and high alignment accuracy. The microlens-approach leads to a broadband enhancement of the photon-extraction efficiency of up to (21 ± 2)% and a high suppression of multi-photon events with g (2)(τ = 0) < 0.06 without background subtraction. The demonstrated combination of site-controlled growth of QDs and in-situ electron-beam lithography is relevant for arrays of efficient SPSs which, can be applied in photonic quantum circuits and advanced quantum computation schemes.

  9. Effects of post exposure bake temperature and exposure time on SU-8 nanopattern obtained by electron beam lithography

    NASA Astrophysics Data System (ADS)

    Yasui, Manabu; Kazawa, Elito; Kaneko, Satoru; Takahashi, Ryo; Kurouchi, Masahito; Ozawa, Takeshi; Arai, Masahiro

    2014-11-01

    SU-8 is a photoresist imaged using UV rays. However, we investigated the characteristics of an SU-8 nanopattern obtained by electron beam lithography (EBL). In particular, we studied the relationship between post-exposure bake (PEB) temperature and exposure time on an SU-8 nanopattern with a focus on phase transition temperature. SU-8 residue was formed by increasing both PEB temperature and exposure time. To prevent the formation of this, Monte Carlo simulation was performed; the results of such simulation showed that decreasing the thickness of SU-8 can reduce the amount of residue from the SU-8 nanopattern. We confirmed that decreasing the thickness of SU-8 can also prevent the formation of residue from the SU-8 nanopattern with EBL.

  10. Wavelength-scale Microlasers based on VCSEL-Photonic Crystal Architecture

    DTIC Science & Technology

    2015-01-20

    molecular beam epitaxy , MBE). We will also assume the triangular lattice of air...Abbreviations, and Acronyms InP: indium phosphide InGaAsP: indium gallium arsenide phosphide MBE: molecular beam epiitaxy VCSEL : vertical cavity...substrates and were grown by MBE. Electron beam lithography and reactive ion etching was used to deep‐etch the holes of the PhC‐ VCSELS ,

  11. Detecting Submicron Pattern Defects On Optical Photomasks Using An Enhanced El-3 Electron-Beam Lithography Tool

    NASA Astrophysics Data System (ADS)

    Simpson, R. A.; Davis, D. E.

    1982-09-01

    This paper describes techniques to detect submicron pattern defects on optical photomasks with an enhanced direct-write, electron-beam lithographic tool. EL-3 is a third generation, shaped spot, electron-beam lithography tool developed by IBM to fabricate semiconductor devices and masks. This tool is being upgraded to provide 100% inspection of optical photomasks for submicron pattern defects, which are subsequently repaired. Fixed-size overlapped spots are stepped over the mask patterns while a signal derived from the back-scattered electrons is monitored to detect pattern defects. Inspection does not require pattern recognition because the inspection scan patterns are derived from the original design data. The inspection spot is square and larger than the minimum defect to be detected, to improve throughput. A new registration technique provides the beam-to-pattern overlay required to locate submicron defects. The 'guard banding" of inspection shapes prevents mask and system tolerances from producing false alarms that would occur should the spots be mispositioned such that they only partially covered a shape being inspected. A rescanning technique eliminates noise-related false alarms and significantly improves throughput. Data is accumulated during inspection and processed offline, as required for defect repair. EL-3 will detect 0.5 um pattern defects at throughputs compatible with mask manufacturing.

  12. Edge roughness evaluation method for quantifying at-size beam blur in electron-beam lithography

    NASA Astrophysics Data System (ADS)

    Yoshizawa, Masaki; Moriya, Shigeru

    2000-07-01

    At-size beam blur at any given pattern size of an electron beam (EB) direct writer, HL800D, was quantified using the new edge roughness evaluation (ERE) method to optimize the electron-optical system. We characterized the two-dimensional beam-blur dependence on the electron deflection length of the EB direct writer. The results indicate that the beam blur ranged from 45 nm to 56 nm in a deflection field 2520 micrometer square. The new ERE method is based on the experimental finding that line edge roughness of a resist pattern is inversely proportional to the slope of the Gaussian-distributed quasi-beam-profile (QBP) proposed in this paper. The QBP includes effects of the beam blur, electron forward scattering, acid diffusion in chemically amplified resist (CAR), the development process, and aperture mask quality. The application the ERE method to investigating the beam-blur fluctuation demonstrates the validity of the ERE method in characterizing the electron-optical column conditions of EB projections such as SCALPEL and PREVAIL.

  13. Silk protein nanowires patterned using electron beam lithography.

    PubMed

    Pal, Ramendra K; Yadavalli, Vamsi K

    2018-08-17

    Nanofabrication approaches to pattern proteins at the nanoscale are useful in applications ranging from organic bioelectronics to cellular engineering. Specifically, functional materials based on natural polymers offer sustainable and environment-friendly substitutes to synthetic polymers. Silk proteins (fibroin and sericin) have emerged as an important class of biomaterials for next generation applications owing to excellent optical and mechanical properties, inherent biocompatibility, and biodegradability. However, the ability to precisely control their spatial positioning at the nanoscale via high throughput tools continues to remain a challenge. In this study electron beam lithography (EBL) is used to provide nanoscale patterning using methacrylate conjugated silk proteins that are photoreactive 'photoresists' materials. Very low energy electron beam radiation can be used to pattern silk proteins at the nanoscale and over large areas, whereby such nanostructure fabrication can be performed without specialized EBL tools. Significantly, using conducting polymers in conjunction with these silk proteins, the formation of protein nanowires down to 100 nm is shown. These wires can be easily degraded using enzymatic degradation. Thus, proteins can be precisely and scalably patterned and doped with conducting polymers and enzymes to form degradable, organic bioelectronic devices.

  14. Nucleation sites of Ge nanoislands grown on pit-patterned Si substrate prepared by electron-beam lithography

    NASA Astrophysics Data System (ADS)

    Smagina, Zh. V.; Zinovyev, V. A.; Rudin, S. A.; Novikov, P. L.; Rodyakina, E. E.; Dvurechenskii, A. V.

    2018-04-01

    Regular pit-patterned Si(001) substrates were prepared by electron-beam lithography followed by plasma chemical etching. The geometry of the pits was controlled by varying the etching conditions and the electron-beam exposure duration. It was shown that the location of three-dimensional (3D) Ge nanoislands subsequently grown on the pit-patterned Si substrates depends on the shape of the pit bottom. In the case of pits having a sharp bottom, 3D Ge islands nucleate inside the pits. For pits with a wide flat bottom, the 3D Ge island nucleation takes place at the pit periphery. This effect is attributed to the strain relaxation depending not only on the initial pit shape, but also on its evolution during the Ge wetting layer deposition. It was shown by Monte Carlo simulations that in the case of a pit with a pointed bottom, the relaxation is most effective inside the pit, while for a pit with a wide bottom, the most relaxed area migrates during Ge deposition from the pit bottom to its edges, where 3D Ge islands nucleate.

  15. Fabrication of superconducting MgB2 nanostructures by an electron beam lithography-based technique

    NASA Astrophysics Data System (ADS)

    Portesi, C.; Borini, S.; Amato, G.; Monticone, E.

    2006-03-01

    In this work, we present the results obtained in fabrication and characterization of magnesium diboride nanowires realized by an electron beam lithography (EBL)-based method. For fabricating MgB2 thin films, an all in situ technique has been used, based on the coevaporation of B and Mg by means of an e-gun and a resistive heater, respectively. Since the high temperatures required for the fabrication of good quality MgB2 thin films do not allow the nanostructuring approach based on the lift-off technique, we structured the samples combining EBL, optical lithography, and Ar milling. In this way, reproducible nanowires 1 μm long have been obtained. To illustrate the impact of the MgB2 film processing on its superconducting properties, we measured the temperature dependence of the resistance on a nanowire and compared it to the original magnesium diboride film. The electrical properties of the films are not degraded as a consequence of the nanostructuring process, so that superconducting nanodevices may be obtained by this method.

  16. Integration of plant viruses in electron beam lithography nanostructures.

    PubMed

    Alonso, Jose M; Ondarçuhu, Thierry; Bittner, Alexander M

    2013-03-15

    Tobacco mosaic virus (TMV) is the textbook example of a virus, and also of a self-assembling nanoscale structure. This tubular RNA/protein architecture has also found applications as biotemplate for the synthesis of nanomaterials such as wires, as tubes, or as nanoparticle assemblies. Although TMV is, being a biological structure, quite resilient to environmental conditions (temperature, chemicals), it cannot be processed in electron beam lithography (eBL) fabrication, which is the most important and most versatile method of nanoscale structuring. Here we present adjusted eBL-compatible processes that allow the incorporation of TMV in nanostructures made of positive and negative tone eBL resists. The key steps are covering TMV by polymer resists, which are only heated to 50 °C, and development (selective dissolution) in carefully selected organic solvents. We demonstrate the post-lithography biochemical functionality of TMV by selective immunocoating of the viral particles, and the use of immobilized TMV as direct immunosensor. Our modified eBL process should be applicable to incorporate a wide range of sensitive materials in nanofabrication schemes.

  17. Electron-beam lithography with character projection technique for high-throughput exposure with line-edge quality control

    NASA Astrophysics Data System (ADS)

    Ikeno, Rimon; Maruyama, Satoshi; Mita, Yoshio; Ikeda, Makoto; Asada, Kunihiro

    2016-07-01

    The high throughput of character projection (CP) electron-beam (EB) lithography makes it a promising technique for low-to-medium volume device fabrication with regularly arranged layouts, such as for standard-cell logics and memory arrays. However, non-VLSI applications such as MEMS and MOEMS may not be able to fully utilize the benefits of the CP method due to the wide variety of layout figures including curved and oblique edges. In addition, the stepwise shapes that appear because of the EB exposure process often result in intolerable edge roughness, which degrades device performances. In this study, we propose a general EB lithography methodology for such applications utilizing a combination of the CP and variable-shaped beam methods. In the process of layout data conversion with CP character instantiation, several control parameters were optimized to minimize the shot count, improve the edge quality, and enhance the overall device performance. We have demonstrated EB shot reduction and edge-quality improvement with our methodology by using a leading-edge EB exposure tool, ADVANTEST F7000S-VD02, and a high-resolution hydrogen silsesquioxane resist. Atomic force microscope observations were used to analyze the resist edge profiles' quality to determine the influence of the control parameters used in the data conversion process.

  18. Electronics Devices and Materials

    DTIC Science & Technology

    2008-03-17

    Molecular -bea epitaxy MCNPX ............... Software code Misse6 ................. Satellite expected to carry ORMatE-I Misse7...patterning using electron beam lithography), spaces (class 1000 clean benches), and skills (appropriate mix of skilled technicians and professionals...34 Process samples for various projects such as Antimode Base High Electron Mobility Transistors ( HEMT ) and Double Heterojuction Bipolar Transistors

  19. Writing time estimation of EB mask writer EBM-9000 for hp16nm/logic11nm node generation

    NASA Astrophysics Data System (ADS)

    Kamikubo, Takashi; Takekoshi, Hidekazu; Ogasawara, Munehiro; Yamada, Hirokazu; Hattori, Kiyoshi

    2014-10-01

    The scaling of semiconductor devices is slowing down because of the difficulty in establishing their functionality at the nano-size level and also because of the limitations in fabrications, mainly the delay of EUV lithography. While multigate devices (FinFET) are currently the main driver for scalability, other types of devices, such as 3D devices, are being realized to relax the scaling of the node. In lithography, double or multiple patterning using ArF immersion scanners is still a realistic solution offered for the hp16nm node fabrication. Other lithography candidates are those called NGL (Next Generation Lithography), such as DSA (Directed-Self-Assembling) or nanoimprint. In such situations, shot count for mask making by electron beam writers will not increase. Except for some layers, it is not increasing as previously predicted. On the other hand, there is another aspect that increases writing time. The exposure dose for mask writing is getting higher to meet tighter specifications of CD uniformity, in other words, reduce LER. To satisfy these requirements, a new electron beam mask writer, EBM-9000, has been developed for hp16nm/logic11nm generation. Electron optical system, which has the immersion lens system, was evolved from EBM-8000 to achieve higher current density of 800A/cm2. In this paper, recent shot count and dose trend are discussed. Also, writing time is estimated for the requirements in EBM-9000.

  20. Josephson junction

    DOEpatents

    Wendt, J.R.; Plut, T.A.; Martens, J.S.

    1995-05-02

    A novel method for fabricating nanometer geometry electronic devices is described. Such Josephson junctions can be accurately and reproducibly manufactured employing photolithographic and direct write electron beam lithography techniques in combination with aqueous etchants. In particular, a method is described for manufacturing planar Josephson junctions from high temperature superconducting material. 10 figs.

  1. Local electric field direct writing – Electron-beam lithography and mechanism

    DOE PAGES

    Jiang, Nan; Su, Dong; Spence, John C. H.

    2017-08-24

    Local electric field induced by a focused electron probe in silicate glass thin films is evaluated in this paper by the migration of cations. Extremely strong local electric fields can be obtained by the focused electron probe from a scanning transmission electron microscope. As a result, collective atomic displacements occur. This newly revised mechanism provides an efficient tool to write patterned nanostructures directly, and thus overcome the low efficiency of the conventional electron-beam lithography. Applying this technique to silicate glass thin films, as an example, a grid of rods of nanometer dimension can be efficiently produced by rapidly scanning amore » focused electron probe. This nanopatterning is achieved through swift phase separation in the sample, without any post-development processes. The controlled phase separation is induced by massive displacements of cations (glass modifiers) within the glass-former network, driven by the strong local electric fields. The electric field is induced by accumulated charge within the electron probed region, which is generated by the excitation of atomic electrons by the incident electron. Throughput is much improved compared to other scanning probe techniques. Finally, the half-pitch spatial resolution of nanostructure in this particular specimen is 2.5 nm.« less

  2. Local electric field direct writing – Electron-beam lithography and mechanism

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jiang, Nan; Su, Dong; Spence, John C. H.

    Local electric field induced by a focused electron probe in silicate glass thin films is evaluated in this paper by the migration of cations. Extremely strong local electric fields can be obtained by the focused electron probe from a scanning transmission electron microscope. As a result, collective atomic displacements occur. This newly revised mechanism provides an efficient tool to write patterned nanostructures directly, and thus overcome the low efficiency of the conventional electron-beam lithography. Applying this technique to silicate glass thin films, as an example, a grid of rods of nanometer dimension can be efficiently produced by rapidly scanning amore » focused electron probe. This nanopatterning is achieved through swift phase separation in the sample, without any post-development processes. The controlled phase separation is induced by massive displacements of cations (glass modifiers) within the glass-former network, driven by the strong local electric fields. The electric field is induced by accumulated charge within the electron probed region, which is generated by the excitation of atomic electrons by the incident electron. Throughput is much improved compared to other scanning probe techniques. Finally, the half-pitch spatial resolution of nanostructure in this particular specimen is 2.5 nm.« less

  3. Low Voltage Electron Beam Lithography

    DTIC Science & Technology

    1994-01-01

    September 1970 (Societe Franaise do Microscopic Elecuouique, Plaris, 1970) Vol. 2, p. 55. [31 H . C. Pfeiffer, "Basic limitations of probefonning systems...USA (editors: 0. Jobari and I. Corvin). [4) T. Groves, D. L Hunmond, H . Kuo, ’Elecmnm-beam broadening effct caused by discreteness of space charge...Electron Microscope Gun". Br. J. Appi. Phys.. February 1952, pp. 40-46. M. E. Haine, P. A. Einstein, and P. H . Brocherd. "Resistance Bias

  4. Fabrication of nanostructured transmissive optical devices on ITO-glass with UV1116 photoresist using high-energy electron beam lithography.

    PubMed

    Williams, Calum; Bartholomew, Richard; Rughoobur, Girish; Gordon, George S D; Flewitt, Andrew J; Wilkinson, Timothy D

    2016-12-02

    High-energy electron beam lithography for patterning nanostructures on insulating substrates can be challenging. For high resolution, conventional resists require large exposure doses and for reasonable throughput, using typical beam currents leads to charge dissipation problems. Here, we use UV1116 photoresist (Dow Chemical Company), designed for photolithographic technologies, with a relatively low area dose at a standard operating current (80 kV, 40-50 μC cm -2 , 1 nAs -1 ) to pattern over large areas on commercially coated ITO-glass cover slips. The minimum linewidth fabricated was ∼33 nm with 80 nm spacing; for isolated structures, ∼45 nm structural width with 50 nm separation. Due to the low beam dose, and nA current, throughput is high. This work highlights the use of UV1116 photoresist as an alternative to conventional e-beam resists on insulating substrates. To evaluate suitability, we fabricate a range of transmissive optical devices, that could find application for customized wire-grid polarisers and spectral filters for imaging, which operate based on the excitation of surface plasmon polaritons in nanosized geometries, with arrays encompassing areas ∼0.25 cm 2 .

  5. Fabrication of nanostructured transmissive optical devices on ITO-glass with UV1116 photoresist using high-energy electron beam lithography

    NASA Astrophysics Data System (ADS)

    Williams, Calum; Bartholomew, Richard; Rughoobur, Girish; Gordon, George S. D.; Flewitt, Andrew J.; Wilkinson, Timothy D.

    2016-12-01

    High-energy electron beam lithography for patterning nanostructures on insulating substrates can be challenging. For high resolution, conventional resists require large exposure doses and for reasonable throughput, using typical beam currents leads to charge dissipation problems. Here, we use UV1116 photoresist (Dow Chemical Company), designed for photolithographic technologies, with a relatively low area dose at a standard operating current (80 kV, 40-50 μC cm-2, 1 nAs-1) to pattern over large areas on commercially coated ITO-glass cover slips. The minimum linewidth fabricated was ˜33 nm with 80 nm spacing; for isolated structures, ˜45 nm structural width with 50 nm separation. Due to the low beam dose, and nA current, throughput is high. This work highlights the use of UV1116 photoresist as an alternative to conventional e-beam resists on insulating substrates. To evaluate suitability, we fabricate a range of transmissive optical devices, that could find application for customized wire-grid polarisers and spectral filters for imaging, which operate based on the excitation of surface plasmon polaritons in nanosized geometries, with arrays encompassing areas ˜0.25 cm2.

  6. Fabrication method of two-photon luminescent organic nano-architectures using electron-beam irradiation

    NASA Astrophysics Data System (ADS)

    Kamura, Yoshio; Imura, Kohei

    2018-06-01

    Optical recording on organic thin films with a high spatial resolution is promising for high-density optical memories, optical computing, and security systems. The spatial resolution of the optical recording is limited by the diffraction of light. Electrons can be focused to a nanometer-sized spot, providing the potential for achieving better resolution. In conventional electron-beam lithography, however, optical tuning of the fabricated structures is limited mostly to metals and semiconductors rather than organic materials. In this article, we report a fabrication method of luminescent organic architectures using a focused electron beam. We optimized the fabrication conditions of the electron beam to generate chemical species showing visible photoluminescence via two-photon near-infrared excitations. We utilized this fabrication method to draw nanoscale optical architectures on a polystyrene thin film.

  7. Ultralow dose effects in ion-beam induced grafting of polymethylmethacrylate (PMMA)

    NASA Astrophysics Data System (ADS)

    Corelli, J. C.; Steckl, A. J.; Pulver, D.; Randall, J. N.

    We have investigated the process of image enhancement in high resolution lithography through polymer grafting techniques. Sensitivity gains of 10 3-10 4 were obtained for H +, X-ray, e-beam and deep-UV irradiations. Ultralow dose effects in 60 keV H + irradiated PMMA have been observed through the use of the acrylic acid (AA) monomer grafting with irradiated PMMA. At conventional doses of 10 10 cm -2 an inner structure of each feature is revealed. At doses of (1-2) X 10 9 cm -2, discrete events within the exposed regions are observable. This is the first time that individual events have been observable in a lithography process and sets the upper limit in the useful sensitivity of the resist and ion lithography process. This effect is directly observable only with ions, because of their higher efficiency per particle than either photons or electrons.

  8. Low-energy electron beam proximity projection lithography (LEEPL): the world's first e-beam production tool, LEEPL 3000

    NASA Astrophysics Data System (ADS)

    Behringer, Uwe F. W.

    2004-06-01

    In June 2000 ago the company Accretech and LEEPL corporation decided to develop an E-beam lithography tool for high throughput wafer exposure, called LEEPL. In an amazing short time the alpha tool was built. In 2002 the beta tool was installed at Accretech. Today the first production tool the LEEPL 3000 is ready to be shipped. The 2keV E-beam tool will be used in the first lithography strategy to expose (in mix and match mode with optical exposure tools) critical levels like gate structures, contact holes (CH), and via pattern of the 90 nm and 65 nm node. At the SEMATECH EPL workshop on September 22nd in Cambridge, England it was mentioned that the amount of these levels will increase very rapidly (8 in 2007; 13 in 2010 and 17 in 2013). The schedule of the production tool for 45 nm node is mid 2005 and for the 32 nm node 2008. The Figure 1 shows from left to right α-tool, the β-tool and the production tool LEEPL 3000. Figure 1 also shows the timetable of the 4 LEEPL forum all held in Japan.

  9. Plasma X-Ray Sources for Lithography

    DTIC Science & Technology

    1980-05-12

    in evaluating various plasma sources. In addition, a brief analysis is given of three devices, or systems, used to produce such plasmas: the electron beam- sliding spark, the dense plasma focus and the laser produced plasma.

  10. Compact synchrotron radiation depth lithography facility

    NASA Astrophysics Data System (ADS)

    Knüppel, O.; Kadereit, D.; Neff, B.; Hormes, J.

    1992-01-01

    X-ray depth lithography allows the fabrication of plastic microstructures with heights of up to 1 mm but with the smallest possible lateral dimensions of about 1 μm. A resist is irradiated with ``white'' synchrotron radiation through a mask that is partially covered with x-ray absorbing microstructures. The plastic microstructure is then obtained by a subsequent chemical development of the irradiated resist. In order to irradiate a reasonably large resist area, the mask and the resist have to be ``scanned'' across the vertically thin beam of the synchrotron radiation. A flexible, nonexpensive and compact scanner apparatus has been built for x-ray depth lithography at the beamline BN1 at ELSA (the 3.5 GeV Electron Stretcher and Accelerator at the Physikalisches Institut of Bonn University). Measurements with an electronic water level showed that the apparatus limits the scanner-induced structure precision to not more than 0.02 μm. The whole apparatus is installed in a vacuum chamber thus allowing lithography under different process gases and pressures.

  11. 3D Microfabrication Using Emulsion Mask Grayscale Photolithography Technique

    NASA Astrophysics Data System (ADS)

    Lee, Tze Pin; Mohamed, Khairudin

    2016-02-01

    Recently, the rapid development of technology such as biochips, microfluidic, micro-optical devices and micro-electromechanical-systems (MEMS) demands the capability to create complex design of three-dimensional (3D) microstructures. In order to create 3D microstructures, the traditional photolithography process often requires multiple photomasks to form 3D pattern from several stacked photoresist layers. This fabrication method is extremely time consuming, low throughput, costly and complicated to conduct for high volume manufacturing scale. On the other hand, next generation lithography such as electron beam lithography (EBL), focused ion beam lithography (FIB) and extreme ultraviolet lithography (EUV) are however too costly and the machines require expertise to setup. Therefore, the purpose of this study is to develop a simplified method in producing 3D microstructures using single grayscale emulsion mask technique. By using this grayscale fabrication method, microstructures of thickness as high as 500μm and as low as 20μm are obtained in a single photolithography exposure. Finally, the fabrication of 3D microfluidic channel has been demonstrated by using this grayscale photolithographic technique.

  12. Facilitating Integration of Electron Beam Lithography Devices with Interactive Videodisc, Computer-Based Simulation and Job Aids.

    ERIC Educational Resources Information Center

    Von Der Linn, Robert Christopher

    A needs assessment of the Grumman E-Beam Systems Group identified the requirement for additional skill mastery for the engineers who assemble, integrate, and maintain devices used to manufacture integrated circuits. Further analysis of the tasks involved led to the decision to develop interactive videodisc, computer-based job aids to enable…

  13. Ultra-short wavelength x-ray system

    DOEpatents

    Umstadter, Donald [Ann Arbor, MI; He, Fei [Ann Arbor, MI; Lau, Yue-Ying [Potomac, MD

    2008-01-22

    A method and apparatus to generate a beam of coherent light including x-rays or XUV by colliding a high-intensity laser pulse with an electron beam that is accelerated by a synchronized laser pulse. Applications include x-ray and EUV lithography, protein structural analysis, plasma diagnostics, x-ray diffraction, crack analysis, non-destructive testing, surface science and ultrafast science.

  14. Nano-imprint lithography using poly (methyl methacrylate) (PMMA) and polystyrene (PS) polymers

    NASA Astrophysics Data System (ADS)

    Ting, Yung-Chiang; Shy, Shyi-Long

    2016-04-01

    Nano-imprinting lithography (NIL) technology, as one of the most promising fabrication technologies, has been demonstrated to be a powerful tool for large-area replication up to wafer-level, with features down to nanometer scale. The cost of resists used for NIL is important for wafer-level large-area replication. This study aims to develop capabilities in patterning larger area structure using thermal NIL. The commercial available Poly (Methyl Methacrylate) (PMMA) and Polystyrene (PS) polymers possess a variety of characteristics desirable for NIL, such as low material cost, low bulkvolumetric shrinkage, high spin coating thickness uniformity, high process stability, and acceptable dry-etch resistance. PMMA materials have been utilized for positive electron beam lithography for many years, offering high resolution capability and wide process latitude. In addition, it is preferable to have a negative resist like PMMA, which is a simple polymer with low cost and practically unlimited shelf life, and can be dissolved easily using commercial available Propylene glycol methyl ether acetate (PGMEA) safer solvent to give the preferred film thickness. PS is such a resist, as it undergoes crosslinking when exposed to deep UV light or an electron beam and can be used for NIL. The result is a cost effective patterning larger area structure using thermal nano-imprint lithography (NIL) by using commercial available PMMA and PS ploymers as NIL resists.

  15. Complete data preparation flow for Massively Parallel E-Beam lithography on 28nm node full-field design

    NASA Astrophysics Data System (ADS)

    Fay, Aurélien; Browning, Clyde; Brandt, Pieter; Chartoire, Jacky; Bérard-Bergery, Sébastien; Hazart, Jérôme; Chagoya, Alexandre; Postnikov, Sergei; Saib, Mohamed; Lattard, Ludovic; Schavione, Patrick

    2016-03-01

    Massively parallel mask-less electron beam lithography (MP-EBL) offers a large intrinsic flexibility at a low cost of ownership in comparison to conventional optical lithography tools. This attractive direct-write technique needs a dedicated data preparation flow to correct both electronic and resist processes. Moreover, Data Prep has to be completed in a short enough time to preserve the flexibility advantage of MP-EBL. While the MP-EBL tools have currently entered an advanced stage of development, this paper will focus on the data preparation side of the work for specifically the MAPPER Lithography FLX-1200 tool [1]-[4], using the ASELTA Nanographics Inscale software. The complete flow as well as the methodology used to achieve a full-field layout data preparation, within an acceptable cycle time, will be presented. Layout used for Data Prep evaluation was one of a 28 nm technology node Metal1 chip with a field size of 26x33mm2, compatible with typical stepper/scanner field sizes and wafer stepping plans. Proximity Effect Correction (PEC) was applied to the entire field, which was then exported as a single file to MAPPER Lithography's machine format, containing fractured shapes and dose assignments. The Soft Edge beam to beam stitching method was employed in the specific overlap regions defined by the machine format as well. In addition to PEC, verification of the correction was included as part of the overall data preparation cycle time. This verification step was executed on the machine file format to ensure pattern fidelity and accuracy as late in the flow as possible. Verification over the full chip, involving billions of evaluation points, is performed both at nominal conditions and at Process Window corners in order to ensure proper exposure and process latitude. The complete MP-EBL data preparation flow was demonstrated for a 28 nm node Metal1 layout in 37 hours. The final verification step shows that the Edge Placement Error (EPE) is kept below 2.25 nm over an exposure dose variation of 8%.

  16. Resolution Improvement and Pattern Generator Development for theMaskless Micro-Ion-Beam Reduction Lithography System

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jiang, Ximan

    The shrinking of IC devices has followed the Moore's Law for over three decades, which states that the density of transistors on integrated circuits will double about every two years. This great achievement is obtained via continuous advance in lithography technology. With the adoption of complicated resolution enhancement technologies, such as the phase shifting mask (PSM), the optical proximity correction (OPC), optical lithography with wavelength of 193 nm has enabled 45 nm printing by immersion method. However, this achievement comes together with the skyrocketing cost of masks, which makes the production of low volume application-specific IC (ASIC) impractical. In ordermore » to provide an economical lithography approach for low to medium volume advanced IC fabrication, a maskless ion beam lithography method, called Maskless Micro-ion-beam Reduction Lithography (MMRL), has been developed in the Lawrence Berkeley National Laboratory. The development of the prototype MMRL system has been described by Dr. Vinh Van Ngo in his Ph.D. thesis. But the resolution realized on the prototype MMRL system was far from the design expectation. In order to improve the resolution of the MMRL system, the ion optical system has been investigated. By integrating a field-free limiting aperture into the optical column, reducing the electromagnetic interference and cleaning the RF plasma, the resolution has been improved to around 50 nm. Computational analysis indicates that the MMRL system can be operated with an exposure field size of 0.25 mm and a beam half angle of 1.0 mrad on the wafer plane. Ion-ion interactions have been studied with a two-particle physics model. The results are in excellent agreement with those published by the other research groups. The charge-interaction analysis of MMRL shows that the ion-ion interactions must be reduced in order to obtain a throughput higher than 10 wafers per hour on 300-mm wafers. In addition, two different maskless lithography strategies have been studied. The dependence of the throughput with the exposure field size and the speed of the mechanical stage has been investigated. In order to perform maskless lithography, different micro-fabricated pattern generators have been developed for the MMRL system. Ion beamlet switching has been successfully demonstrated on the MMRL system. A positive bias voltage around 10 volts is sufficient to switch off the ion current on the micro-fabricated pattern generators. Some unexpected problems, such as the high-energy secondary electron radiations, have been discovered during the experimental investigation. Thermal and structural analysis indicates that the aperture displacement error induced by thermal expansion can satisfy the 3δ CD requirement for lithography nodes down to 25 nm. The cross-talking effect near the surface and inside the apertures of the pattern generator has been simulated in a 3-D ray-tracing code. New pattern generator design has been proposed to reduce the cross-talking effect. In order to eliminate the surface charging effect caused by the secondary electrons, a new beam-switching scheme in which the switching electrodes are immersed in the plasma has been demonstrated on a mechanically fabricated pattern generator.« less

  17. Fabricating Blazed Diffraction Gratings by X-Ray Lithography

    NASA Technical Reports Server (NTRS)

    Mouroulis, Pantazis; Hartley, Frank; Wilson, Daniel

    2004-01-01

    Gray-scale x-ray lithography is undergoing development as a technique for fabricating blazed diffraction gratings. As such, gray-scale x-ray lithography now complements such other grating-fabrication techniques as mechanical ruling, holography, ion etching, laser ablation, laser writing, and electron-beam lithography. Each of these techniques offers advantages and disadvantages for implementing specific grating designs; no single one of these techniques can satisfy the design requirements for all applications. Gray-scale x-ray lithography is expected to be advantageous for making gratings on steeper substrates than those that can be made by electron-beam lithography. This technique is not limited to sawtooth groove profiles and flat substrates: various groove profiles can be generated on arbitrarily shaped (including highly curved) substrates with the same ease as sawtooth profiles can be generated on flat substrates. Moreover, the gratings fabricated by this technique can be made free of ghosts (spurious diffraction components attributable to small spurious periodicities in the locations of grooves). The first step in gray-scale x-ray lithography is to conformally coat a substrate with a suitable photoresist. An x-ray mask (see Figure 1) is generated, placed between the substrate and a source of collimated x-rays, and scanned over the substrate so as to create a spatial modulation in the exposure of the photoresist. Development of the exposed photoresist results in a surface corrugation that corresponds to the spatial modulation and that defines the grating surface. The grating pattern is generated by scanning an appropriately shaped x-ray area mask along the substrate. The mask example of Figure 1 would generate a blazed grating profile when scanned in the perpendicular direction at constant speed, assuming the photoresist responds linearly to incident radiation. If the resist response is nonlinear, then the mask shape can be modified to account for the nonlinearity and produce a desired groove profile. An example of grating grooves generated by this technique is shown in Figure 2. A maximum relative efficiency of 88 percent has been demonstrated.

  18. Inedible cellulose-based biomass resist material amenable to water-based processing for use in electron beam lithography

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Maki, Hirotaka; Sugahara, Kigen; Ito, Kenta; Hanabata, Makoto

    2015-07-01

    An electron beam (EB) lithography method using inedible cellulose-based resist material derived from woody biomass has been successfully developed. This method allows the use of pure water in the development process instead of the conventionally used tetramethylammonium hydroxide and anisole. The inedible cellulose-based biomass resist material, as an alternative to alpha-linked disaccharides in sugar derivatives that compete with food supplies, was developed by replacing the hydroxyl groups in the beta-linked disaccharides with EB-sensitive 2-methacryloyloxyethyl groups. A 75 nm line and space pattern at an exposure dose of 19 μC/cm2, a resist thickness uniformity of less than 0.4 nm on a 200 mm wafer, and low film thickness shrinkage under EB irradiation were achieved with this inedible cellulose-based biomass resist material using a water-based development process.

  19. Fabrication of carbon quantum dots with nano-defined position and pattern in one step via sugar-electron-beam writing.

    PubMed

    Weng, Yuyan; Li, Zhiyun; Peng, Lun; Zhang, Weidong; Chen, Gaojian

    2017-12-14

    Quantum dots (QDs) are promising materials in nanophotonics, biological imaging, and even quantum computing. Precise positioning and patterning of QDs is a prerequisite for realizing their actual applications. Contrary to the traditional two discrete steps of fabricating and positioning QDs, herein, a novel sugar-electron-beam writing (SEW) method is reported for producing QDs via electron-beam lithography (EBL) that uses a carefully chosen synthetic resist, poly(2-(methacrylamido)glucopyranose) (PMAG). Carbon QDs (CQDs) could be fabricated in situ through electron beam exposure, and the nanoscale position and luminescence intensity of the produced CQDs could be precisely controlled without the assistance of any other fluorescent matter. We have demonstrated that upon combining an electron beam with a glycopolymer, in situ production of CQDs occurs at the electron beam spot center with nanoscale precision at any place and with any patterns, an advancement that we believe will stimulate innovations in future applications.

  20. Diffraction efficiency of plasmonic gratings fabricated by electron beam lithography using a silver halide film

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sudheer,, E-mail: sudheer@rrcat.gov.in, E-mail: sudheer.rrcat@gmail.com; Tiwari, P.; Srivastava, Himanshu

    2016-07-28

    The silver nanoparticle surface relief gratings of ∼10 μm period are fabricated using electron beam lithography on the silver halide film substrate. Morphological characterization of the gratings shows that the period, the shape, and the relief depth in the gratings are mainly dependent on the number of lines per frame, the spot size, and the accelerating voltage of electron beam raster in the SEM. Optical absorption of the silver nanoparticle gratings provides a broad localized surface plasmon resonance peak in the visible region, whereas the intensity of the peaks depends on the number density of silver nanoparticles in the gratings. Themore » maximum efficiency of ∼7.2% for first order diffraction is observed for the grating fabricated at 15 keV. The efficiency is peaking at 560 nm with ∼380 nm bandwidth. The measured profiles of the diffraction efficiency for the gratings are found in close agreement with the Raman-Nath diffraction theory. This technique provides a simple and efficient method for the fabrication of plasmonic nanoparticle grating structures with high diffraction efficiency having broad wavelength tuning.« less

  1. PREVAIL: IBM's e-beam technology for next generation lithography

    NASA Astrophysics Data System (ADS)

    Pfeiffer, Hans C.

    2000-07-01

    PREVAIL - Projection Reduction Exposure with Variable Axis Immersion Lenses represents the high throughput e-beam projection approach to NGL which IBM is pursuing in cooperation with Nikon Corporation as alliance partner. This paper discusses the challenges and accomplishments of the PREVAIL project. The supreme challenge facing all e-beam lithography approaches has been and still is throughput. Since the throughput of e-beam projection systems is severely limited by the available optical field size, the key to success is the ability to overcome this limitation. The PREVAIL technique overcomes field-limiting off-axis aberrations through the use of variable axis lenses, which electronically shift the optical axis simultaneously with the deflected beam so that the beam effectively remains on axis. The resist images obtained with the Proof-of-Concept (POC) system demonstrate that PREVAIL effectively eliminates off- axis aberrations affecting both resolution and placement accuracy of pixels. As part of the POC system a high emittance gun has been developed to provide uniform illumination of the patterned subfield and to fill the large numerical aperture projection optics designed to significantly reduce beam blur caused by Coulomb interaction.

  2. Virtually distortion-free imaging system for large field, high resolution lithography using electrons, ions or other particle beams

    DOEpatents

    Hawryluk, A.M.; Ceglio, N.M.

    1993-01-12

    Virtually distortion free large field high resolution imaging is performed using an imaging system which contains large field distortion or field curvature. A reticle is imaged in one direction through the optical system to form an encoded mask. The encoded mask is then imaged back through the imaging system onto a wafer positioned at the reticle position. Particle beams, including electrons, ions and neutral particles, may be used as well as electromagnetic radiation.

  3. Virtually distortion-free imaging system for large field, high resolution lithography using electrons, ions or other particle beams

    DOEpatents

    Hawryluk, Andrew M.; Ceglio, Natale M.

    1993-01-01

    Virtually distortion free large field high resolution imaging is performed using an imaging system which contains large field distortion or field curvature. A reticle is imaged in one direction through the optical system to form an encoded mask. The encoded mask is then imaged back through the imaging system onto a wafer positioned at the reticle position. Particle beams, including electrons, ions and neutral particles, may be used as well as electromagnetic radiation.

  4. Annular Focused Electron/Ion Beams for Combining High Spatial Resolution with High Probe Current.

    PubMed

    Khursheed, Anjam; Ang, Wei Kean

    2016-10-01

    This paper presents a proposal for reducing the final probe size of focused electron/ion beam columns that are operated in a high primary beam current mode where relatively large final apertures are used, typically required in applications such as electron beam lithography, focused ion beams, and electron beam spectroscopy. An annular aperture together with a lens corrector unit is used to replace the conventional final hole-aperture, creating an annular ring-shaped primary beam. The corrector unit is designed to eliminate the first- and second-order geometric aberrations of the objective lens, and for the same probe current, the final geometric aberration limited spot size is predicted to be around a factor of 50 times smaller than that of the corresponding conventional hole-aperture beam. Direct ray tracing simulation is used to illustrate how a three-stage core lens corrector can be used to eliminate the first- and second-order geometric aberrations of an electric Einzel objective lens.

  5. Polarization manipulation in single refractive prism based holography lithography

    NASA Astrophysics Data System (ADS)

    Xiong, Wenjie; Xu, Yi; Xiao, Yujian; Lv, Xiaoxu; Wu, Lijun

    2015-01-01

    We propose theoretically and demonstrate experimentally a simple but effective strategy for polarization manipulation in single refractive prism based holographic lithography. By tuning the polarization of a single laser beam, we can obtain the pill shape interference pattern with a high-contrast where a complex optical setup and multiple polarizers are needed in the conventional holography lithography. Fabrication of pill shape two-dimensional polymer photonic crystals using one beam and one shoot holography lithography is shown as an example to support our theoretical results. This integrated polarization manipulation technique can release the crucial stability restrictions imposed on the multiple beams holography lithography.

  6. Integration of e-beam direct write in BEOL processes of 28nm SRAM technology node using mix and match

    NASA Astrophysics Data System (ADS)

    Gutsch, Manuela; Choi, Kang-Hoon; Hanisch, Norbert; Hohle, Christoph; Seidel, Robert; Steidel, Katja; Thrun, Xaver; Werner, Thomas

    2014-10-01

    Many efforts were spent in the development of EUV technologies, but from a customer point of view EUV is still behind expectations. In parallel since years maskless lithography is included in the ITRS roadmap wherein multi electron beam direct patterning is considered as an alternative or complementary approach for patterning of advanced technology nodes. The process of multi beam exposures can be emulated by single beam technologies available in the field. While variable shape-beam direct writers are already used for niche applications, the integration capability of e-beam direct write at advanced nodes has not been proven, yet. In this study the e-beam lithography was implemented in the BEoL processes of the 28nm SRAM technology. Integrated 300mm wafers with a 28nm back-end of line (BEoL) stack from GLOBALFOUNDRIES, Dresden, were used for the experiments. For the patterning of the Metal layer a Mix and Match concept based on the sequence litho - etch - litho - etch (LELE) was developed and evaluated wherein several exposure fields were blanked out during the optical exposure. E-beam patterning results of BEoL Metal and Via layers are presented using a 50kV VISTEC SB3050DW variable shaped electron beam direct writer at Fraunhofer IPMS-CNT. Etch results are shown and compared to the POR. In summary we demonstrate the integration capability of EBDW into a productive CMOS process flow at the example of the 28nm SRAM technology node.

  7. Sub-30 nm patterning of molecular resists based on crosslinking through tip based oxidation

    NASA Astrophysics Data System (ADS)

    Lorenzoni, Matteo; Wagner, Daniel; Neuber, Christian; Schmidt, Hans-Werner; Perez-Murano, Francesc

    2018-06-01

    Oxidation Scanning Probe Lithography (o-SPL) is an established method employed for device patterning at the nanometer scale. It represents a feasible and inexpensive alternative to standard lithographic techniques such as electron beam lithography (EBL) and nanoimprint lithography (NIL). In this work we applied non-contact o-SPL to an engineered class of molecular resists in order to obtain crosslinking by electrochemical driven oxidation. By patterning and developing various resist formulas we were able to obtain a reliable negative tone resist behavior based on local oxidation. Under optimal conditions, directly written patterns can routinely reach sub-30 nm lateral resolution, while the final developed features result wider, approaching 50 nm width.

  8. Overlap junctions for high coherence superconducting qubits

    NASA Astrophysics Data System (ADS)

    Wu, X.; Long, J. L.; Ku, H. S.; Lake, R. E.; Bal, M.; Pappas, D. P.

    2017-07-01

    Fabrication of sub-micron Josephson junctions is demonstrated using standard processing techniques for high-coherence, superconducting qubits. These junctions are made in two separate lithography steps with normal-angle evaporation. Most significantly, this work demonstrates that it is possible to achieve high coherence with junctions formed on aluminum surfaces cleaned in situ by Ar plasma before junction oxidation. This method eliminates the angle-dependent shadow masks typically used for small junctions. Therefore, this is conducive to the implementation of typical methods for improving margins and yield using conventional CMOS processing. The current method uses electron-beam lithography and an additive process to define the top and bottom electrodes. Extension of this work to optical lithography and subtractive processes is discussed.

  9. Measurement of Strain and Stress Distributions in Structural Materials by Electron Moiré Method

    NASA Astrophysics Data System (ADS)

    Kishimoto, Satoshi; Xing, Yougming; Tanaka, Yoshihisa; Kagawa, Yutaka

    A method for measuring the strain and stress distributions in structural materials has been introduced. Fine model grids were fabricated by electron beam lithography, and an electron beam scan by a scanning electron microscope (SEM) was used as the master grid. Exposure of the electron beam scan onto the model grid in an SEM produced the electron beam moiré fringes of bright and dark parts caused by the different amounts of the secondary electrons per a primary electron. For demonstration, the micro-creep deformation of pure copper was observed. The creep strain distribution and the grain boundary sliding were analyzed. The residual strain and stress at the interface between a fiber and a matrix of a fiber reinforced plastic (FRP) were measured using the pushing-out test and this electron moiré method. Also, a non-uniform deformation around the boundary of 3-point bended laminated steel was observed and the strain distribution analyzed.

  10. Nano-soldering to single atomic layer

    DOEpatents

    Girit, Caglar O [Berkeley, CA; Zettl, Alexander K [Kensington, CA

    2011-10-11

    A simple technique to solder submicron sized, ohmic contacts to nanostructures has been disclosed. The technique has several advantages over standard electron beam lithography methods, which are complex, costly, and can contaminate samples. To demonstrate the soldering technique graphene, a single atomic layer of carbon, has been contacted, and low- and high-field electronic transport properties have been measured.

  11. Applying the miniaturization technologies for biosensor design.

    PubMed

    Derkus, Burak

    2016-05-15

    Microengineering technologies give us some opportunities in developing high-tech sensing systems that operate with low volumes of samples, integrates one or more laboratory functions on a single substrate, and enables automation. These millimetric sized devices can be produced for only a few dollars, which makes them promising candidates for mass-production. Besides electron beam lithography, stencil lithography, nano-imprint lithography or dip pen lithography, basic photolithography is the technique which is extensively used for the design of microengineered sensing systems. This technique has some advantages such as easy-to-manufacture, do not require expensive instrumentation, and allow creation of lower micron-sized patterns. In this review, it has been focused on three different type of microengineered sensing devices which are developed using micro/nano-patterning techniques, microfluidic technology, and microelectromechanics system based technology. Copyright © 2016 Elsevier B.V. All rights reserved.

  12. Absorptive pinhole collimators for ballistic Dirac fermions in graphene

    PubMed Central

    Barnard, Arthur W.; Hughes, Alex; Sharpe, Aaron L.; Watanabe, Kenji; Taniguchi, Takashi; Goldhaber-Gordon, David

    2017-01-01

    Ballistic electrons in solids can have mean free paths far larger than the smallest features patterned by lithography. This has allowed development and study of solid-state electron-optical devices such as beam splitters and quantum point contacts, which have informed our understanding of electron flow and interactions. Recently, high-mobility graphene has emerged as an ideal two-dimensional semimetal that hosts unique chiral electron-optical effects due to its honeycomb crystalline lattice. However, this chiral transport prevents the simple use of electrostatic gates to define electron-optical devices in graphene. Here we present a method of creating highly collimated electron beams in graphene based on collinear pairs of slits, with absorptive sidewalls between the slits. By this method, we achieve beams with angular width 18° or narrower, and transmission matching classical ballistic predictions. PMID:28504264

  13. Fabrication of hydrophobic structures on coronary stent surface based on direct three-beam laser interference lithography

    NASA Astrophysics Data System (ADS)

    Gao, Long-yue; Zhou, Wei-qi; Wang, Yuan-bo; Wang, Si-qi; Bai, Chong; Li, Shi-ming; Liu, Bin; Wang, Jun-nan; Cui, Cheng-kun; Li, Yong-liang

    2016-05-01

    To solve the problems with coronary stent implantation, coronary artery stent surface was directly modified by three-beam laser interference lithography through imitating the water-repellent surface of lotus leaf, and uniform micro-nano structures with the controllable period were fabricated. The morphological properties and contact angle (CA) of the microstructure were measured by scanning electron microscope (SEM) and CA system. The water repellency of stent was also evaluated by the contact and then separation between the water drop and the stent. The results show that the close-packed concave structure with the period of about 12 μm can be fabricated on the stent surface with special parameters (incident angle of 3°, laser energy density of 2.2 J·cm-2 and exposure time of 80 s) by using the three-beam laser at 1 064 nm, and the structure has good water repellency with CA of 120°.

  14. High Efficient THz Emission From Unbiased and Biased Semiconductor Nanowires Fabricated Using Electron Beam Lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Balci, Soner; Czaplewski, David A.; Jung, Il Woong

    Besides having perfect control on structural features, such as vertical alignment and uniform distribution by fabricating the wires via e-beam lithography and etching process, we also investigated the THz emission from these fabricated nanowires when they are applied DC bias voltage. To be able to apply a voltage bias, an interdigitated gold (Au) electrode was patterned on the high-quality InGaAs epilayer grown on InP substrate bymolecular beam epitaxy. Afterwards, perfect vertically aligned and uniformly distributed nanowires were fabricated in between the electrodes of this interdigitated pattern so that we could apply voltage bias to improve the THz emission. As amore » result, we achieved enhancement in the emitted THz radiation by ~four times, about 12 dB increase in power ratio at 0.25 THz with a DC biased electric field compared with unbiased NWs.« less

  15. Non-CAR resists and advanced materials for Massively Parallel E-Beam Direct Write process integration

    NASA Astrophysics Data System (ADS)

    Pourteau, Marie-Line; Servin, Isabelle; Lepinay, Kévin; Essomba, Cyrille; Dal'Zotto, Bernard; Pradelles, Jonathan; Lattard, Ludovic; Brandt, Pieter; Wieland, Marco

    2016-03-01

    The emerging Massively Parallel-Electron Beam Direct Write (MP-EBDW) is an attractive high resolution high throughput lithography technology. As previously shown, Chemically Amplified Resists (CARs) meet process/integration specifications in terms of dose-to-size, resolution, contrast, and energy latitude. However, they are still limited by their line width roughness. To overcome this issue, we tested an alternative advanced non-CAR and showed it brings a substantial gain in sensitivity compared to CAR. We also implemented and assessed in-line post-lithographic treatments for roughness mitigation. For outgassing-reduction purpose, a top-coat layer is added to the total process stack. A new generation top-coat was tested and showed improved printing performances compared to the previous product, especially avoiding dark erosion: SEM cross-section showed a straight pattern profile. A spin-coatable charge dissipation layer based on conductive polyaniline has also been tested for conductivity and lithographic performances, and compatibility experiments revealed that the underlying resist type has to be carefully chosen when using this product. Finally, the Process Of Reference (POR) trilayer stack defined for 5 kV multi-e-beam lithography was successfully etched with well opened and straight patterns, and no lithography-etch bias.

  16. Ion beam lithography system

    DOEpatents

    Leung, Ka-Ngo

    2005-08-02

    A maskless plasma-formed ion beam lithography tool provides for patterning of sub-50 nm features on large area flat or curved substrate surfaces. The system is very compact and does not require an accelerator column and electrostatic beam scanning components. The patterns are formed by switching beamlets on or off from a two electrode blanking system with the substrate being scanned mechanically in one dimension. This arrangement can provide a maskless nano-beam lithography tool for economic and high throughput processing.

  17. High Density Metamaterials for Visible Light

    DTIC Science & Technology

    2016-11-28

    gold first and then extend the techniques to other metals for better characteristics. Bio -chemical sensors will be developed based on different split...metamaterials for Bio -chemical sensing. Using our sonicated cold development electron beam lithography process that has recently been shown to overcome

  18. Laser-induced phase transitions of Ge2Sb2Te5 thin films used in optical and electronic data storage and in thermal lithography.

    PubMed

    Chu, Cheng Hung; Shiue, Chiun Da; Cheng, Hsuen Wei; Tseng, Ming Lun; Chiang, Hai-Pang; Mansuripur, Masud; Tsai, Din Ping

    2010-08-16

    Amorphous thin films of Ge(2)Sb(2)Te(5), sputter-deposited on a ZnS-SiO(2) dielectric layer, are investigated for the purpose of understanding the structural phase-transitions that occur under the influence of tightly-focused laser beams. Selective chemical etching of recorded marks in conjunction with optical, atomic force, and electron microscopy as well as local electron diffraction analysis are used to discern the complex structural features created under a broad range of laser powers and pulse durations. Clarifying the nature of phase transitions associated with laser-recorded marks in chalcogenide Ge(2)Sb(2)Te(5) thin films provides useful information for reversible optical and electronic data storage, as well as for phase-change (thermal) lithography.

  19. Lithography with MeV Energy Ions for Biomedical Applications: Accelerator Considerations

    NASA Astrophysics Data System (ADS)

    Sangyuenyongpipat, S.; Whitlow, H. J.; Nakagawa, S. T.; Yoshida, E.

    2009-03-01

    MeV ion beam lithographies are very powerful techniques for 3D direct writing in positive or negtive photoresist materials. Nanometer-scale rough structures, or clear areas with straight vertical sidewalls as thin as a few 10's of nm in a resist of a few nm to 100 μm thickness can be made. These capabilities are particularly useful for lithography in cellular- and sub-cellular level biomedical research and technology applications. It can be used for tailor making special structures such as optical waveguides, biosensors, DNA sorters, spotting plates, systems for DNA, protein and cell separation, special cell-growth substrates and microfluidic lab-on-a-chip devices. Furthermore MeV ion beam lithography can be used for rapid prototyping, and also making master stamps and moulds for mass production by hot embossing and nanoimprint lithography. The accelerator requirements for three different high energy ion beam lithography techniques are overviewed. We consider the special requirements placed on the accelerator and how this is achieved for a commercial proton beam writing tool.

  20. Growing Cobalt Silicide Columns In Silicon

    NASA Technical Reports Server (NTRS)

    Fathauer, Obert W.

    1991-01-01

    Codeposition by molecular-beam epitaxy yields variety of structures. Proposed fabrication process produces three-dimensional nanometer-sized structures on silicon wafers. Enables control of dimensions of metal and semiconductor epitaxial layers in three dimensions instead of usual single dimension (perpendicular to the plane of the substrate). Process used to make arrays of highly efficient infrared sensors, high-speed transistors, and quantum wires. For fabrication of electronic devices, both shapes and locations of columns controlled. One possible technique for doing this electron-beam lithography, see "Making Submicron CoSi2 Structures on Silicon Substrates" (NPO-17736).

  1. Development characteristics of polymethyl methacrylate in alcohol/water mixtures. A lithography and Raman spectroscopy study

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ocola, Leonidas E.; Costales, Maya; Gosztola, David J.

    2015-12-10

    Poly methyl methacrylate (PMMA) is the most widely used resist in electron beam lithography. This paper reports on a lithography and Raman spectroscopy study of development characteristics of PMMA in methanol, ethanol and isopropanol mixtures with water as developers. We have found that ethanol/water mixtures at a 4:1 volume ratio are an excellent, high resolution, non-toxic, developer for exposed PMMA. We also have found that the proper methodology to use so that contrast data can be compared to techniques used in polymer science is not to rinse the developed resist but to immediately dry with nitrogen. Our results show howmore » powerful simple lithographic techniques can be used to study ternary polymer solvent solutions when compared to other techniques used in the literature. Raman data shows that there both tightly bonded –OH groups and non-hydrogen bonded –OH groups play a role in the development of PMMA. Tightly hydrogen bonded –OH groups show pure Lorentzian Raman absorption only in the concentration ranges where ethanol/water and IPA/water mixtures are effective developers of PMMA. The impact of the understanding these interactions may open doors to a new developers of other electron beam resists that can reduce the toxicity of the waste stream.« less

  2. High performance Si immersion gratings patterned with electron beam lithography

    NASA Astrophysics Data System (ADS)

    Gully-Santiago, Michael A.; Jaffe, Daniel T.; Brooks, Cynthia B.; Wilson, Daniel W.; Muller, Richard E.

    2014-07-01

    Infrared spectrographs employing silicon immersion gratings can be significantly more compact than spectro- graphs using front-surface gratings. The Si gratings can also offer continuous wavelength coverage at high spectral resolution. The grooves in Si gratings are made with semiconductor lithography techniques, to date almost entirely using contact mask photolithography. Planned near-infrared astronomical spectrographs require either finer groove pitches or higher positional accuracy than standard UV contact mask photolithography can reach. A collaboration between the University of Texas at Austin Silicon Diffractive Optics Group and the Jet Propulsion Laboratory Microdevices Laboratory has experimented with direct writing silicon immersion grating grooves with electron beam lithography. The patterning process involves depositing positive e-beam resist on 1 to 30 mm thick, 100 mm diameter monolithic crystalline silicon substrates. We then use the facility JEOL 9300FS e-beam writer at JPL to produce the linear pattern that defines the gratings. There are three key challenges to produce high-performance e-beam written silicon immersion gratings. (1) E- beam field and subfield stitching boundaries cause periodic cross-hatch structures along the grating grooves. The structures manifest themselves as spectral and spatial dimension ghosts in the diffraction limited point spread function (PSF) of the diffraction grating. In this paper, we show that the effects of e-beam field boundaries must be mitigated. We have significantly reduced ghost power with only minor increases in write time by using four or more field sizes of less than 500 μm. (2) The finite e-beam stage drift and run-out error cause large-scale structure in the wavefront error. We deal with this problem by applying a mark detection loop to check for and correct out minuscule stage drifts. We measure the level and direction of stage drift and show that mark detection reduces peak-to-valley wavefront error by a factor of 5. (3) The serial write process for typical gratings yields write times of about 24 hours- this makes prototyping costly. We discuss work with negative e-beam resist to reduce the fill factor of exposure, and therefore limit the exposure time. We also discuss the tradeoffs of long write-time serial write processes like e-beam with UV photomask lithography. We show the results of experiments on small pattern size prototypes on silicon wafers. Current prototypes now exceed 30 dB of suppression on spectral and spatial dimension ghosts compared to monochromatic spectral purity measurements of the backside of Si echelle gratings in reflection at 632 nm. We perform interferometry at 632 nm in reflection with a 25 mm circular beam on a grating with a blaze angle of 71.6°. The measured wavefront error is 0.09 waves peak to valley.

  3. EB and EUV lithography using inedible cellulose-based biomass resist material

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Hanabata, Makoto; Oshima, Akihiro; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2016-03-01

    The validity of our approach of inedible cellulose-based resist material derived from woody biomass has been confirmed experimentally for the use of pure water in organic solvent-free water spin-coating and tetramethylammonium hydroxide(TMAH)-free water-developable techniques of eco-conscious electron beam (EB) and extreme-ultraviolet (EUV) lithography. The water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB and EUV lithography was developed for environmental affair, safety, easiness of handling, and health of the working people. The inedible cellulose-based biomass resist material was developed by replacing the hydroxyl groups in the beta-linked disaccharides with EB and EUV sensitive groups. The 50-100 nm line and space width, and little footing profiles of cellulose-based biomass resist material on hardmask and layer were resolved at the doses of 10-30 μC/cm2. The eco-conscious lithography techniques was referred to as green EB and EUV lithography using inedible cellulose-based biomass resist material.

  4. Background and survey of bioreplication techniques.

    PubMed

    Pulsifer, Drew Patrick; Lakhtakia, Akhlesh

    2011-09-01

    Bioreplication is the direct reproduction of a biological structure in order to realize at least one specific functionality. Current bioreplication techniques include the sol-gel technique, atomic layer deposition, physical vapor deposition, and imprint lithography and casting. The combined use of a focused ion beam and a scanning electron microscope could develop into a bioreplication technique as well. Some of these techniques are more suitable for reproducing surface features, others for bulk three-dimensional structures. Industrial upscaling appears possible only for imprint lithography and casting (which can be replaced by stamping).

  5. Investigation of electron beam lithography effects on metal-insulator transition behavior of vanadium dioxide

    NASA Astrophysics Data System (ADS)

    Yuce, H.; Alaboz, H.; Demirhan, Y.; Ozdemir, M.; Ozyuzer, L.; Aygun, G.

    2017-11-01

    Vanadium dioxide (VO2) shows metal-insulator phase transition at nearly 68 °C. This metal-insulator transition (MIT) in VO2 leads to a significant change in near-infrared transmittance and an abrupt change in the resistivity of VO2. Due to these characteristics, VO2 plays an important role on optic and electronic devices, such as thermochromic windows, meta-materials with tunable frequency, uncooled bolometers and switching devices. In this work, VO2 thin films were fabricated by reactive direct current magnetron sputtering in O2/Ar atmosphere on sapphire substrates without any further post annealing processes. The effect of sputtering parameters on optical characteristics and structural properties of grown thin films was investigated by SEM, XRD, Raman and UV/VIS spectrophotometer measurements. Patterning process of VO2 thin films was realized by e-beam lithography technique to monitor the temperature dependent electrical characterization. Electrical properties of VO2 samples were characterized using microprobe station in a vacuum system. MIT with hysteresis behavior was observed for the unpatterned square samples at around 68 °C. By four orders of magnitude of resistivity change was measured for the deposited VO2 thin films at transition temperature. After e-beam lithography process, substantial results in patterned VO2 thin films were observed. In this stage, for patterned VO2 thin films as stripes, the change in resistivity of VO2 was reduced by a factor of 10. As a consequence of electrical resistivity measurements, MIT temperature was shifted from 68 °C to 50 °C. The influence of e-beam process on the properties of VO2 thin films and the mechanism of the effects are discussed. The presented results contribute to the achievement of VO2 based thermochromic windows and bolometer applications.

  6. Estimation of resist sensitivity for extreme ultraviolet lithography using an electron beam

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Oyama, Tomoko Gowa, E-mail: ohyama.tomoko@qst.go.jp; Oshima, Akihiro; Tagawa, Seiichi, E-mail: tagawa@sanken.osaka-u.ac.jp

    2016-08-15

    It is a challenge to obtain sufficient extreme ultraviolet (EUV) exposure time for fundamental research on developing a new class of high sensitivity resists for extreme ultraviolet lithography (EUVL) because there are few EUV exposure tools that are very expensive. In this paper, we introduce an easy method for predicting EUV resist sensitivity by using conventional electron beam (EB) sources. If the chemical reactions induced by two ionizing sources (EB and EUV) are the same, the required absorbed energies corresponding to each required exposure dose (sensitivity) for the EB and EUV would be almost equivalent. Based on this theory, wemore » calculated the resist sensitivities for the EUV/soft X-ray region. The estimated sensitivities were found to be comparable to the experimentally obtained sensitivities. It was concluded that EB is a very useful exposure tool that accelerates the development of new resists and sensitivity enhancement processes for 13.5 nm EUVL and 6.x nm beyond-EUVL (BEUVL).« less

  7. Gold/silver coated nanoporous ceramic membranes: a new substrate for SERS studies

    NASA Astrophysics Data System (ADS)

    Kassu, A.; Robinson, P.; Sharma, A.; Ruffin, P. B.; Brantley, C.; Edwards, E.

    2010-08-01

    Surface Enhanced Raman Scattering (SERS) is a recently discovered powerful technique which has demonstrated sensitivity and selectivity for detecting single molecules of certain chemical species. This is due to an enhancement of Raman scattered light by factors as large as 1015. Gold and Silver-coated substrates fabricated by electron-beam lithography on Silicon are widely used in SERS technique. In this paper, we report the use of nanoporous ceramic membranes for SERS studies. Nanoporous membranes are widely used as a separation membrane in medical devices, fuel cells and other studies. Three different pore diameter sizes of commercially available nanoporous ceramic membranes: 35 nm, 55nm and 80nm are used in the study. To make the membranes SERS active, they are coated with gold/silver using sputtering techniques. We have seen that the membranes coated with gold layer remain unaffected even when immersed in water for several days. The results show that gold coated nanoporous membranes have sensitivity comparable to substrates fabricated by electron-beam lithography on Silicon substrates.

  8. M&A For Lithography Of Sparse Arrays Of Sub-Micrometer Features

    DOEpatents

    Brueck, Steven R.J.; Chen, Xiaolan; Zaidi, Saleem; Devine, Daniel J.

    1998-06-02

    Methods and apparatuses are disclosed for the exposure of sparse hole and/or mesa arrays with line:space ratios of 1:3 or greater and sub-micrometer hole and/or mesa diameters in a layer of photosensitive material atop a layered material. Methods disclosed include: double exposure interferometric lithography pairs in which only those areas near the overlapping maxima of each single-period exposure pair receive a clearing exposure dose; double interferometric lithography exposure pairs with additional processing steps to transfer the array from a first single-period interferometric lithography exposure pair into an intermediate mask layer and a second single-period interferometric lithography exposure to further select a subset of the first array of holes; a double exposure of a single period interferometric lithography exposure pair to define a dense array of sub-micrometer holes and an optical lithography exposure in which only those holes near maxima of both exposures receive a clearing exposure dose; combination of a single-period interferometric exposure pair, processing to transfer resulting dense array of sub-micrometer holes into an intermediate etch mask, and an optical lithography exposure to select a subset of initial array to form a sparse array; combination of an optical exposure, transfer of exposure pattern into an intermediate mask layer, and a single-period interferometric lithography exposure pair; three-beam interferometric exposure pairs to form sparse arrays of sub-micrometer holes; five- and four-beam interferometric exposures to form a sparse array of sub-micrometer holes in a single exposure. Apparatuses disclosed include arrangements for the three-beam, five-beam and four-beam interferometric exposures.

  9. Farbrication of diffractive optical elements on a Si chip by an imprint lithography using nonsymmetrical silicon mold

    NASA Astrophysics Data System (ADS)

    Hirai, Yoshihiko; Okano, Masato; Okuno, Takayuki; Toyota, Hiroshi; Yotsuya, Tsutomu; Kikuta, Hisao; Tanaka, Yoshio

    2001-11-01

    Fabrication of a fine diffractive optical element on a Si chip is demonstrated using imprint lithography. A chirped diffraction grating, which has modulated pitched pattern with curved cross section is fabricated by an electron beam lithography, where the exposure dose profile is automatically optimized by computer aided system. Using the resist pattern as an etching mask, anisotropic dry etching is performed to transfer the resist pattern profile to the Si chip. The etched Si substrate is used as a mold in the imprint lithography. The Si mold is pressed to a thin polymer (poly methyl methacrylate) on a Si chip. After releasing the mold, a fine diffractive optical pattern is successfully transferred to the thin polymer. This method is exceedingly useful for fabrication of integrated diffractive optical elements with electric circuits on a Si chip.

  10. High-sensitivity green resist material with organic solvent-free spin-coating and tetramethylammonium hydroxide-free water-developable processes for EB and EUV lithography

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Hanabata, Makoto; Oshima, Akihiro; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2015-03-01

    We investigated the eco-friendly electron beam (EB) and extreme-ultraviolet (EUV) lithography using a high-sensitive negative type of green resist material derived from biomass to take advantage of organic solvent-free water spin-coating and tetramethylammonium hydroxide(TMAH)-free water-developable techniques. A water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB lithography was developed for environmental affair, safety, easiness of handling, and health of the working people, instead of the common developable process of TMAH. The material design concept to use the water-soluble resist material with acceptable properties such as pillar patterns with less than 100 nm in high EB sensitivity of 10 μC/cm2 and etch selectivity with a silicon-based middle layer in CF4 plasma treatment was demonstrated for EB and EUV lithography.

  11. Elemental depth profiles and plasma etching rates of positive-tone electron beam resists after sequential infiltration synthesis of alumina

    NASA Astrophysics Data System (ADS)

    Ozaki, Yuki; Ito, Shunya; Hiroshiba, Nobuya; Nakamura, Takahiro; Nakagawa, Masaru

    2018-06-01

    By scanning transmission electron microscopy and energy dispersive X-ray spectroscopy (STEM–EDS), we investigated the elemental depth profiles of organic electron beam resist films after the sequential infiltration synthesis (SIS) of inorganic alumina. Although a 40-nm-thick poly(methyl methacrylate) (PMMA) film was entirely hybridized with alumina, an uneven distribution was observed near the interface between the substrate and the resist as well as near the resist surface. The uneven distribution was observed around the center of a 100-nm-thick PMMA film. The thicknesses of the PMMA and CSAR62 resist films decreased almost linearly as functions of plasma etching period. The comparison of etching rate among oxygen reactive ion etching, C3F8 reactive ion beam etching (RIBE), and Ar ion beam milling suggested that the SIS treatment enhanced the etching resistance of the electron beam resists to chemical reactions rather than to ion collisions. We proposed oxygen- and Ar-assisted C3F8 RIBE for the fabrication of silica imprint molds by electron beam lithography.

  12. CA resist with high sensitivity and sub-100-nm resolution for advanced mask making

    NASA Astrophysics Data System (ADS)

    Huang, Wu-Song; Kwong, Ranee W.; Hartley, John G.; Moreau, Wayne M.; Angelopoulos, Marie; Magg, Christopher; Lawliss, Mark

    2000-07-01

    Recently, there is significant interest in using CA resist for electron beam (E-beam) applications including mask making, direct write, and projection printing. CA resists provide superior lithographic performance in comparison to traditional non-CA E-beam resist in particular high contrast, resolution, and sensitivity. However, most of the commercially available CA resist have the concern of airborne base contaminants and sensitivity to PAB and/or PEB temperatures. In this presentation, we will discuss a new improved ketal resists system referred to as KRS-XE which exhibits excellent lithography, is robust toward airborne base, compatible with 0.263N TMAH aqueous developer and exhibits excellent lithography, is robust toward airborne base, compatible with 0.263N TMAH aqueous developer and exhibits a large PAB/PEB latitude. With the combination of a high performance mask making E-beam exposure tool, high kV shaped beam system EL4+ and the KRS-XE resist, we have printed 75nm lines/space feature with excellent profile control at a dose of 13(mu) C/cm2 at 75kV. The shaped beam vector scan system used here provides a unique property in resolving small features in lithography and throughput. Overhead in EL4+$ limits the systems ability to fully exploit the sensitivity of the new resist for throughput. The EL5 system has sufficiently low overhead that it is projected to print a 4X, 16G DRAM mask with OPC in under 3 hours with the CA resist. We will discuss the throughput advantages of the next generation EL5 system over the existing EL4+.

  13. Mapping Photoemission and Hot-Electron Emission from Plasmonic Nanoantennas

    DOE PAGES

    Hobbs, Richard G.; Putnam, William P.; Fallahi, Arya; ...

    2017-09-19

    Understanding plasmon-mediated electron emission and energy transfer on the nanometer length scale is critical to controlling light–matter interactions at nanoscale dimensions. In a high-resolution lithographic material, electron emission and energy transfer lead to chemical transformations. Here, we employ such chemical transformations in two different high-resolution electron-beam lithography resists, poly(methyl methacrylate) (PMMA) and hydrogen silsesquioxane (HSQ), to map local electron emission and energy transfer with nanometer resolution from plasmonic nanoantennas excited by femtosecond laser pulses. We observe exposure of the electron-beam resists (both PMMA and HSQ) in regions on the surface of nanoantennas where the local field is significantly enhanced. Exposuremore » in these regions is consistent with previously reported optical-field-controlled electron emission from plasmonic hotspots as well as earlier work on low-electron-energy scanning probe lithography. For HSQ, in addition to exposure in hotspots, we observe resist exposure at the centers of rod-shaped nanoantennas in addition to exposure in plasmonic hotspots. Optical field enhancement is minimized at the center of nanorods suggesting that exposure in these regions involves a different mechanism to that in plasmonic hotspots. Our simulations suggest that exposure at the center of nanorods results from the emission of hot electrons produced via plasmon decay in the nanorods. Our results provide a means to map both optical-field-controlled electron emission and hot-electron transfer from nanoparticles via chemical transformations produced locally in lithographic materials.« less

  14. Mapping Photoemission and Hot-Electron Emission from Plasmonic Nanoantennas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hobbs, Richard G.; Putnam, William P.; Fallahi, Arya

    Understanding plasmon-mediated electron emission and energy transfer on the nanometer length scale is critical to controlling light–matter interactions at nanoscale dimensions. In a high-resolution lithographic material, electron emission and energy transfer lead to chemical transformations. Here, we employ such chemical transformations in two different high-resolution electron-beam lithography resists, poly(methyl methacrylate) (PMMA) and hydrogen silsesquioxane (HSQ), to map local electron emission and energy transfer with nanometer resolution from plasmonic nanoantennas excited by femtosecond laser pulses. We observe exposure of the electron-beam resists (both PMMA and HSQ) in regions on the surface of nanoantennas where the local field is significantly enhanced. Exposuremore » in these regions is consistent with previously reported optical-field-controlled electron emission from plasmonic hotspots as well as earlier work on low-electron-energy scanning probe lithography. For HSQ, in addition to exposure in hotspots, we observe resist exposure at the centers of rod-shaped nanoantennas in addition to exposure in plasmonic hotspots. Optical field enhancement is minimized at the center of nanorods suggesting that exposure in these regions involves a different mechanism to that in plasmonic hotspots. Our simulations suggest that exposure at the center of nanorods results from the emission of hot electrons produced via plasmon decay in the nanorods. Our results provide a means to map both optical-field-controlled electron emission and hot-electron transfer from nanoparticles via chemical transformations produced locally in lithographic materials.« less

  15. Development of nanostencil lithography and its applications for plasmonics and vibrational biospectroscopy

    NASA Astrophysics Data System (ADS)

    Aksu, Serap

    Development of low cost nanolithography tools for precisely creating a variety of nanostructure shapes and arrangements in a high-throughput fashion is crucial for next generation biophotonic technologies. Although existing lithography techniques offer tremendous design flexibility, they have major drawbacks such as low-throughput and fabrication complexity. In addition the demand for the systematic fabrication of sub-100 nm structures on flexible, stretchable, non-planar nanoelectronic/photonic systems and multi-functional materials has fueled the research for innovative fabrication methods in recent years. This thesis research investigates a novel lithography approach for fabrication of engineered plasmonic nanostructures and metamaterials operating at visible and infrared wavelengths. The technique is called Nanostencil Lithography (NSL) and relies on direct deposition of materials through nanoapertures on a stencil. NSL enables high throughput fabrication of engineered antenna arrays with optical qualities similar to the ones fabricated by standard electron beam lithography. Moreover, nanostencils can be reused multiple times to fabricate series of plasmonic nanoantenna arrays with identical optical responses enabling high throughput manufacturing. Using nanostencils, very precise nanostructures could be fabricated with 10 nm accuracy. Furthermore, this technique has flexibility and resolution to create complex plasmonic nanostructure arrays on the substrates that are difficult to work with e-beam and ion beam lithography tools. Combining plasmonics with polymeric materials, biocompatible surfaces or curvilinear and non-planar objects enable unique optical applications since they can preserve normal device operation under large strain. In this work, mechanically tunable flexible optical materials and spectroscopy probes integrated on fiber surfaces that could be used for a wide range of applications are demonstrated. Finally, the first application of NSL fabricated low cost infrared nanoantenna arrays for plasmonically enhanced vibrational biospectroscopy is presented. Detection of immunologically important protein monolayers with thickness as small as 3 nm, and antibody assays are demonstrated using nanoantenna arrays fabricated with reusable nanostencils. The results presented indicate that nanostencil lithography is a promising method for reducing the nano manufacturing cost while enhancing the performance of biospectroscopy tools for biology and medicine. As a single step and low cost nanofabrication technique, NSL could facilitate the manufacturing of biophotonic technologies for real-world applications.

  16. Holographic Waveguide Array Rollable Display.

    DTIC Science & Technology

    1997-04-01

    scale lithography for fabrication. Projection systems offer large images, in the range of 40 - 60 inches diagonal, and both front-view and rear-view...Boulder, CO, and a l-D array of digital micromirrors ( DMD ) from Texas Instruments. The linear format permits simple driving electronics and high...TI’s DMD , or a CMOS-SLM. A collimated laser beaming (combine three colors) or a collimated white light beam from a high intensity halogen lamp can be

  17. Electron-beam lithography with character projection exposure for throughput enhancement with line-edge quality optimization

    NASA Astrophysics Data System (ADS)

    Ikeno, Rimon; Maruyama, Satoshi; Mita, Yoshio; Ikeda, Makoto; Asada, Kunihiro

    2016-03-01

    Among various electron-beam lithography (EBL) techniques, variable-shaped beam (VSB) and character projection (CP) methods have attracted many EBL users for their high-throughput feature, but they are considered to be more suited to small-featured VLSI fabrication with regularly-arranged layouts like standard-cell logics and memory arrays. On the other hand, non-VLSI applications like photonics, MEMS, MOEMS, and so on, have not been fully utilized the benefit of CP method due to their wide variety of layout patterns. In addition, the stepwise edge shapes by VSB method often causes intolerable edge roughness to degrade device characteristics from its intended performance with smooth edges. We proposed an overall EBL methodology applicable to wade-variety of EBL applications utilizing VSB and CP methods. Its key idea is in our layout data conversion algorithm that decomposes curved or oblique edges of arbitrary layout patterns into CP shots. We expect significant reduction in EB shot count with a CP-bordered exposure data compared to the corresponding VSB-alone conversion result. Several CP conversion parameters are used to optimize EB exposure throughput, edge quality, and resultant device characteristics. We demonstrated out methodology using the leading-edge VSB/CP EBL tool, ADVANTEST F7000S-VD02, with high resolution Hydrogen Silsesquioxane (HSQ) resist. Through our experiments of curved and oblique edge lithography under various data conversion conditions, we learned correspondence of the conversion parameters to the resultant edge roughness and other conditions. They will be utilized as the fundamental data for further enhancement of our EBL strategy for optimized EB exposure.

  18. Sub-Optical Lithography With Nanometer Definition Masks

    NASA Technical Reports Server (NTRS)

    Hartley, Frank T.; Malek, Chantal Khan; Neogi, Jayant

    2000-01-01

    Nanometer feature size lithography represents a major paradigm shift for the electronics and micro-electro-mechanical industries. In this paper, we discuss the capacity of dynamic focused reactive ion beam (FIB) etching systems to undertake direct and highly anisotropic erosion of thick evaporated gold coatings on boron-doped silicon X-ray mask membranes. FIB offers a new level of flexibility in micro fabrication, allowing for fast fabrication of X-ray masks, where pattern definition and surface alteration are combined in the same step which eliminates the whole lithographic process, in particular resist, resist development, electro-deposition and resist removal. Focused ion beam diameters as small as 7 nm can be obtained enabling fabrication well into the sub-20 nm regime. In preliminary demonstrations of this X-ray mask fabrication technique 22 nm width lines were milled directly through 0.9 microns of gold and a miniature mass spectrometer pattern was milled through over 0.5 microns of gold. Also presented are the results of the shadow printing, using the large depth of field of synchrotron high energy parallel X-ray beam, of these and other sub-optical defined patterns in photoresist conformally coated over surfaces of extreme topographical variation. Assuming that electronic circuits and/or micro devices scale proportionally, the surface area of devices processed with X-ray lithography and 20 nm critical dimension X-ray masks would be 0.5% that of contemporary devices (350 nm CD). The 20 CD mask fabrication represents an initial effort - a further factor of three reduction is anticipated which represents a further order-of-magnitude reduction in die area.

  19. Maskless Lithography and in situ Visualization of Conductivity of Graphene using Helium Ion Microscopy

    DOE PAGES

    Iberi, Vighter O.; Vlassiouk, Ivan V.; Zhang, X. -G.; ...

    2015-07-07

    The remarkable mechanical and electronic properties of graphene make it an ideal candidate for next generation nanoelectronics. With the recent development of commercial-level single-crystal graphene layers, the potential for manufacturing household graphene-based devices has improved, but significant challenges still remain with regards to patterning the graphene into devices. In the case of graphene supported on a substrate, traditional nanofabrication techniques such as e-beam lithography (EBL) are often used in fabricating graphene nanoribbons but the multi-step processes they require can result in contamination of the graphene with resists and solvents. In this letter, we report the utility of scanning helium ionmore » lithography for fabricating functional graphene nanoconductors that are supported directly on a silicon dioxide layer, and we measure the minimum feature size achievable due to limitations imposed by thermal fluctuations and ion scattering during the milling process. Further we demonstrate that ion beams, due to their positive charging nature, may be used to observe and test the conductivity of graphene-based nanoelectronic devices in situ.« less

  20. Submillimeter Schottky Diodes with Electron Beam Lithography.

    DTIC Science & Technology

    1979-12-01

    Timer 2: external clock, oneshot , 0’ sixteen bit counting modes are .,selected, no data is entered; interrupts are disabled. Timer 3: external clock and...CLOCK, ONESHOT MODE, NO INTERRUPTS, 00031* 16 BIT COUNTING MODE, OUTPUT IS EN- 00032 * ABLED; NO DATA IS ENTERED, 00033 00034 * TIMER3: EXT CLOCK

  1. Virtual mask digital electron beam lithography

    DOEpatents

    Baylor, L.R.; Thomas, C.E.; Voelkl, E.; Moore, J.A.; Simpson, M.L.; Paulus, M.J.

    1999-04-06

    Systems and methods for direct-to-digital holography are described. An apparatus includes a laser; a beamsplitter optically coupled to the laser; a reference beam mirror optically coupled to the beamsplitter; an object optically coupled to the beamsplitter, a focusing lens optically coupled to both the reference beam mirror and the object; and a digital recorder optically coupled to the focusing lens. A reference beam is incident upon the reference beam mirror at a non-normal angle, and the reference beam and an object beam are focused by the focusing lens at a focal plane of the digital recorder to form an image. The systems and methods provide advantages in that computer assisted holographic measurements can be made. 5 figs.

  2. Virtual mask digital electron beam lithography

    DOEpatents

    Baylor, Larry R.; Thomas, Clarence E.; Voelkl, Edgar; Moore, James A.; Simpson, Michael L.; Paulus, Michael J.

    1999-01-01

    Systems and methods for direct-to-digital holography are described. An apparatus includes a laser; a beamsplitter optically coupled to the laser; a reference beam mirror optically coupled to the beamsplitter; an object optically coupled to the beamsplitter, a focusing lens optically coupled to both the reference beam mirror and the object; and a digital recorder optically coupled to the focusing lens. A reference beam is incident upon the reference beam mirror at a non-normal angle, and the reference beam and an object beam are focused by the focusing lens at a focal plane of the digital recorder to form an image. The systems and methods provide advantages in that computer assisted holographic measurements can be made.

  3. Computer Aided Design of Computer Generated Holograms for electron beam fabrication

    NASA Technical Reports Server (NTRS)

    Urquhart, Kristopher S.; Lee, Sing H.; Guest, Clark C.; Feldman, Michael R.; Farhoosh, Hamid

    1989-01-01

    Computer Aided Design (CAD) systems that have been developed for electrical and mechanical design tasks are also effective tools for the process of designing Computer Generated Holograms (CGHs), particularly when these holograms are to be fabricated using electron beam lithography. CAD workstations provide efficient and convenient means of computing, storing, displaying, and preparing for fabrication many of the features that are common to CGH designs. Experience gained in the process of designing CGHs with various types of encoding methods is presented. Suggestions are made so that future workstations may further accommodate the CGH design process.

  4. Micro- and nanofabrication methods in nanotechnological medical and pharmaceutical devices

    PubMed Central

    Betancourt, Tania; Brannon-Peppas, Lisa

    2006-01-01

    Micro- and nanofabrication techniques have revolutionized the pharmaceutical and medical fields as they offer the possibility for highly reproducible mass-fabrication of systems with complex geometries and functionalities, including novel drug delivery systems and bionsensors. The principal micro- and nanofabrication techniques are described, including photolithography, soft lithography, film deposition, etching, bonding, molecular self assembly, electrically induced nanopatterning, rapid prototyping, and electron, X-ray, colloidal monolayer, and focused ion beam lithography. Application of these techniques for the fabrication of drug delivery and biosensing systems including injectable, implantable, transdermal, and mucoadhesive devices is described. PMID:17722281

  5. Controlling large-scale film morphology by phase manipulation in interference lithography

    NASA Astrophysics Data System (ADS)

    Lu, Cheng; Hu, X. K.; Dimov, S. S.; Lipson, R. H.

    2007-10-01

    An experimental arrangement is described where a Babinet-Soleil compensator is inserted into the path of one of the three beams used for noncoplanar beam interference lithography. This birefringent element can change the phase of the beam so that either a positive two-dimensional pattern or an inverselike structure is generated in a photoresist without disturbing the mechanical geometry of the setup. Simulations are presented that confirm the validity of this approach. Large defect-free sample areas (>1 cm2) with submicrometer periodic patterns were obtained by expanding the laser beams used in the lithography experiment.

  6. Modeling of I-V characteristics in a 3-channel SFFT with nanobridges by gate current signals

    NASA Astrophysics Data System (ADS)

    Yu, Byunggyu; Kim, Young-Pil; Ko, Seok-Cheol

    2018-04-01

    A superconducting flux flow transistor (SFFT) with three channels and nanobridges was successfully fabricated by electron beam (e-beam) lithography and an Ar ion milling technique. The SFFT is composed of three weak links with a nearby gate current line. We explain the process to obtain the equation for the current-voltage characteristics and describe the method to induce external and internal magnetic fields by Biot-Savart's law. The equation can be used to predict the current-voltage curves for the 3-channel SFFT fabricated using e-beam lithography. I-V characteristics were simulated to analyze the SFFT with three channels and nanobridges by a Matlab program. From the I-V characteristics equation of the 3-channel SFFT, the drain currents and the output voltages as the gate current is applied are graphically compared with the measured value and the simulation value. The simulated I-V curves were in good agreement with the measured curves of the 3-channel SFFT with nanobridges.

  7. Electrical characterization of HgTe nanowires using conductive atomic force microscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gundersen, P.; Department of Physics, Norwegian University of Science and Technology, NO-7491 Trondheim; Kongshaug, K. O.

    Self-organized HgTe nanowires grown by molecular beam epitaxy (MBE) have been characterized using conductive atomic force microscopy. As HgTe will degrade or evaporate at normal baking temperatures for electron beam lithography (EBL) resists, an alternative method was developed. Using low temperature optical lithography processes, large Au contacts were deposited on a sample covered with randomly oriented, lateral HgTe nanowires. Nanowires partly covered by the large electrodes were identified with a scanning electron microscope and then localized in the atomic force microscope (AFM). The conductive tip of the AFM was then used as a movable electrode to measure current-voltage curves atmore » several locations on HgTe nanowires. The measurements revealed that polycrystalline nanowires had diffusive electron transport, with resistivities two orders of magnitude larger than that of an MBE-grown HgTe film. The difference can be explained by scattering at the rough surface walls and at the grain boundaries in the wires. The method can be a solution when EBL is not available or requires too high temperature, or when measurements at several positions along a wire are required.« less

  8. Dual-beam focused ion beam/electron microscopy processing and metrology of redeposition during ion-surface 3D interactions, from micromachining to self-organized picostructures.

    PubMed

    Moberlychan, Warren J

    2009-06-03

    Focused ion beam (FIB) tools have become a mainstay for processing and metrology of small structures. In order to expand the understanding of an ion impinging a surface (Sigmund sputtering theory) to our processing of small structures, the significance of 3D boundary conditions must be realized. We consider ion erosion for patterning/lithography, and optimize yields using the angle of incidence and chemical enhancement, but we find that the critical 3D parameters are aspect ratio and redeposition. We consider focused ion beam sputtering for micromachining small holes through membranes, but we find that the critical 3D considerations are implantation and redeposition. We consider ion beam self-assembly of nanostructures, but we find that control of the redeposition by ion and/or electron beams enables the growth of nanostructures and picostructures.

  9. Electron beam throughput from raster to imaging

    NASA Astrophysics Data System (ADS)

    Zywno, Marek

    2016-12-01

    Two architectures of electron beam tools are presented: single beam MEBES Exara designed and built by Etec Systems for mask writing, and the Reflected E-Beam Lithography tool (REBL), designed and built by KLA-Tencor under a DARPA Agreement No. HR0011-07-9-0007. Both tools have implemented technologies not used before to achieve their goals. The MEBES X, renamed Exara for marketing purposes, used an air bearing stage running in vacuum to achieve smooth continuous scanning. The REBL used 2 dimensional imaging to distribute charge to a 4k pixel swath to achieve writing times on the order of 1 wafer per hour, scalable to throughput approaching optical projection tools. Three stage architectures were designed for continuous scanning of wafers: linear maglev, rotary maglev, and dual linear maglev.

  10. Electron irradiation induced amorphous SiO2 formation at metal oxide/Si interface at room temperature; electron beam writing on interfaces.

    PubMed

    Gurbán, S; Petrik, P; Serényi, M; Sulyok, A; Menyhárd, M; Baradács, E; Parditka, B; Cserháti, C; Langer, G A; Erdélyi, Z

    2018-02-01

    Al 2 O 3 (5 nm)/Si (bulk) sample was subjected to irradiation of 5 keV electrons at room temperature, in a vacuum chamber (pressure 1 × 10 -9 mbar) and formation of amorphous SiO 2 around the interface was observed. The oxygen for the silicon dioxide growth was provided by the electron bombardment induced bond breaking in Al 2 O 3 and the subsequent production of neutral and/or charged oxygen. The amorphous SiO 2 rich layer has grown into the Al 2 O 3 layer showing that oxygen as well as silicon transport occurred during irradiation at room temperature. We propose that both transports are mediated by local electric field and charged and/or uncharged defects created by the electron irradiation. The direct modification of metal oxide/silicon interface by electron-beam irradiation is a promising method of accomplishing direct write electron-beam lithography at buried interfaces.

  11. Electrochemical electron beam lithography: Write, read, and erase metallic nanocrystals on demand

    PubMed Central

    Park, Jeung Hun; Steingart, Daniel A.; Kodambaka, Suneel; Ross, Frances M.

    2017-01-01

    We develop a solution-based nanoscale patterning technique for site-specific deposition and dissolution of metallic nanocrystals. Nanocrystals are grown at desired locations by electron beam–induced reduction of metal ions in solution, with the ions supplied by dissolution of a nearby electrode via an applied potential. The nanocrystals can be “erased” by choice of beam conditions and regrown repeatably. We demonstrate these processes via in situ transmission electron microscopy using Au as the model material and extend to other metals. We anticipate that this approach can be used to deposit multicomponent alloys and core-shell nanostructures with nanoscale spatial and compositional resolutions for a variety of possible applications. PMID:28706992

  12. Germanium growth on electron beam lithography patterned Si3N4/Si(001) substrate using molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Sarkar, Subhendu Sinha; Katiyar, Ajit K.; Sarkar, Arijit; Dhar, Achintya; Rudra, Arun; Khatri, Ravinder K.; Ray, Samit Kumar

    2018-04-01

    It is important to investigate the growth dynamics of Ge adatoms under different surface stress regimes of the patterned dielectric to control the selective growth of self-assembled Ge nanostructures on silicon. In the present work, we have studied the growth of Ge by molecular beam epitaxy on nanometer scale patterned Si3N4/Si(001) substrates generated using electron beam lithography. The pitch of the patterns has been varied to investigate its effect on the growth of Ge in comparison to un-patterned Si3N4. For the patterned Si3N4 film, Ge did not desorbed completely from the Si3N4 film and hence no site selective growth pattern is observed. Instead, depending upon the pitch, Ge growth has occurred in different growth modes around the openings in the Si3N4. For the un-patterned substrate, the morphology exhibits the occurrence of uniform 3D clustering of Ge adatoms on Si3N4 film. This variation in the growth modes of Ge is attributed to the variation of residual stress in the Si3N4 film for different pitch of holes, which has been confirmed theoretically through Comsol Multiphysics simulation. The variation in stress for different pitches resulted in modulation of surface energy of the Si3N4 film leading to the different growth modes of Ge.

  13. High resolution beam profiling of X-ray free electron laser radiation by polymer imprint development.

    PubMed

    Rösner, Benedikt; Döring, Florian; Ribič, Primož R; Gauthier, David; Principi, Emiliano; Masciovecchio, Claudio; Zangrando, Marco; Vila-Comamala, Joan; De Ninno, Giovanni; David, Christian

    2017-11-27

    High resolution metrology of beam profiles is presently a major challenge at X-ray free electron lasers. We demonstrate a characterization method based on beam imprints in poly (methyl methacrylate). By immersing the imprints formed at 47.8 eV into organic solvents, the regions exposed to the beam are removed similar to resist development in grayscale lithography. This allows for extending the sensitivity of the method by more than an order of magnitude compared to the established analysis of imprints created solely by ablation. Applying the Beer-Lambert law for absorption, the intensity distribution in a micron-sized focus can be reconstructed from one single shot with a high dynamic range, exceeding 10 3 . The procedure described here allows for beam characterization at free electron lasers revealing even faint beam tails, which are not accessible when using ablation imprint methods. We demonstrate the greatly extended dynamic range on developed imprints taken in focus of conventional Fresnel zone plates and spiral zone plates producing beams with a topological charge.

  14. A two-in-one process for reliable graphene transistors processed with photo-lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ahlberg, P.; Hinnemo, M.; Song, M.

    2015-11-16

    Research on graphene field-effect transistors (GFETs) has mainly relied on devices fabricated using electron-beam lithography for pattern generation, a method that has known problems with polymer contaminants. GFETs fabricated via photo-lithography suffer even worse from other chemical contaminations, which may lead to strong unintentional doping of the graphene. In this letter, we report on a scalable fabrication process for reliable GFETs based on ordinary photo-lithography by eliminating the aforementioned issues. The key to making this GFET processing compatible with silicon technology lies in a two-in-one process where a gate dielectric is deposited by means of atomic layer deposition. During thismore » deposition step, contaminants, likely unintentionally introduced during the graphene transfer and patterning, are effectively removed. The resulting GFETs exhibit current-voltage characteristics representative to that of intrinsic non-doped graphene. Fundamental aspects pertaining to the surface engineering employed in this work are investigated in the light of chemical analysis in combination with electrical characterization.« less

  15. Design and fabrication of nano-imprint templates using unique pattern transforms and primitives

    NASA Astrophysics Data System (ADS)

    MacDonald, Susan; Mellenthin, David; Rentzsch, Kevin; Kramer, Kenneth; Ellenson, James; Hostetler, Tim; Enck, Ron

    2005-11-01

    Increasing numbers of MEMS, photonic, and integrated circuit manufacturers are investigating the use of Nano-imprint Lithography or Step and Flash Imprint Lithography (SFIL) as a lithography choice for making various devices and products. Their main interests in using these technologies are the lack of aberrations inherent in traditional optical reduction lithography, and the relative low cost of imprint tools. Since imprint templates are at 1X scale, the small sizes of these structures have necessitated the use of high-resolution 50KeV, and 100KeV e-beam lithography tools to build these templates. For MEMS and photonic applications, the structures desired are often circles, arches, and other non-orthogonal shapes. It has long been known that both 50keV, and especially 100keV e-beam lithography tools are extremely accurate, and can produce very high resolution structures, but the trade off is long write times. The main drivers in write time are shot count and stage travel. This work will show how circles and other non-orthogonal shapes can be produced with a 50KeV Variable Shaped Beam (VSB) e-beam lithography system using unique pattern transforms and primitive shapes, while keeping the shot count and write times under control. The quality of shapes replicated into the resist on wafer using an SFIL tool will also be presented.

  16. Localized conductive patterning via focused electron beam reduction of graphene oxide

    NASA Astrophysics Data System (ADS)

    Kim, Songkil; Kulkarni, Dhaval D.; Henry, Mathias; Zackowski, Paul; Jang, Seung Soon; Tsukruk, Vladimir V.; Fedorov, Andrei G.

    2015-03-01

    We report on a method for "direct-write" conductive patterning via reduction of graphene oxide (GO) sheets using focused electron beam induced deposition (FEBID) of carbon. FEBID treatment of the intrinsically dielectric graphene oxide between two metal terminals opens up the conduction channel, thus enabling a unique capability for nanoscale conductive domain patterning in GO. An increase in FEBID electron dose results in a significant increase of the domain electrical conductivity with improving linearity of drain-source current vs. voltage dependence, indicative of a change of graphene oxide electronic properties from insulating to semiconducting. Density functional theory calculations suggest a possible mechanism underlying this experimentally observed phenomenon, as localized reduction of graphene oxide layers via interactions with highly reactive intermediates of electron-beam-assisted dissociation of surface-adsorbed hydrocarbon molecules. These findings establish an unusual route for using FEBID as nanoscale lithography and patterning technique for engineering carbon-based nanomaterials and devices with locally tailored electronic properties.

  17. Creating nanostructures on silicon using ion blistering and electron beam lithography

    NASA Astrophysics Data System (ADS)

    Giguère, Alexandre; Beerens, Jean; Terreault, Bernard

    2006-01-01

    We have investigated the patterning of silicon surfaces using ion blistering in conjunction with e-beam lithography. Variable width (150-5000 nm) trenches were first written in 500 nm thick PMMA resist spin coated on silicon, using an electron beam. Next, 10 keV H2+ ions were implanted to various fluences through the masks. The resist was then removed and the samples were rapidly thermally annealed at 900 °C. The resulting surface morphologies were investigated by atomic force microscopy. In the wider trenches, round blisters with 600-900 nm diameter are observed, which are similar to those observed on unmasked surfaces. In submicron trenches, there is a transition in morphology, caused by the proximity to the border. The blisters are smaller and they are densely aligned along the trench direction ('string of pearls' pattern). Unusual blister geometries are observed in the narrowest trenches (150 nm) at higher H doses (>=1 × 1017 H cm-2)—such as tubular blisters aligned along the trench. It was also found that for H doses of >=6 × 1016 H cm-2 the surface swells uniformly, which has implications for the blistering mechanism. The prospects for accomplishing ion cutting, layer transfer and bonding of finely delineated patterns of silicon onto another material are discussed in the light of the above results.

  18. InAs-based Hterostructure Barrier Varactor Diodes with In0.3Al0.7As0.4Sb0.6 as the Barrier Material

    DTIC Science & Technology

    2008-08-01

    discussed. 2. Device growth and fabrication HBV diode samples were grown by solid-source molecular beam epitaxy (MBE). The layer structure consisted of...defined simultaneously using optical lithography, and Ti:Pt:Au (100:50:2500 Å) unannealed, Ohmic contacts were depos- ited by e- beam evaporation. The diode...behavior of a doped-channel high-electron mobility transistor ( HEMT ). Device physics simula- tions of the 200 Å HBV (using ATLAS from Silvaco

  19. Method for nanomachining high aspect ratio structures

    DOEpatents

    Yun, Wenbing; Spence, John; Padmore, Howard A.; MacDowell, Alastair A.; Howells, Malcolm R.

    2004-11-09

    A nanomachining method for producing high-aspect ratio precise nanostructures. The method begins by irradiating a wafer with an energetic charged-particle beam. Next, a layer of patterning material is deposited on one side of the wafer and a layer of etch stop or metal plating base is coated on the other side of the wafer. A desired pattern is generated in the patterning material on the top surface of the irradiated wafer using conventional electron-beam lithography techniques. Lastly, the wafer is placed in an appropriate chemical solution that produces a directional etch of the wafer only in the area from which the resist has been removed by the patterning process. The high mechanical strength of the wafer materials compared to the organic resists used in conventional lithography techniques with allows the transfer of the precise patterns into structures with aspect ratios much larger than those previously achievable.

  20. Nanosilicon dot arrays with a bit pitch and a track pitch of 25 nm formed by electron-beam drawing and reactive ion etching for 1 Tbit/in.{sup 2} storage

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hosaka, Sumio; Sano, Hirotaka; Shirai, Masumi

    2006-11-27

    The formation of very fine Si dots with a bit pitch and a track pitch of less than 25 nm using electron-beam (EB) lithography on ZEP520 and calixarene EB resists and CF{sub 4} reactive ion etching has been demonstrated. The experimental results indicate that the calixarene resist is very suitable for forming an ultrahigh-packed bit array pattern of Si dots. This result promises to open the way toward 1 Tbit/in.{sup 2} storage using patterned media with a dot size of <15 nm.

  1. Nanoimprint lithography for nanodevice fabrication

    NASA Astrophysics Data System (ADS)

    Barcelo, Steven; Li, Zhiyong

    2016-09-01

    Nanoimprint lithography (NIL) is a compelling technique for low cost nanoscale device fabrication. The precise and repeatable replication of nanoscale patterns from a single high resolution patterning step makes the NIL technique much more versatile than other expensive techniques such as e-beam or even helium ion beam lithography. Furthermore, the use of mechanical deformation during the NIL process enables grayscale lithography with only a single patterning step, not achievable with any other conventional lithography techniques. These strengths enable the fabrication of unique nanoscale devices by NIL for a variety of applications including optics, plasmonics and even biotechnology. Recent advances in throughput and yield in NIL processes demonstrate the potential of being adopted for mainstream semiconductor device fabrication as well.

  2. Assessing the manufacturing tolerances and uniformity of CMOS compatible metamaterial fabrication

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Musick, Katherine M.; Wendt, Joel R.; Resnick, Paul J.

    Here, the manufacturing tolerances of a stencil-lithography variant, membrane projection lithography, were investigated. In the first part of this work, electron beam lithography was used to create stencils with a range of linewidths. These patterns were transferred into the stencil membrane and used to pattern metallic lines on vertical silicon faces. Only the largest lines, with a nominal width of 84 nm, were resolved, resulting in 45 ± 10 nm (average ± standard deviation) as deposited with 135-nm spacing. Although written in the e-beam write software file as 84-nm in width, the lines exhibited linewidth bias. This can largely bemore » attributed to nonvertical sidewalls inherent to dry etching techniques that cause proportionally larger impact with decreasing feature size. The line edge roughness can be significantly attributed to the grain structure of the aluminum nitride stencil membrane. In the second part of this work, the spatial uniformity of optically defined (as opposed to e-beam written) metamaterial structures over large areas was assessed. A Fourier transform infrared spectrometer microscope was used to collect the reflection spectra of samples with optically defined vertical split ring from 25 spatially resolved 300 × 300 μm regions in a 1-cm 2 area. The technique is shown to provide a qualitative measure of the uniformity of the inclusions.« less

  3. Assessing the manufacturing tolerances and uniformity of CMOS compatible metamaterial fabrication

    DOE PAGES

    Musick, Katherine M.; Wendt, Joel R.; Resnick, Paul J.; ...

    2018-01-18

    Here, the manufacturing tolerances of a stencil-lithography variant, membrane projection lithography, were investigated. In the first part of this work, electron beam lithography was used to create stencils with a range of linewidths. These patterns were transferred into the stencil membrane and used to pattern metallic lines on vertical silicon faces. Only the largest lines, with a nominal width of 84 nm, were resolved, resulting in 45 ± 10 nm (average ± standard deviation) as deposited with 135-nm spacing. Although written in the e-beam write software file as 84-nm in width, the lines exhibited linewidth bias. This can largely bemore » attributed to nonvertical sidewalls inherent to dry etching techniques that cause proportionally larger impact with decreasing feature size. The line edge roughness can be significantly attributed to the grain structure of the aluminum nitride stencil membrane. In the second part of this work, the spatial uniformity of optically defined (as opposed to e-beam written) metamaterial structures over large areas was assessed. A Fourier transform infrared spectrometer microscope was used to collect the reflection spectra of samples with optically defined vertical split ring from 25 spatially resolved 300 × 300 μm regions in a 1-cm 2 area. The technique is shown to provide a qualitative measure of the uniformity of the inclusions.« less

  4. Sequential infiltration synthesis for advanced lithography

    DOEpatents

    Darling, Seth B.; Elam, Jeffrey W.; Tseng, Yu-Chih; Peng, Qing

    2015-03-17

    A plasma etch resist material modified by an inorganic protective component via sequential infiltration synthesis (SIS) and methods of preparing the modified resist material. The modified resist material is characterized by an improved resistance to a plasma etching or related process relative to the unmodified resist material, thereby allowing formation of patterned features into a substrate material, which may be high-aspect ratio features. The SIS process forms the protective component within the bulk resist material through a plurality of alternating exposures to gas phase precursors which infiltrate the resist material. The plasma etch resist material may be initially patterned using photolithography, electron-beam lithography or a block copolymer self-assembly process.

  5. MAGIC: a European program to push the insertion of maskless lithography

    NASA Astrophysics Data System (ADS)

    Pain, L.; Icard, B.; Tedesco, S.; Kampherbeek, B.; Gross, G.; Klein, C.; Loeschner, H.; Platzgummer, E.; Morgan, R.; Manakli, S.; Kretz, J.; Holhe, C.; Choi, K.-H.; Thrum, F.; Kassel, E.; Pilz, W.; Keil, K.; Butschke, J.; Irmscher, M.; Letzkus, F.; Hudek, P.; Paraskevopoulos, A.; Ramm, P.; Weber, J.

    2008-03-01

    With the willingness of the semiconductor industry to push manufacturing costs down, the mask less lithography solution represents a promising option to deal with the cost and complexity concerns about the optical lithography solution. Though a real interest, the development of multi beam tools still remains in laboratory environment. In the frame of the seventh European Framework Program (FP7), a new project, MAGIC, started January 1st 2008 with the objective to strengthen the development of the mask less technology. The aim of the program is to develop multi beam systems from MAPPER and IMS nanofabrication technologies and the associated infrastructure for the future tool usage. This paper draws the present status of multi beam lithography and details the content and the objectives of the MAGIC project.

  6. Molecular dynamics modeling framework for overcoming nanoshape retention limits of imprint lithography

    NASA Astrophysics Data System (ADS)

    Cherala, Anshuman; Sreenivasan, S. V.

    2018-12-01

    Complex nanoshaped structures (nanoshape structures here are defined as shapes enabled by sharp corners with radius of curvature <5 nm) have been shown to enable emerging nanoscale applications in energy, electronics, optics, and medicine. This nanoshaped fabrication at high throughput is well beyond the capabilities of advanced optical lithography. While the highest-resolution e-beam processes (Gaussian beam tools with non-chemically amplified resists) can achieve <5 nm resolution, this is only available at very low throughputs. Large-area e-beam processes, needed for photomasks and imprint templates, are limited to 18 nm half-pitch lines and spaces and 20 nm half-pitch hole patterns. Using nanoimprint lithography, we have previously demonstrated the ability to fabricate precise diamond-like nanoshapes with 3 nm radius corners over large areas. An exemplary shaped silicon nanowire ultracapacitor device was fabricated with these nanoshaped structures, wherein the half-pitch was 100 nm. The device significantly exceeded standard nanowire capacitor performance (by 90%) due to relative increase in surface area per unit projected area, enabled by the nanoshape. Going beyond the previous work, in this paper we explore the scaling of these nanoshaped structures to 10 nm half-pitch and below. At these scales a new "shape retention" resolution limit is observed due to polymer relaxation in imprint resists, which cannot be predicted with a linear elastic continuum model. An all-atom molecular dynamics model of the nanoshape structure was developed here to study this shape retention phenomenon and accurately predict the polymer relaxation. The atomistic framework is an essential modeling and design tool to extend the capability of imprint lithography to sub-10 nm nanoshapes. This framework has been used here to propose process refinements that maximize shape retention, and design template assist features (design for nanoshape retention) to achieve targeted nanoshapes.

  7. Computational method for the correction of proximity effect in electron-beam lithography (Poster Paper)

    NASA Astrophysics Data System (ADS)

    Chang, Chih-Yuan; Owen, Gerry; Pease, Roger Fabian W.; Kailath, Thomas

    1992-07-01

    Dose correction is commonly used to compensate for the proximity effect in electron lithography. The computation of the required dose modulation is usually carried out using 'self-consistent' algorithms that work by solving a large number of simultaneous linear equations. However, there are two major drawbacks: the resulting correction is not exact, and the computation time is excessively long. A computational scheme, as shown in Figure 1, has been devised to eliminate this problem by the deconvolution of the point spread function in the pattern domain. The method is iterative, based on a steepest descent algorithm. The scheme has been successfully tested on a simple pattern with a minimum feature size 0.5 micrometers , exposed on a MEBES tool at 10 KeV in 0.2 micrometers of PMMA resist on a silicon substrate.

  8. Quantum point contacts for electrons on H-Si(111) surfaces using a Ga focused-ion beam for direct-write implant lithography

    NASA Astrophysics Data System (ADS)

    Robertson, Luke D.; Kane, B. E.

    Quantum point contacts (QPCs) realized in materials with anisotropic electron mass, such as Si, may exhibit valley filter phenomena leading to extreme sensitivity to single donor occupancy, and thus are of interest to measurement schemes for donor-based quantum information processing. To this end, we have developed ambipolar devices on a H-Si(111):Si(100)/SiO2 flip-chip assembly which utilize in-plane, degenerately doped n+ (P) and p+ (B) contacts to probe transport in a 2D electron system (2DES). In addition to providing electrostatic isolation of carriers, these p-type contacts can be used as lateral depletion gates to modulate the 2DES conductance, and if extended to the nanoscale can lead to 1D confinement and quantized conductance of the 2DES. In this talk, I will describe our efforts to use a Ga focused-ion beam for direct-write implant lithography to pattern QPCs and Ga nanowires on H-Si(111) surfaces. I will present low temperature (4.2K) conductance data collected on 30nm Ga nanowires to demonstrate their effectiveness as lateral depletion gates, and discuss on going measurements to confine and modulate the conductance of the 2DES using Ga QPCs.

  9. Simulation and experimental study of aspect ratio limitation in Fresnel zone plates for hard-x-ray optics.

    PubMed

    Liu, Jianpeng; Shao, Jinhai; Zhang, Sichao; Ma, Yaqi; Taksatorn, Nit; Mao, Chengwen; Chen, Yifang; Deng, Biao; Xiao, Tiqiao

    2015-11-10

    For acquiring high-contrast and high-brightness images in hard-x-ray optics, Fresnel zone plates with high aspect ratios (zone height/zone width) have been constantly pursued. However, knowledge of aspect ratio limits remains limited. This work explores the achievable aspect ratio limit in polymethyl methacrylate (PMMA) by electron-beam lithography (EBL) under 100 keV, and investigates the lithographic factors for this limitation. Both Monte Carlo simulation and EBL on thick PMMA are applied to investigate the profile evolution with exposure doses over 100 nm wide dense zones. A high-resolution scanning electron microscope at low acceleration mode for charging free is applied to characterize the resultant zone profiles. It was discovered for what we believe is the first time that the primary electron-beam spreading in PMMA and the proximity effect due to extra exposure from neighboring areas could be the major causes of limiting the aspect ratio. Using the optimized lithography condition, a 100 nm zone plate with aspect ratio of 15/1 was fabricated and its focusing property was characterized at the Shanghai Synchrotron Radiation Facility. The aspect ratio limit found in this work should be extremely useful for guiding further technical development in nanofabrication of high-quality Fresnel zone plates.

  10. Resist characteristics with direct-write electron beam and SCALPEL exposure system

    NASA Astrophysics Data System (ADS)

    Sato, Mitsuru; Omori, Katsumi; Ishikawa, Kiyoshi; Nakayama, Toshimasa; Novembre, Anthony E.; Ocola, Leonidas E.

    1999-06-01

    High acceleration voltage electron beam exposure is one of the possible candidates for post-optical lithography. The use of electrons, instead of photons, avoids optical related problems such as the standing wave issues. However, resists must conform to certain needs for the SCALPEL system, such as exposure in a vacuum chamber with 100kv electron beams. Taking into account the challenging requirements of high resolution, high sensitivity, low bake dependency and no outgassing, TOK has been able to develop resists to meet most of the SCALPEL system needs. However, due to the nature of chemical amplification and the PEB dependency, as is the case with DUV resist which varies for different features, we must recommend different resist for multiple features such as dense lines, isolated lines and contact holes. TOK has designed an electron beam negative resist, EN-009, which demonstrate 100nm pattern resolution. The dose to print on the SCALPEL system is 5.0(mu) C/cm2. The electron beam positive resist, EP-004M, has been designed for line and space patterns. The dose to print on the SCALPEL system is 8.25(mu) C/cm2. The processing conditions are standard, using 0.26N developer. These are the lowest exposure energies reported to date for similar resolution on this exposure tools.

  11. BioProgrammable One, Two, and Three Dimensional Materials

    DTIC Science & Technology

    2017-01-18

    or three- dimensional architectures. The Mirkin group has used DNA-functionalized nanoparticles as “programmable atom equivalents (PAEs)” as material...with electron beam lithography to simultaneously control material structure at the nano- and macroscopic length scales. The Nguyen group has...synthesized and assembled small molecule-DNA hybrids (SMDHs) as part of programmable atom equivalents . The Rosi group identified design rules for using

  12. DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ocola, Leonidas E.; Costales, Maya; Gosztola, David J.

    Poly methyl methacrylate (PMMA) is the most widely used resist in electron beam lithography. This paper reports on a lithography and Raman spectroscopy study of development characteristics of PMMA in methanol, ethanol and isopropanol mixtures with water as developers. We have found that ethanol/water mixtures at a 4:1 volume ratio are an excellent, high resolution, non-toxic, developer for exposed PMMA. We also have found that the proper methodology to use so that contrast data can be compared to techniques used in polymer science is not to rinse the developed resist but to immediately dry with nitrogen. Our results show howmore » powerful simple lithographic techniques can be used to study ternary polymer solvent solutions when compared to other techniques used in the literature. Raman data shows that there both tightly bonded –OH groups and non-hydrogen bonded –OH groups play a role in the development of PMMA. Tightly hydrogen bonded –OH groups show pure Lorentzian Raman absorption only in the concentration ranges where ethanol/water and IPA/water mixtures are effective developers of PMMA. The impact of the understanding these interactions may open doors to a new developers of other electron beam resists that can reduce the toxicity of the waste stream.« less

  13. Computer simulation of reconstructed image for computer-generated holograms

    NASA Astrophysics Data System (ADS)

    Yasuda, Tomoki; Kitamura, Mitsuru; Watanabe, Masachika; Tsumuta, Masato; Yamaguchi, Takeshi; Yoshikawa, Hiroshi

    2009-02-01

    This report presents the results of computer simulation images for image-type Computer-Generated Holograms (CGHs) observable under white light fabricated with an electron beam lithography system. The simulated image is obtained by calculating wavelength and intensity of diffracted light traveling toward the viewing point from the CGH. Wavelength and intensity of the diffracted light are calculated using FFT image generated from interference fringe data. Parallax image of CGH corresponding to the viewing point can be easily obtained using this simulation method. Simulated image from interference fringe data was compared with reconstructed image of real CGH with an Electron Beam (EB) lithography system. According to the result, the simulated image resembled the reconstructed image of the CGH closely in shape, parallax, coloring and shade. And, in accordance with the shape of the light sources the simulated images which were changed in chroma saturation and blur by using two kinds of simulations: the several light sources method and smoothing method. In addition, as the applications of the CGH, full-color CGH and CGH with multiple images were simulated. The result was that the simulated images of those CGHs closely resembled the reconstructed image of real CGHs.

  14. Sequential infiltration synthesis for advanced lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Darling, Seth B.; Elam, Jeffrey W.; Tseng, Yu-Chih

    A plasma etch resist material modified by an inorganic protective component via sequential infiltration synthesis (SIS) and methods of preparing the modified resist material. The modified resist material is characterized by an improved resistance to a plasma etching or related process relative to the unmodified resist material, thereby allowing formation of patterned features into a substrate material, which may be high-aspect ratio features. The SIS process forms the protective component within the bulk resist material through a plurality of alternating exposures to gas phase precursors which infiltrate the resist material. The plasma etch resist material may be initially patterned usingmore » photolithography, electron-beam lithography or a block copolymer self-assembly process.« less

  15. Annealing shallow Si/SiO2 interface traps in electron-beam irradiated high-mobility metal-oxide-silicon transistors

    NASA Astrophysics Data System (ADS)

    Kim, J.-S.; Tyryshkin, A. M.; Lyon, S. A.

    2017-03-01

    Electron-beam (e-beam) lithography is commonly used in fabricating metal-oxide-silicon (MOS) quantum devices but creates defects at the Si/SiO2 interface. Here, we show that a forming gas anneal is effective at removing shallow defects (≤4 meV below the conduction band edge) created by an e-beam exposure by measuring the density of shallow electron traps in two sets of high-mobility MOS field-effect transistors. One set was irradiated with an electron-beam (10 keV, 40 μC/cm2) and was subsequently annealed in forming gas while the other set remained unexposed. Low temperature (335 mK) transport measurements indicate that the forming gas anneal recovers the e-beam exposed sample's peak mobility (14 000 cm2/Vs) to within a factor of two of the unexposed sample's mobility (23 000 cm2/Vs). Using electron spin resonance (ESR) to measure the density of shallow traps, we find that the two sets of devices are nearly identical, indicating the forming gas anneal is sufficient to anneal out shallow defects generated by the e-beam exposure. Fitting the two sets of devices' transport data to a percolation transition model, we extract a T = 0 percolation threshold density in quantitative agreement with our lowest temperature ESR-measured trap densities.

  16. InAs-based Heterostructure Barrier Varactor Diodes with the In0.3Al0.7As0.4Sb0.6 as the Barrier Material

    DTIC Science & Technology

    2008-08-01

    discussed. 2. Device growth and fabrication HBV diode samples were grown by solid-source molecular beam epitaxy (MBE). The layer structure consisted of...defined simultaneously using optical lithography, and Ti:Pt:Au (100:50:2500 Å) unannealed, Ohmic contacts were depos- ited by e- beam evaporation. The diode...behavior of a doped-channel high-electron mobility transistor ( HEMT ). Device physics simula- tions of the 200 Å HBV (using ATLAS from Silvaco

  17. Enhancement-Mode Antimonide Quantum-Well MOSFETs With High Electron Mobility and Gigahertz Small-Signal Switching Performance

    DTIC Science & Technology

    2011-12-01

    grown on GaAs by molecular beam epitaxy and the defect-free active device layers. Fig. 1(c) shows the quantitative mobility spec- trum analysis (QMSA...dielectric deposition. A Pd/Au gate metal was defined using e- beam lithography and 0741-3106/$26.00 © 2011 IEEE Report Documentation Page Form...2010, pp. 6.3.1–6.3.4. [2] N. Kharche, G. Klimeck, D. Kim, J. A. del Alamo, and M. Luisier, “Performance analysis of ultra-scaled InAs HEMTs ,” in IEDM

  18. HED-TIE: A wafer-scale approach for fabricating hybrid electronic devices with trench isolated electrodes

    NASA Astrophysics Data System (ADS)

    Banerjee, Sreetama; Bülz, Daniel; Solonenko, Dmytro; Reuter, Danny; Deibel, Carsten; Hiller, Karla; Zahn, Dietrich R. T.; Salvan, Georgeta

    2017-05-01

    Organic-inorganic hybrid electronic devices (HEDs) offer opportunities for functionalities that are not easily obtainable with either organic or inorganic materials individually. In the strive for down-scaling the channel length in planar geometry HEDs, the best results were achieved with electron beam lithography or nanoimprint lithography. Their application on the wafer level is, however, cost intensive and time consuming. Here, we propose trench isolated electrode (TIE) technology as a fast, cost effective, wafer-level approach for the fabrication of planar HEDs with electrode gaps in the range of 100 nm. We demonstrate that the formation of the organic channel can be realized by deposition from solution as well as by the thermal evaporation of organic molecules. To underline one key feature of planar HED-TIEs, namely full accessibility of the active area of the devices by external stimuli such as light, 6,13-bis (triisopropylsilylethynyl) (TIPS)-pentacene/Au HED-TIEs are successfully tested for possible application as hybrid photodetectors in the visible spectral range.

  19. Soldering to a single atomic layer

    NASA Astrophysics Data System (ADS)

    Girit, ćaǧlar Ö.; Zettl, A.

    2007-11-01

    The standard technique to make electrical contact to nanostructures is electron beam lithography. This method has several drawbacks including complexity, cost, and sample contamination. We present a simple technique to cleanly solder submicron sized, Ohmic contacts to nanostructures. To demonstrate, we contact graphene, a single atomic layer of carbon, and investigate low- and high-bias electronic transport. We set lower bounds on the current carrying capacity of graphene. A simple model allows us to obtain device characteristics such as mobility, minimum conductance, and contact resistance.

  20. Soldering to a single atomic layer

    NASA Astrophysics Data System (ADS)

    Girit, Caglar; Zettl, Alex

    2008-03-01

    The standard technique to make electrical contact to nanostructures is electron beam lithography. This method has several drawbacks including complexity, cost, and sample contamination. We present a simple technique to cleanly solder submicron sized, Ohmic contacts to nanostructures. To demonstrate, we contact graphene, a single atomic layer of carbon, and investigate low- and high-bias electronic transport. We set lower bounds on the current carrying capacity of graphene. A simple model allows us to obtain device characteristics such as mobility, minimum conductance, and contact resistance.

  1. Plasma formed ion beam projection lithography system

    DOEpatents

    Leung, Ka-Ngo; Lee, Yung-Hee Yvette; Ngo, Vinh; Zahir, Nastaran

    2002-01-01

    A plasma-formed ion-beam projection lithography (IPL) system eliminates the acceleration stage between the ion source and stencil mask of a conventional IPL system. Instead a much thicker mask is used as a beam forming or extraction electrode, positioned next to the plasma in the ion source. Thus the entire beam forming electrode or mask is illuminated uniformly with the source plasma. The extracted beam passes through an acceleration and reduction stage onto the resist coated wafer. Low energy ions, about 30 eV, pass through the mask, minimizing heating, scattering, and sputtering.

  2. Fabrication of a negative PMMA master mold for soft-lithography by MeV ion beam lithography

    NASA Astrophysics Data System (ADS)

    Puttaraksa, Nitipon; Unai, Somrit; Rhodes, Michael W.; Singkarat, Kanda; Whitlow, Harry J.; Singkarat, Somsorn

    2012-02-01

    In this study, poly(methyl methacrylate) (PMMA) was investigated as a negative resist by irradiation with a high-fluence 2 MeV proton beam. The beam from a 1.7 MV Tandetron accelerator at the Plasma and Beam Physics Research Facility (PBP) of Chiang Mai University is shaped by a pair of computer-controlled L-shaped apertures which are used to expose rectangular pattern elements with 1-1000 μm side length. Repeated exposure of rectangular pattern elements allows a complex pattern to be built up. After subsequent development, the negative PMMA microstructure was used as a master mold for casting poly(dimethylsiloxane) (PDMS) following a standard soft-lithography process. The PDMS chip fabricated by this technique was demonstrated to be a microfluidic device.

  3. Electron beam controlled covalent attachment of small organic molecules to graphene

    NASA Astrophysics Data System (ADS)

    Markevich, Alexander; Kurasch, Simon; Lehtinen, Ossi; Reimer, Oliver; Feng, Xinliang; Müllen, Klaus; Turchanin, Andrey; Khlobystov, Andrei N.; Kaiser, Ute; Besley, Elena

    2016-01-01

    The electron beam induced functionalization of graphene through the formation of covalent bonds between free radicals of polyaromatic molecules and C&z.dbd;C bonds of pristine graphene surface has been explored using first principles calculations and high-resolution transmission electron microscopy. We show that the energetically strongest attachment of the radicals occurs along the armchair direction in graphene to carbon atoms residing in different graphene sub-lattices. The radicals tend to assume vertical position on graphene substrate irrespective of direction of the bonding and the initial configuration. The ``standing up'' molecules, covalently anchored to graphene, exhibit two types of oscillatory motion - bending and twisting - caused by the presence of acoustic phonons in graphene and dispersion attraction to the substrate. The theoretically derived mechanisms are confirmed by near atomic resolution imaging of individual perchlorocoronene (C24Cl12) molecules on graphene. Our results facilitate the understanding of controlled functionalization of graphene employing electron irradiation as well as mechanisms of attachment of impurities via the processing of graphene nanoelectronic devices by electron beam lithography.The electron beam induced functionalization of graphene through the formation of covalent bonds between free radicals of polyaromatic molecules and C&z.dbd;C bonds of pristine graphene surface has been explored using first principles calculations and high-resolution transmission electron microscopy. We show that the energetically strongest attachment of the radicals occurs along the armchair direction in graphene to carbon atoms residing in different graphene sub-lattices. The radicals tend to assume vertical position on graphene substrate irrespective of direction of the bonding and the initial configuration. The ``standing up'' molecules, covalently anchored to graphene, exhibit two types of oscillatory motion - bending and twisting - caused by the presence of acoustic phonons in graphene and dispersion attraction to the substrate. The theoretically derived mechanisms are confirmed by near atomic resolution imaging of individual perchlorocoronene (C24Cl12) molecules on graphene. Our results facilitate the understanding of controlled functionalization of graphene employing electron irradiation as well as mechanisms of attachment of impurities via the processing of graphene nanoelectronic devices by electron beam lithography. Electronic supplementary information (ESI) available: A table showing the calculated binding energies and magnetic moments for all studied molecular radicals; details of samples preparation and characterization; time series of TEM images showing transformations of a C24Cl12 molecule on graphene under electron irradiation. See DOI: 10.1039/c5nr07539d

  4. In situ electronic probing of semiconducting nanowires in an electron microscope.

    PubMed

    Fauske, V T; Erlbeck, M B; Huh, J; Kim, D C; Munshi, A M; Dheeraj, D L; Weman, H; Fimland, B O; Van Helvoort, A T J

    2016-05-01

    For the development of electronic nanoscale structures, feedback on its electronic properties is crucial, but challenging. Here, we present a comparison of various in situ methods for electronically probing single, p-doped GaAs nanowires inside a scanning electron microscope. The methods used include (i) directly probing individual as-grown nanowires with a sharp nano-manipulator, (ii) contacting dispersed nanowires with two metal contacts and (iii) contacting dispersed nanowires with four metal contacts. For the last two cases, we compare the results obtained using conventional ex situ litho-graphy contacting techniques and by in situ, direct-write electron beam induced deposition of a metal (Pt). The comparison shows that 2-probe measurements gives consistent results also with contacts made by electron beam induced deposition, but that for 4-probe, stray deposition can be a problem for shorter nanowires. This comparative study demonstrates that the preferred in situ method depends on the required throughput and reliability. © 2015 The Authors Journal of Microscopy © 2015 Royal Microscopical Society.

  5. Repair of localized defects in multilayer-coated reticle blanks for extreme ultraviolet lithography

    DOEpatents

    Stearns, Daniel G [Los Altos, CA; Sweeney, Donald W [San Ramon, CA; Mirkarimi, Paul B [Sunol, CA

    2004-11-23

    A method is provided for repairing defects in a multilayer coating layered onto a reticle blank used in an extreme ultraviolet lithography (EUVL) system. Using high lateral spatial resolution, energy is deposited in the multilayer coating in the vicinity of the defect. This can be accomplished using a focused electron beam, focused ion beam or a focused electromagnetic radiation. The absorbed energy will cause a structural modification of the film, producing a localized change in the film thickness. The change in film thickness can be controlled with sub-nanometer accuracy by adjusting the energy dose. The lateral spatial resolution of the thickness modification is controlled by the localization of the energy deposition. The film thickness is adjusted locally to correct the perturbation of the reflected field. For example, when the structural modification is a localized film contraction, the repair of a defect consists of flattening a mound or spreading out the sides of a depression.

  6. Stencil lithography of superconducting contacts on MBE-grown topological insulator thin films

    NASA Astrophysics Data System (ADS)

    Schüffelgen, Peter; Rosenbach, Daniel; Neumann, Elmar; Stehno, Martin P.; Lanius, Martin; Zhao, Jialin; Wang, Meng; Sheehan, Brendan; Schmidt, Michael; Gao, Bo; Brinkman, Alexander; Mussler, Gregor; Schäpers, Thomas; Grützmacher, Detlev

    2017-11-01

    Topological insulator (Bi0.06Sb0.94)2Te3 thin films grown by molecular beam epitaxy have been capped in-situ with a 2 nm Al film to conserve the pristine topological surface states. Subsequently, a shadow mask - structured by means of focus ion beam - was in-situ placed underneath the sample to deposit a thick layer of Al on well-defined microscopically small areas. The 2 nm thin Al layer fully oxidizes after exposure to air and in this way protects the TI surface from degradation. The thick Al layer remains metallic underneath a 3-4 nm thick native oxide layer and therefore serves as (super-) conducting contacts. Superconductor-Topological Insulator-Superconductor junctions with lateral dimensions in the nm range have then been fabricated via an alternative stencil lithography technique. Despite the in-situ deposition, transport measurements and transmission electron microscope analysis indicate a low transparency, due to an intermixed region at the interface between topological insulator thin film and metallic Al.

  7. Software-based data path for raster-scanned multi-beam mask lithography

    NASA Astrophysics Data System (ADS)

    Rajagopalan, Archana; Agarwal, Ankita; Buck, Peter; Geller, Paul; Hamaker, H. Christopher; Rao, Nagswara

    2016-10-01

    According to the 2013 SEMATECH Mask Industry Survey,i roughly half of all photomasks are produced using laser mask pattern generator ("LMPG") lithography. LMPG lithography can be used for all layers at mature technology nodes, and for many non-critical and semi-critical masks at advanced nodes. The extensive use of multi-patterning at the 14-nm node significantly increases the number of critical mask layers, and the transition in wafer lithography from positive tone resist to negative tone resist at the 14-nm design node enables the switch from advanced binary masks back to attenuated phase shifting masks that require second level writes to remove unwanted chrome. LMPG lithography is typically used for second level writes due to its high productivity, absence of charging effects, and versatile non-actinic alignment capability. As multi-patterning use expands from double to triple patterning and beyond, the number of LMPG second level writes increases correspondingly. The desire to reserve the limited capacity of advanced electron beam writers for use when essential is another factor driving the demand for LMPG capacity. The increasing demand for cost-effective productivity has kept most of the laser mask writers ever manufactured running in production, sometimes long past their projected lifespan, and new writers continue to be built based on hardware developed some years ago.ii The data path is a case in point. While state-ofthe- art when first introduced, hardware-based data path systems are difficult to modify or add new features to meet the changing requirements of the market. As data volumes increase, design styles change, and new uses are found for laser writers, it is useful to consider a replacement for this critical subsystem. The availability of low-cost, high-performance, distributed computer systems combined with highly scalable EDA software lends itself well to creating an advanced data path system. EDA software, in routine production today, scales well to hundreds or even thousands of CPU-cores, offering the potential for virtually unlimited capacity. Features available in EDA software such as sizing, scaling, tone reversal, OPC, MPC, rasterization, and others are easily adapted to the requirements of a data path system. This paper presents the motivation, requirements, design and performance of an advanced, scalable software data path system suitable to support multi-beam laser mask lithography.

  8. New Insights on Subsurface Imaging of Carbon Nanotubes in Polymer Composites via Scanning Electron Microscopy

    NASA Technical Reports Server (NTRS)

    Zhao, Minhua; Ming, Bin; Kim, Jae-Woo; Gibbons, Luke J.; Gu, Xiaohong; Nguyen, Tinh; Park, Cheol; Lillehei, Peter T.; Villarrubia, J. S.; Vladar, Andras E.; hide

    2015-01-01

    Despite many studies of subsurface imaging of carbon nanotube (CNT)-polymer composites via scanning electron microscopy (SEM), significant controversy exists concerning the imaging depth and contrast mechanisms. We studied CNT-polyimide composites and, by threedimensional reconstructions of captured stereo-pair images, determined that the maximum SEM imaging depth was typically hundreds of nanometers. The contrast mechanisms were investigated over a broad range of beam accelerating voltages from 0.3 to 30 kV, and ascribed to modulation by embedded CNTs of the effective secondary electron (SE) emission yield at the polymer surface. This modulation of the SE yield is due to non-uniform surface potential distribution resulting from current flows due to leakage and electron beam induced current. The importance of an external electric field on SEM subsurface imaging was also demonstrated. The insights gained from this study can be generally applied to SEM nondestructive subsurface imaging of conducting nanostructures embedded in dielectric matrices such as graphene-polymer composites, silicon-based single electron transistors, high resolution SEM overlay metrology or e-beam lithography, and have significant implications in nanotechnology.

  9. Exploring proximity effects and large depth of field in helium ion beam lithography: large-area dense patterns and tilted surface exposure.

    PubMed

    Flatabø, Ranveig; Agarwal, Akshay; Hobbs, Richard; Greve, Martin M; Holst, Bodil; Berggren, Karl K

    2018-07-06

    Helium ion beam lithography (HIL) is an emerging nanofabrication technique. It benefits from a reduced interaction volume compared to that of an electron beam of similar energy, and hence reduced long-range scattering (proximity effect), higher resist sensitivity and potentially higher resolution. Furthermore, the small angular spread of the helium ion beam gives rise to a large depth of field. This should enable patterning on tilted and curved surfaces without the need of any additional adjustments, such as laser-auto focus. So far, most work on HIL has been focused on exploiting the reduced proximity effect to reach single-digit nanometer resolution, and has thus been concentrated on single-pixel exposures over small areas. Here we explore two new areas of application. Firstly, we investigate the proximity effect in large-area exposures and demonstrate HIL's capabilities in fabricating precise high-density gratings on large planar surfaces (100 μm × 100 μm, with pitch down to 35 nm) using an area dose for exposure. Secondly, we exploit the large depth of field by making the first HIL patterns on tilted surfaces (sample stage tilted 45°). We demonstrate a depth of field greater than 100 μm for a resolution of about 20 nm.

  10. Photonic Hilbert transformers based on laterally apodized integrated waveguide Bragg gratings on a SOI wafer.

    PubMed

    Bazargani, Hamed Pishvai; Burla, Maurizio; Chrostowski, Lukas; Azaña, José

    2016-11-01

    We experimentally demonstrate high-performance integer and fractional-order photonic Hilbert transformers based on laterally apodized Bragg gratings in a silicon-on-insulator technology platform. The sub-millimeter-long gratings have been fabricated using single-etch electron beam lithography, and the resulting HT devices offer operation bandwidths approaching the THz range, with time-bandwidth products between 10 and 20.

  11. Photon antibunching from a single lithographically defined InGaAs/GaAs quantum dot.

    PubMed

    Verma, V B; Stevens, Martin J; Silverman, K L; Dias, N L; Garg, A; Coleman, J J; Mirin, R P

    2011-02-28

    We demonstrate photon antibunching from a single lithographically defined quantum dot fabricated by electron beam lithography, wet chemical etching, and overgrowth of the barrier layers by metalorganic chemical vapor deposition. Measurement of the second-order autocorrelation function indicates g(2)(0)=0.395±0.030, below the 0.5 limit necessary for classification as a single photon source.

  12. Vapor-Phase Nanopatterning of Aminosilanes with Electron Beam Lithography: Understanding and Minimizing Background Functionalization.

    PubMed

    Fetterly, Christopher R; Olsen, Brian C; Luber, Erik J; Buriak, Jillian M

    2018-04-24

    Electron beam lithography (EBL) is a highly precise, serial method for patterning surfaces. Positive tone EBL resists enable patterned exposure of the underlying surface, which can be subsequently functionalized for the application of interest. In the case of widely used native oxide-capped silicon surfaces, coupling an activated silane with electron beam lithography would enable nanoscale chemical patterning of the exposed regions. Aminoalkoxysilanes are extremely useful due to their reactive amino functionality but have seen little attention for nanopatterning silicon surfaces with an EBL resist due to background contamination. In this work, we investigated three commercial positive tone EBL resists, PMMA (950k and 495k) and ZEP520A (57k), as templates for vapor-phase patterning of two commonly used aminoalkoxysilanes, 3-aminopropyltrimethoxysilane (APTMS) and 3-aminopropyldiisopropylethoxysilane (APDIPES). The PMMA resists were susceptible to significant background reaction within unpatterned areas, a problem that was particularly acute with APTMS. On the other hand, with both APTMS and APDIPES exposure, unpatterned regions of silicon covered by the ZEP520A resist emerged pristine, as shown both with SEM images of the surfaces of the underlying silicon and through the lack of electrostatically driven binding of negatively charged gold nanoparticles. The ZEP520A resist allowed for the highly selective deposition of these alkoxyaminosilanes in the exposed areas, leaving the unpatterned areas clean, a claim also supported by contact angle measurements with four probe liquids and X-ray photoelectron spectroscopy (XPS). We investigated the mechanistic reasons for the stark contrast between the PMMA resists and ZEP520A, and it was found that the efficacy of resist removal appeared to be the critical factor in reducing the background functionalization. Differences in the molecular weight of the PMMA resists and the resulting influence on APTMS diffusion through the resist films are unlikely to have a significant impact. Area-selective nanopatterning of 15 nm gold nanoparticles using the ZEP520A resist was demonstrated, with no observable background conjugation noted in the unexposed areas on the silicon surface by SEM.

  13. Ultraclean single, double, and triple carbon nanotube quantum dots with recessed Re bottom gates

    NASA Astrophysics Data System (ADS)

    Jung, Minkyung; Schindele, Jens; Nau, Stefan; Weiss, Markus; Baumgartner, Andreas; Schoenenberger, Christian

    2014-03-01

    Ultraclean carbon nanotubes (CNTs) that are free from disorder provide a promising platform to manipulate single electron or hole spins for quantum information. Here, we demonstrate that ultraclean single, double, and triple quantum dots (QDs) can be formed reliably in a CNT by a straightforward fabrication technique. The QDs are electrostatically defined in the CNT by closely spaced metallic bottom gates deposited in trenches in Silicon dioxide by sputter deposition of Re. The carbon nanotubes are then grown by chemical vapor deposition (CVD) across the trenches and contacted using conventional electron beam lithography. The devices exhibit reproducibly the characteristics of ultraclean QDs behavior even after the subsequent electron beam lithography and chemical processing steps. We demonstrate the high quality using CNT devices with two narrow bottom gates and one global back gate. Tunable by the gate voltages, the device can be operated in four different regimes: i) fully p-type with ballistic transport between the outermost contacts (over a length of 700 nm), ii) clean n-type single QD behavior where a QD can be induced by either the left or the right bottom gate, iii) n-type double QD and iv) triple bipolar QD where the middle QD has opposite doping (p-type). Research at Basel is supported by the NCCR-Nano, NCCR-QIST, ERC project QUEST, and FP7 project SE2ND.

  14. Operational parameters of an opto-electronic neural network employing fixed planar holographic interconnects

    NASA Astrophysics Data System (ADS)

    Keller, P. E.; Gmitro, A. F.

    1993-07-01

    A prototype neutral network system of multifaceted, planar interconnection holograms and opto-electronic neurons is analyzed. This analysis shows that a hologram fabricated with electron-beam lithography has the capacity to connect 6700 neuron outputs to 6700 neuron inputs, and that, the encoded synaptic weights have a precision of approximately 5 bits. Higher interconnection densities can be achieved by accepting a lower synaptic weight accuracy. For systems employing laser diodes at the outputs of the neurons, processing rates in the range of 45 to 720 trillion connections per second can potentially be achieved.

  15. The Scanning Electron Microscope As An Accelerator For The Undergraduate Advanced Physics Laboratory

    NASA Astrophysics Data System (ADS)

    Peterson, Randolph S.; Berggren, Karl K.; Mondol, Mark

    2011-06-01

    Few universities or colleges have an accelerator for use with advanced physics laboratories, but many of these institutions have a scanning electron microscope (SEM) on site, often in the biology department. As an accelerator for the undergraduate, advanced physics laboratory, the SEM is an excellent substitute for an ion accelerator. Although there are no nuclear physics experiments that can be performed with a typical 30 kV SEM, there is an opportunity for experimental work on accelerator physics, atomic physics, electron-solid interactions, and the basics of modern e-beam lithography.

  16. Ferromagnetic resonance response of electron-beam patterned arrays of ferromagnetic nanoparticles

    NASA Astrophysics Data System (ADS)

    Jung, Sukkoo; Watkins, Byron; Feller, Jeffrey; Ketterson, John; Chandrasekhar, Venkat

    2001-03-01

    We report on the fabrication and the dynamic magnetic properties of periodic permalloy dot arrays. Electron-beam lithography and e-gun evaporation have been used to make the arrays with the aspect ratio of 2 (dot diameter : 40 nm, height : 80 nm) and periods of 100 - 200 nm. The magnetic properties of the arrays and their interactions have been investigated by ferromagnetic resonance (FMR), magnetic force microscopy (MFM), and SQUID magnetometry. The measured FMR data show that the position and magnitude of resonant absorption peaks strongly depend on the angle between magnetic field and the lattice structure. The results of dot arrays with various kinds of structural parameters will be presented. Supported by Army Research Office, DAAD19-99-1-0334/P001

  17. Embedded top-coat for reducing the effect out of band radiation in EUV lithography

    NASA Astrophysics Data System (ADS)

    Du, Ke; Siauw, Meiliana; Valade, David; Jasieniak, Marek; Voelcker, Nico; Trefonas, Peter; Thackeray, Jim; Blakey, Idriss; Whittaker, Andrew

    2017-03-01

    Out of band (OOB) radiation from the EUV source has significant implications for the performance of EUVL photoresists. Here we introduce a surface-active polymer additive, capable of partitioning to the top of the resist film during casting and annealing, to protect the underlying photoresist from OOB radiation. Copolymers were prepared using reversible addition-fragmentation chain transfer (RAFT) polymerization, and rendered surface active by chain extension with a block of fluoro-monomer. Films were prepared from the EUV resist with added surface-active Embedded Barrier Layer (EBL), and characterized using measurements of contact angles and spectroscopic ellipsometry. Finally, the lithographic performance of the resist containing the EBL was evaluated using Electron Beam Lithography exposure

  18. Improvement of sub-20nm pattern quality with dose modulation technique for NIL template production

    NASA Astrophysics Data System (ADS)

    Yagawa, Keisuke; Ugajin, Kunihiro; Suenaga, Machiko; Kanamitsu, Shingo; Motokawa, Takeharu; Hagihara, Kazuki; Arisawa, Yukiyasu; Kobayashi, Sachiko; Saito, Masato; Ito, Masamitsu

    2016-04-01

    Nanoimprint lithography (NIL) technology is in the spotlight as a next-generation semiconductor manufacturing technique for integrated circuits at 22 nm and beyond. NIL is the unmagnified lithography technique using template which is replicated from master templates. On the other hand, master templates are currently fabricated by electron-beam (EB) lithography[1]. In near future, finer patterns less than 15nm will be required on master template and EB data volume increases exponentially. So, we confront with a difficult challenge. A higher resolution EB mask writer and a high performance fabrication process will be required. In our previous study, we investigated a potential of photomask fabrication process for finer patterning and achieved 15.5nm line and space (L/S) pattern on template by using VSB (Variable Shaped Beam) type EB mask writer and chemically amplified resist. In contrast, we found that a contrast loss by backscattering decreases the performance of finer patterning. For semiconductor devices manufacturing, we must fabricate complicated patterns which includes high and low density simultaneously except for consecutive L/S pattern. Then it's quite important to develop a technique to make various size or coverage patterns all at once. In this study, a small feature pattern was experimentally formed on master template with dose modulation technique. This technique makes it possible to apply the appropriate exposure dose for each pattern size. As a result, we succeed to improve the performance of finer patterning in bright field area. These results show that the performance of current EB lithography process have a potential to fabricate NIL template.

  19. Maskless micro-ion-beam reduction lithography system

    DOEpatents

    Leung, Ka-Ngo; Barletta, William A.; Patterson, David O.; Gough, Richard A.

    2005-05-03

    A maskless micro-ion-beam reduction lithography system is a system for projecting patterns onto a resist layer on a wafer with feature size down to below 100 nm. The MMRL system operates without a stencil mask. The patterns are generated by switching beamlets on and off from a two electrode blanking system or pattern generator. The pattern generator controllably extracts the beamlet pattern from an ion source and is followed by a beam reduction and acceleration column.

  20. Sub-100-nm trackwidth development by e-beam lithography for advanced magnetic recording heads

    NASA Astrophysics Data System (ADS)

    Chang, Jei-Wei; Chen, Chao-Peng

    2006-03-01

    Although semiconductor industry ramps the products with 90 nm much quicker than anticipated [1], magnetic recording head manufacturers still have difficulties in producing sub-100 nm read/write trackwidth. Patterning for high-aspectratio writer requires much higher depth of focus (DOF) than most advanced optical lithography, including immersion technique developed recently [2]. Self-aligning reader with its stabilized bias requires a bi-layer lift-off structure where the underlayer is narrower than the top image layer. As the reader's trackwidth is below 100nm, the underlayer becomes very difficult to control. Among available approaches, e-beam lithography remains the most promising one to overcome the challenge of progressive miniaturization. In this communication, the authors discussed several approaches using ebeam lithography to achieve sub-100 nm read/write trackwidth. Our studies indicated the suspended resist bridge design can not only widen the process window for lift-off process but also makes 65 nm trackwidth feasible to manufacture. Necked dog-bone structure seems to be the best design in this application due to less proximity effects from adjacent structures and minimum blockages for ion beam etching. The trackwidth smaller than 65 nm can be fabricated via the combination of e-beam lithography with auxiliary slimming and/or trimming. However, deposit overspray through undercut becomes dominated in such a small dimension. To minimize the overspray, the effects of underlayer thickness need to be further studied.

  1. Visible diffraction from quasi-crystalline arrays of carbon nanotubes

    NASA Astrophysics Data System (ADS)

    Butler, Timothy P.; Butt, Haider; Wilkinson, Timothy D.; Amaratunga, Gehan A. J.

    2015-08-01

    Large area arrays of vertically-aligned carbon nanotubes (VACNTs) are patterned in a quasi-crystalline Penrose tile arrangement through electron beam lithography definition of Ni catalyst dots and subsequent nanotube growth by plasma-enhanced chemical vapour deposition. When illuminated with a 532 nm laser beam high-quality and remarkable diffraction patterns are seen. The diffraction is well matched to theoretical calculations which assume apertures to be present at the location of the VACNTs for transmitted light. The results show that VACNTs act as diffractive elements in reflection and can be used as spatially phased arrays for producing tailored diffraction patterns.

  2. Two-dimensional ultrahigh-density X-ray optical memory.

    PubMed

    Bezirganyan, Hakob P; Bezirganyan, Siranush E; Bezirganyan, Hayk H; Bezirganyan, Petros H

    2007-01-01

    Most important aspect of nanotechnology applications in the information ultrahigh storage is the miniaturization of data carrier elements of the storage media with emphasis on the long-term stability. Proposed two-dimensional ultrahigh-density X-ray optical memory, named X-ROM, with long-term stability is an information carrier basically destined for digital data archiving. X-ROM is a semiconductor wafer, in which the high-reflectivity nanosized X-ray mirrors are embedded. Data are encoded due to certain positions of the mirrors. Ultrahigh-density data recording procedure can e.g., be performed via mask-less zone-plate-array lithography (ZPAL), spatial-phase-locked electron-beam lithography (SPLEBL), or focused ion-beam lithography (FIB). X-ROM manufactured by nanolithography technique is a write-once memory useful for terabit-scale memory applications, if the surface area of the smallest recording pits is less than 100 nm2. In this case the X-ROM surface-storage capacity of a square centimetre becomes by two orders of magnitude higher than the volumetric data density really achieved for three-dimensional optical data storage medium. Digital data read-out procedure from proposed X-ROM can e.g., be performed via glancing-angle incident X-ray micro beam (GIX) using the well-developed X-ray reflectometry technique. In presented theoretical paper the crystal-analyser operating like an image magnifier is added to the set-up of X-ROM data handling system for the purpose analogous to case of application the higher numerical aperture objective in optical data read-out system. We also propose the set-up of the X-ROM readout system based on more the one incident X-ray micro beam. Presented scheme of two-beam data handling system, which operates on two mutually perpendicular well-collimated monochromatic incident X-ray micro beams, essentially increases the reliability of the digital information read-out procedure. According the graphs of characteristic functions presented in paper, one may choose optimally the incident radiation wavelength, as well as the angle of incidence of X-ray micro beams, appropriate for proposed digital data read-out procedure.

  3. Fabrication of nanoporous membranes for tuning microbial interactions and biochemical reactions

    DOE PAGES

    Shankles, Peter G.; Timm, Andrea C.; Doktycz, Mitchel J.; ...

    2015-10-21

    Here we describe how new strategies for combining conventional photo- and soft- lithographic techniques with high-resolution patterning and etching strategies are needed in order to produce multi-scale fluidic platforms that address the full range of functional scales seen in complex biological and chemical systems. The smallest resolution required for an application often dictates the fabrication method used. Micromachining and micro-powder blasting yield higher throughput, but lack the resolution needed to fully address biological and chemical systems at the cellular and molecular scales. In contrast, techniques such as electron beam lithography or nanoimprinting allow nanoscale resolution, but are traditionally considered costlymore » and slow. Other techniques such as photolithography or soft lithography have characteristics between these extremes. Combining these techniques to fabricate multi-scale or hybrid fluidics allows fundamental biological and chemical questions can be answered. In this study, a combination of photolithography and electron beam lithography are used to produce two multi-scale fluidic devices that incorporate porous membranes into complex fluidic networks to control the flow of energy, information, and materials in chemical form. In the first device, materials and energy were used to support chemical reactions. A nanoporous membrane fabricated with e-beam lithography separates two parallel, serpentine channels. Photolithography was used to write microfluidic channels around the membrane. The pores were written at 150nm and reduced in size with silicon dioxide deposition from plasma enhanced chemical vapor deposition (PECVD) and atomic layer deposition (ALD). Using this method, the molecular weight cutoff (MWCO) of the membrane can be adapted to the system of interest. In the second approach, photolithography was used to fabricate 200nm thin pores. The pores confined microbes and allowed energy replenishment from a media perfusion channel. The same device can be used for study of intercellular communication via the secretion and uptake of signal molecules. Pore size was tested with 750nm fluorescent polystyrene beads and fluorescein dye. The 200nm PDMS pores were shown to be robust enough to hold 750nm beads while under pressure, but allow fluorescein to diffuse across the barrier. Further testing showed that extended culture of bacteria within the chambers was possible. Finally, these two examples show how lithographically defined porous membranes can be adapted to two unique situations and used to tune the flow of chemical energy, materials, and information within a microfluidic network.« less

  4. From powerful research platform for industrial EUV photoresist development, to world record resolution by photolithography: EUV interference lithography at the Paul Scherrer Institute

    NASA Astrophysics Data System (ADS)

    Buitrago, Elizabeth; Fallica, Roberto; Fan, Daniel; Karim, Waiz; Vockenhuber, Michaela; van Bokhoven, Jeroen A.; Ekinci, Yasin

    2016-09-01

    Extreme ultraviolet interference lithography (EUV-IL, λ = 13.5 nm) has been shown to be a powerful technique not only for academic, but also for industrial research and development of EUV materials due to its relative simplicity yet record high-resolution patterning capabilities. With EUV-IL, it is possible to pattern high-resolution periodic images to create highly ordered nanostructures that are difficult or time consuming to pattern by electron beam lithography (EBL) yet interesting for a wide range of applications such as catalysis, electronic and photonic devices, and fundamental materials analysis, among others. Here, we will show state-of the-art research performed using the EUV-IL tool at the Swiss Light Source (SLS) synchrotron facility in the Paul Scherrer Institute (PSI). For example, using a grating period doubling method, a diffraction mask capable of patterning a world record in photolithography of 6 nm half-pitch (HP), was produced. In addition to the description of the method, we will give a few examples of applications of the technique. Well-ordered arrays of suspended silicon nanowires down to 6.5 nm linewidths have been fabricated and are to be studied as field effect transistors (FETs) or biosensors, for instance. EUV achromatic Talbot lithography (ATL), another interference scheme that utilizes a single grating, was shown to yield well-defined nanoparticles over large-areas with high uniformity presenting great opportunities in the field of nanocatalysis. EUV-IL is in addition, playing a key role in the future introduction of EUV lithography into high volume manufacturing (HVM) of semiconductor devices for the 7 and 5 nm logic node (16 nm and 13 nm HP, respectively) and beyond while the availability of commercial EUV-tools is still very much limited for research.

  5. Electron-beam lithography data preparation based on multithreading MGS/PROXECCO

    NASA Astrophysics Data System (ADS)

    Eichhorn, Hans; Lemke, Melchior; Gramss, Juergen; Buerger, B.; Baetz, Uwe; Belic, Nikola; Eisenmann, Hans

    2001-04-01

    This paper will highlight an enhanced MGS layout data post processor and the results of its industrial application. Besides the preparation of hierarchical GDS layout data, the processing of flat data has been drastically accelerated. The application of the Proximity Correction in conjunction with the OEM version of the PROXECCO was crowned with success for data preparation of mask sets featuring 0.25 micrometers /0.18 micrometers integration levels.

  6. Refractive-index change caused by electrons in amorphous AsS and AsSe thin films doped with different metals by photodiffusion

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nordman, Olli; Nordman, Nina; Pashkevich, Valfrid

    2001-08-01

    The refractive-index change caused by electrons was measured in amorphous AsS and AsSe thin films. Films were coated with different metals. Diffraction gratings were written by electron-beam lithography. The interactions of electrons in films with and without the photodiffusion of overcoated metal were compared. Incoming electrons caused metal atom and ion diffusion in both investigated cases. The metal diffusion was dependent on the metal and it was found to influence the refractive index. In some cases lateral diffusion of the metal was noticed. The conditions for applications were verified. {copyright} 2001 Optical Society of America

  7. Toward Deterministic Implantation of Nitrogen Vacancy Centers in Bulk Diamond Crystals

    NASA Astrophysics Data System (ADS)

    Brundage, T. O.; Atkins, Z.; Sangtawesin, S.; Petta, J. R.

    2014-03-01

    Over the last decade, research investigating the room temperature stability, coherence, and optical manipulation of spin states of the nitrogen vacancy (NV) center in diamond has made it a strong candidate for applications in magnetometry and quantum information processing. As research progresses and we begin to investigate the dynamics and scalability of multiple NV systems, the ability to place NV centers deterministically in the host material with high accuracy is critical. Here we implement a simple fabrication method for NV implantation. We expose and develop small dots in PMMA using an electron-beam lithography tool. Unexposed PMMA serves as a mask for 20 keV nitrogen-15 implantation. The implanted sample is then cleaned in a boiling mixture of nitric, sulfuric, and perchloric acid. Annealing at 850° for 2 hours allows vacancies to diffuse next to implanted nitrogen atoms, forming NV centers with an efficiency of a few percent. SRIM simulations provide nitrogen ion distribution within our diamond substrate and PMMA mask as functions of implantation energy. Thus, after balancing implantation parameters and exposure hole cross-sections, NV center placement can be achieved with accuracy limited by the precision of available electron-beam lithography equipment. Supported by the Sloan and Packard Foundations, the Army Research Office, and the National Science Foundation.

  8. Precision glass molding of high-resolution diffractive optical elements

    NASA Astrophysics Data System (ADS)

    Prater, Karin; Dukwen, Julia; Scharf, Toralf; Herzig, Hans P.; Plöger, Sven; Hermerschmidt, Andreas

    2016-04-01

    The demand of high resolution diffractive optical elements (DOE) is growing. Smaller critical dimensions allow higher deflection angles and can fulfill more demanding requirements, which can only be met by using electron-beam lithography. Replication techniques are more economical, since the high cost of the master can be distributed among a larger number of replicas. The lack of a suitable mold material for precision glass molding has so far prevented an industrial use. Glassy Carbon (GC) offers a high mechanical strength and high thermal strength. No anti-adhesion coatings are required in molding processes. This is clearly an advantage for high resolution, high aspect ratio microstructures, where a coating with a thickness between 10 nm and 200 nm would cause a noticeable rounding of the features. Electron-beam lithography was used to fabricate GC molds with highest precision and feature sizes from 250 nm to 2 μm. The master stamps were used for precision glass molding of a low Tg glass L-BAL42 from OHARA. The profile of the replicated glass is compared to the mold with the help of SEM images. This allows discussion of the max. aspect-ratio and min. feature size. To characterize optical performances, beamsplitting elements are fabricated and their characteristics were investigated, which are in excellent agreement to theory.

  9. New 3D structuring process for non-integrated circuit related technologies (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Nouri, Lamia; Possémé, Nicolas; Landis, Stéfan; Milesi, Frédéric; Gaillard, Frédéric-Xavier

    2017-04-01

    Fabrication processes that microelectronic developed for Integrated circuit (IC) technologies for decades, do not meet the new emerging structuration's requirements, in particular non-IC related technologies one, such as MEMS/NEMS, Micro-Fluidics, photovoltaics, lenses. Actually complex 3D structuration requires complex lithography patterning approaches such as gray-scale electron beam lithography, laser ablation, focused ion beam lithography, two photon polymerization. It is now challenging to find cheaper and easiest technique to achieve 3D structures. In this work, we propose a straightforward process to realize 3D structuration, intended for silicon based materials (Si, SiN, SiOCH). This structuration technique is based on nano-imprint lithography (NIL), ion implantation and selective wet etching. In a first step a pattern is performed by lithography on a substrate, then ion implantation is realized through a resist mask in order to create localized modifications in the material, thus the pattern is transferred into the subjacent layer. Finally, after the resist stripping, a selective wet etching is carried out to remove selectively the modified material regarding the non-modified one. In this paper, we will first present results achieved with simple 2D line array pattern processed either on Silicon or SiOCH samples. This step have been carried out to demonstrate the feasibility of this new structuration process. SEM pictures reveals that "infinite" selectivity between the implanted areas versus the non-implanted one could be achieved. We will show that a key combination between the type of implanted ion species and wet etching chemistries is required to obtain such results. The mechanisms understanding involved during both implantation and wet etching processes will also be presented through fine characterizations with Photoluminescence, Raman and Secondary Ion Mass Spectrometry (SIMS) for silicon samples, and ellipso-porosimetry and Fourier Transform InfraRed spectroscopy (FTIR) for SiOCH samples. Finally the benefit of this new patterning approach will be presented on 3D patterns structures.

  10. Defect and field-enhancement characterization through electron-beam-induced current analysis

    NASA Astrophysics Data System (ADS)

    Umezawa, Hitoshi; Gima, Hiroki; Driche, Khaled; Kato, Yukako; Yoshitake, Tsuyoshi; Mokuno, Yoshiaki; Gheeraert, Etienne

    2017-05-01

    To investigate the effects of defects and field enhancement in diamond power devices, a biased Schottky barrier diode was characterized by electron-beam-induced current (EBIC) analysis. The nonuniform distribution of the electrical field was revealed by bright spots on the laterally expanded depletion layer of the EBIC intensity map when the applied electrical field exceeded 0.95 MV/cm. The nonuniformity is partly due to a structural effect: the roughness at the edge of the Schottky electrode, induced by lithography and lift-off processes. A second family of spots was shown to increase the leakage current of the device. The time constant associated with this second spot family was 0.98 ms, which is three orders of magnitude shorter than that for defects previously characterized by deep-level transient spectroscopy.

  11. Geometrical and morphological optimizations of plasmonic nanoarrays for high-performance SERS detection

    NASA Astrophysics Data System (ADS)

    Li, W. Q.; Wang, G.; Zhang, X. N.; Geng, H. P.; Shen, J. L.; Wang, L. S.; Zhao, J.; Xu, L. F.; Zhang, L. J.; Wu, Y. Q.; Tai, R. Z.; Chen, G.

    2015-09-01

    Here we present an in-depth and comprehensive study of the effect of the geometry and morphology of nanoarray (NA) substrates on their surface-enhanced Raman scattering (SERS) performance. The high-quality SERS-active NA substrates of various unit shapes and pitches are assembled through electron beam lithography and fabricated by electron beam physical vapor deposition. Good agreement is found on comparing the Raman scattering results with the integrals of the fourth power of local electric fields from the three-dimensional numerical simulations. A novel type of hybrid NA substrate composed of disordered nanoparticles and a periodic NA is fabricated and characterized. The morphology of NAs has little influence on the SERS performance of hybrid NA substrates and they perform better than both their counterparts pure NA and disordered nanoparticle substrates.

  12. Geometrical and morphological optimizations of plasmonic nanoarrays for high-performance SERS detection.

    PubMed

    Li, W Q; Wang, G; Zhang, X N; Geng, H P; Shen, J L; Wang, L S; Zhao, J; Xu, L F; Zhang, L J; Wu, Y Q; Tai, R Z; Chen, G

    2015-10-07

    Here we present an in-depth and comprehensive study of the effect of the geometry and morphology of nanoarray (NA) substrates on their surface-enhanced Raman scattering (SERS) performance. The high-quality SERS-active NA substrates of various unit shapes and pitches are assembled through electron beam lithography and fabricated by electron beam physical vapor deposition. Good agreement is found on comparing the Raman scattering results with the integrals of the fourth power of local electric fields from the three-dimensional numerical simulations. A novel type of hybrid NA substrate composed of disordered nanoparticles and a periodic NA is fabricated and characterized. The morphology of NAs has little influence on the SERS performance of hybrid NA substrates and they perform better than both their counterparts pure NA and disordered nanoparticle substrates.

  13. Ultrafast third-harmonic spectroscopy of single nanoantennas fabricated using helium-ion beam lithography

    NASA Astrophysics Data System (ADS)

    Kollmann, H.; Esmann, M.; Becker, S. F.; Piao, X.; Huynh, C.; Kautschor, L.-O.; Bösker, G.; Vieker, H.; Beyer, A.; Gölzhäuser, A.; Park, N.; Silies, M.; Lienau, C.

    2016-03-01

    Metallic nanoantennas are able to spatially localize far-field electromagnetic waves on a few nanometer length scale in the form of surface plasmon excitations 1-3. Standard tools for fabricating bowtie and rod antennas with sub-20 nm feature sizes are Electron Beam Lithography or Ga-based Focused Ion Beam (FIB) Milling. These structures, however, often suffer from surface roughness and hence show only a limited optical polarization contrast and therefore a limited electric field localization. Here, we combine Ga- and He-ion based milling (HIM) for the fabrication of gold bowtie and rod antennas with gap sizes of less than 6 nm combined with a high aspect ratio. Using polarization-sensitive Third-Harmonic (TH) spectroscopy, we compare the nonlinear optical properties of single HIM-antennas with sub-6-nm gaps with those produced by standard Ga-based FIB. We find a pronounced enhancement of the total TH intensity of more than three in comparison to Ga-FIB antennas and a highly improved polarization contrast of the TH intensity of 250:1 for Heion produced antennas 4. These findings combined with Finite-Element Method calculations demonstrate a field enhancement of up to one hundred in the few-nanometer gap of the antenna. This makes He-ion beam milling a highly attractive and promising new tool for the fabrication of plasmonic nanoantennas with few-nanometer feature sizes.

  14. Formation of 2D-PhCs with missing holes based on Si-layers by EBL

    NASA Astrophysics Data System (ADS)

    Utkin, D. E.; Shklyev, A. A.; Tsarev, A. V.; Latyshev, A. V.

    2017-11-01

    The fabrication of the periodic structures, that is two-dimensional photonic crystals (2D PhCs) based on Si-materials by electron beam lithography (EBL) technique has been studied. We have investigated basic lithography processes such as designing, exposition, development, etching and others. The developed top-down approach allows close-packed arrays of elements and holes to be formed in nanometre range. This can be used to produce 2D PhCs with emitting micro-cavities (missing holes) with lateral size parameters with an accuracy of about 2% in the Si (100) substrate and in silicon-on-insulator structures. Such accuracy is expected to be sufficient for obtaining the cavities-coupling radiation interference from large areas of 2D PhCs.

  15. Next Generation Nanotechnology Assembly Fabrication Methods: A Trend Forecast

    DTIC Science & Technology

    2008-01-01

    accessed: January 12, 2007. 33 Eck, Wolfgang , et al. “Generation of two- and three-dimensional nanostructures by electron beam lithography on self...nano/reports/mcr_05-0526_intpersp_nano.pdf, accessed on January 15, 2007. 62 Ibid. 63 Ibid. 64 Ibid. 65 Ibid. 66 Luther, Wolfgang ...Defense Merrick E. Krause , May 2002 29 Death by a Thousand Cuts: Micro-Air Vehicles in the Service of Air Force Missions Arthur F. Huber II, June

  16. Microsystems Research in Japan

    DTIC Science & Technology

    2003-09-01

    microsystems applications, like microfluidic systems, will require more than planar lithography -based fabrication processes. The committee was impressed by the...United States focused on exploiting silicon planar lithography as the core technology for microstructure fabrication, whereas Japan explored a wide...including LIGA and its extensions, micro-stereolithography, and e-beam lithography . The range of materials seen in Japan was broader than in the

  17. First Results From A Multi-Ion Beam Lithography And Processing System At The University Of Florida

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gila, Brent; Appleton, Bill R.; Fridmann, Joel

    2011-06-01

    The University of Florida (UF) have collaborated with Raith to develop a version of the Raith ionLiNE IBL system that has the capability to deliver multi-ion species in addition to the Ga ions normally available. The UF system is currently equipped with a AuSi liquid metal alloy ion source (LMAIS) and ExB filter making it capable of delivering Au and Si ions and ion clusters for ion beam processing. Other LMAIS systems could be developed in the future to deliver other ion species. This system is capable of high performance ion beam lithography, sputter profiling, maskless ion implantation, ion beammore » mixing, and spatial and temporal ion beam assisted writing and processing over large areas (100 mm2)--all with selected ion species at voltages from 15-40 kV and nanometer precision. We discuss the performance of the system with the AuSi LMAIS source and ExB mass separator. We report on initial results from the basic system characterization, ion beam lithography, as well as for basic ion-solid interactions.« less

  18. Holographic fabrication of 3D photonic crystals through interference of multi-beams with 4 + 1, 5 + 1 and 6 + 1 configurations.

    PubMed

    George, D; Lutkenhaus, J; Lowell, D; Moazzezi, M; Adewole, M; Philipose, U; Zhang, H; Poole, Z L; Chen, K P; Lin, Y

    2014-09-22

    In this paper, we are able to fabricate 3D photonic crystals or quasi-crystals through single beam and single optical element based holographic lithography. The reflective optical elements are used to generate multiple side beams with s-polarization and one central beam with circular polarization which in turn are used for interference based holographic lithography without the need of any other bulk optics. These optical elements have been used to fabricate 3D photonic crystals with 4, 5 or 6-fold symmetry. A good agreement has been observed between fabricated holographic structures and simulated interference patterns.

  19. Demonstration of electronic design automation flow for massively parallel e-beam lithography

    NASA Astrophysics Data System (ADS)

    Brandt, Pieter; Belledent, Jérôme; Tranquillin, Céline; Figueiro, Thiago; Meunier, Stéfanie; Bayle, Sébastien; Fay, Aurélien; Milléquant, Matthieu; Icard, Beatrice; Wieland, Marco

    2014-07-01

    For proximity effect correction in 5 keV e-beam lithography, three elementary building blocks exist: dose modulation, geometry (size) modulation, and background dose addition. Combinations of these three methods are quantitatively compared in terms of throughput impact and process window (PW). In addition, overexposure in combination with negative bias results in PW enhancement at the cost of throughput. In proximity effect correction by over exposure (PEC-OE), the entire layout is set to fixed dose and geometry sizes are adjusted. In PEC-dose to size (DTS) both dose and geometry sizes are locally optimized. In PEC-background (BG), a background is added to correct the long-range part of the point spread function. In single e-beam tools (Gaussian or Shaped-beam), throughput heavily depends on the number of shots. In raster scan tools such as MAPPER Lithography's FLX 1200 (MATRIX platform) this is not the case and instead of pattern density, the maximum local dose on the wafer is limiting throughput. The smallest considered half-pitch is 28 nm, which may be considered the 14-nm node for Metal-1 and the 10-nm node for the Via-1 layer, achieved in a single exposure with e-beam lithography. For typical 28-nm-hp Metal-1 layouts, it was shown that dose latitudes (size of process window) of around 10% are realizable with available PEC methods. For 28-nm-hp Via-1 layouts this is even higher at 14% and up. When the layouts do not reach the highest densities (up to 10∶1 in this study), PEC-BG and PEC-OE provide the capability to trade throughput for dose latitude. At the highest densities, PEC-DTS is required for proximity correction, as this method adjusts both geometry edges and doses and will reduce the dose at the densest areas. For 28-nm-hp lines critical dimension (CD), hole&dot (CD) and line ends (edge placement error), the data path errors are typically 0.9, 1.0 and 0.7 nm (3σ) and below, respectively. There is not a clear data path performance difference between the investigated PEC methods. After the simulations, the methods were successfully validated in exposures on a MAPPER pre-alpha tool. A 28-nm half pitch Metal-1 and Via-1 layouts show good performance in resist that coincide with the simulation result. Exposures of soft-edge stitched layouts show that beam-to-beam position errors up to ±7 nm specified for FLX 1200 show no noticeable impact on CD. The research leading to these results has been performed in the frame of the industrial collaborative consortium IMAGINE.

  20. Single Layer Surface-Grafted PMMA as a Negative-Tone e-Beam Resist.

    PubMed

    Yamada, Hirotaka; Aydinoglu, Ferhat; Liu, Yaoze; Dey, Ripon K; Cui, Bo

    2017-12-05

    One of the important challenges in electron beam lithography is nanofabrication on nonflat or irregular surfaces. Although spin coating is the most popular technique for resist coating, it is not suitable for nonflat, irregular substrates because a uniform film cannot be achieved on those surfaces. Here, it is demonstrated that single layer surface-grafted PMMA can be used as a negative-tone e-beam resist, and it can be applied to nonflat, irregular surfaces as well as flat, conventional surfaces. Although it is well known that heavily exposed PMMA undergoes cross-linking and works as a negative-tone e-beam resist when developed by solvent, solvent does not work as a developer for negative-tone single-layer surface-grafted PMMA. Instead, thermal treatment at 360 °C for 1 min is used to develop PMMA.

  1. Temperature dependency of the emission properties from positioned In(Ga)As/GaAs quantum dots

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Braun, T.; Schneider, C.; Maier, S.

    In this letter we study the influence of temperature and excitation power on the emission linewidth from site-controlled InGaAs/GaAs quantum dots grown on nanoholes defined by electron beam lithography and wet chemical etching. We identify thermal electron activation as well as direct exciton loss as the dominant intensity quenching channels. Additionally, we carefully analyze the effects of optical and acoustic phonons as well as close-by defects on the emission linewidth by means of temperature and power dependent micro-photoluminescence on single quantum dots with large pitches.

  2. Integrated photonics using colloidal quantum dots

    NASA Astrophysics Data System (ADS)

    Menon, Vinod M.; Husaini, Saima; Okoye, Nicky; Valappil, Nikesh V.

    2009-11-01

    Integrated photonic devices were realized using colloidal quantum dot composites such as flexible microcavity laser, microdisk emitters and integrated active-passive waveguides. The microcavity laser structure was realized using spin coating and consisted of an all-polymer distributed Bragg reflector with a poly-vinyl carbazole cavity layer embedded with InGaP/ZnS colloidal quantum dots. These microcavities can be peeled off the substrate yielding a flexible structure that can conform to any shape and whose emission spectra can be mechanically tuned. Planar photonic devices consisting of vertically coupled microring resonators, microdisk emitters, active-passive integrated waveguide structures and coupled active microdisk resonators were realized using soft lithography, photo-lithography, and electron beam lithography, respectively. The gain medium in all these devices was a composite consisting of quantum dots embedded in SU8 matrix. Finally, the effect of the host matrix on the optical properties of the quantum dots using results of steady-state and time-resolved luminescence measurements was determined. In addition to their specific functionalities, these novel device demonstrations and their development present a low-cost alternative to the traditional photonic device fabrication techniques.

  3. Self-assembly and nanosphere lithography for large-area plasmonic patterns on graphene.

    PubMed

    Lotito, Valeria; Zambelli, Tomaso

    2015-06-01

    Plasmonic structures on graphene can tailor its optical properties, which is essential for sensing and optoelectronic applications, e.g. for the enhancement of photoresponsivity of graphene photodetectors. Control over their structural and, hence, spectral properties can be attained by using electron beam lithography, which is not a viable solution for the definition of patterns over large areas. For the fabrication of large-area plasmonic nanostructures, we propose to use self-assembled monolayers of nanospheres as a mask for metal evaporation and etching processes. An optimized approach based on self-assembly at air/water interface with a properly designed apparatus allows the attainment of monolayers of hexagonally closely packed patterns with high long-range order and large area coverage; special strategies are devised in order to protect graphene against damage resulting from surface treatment and further processing steps such as reactive ion etching, which could potentially impair graphene properties. Therefore we demonstrate that nanosphere lithography is a cost-effective solution to create plasmonic patterns on graphene. Copyright © 2014 Elsevier Inc. All rights reserved.

  4. Emergence of Quantum Phase-Slip Behaviour in Superconducting NbN Nanowires: DC Electrical Transport and Fabrication Technologies.

    PubMed

    Constantino, Nicolas G N; Anwar, Muhammad Shahbaz; Kennedy, Oscar W; Dang, Manyu; Warburton, Paul A; Fenton, Jonathan C

    2018-06-16

    Superconducting nanowires undergoing quantum phase-slips have potential for impact in electronic devices, with a high-accuracy quantum current standard among a possible toolbox of novel components. A key element of developing such technologies is to understand the requirements for, and control the production of, superconducting nanowires that undergo coherent quantum phase-slips. We present three fabrication technologies, based on using electron-beam lithography or neon focussed ion-beam lithography, for defining narrow superconducting nanowires, and have used these to create nanowires in niobium nitride with widths in the range of 20⁻250 nm. We present characterisation of the nanowires using DC electrical transport at temperatures down to 300 mK. We demonstrate that a range of different behaviours may be obtained in different nanowires, including bulk-like superconducting properties with critical-current features, the observation of phase-slip centres and the observation of zero conductance below a critical voltage, characteristic of coherent quantum phase-slips. We observe critical voltages up to 5 mV, an order of magnitude larger than other reports to date. The different prominence of quantum phase-slip effects in the various nanowires may be understood as arising from the differing importance of quantum fluctuations. Control of the nanowire properties will pave the way for routine fabrication of coherent quantum phase-slip nanowire devices for technology applications.

  5. Mask pattern generator employing EPL technology

    NASA Astrophysics Data System (ADS)

    Yoshioka, Nobuyuki; Yamabe, Masaki; Wakamiya, Wataru; Endo, Nobuhiro

    2003-08-01

    Mask cost is one of crucial issues in device fabrication, especially in SoC (System on a Chip) with small-volume production. The cost mainly depends on productivity of mask manufacturing tools such as mask writers and defect inspection tools. EPL (Electron Projection Lithography) has been developing as a high-throughput electron beam exposure technology that will succeed optical lithography. The application of EPL technology to mask writing will result in high productivity and contribute to decrease the mask cost. The concept of a mask pattern generator employing EPL technology is proposed in this paper. It is very similar to EPL technology used for pattern printing on a wafer. The mask patterns on the glass substrate are exposed by projecting the basic circuit patterns formed on the mother EPL mask. One example of the mother EPL mask is a stencil type made with 200-mm Si wafer. The basic circuit patterns are IP patterns and logical primitive patterns such as cell libraries (AND, OR, Inverter, Flip-Flop and etc.) to express the SoC device patterns. Since the SoC patterns are exposed with its collective units such as IP and logical primitive patterns by using this method, the high throughput will be expected comparing with conventional mask E-beam writers. In this paper, the mask pattern generator with the EPL technology is proposed. The concept, its advantages and issues to be solved are discussed.

  6. Fabrication of high aspect ratio tungsten nanostructures on ultrathin c-Si membranes for extreme UV applications

    NASA Astrophysics Data System (ADS)

    Delachat, F.; Le Drogoff, B.; Constancias, C.; Delprat, S.; Gautier, E.; Chaker, M.; Margot, J.

    2016-01-01

    In this work, we demonstrate a full process for fabricating high aspect ratio diffraction optics for extreme ultraviolet lithography. The transmissive optics consists in nanometer scale tungsten patterns standing on flat, ultrathin (100 nm) and highly transparent (>85% at 13.5 nm) silicon membranes (diameter of 1 mm). These tungsten patterns were achieved using an innovative pseudo-Bosch etching process based on an inductively coupled plasma ignited in a mixture of SF6 and C4F8. Circular ultra-thin Si membranes were fabricated through a state-of-the-art method using direct-bonding with thermal difference. The silicon membranes were sputter-coated with a few hundred nanometers (100-300 nm) of stress-controlled tungsten and a very thin layer of chromium. Nanoscale features were written in a thin resist layer by electron beam lithography and transferred onto tungsten by plasma etching of both the chromium hard mask and the tungsten layer. This etching process results in highly anisotropic tungsten features at room temperature. The homogeneity and the aspect ratio of the advanced pattern transfer on the membranes were characterized with scanning electron microscopy after focus ion beam milling. An aspect ratio of about 6 for 35 nm size pattern is successfully obtained on a 1 mm diameter 100 nm thick Si membrane. The whole fabrication process is fully compatible with standard industrial semiconductor technology.

  7. Layer-by-Layer Templated Assembly of Silica at the Nanoscale

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hinestrosa, Juan Pablo; Sutton, Jonathan E.; Allison, David P.

    2013-01-29

    Bioinspired bottom-up assembly and layer-by-layer (LbL) construction of inorganic materials from lithographically defined organic templates enables the fabrication of nanostructured systems under mild temperature and pH conditions. Such processes open the door to low-impact manufacturing and facile recycling of hybrid materials for energy, biology, and information technologies. Here, templated LbL assembly of silica was achieved using a combination of electron beam lithography, chemical lift-off, and aqueous solution chemistry. Nanopatterns of lines, honeycomb-lattices, and dot arrays were defined in polymer resist using electron beam lithography. Following development, exposed areas of silicon were functionalized with a vapor deposited amine-silane monolayer. Silicic acidmore » solutions of varying pH and salt content were reacted with the patterned organic amine-functional templates. Vapor treatment and solution reaction could be repeated, allowing LbL deposition. Conditions for the silicic acid deposition had a strong effect on thickness of each layer, and the morphology of the amorphous silica formed. Defects in the arrays of silica nanostructures were minor and do not affect the overall organization of the layers. In conclusion, the bioinspired method described here facilitates the bottom-up assembly of inorganic nanostructures defined in three dimensions and provides a path, via LbL processing, for the construction of layered hybrid materials under mild conditions.« less

  8. Electrically conducting nanopatterns formed by chemical e-beam lithography via gold nanoparticle seeds.

    PubMed

    Schaal, Patrick A; Besmehn, Astrid; Maynicke, Eva; Noyong, Michael; Beschoten, Bernd; Simon, Ulrich

    2012-02-07

    We report the formation of thiol nanopatterns on SAM covered silicon wafers by converting sulfonic acid head groups via e-beam lithography. These thiol groups act as binding sites for gold nanoparticles, which can be enhanced to form electrically conducting nanostructures. This approach serves as a proof-of-concept for the combination of top-down and bottom-up processes for the generation of electrical devices on silicon.

  9. Gold-implanted shallow conducting layers in polymethylmethacrylate

    NASA Astrophysics Data System (ADS)

    Teixeira, F. S.; Salvadori, M. C.; Cattani, M.; Brown, I. G.

    2009-03-01

    PMMA (polymethylmethacrylate) was ion implanted with gold at very low energy and over a range of different doses using a filtered cathodic arc metal plasma system. A nanometer scale conducting layer was formed, fully buried below the polymer surface at low implantation dose, and evolving to include a gold surface layer as the dose was increased. Depth profiles of the implanted material were calculated using the Dynamic TRIM computer simulation program. The electrical conductivity of the gold-implanted PMMA was measured in situ as a function of dose. Samples formed at a number of different doses were subsequently characterized by Rutherford backscattering spectrometry, and test patterns were formed on the polymer by electron beam lithography. Lithographic patterns were imaged by atomic force microscopy and demonstrated that the contrast properties of the lithography were well maintained in the surface-modified PMMA.

  10. Model-based virtual VSB mask writer verification for efficient mask error checking and optimization prior to MDP

    NASA Astrophysics Data System (ADS)

    Pack, Robert C.; Standiford, Keith; Lukanc, Todd; Ning, Guo Xiang; Verma, Piyush; Batarseh, Fadi; Chua, Gek Soon; Fujimura, Akira; Pang, Linyong

    2014-10-01

    A methodology is described wherein a calibrated model-based `Virtual' Variable Shaped Beam (VSB) mask writer process simulator is used to accurately verify complex Optical Proximity Correction (OPC) and Inverse Lithography Technology (ILT) mask designs prior to Mask Data Preparation (MDP) and mask fabrication. This type of verification addresses physical effects which occur in mask writing that may impact lithographic printing fidelity and variability. The work described here is motivated by requirements for extreme accuracy and control of variations for today's most demanding IC products. These extreme demands necessitate careful and detailed analysis of all potential sources of uncompensated error or variation and extreme control of these at each stage of the integrated OPC/ MDP/ Mask/ silicon lithography flow. The important potential sources of variation we focus on here originate on the basis of VSB mask writer physics and other errors inherent in the mask writing process. The deposited electron beam dose distribution may be examined in a manner similar to optical lithography aerial image analysis and image edge log-slope analysis. This approach enables one to catch, grade, and mitigate problems early and thus reduce the likelihood for costly long-loop iterations between OPC, MDP, and wafer fabrication flows. It moreover describes how to detect regions of a layout or mask where hotspots may occur or where the robustness to intrinsic variations may be improved by modification to the OPC, choice of mask technology, or by judicious design of VSB shots and dose assignment.

  11. DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bischoff, Lothar, E-mail: l.bischoff@hzdr.de; Mazarov, Paul, E-mail: Paul.Mazarov@raith.de; Bruchhaus, Lars, E-mail: Lars.Bruchhaus@raith.de

    Today, Focused Ion Beam (FIB) processing is nearly exclusively based on gallium Liquid Metal Ion Sources (LMIS). But, many applications in the μm- or nm range could benefit from ion species other than gallium: local ion implantation, ion beam mixing, ion beam synthesis, or Focused Ion Beam Lithography (IBL). Therefore, Liquid Metal Alloy Ion Sources (LMAIS) represent a promising alternative to expand the remarkable application fields for FIB. Especially, the IBL process shows potential advantages over, e.g., electron beam or other lithography techniques: direct, resistless, and three-dimensional patterning, enabling a simultaneous in-situ process control by cross-sectioning and inspection. Taking additionallymore » into account that the used ion species influences significantly the physical and chemical nature of the resulting nanostructures—in particular, the electrical, optical, magnetic, and mechanic properties leading to a large potential application area which can be tuned by choosing a well suited LMAIS. Nearly half of the elements of the periodic table are recently available in the FIB technology as a result of continuous research in this area during the last forty years. Key features of a LMAIS are long life-time, high brightness, and stable ion current. Recent developments could make these sources feasible for nano patterning issues as an alternative technology more in research than in industry. The authors will review existing LMAIS, LMIS other than Ga, and binary and ternary alloys. These physical properties as well as the fabrication technology and prospective domains for modern FIB applications will similarly be reviewed. Other emerging ion sources will be also presented and their performances discussed.« less

  12. Plasmonic direct writing lithography with a macroscopical contact probe

    NASA Astrophysics Data System (ADS)

    Huang, Yuerong; Liu, Ling; Wang, Changtao; Chen, Weidong; Liu, Yunyue; Li, Ling

    2018-05-01

    In this work, we design a plasmonic direct writing lithography system with a macroscopical contact probe to achieve nanometer scale spots. The probe with bowtie-shaped aperture array adopts spring hinge and beam deflection method (BDM) to realize near-field lithography. Lithography results show that a macroscopical plasmonic contact probe can achieve a patterning resolution of around 75 nm at 365 nm wavelength, and demonstrate that the lithography system is promising for practical applications due to beyond the diffraction limit, low cost, and simplification of system configuration. CST calculations provide a guide for the design of recording structure and the arrangement of placing polarizer.

  13. CA resist with high sensitivity and sub-100-nm resolution for advanced mask and device making

    NASA Astrophysics Data System (ADS)

    Kwong, Ranee W.; Huang, Wu-Song; Hartley, John G.; Moreau, Wayne M.; Robinson, Christopher F.; Angelopoulos, Marie; Magg, Christopher; Lawliss, Mark

    2000-07-01

    Recently, there is significant interest in using CA resists for electron beam (E-Beam) applications including mask making, direct write, and projection printing. CA resists provide superior lithographic performance in comparison to traditional non CA E-beam resists in particular high contrast, resolution, and sensitivity. However, most of the commercially available CA resists have the concern of airborne base contaminants and sensitivity to PAB and/or PEB temperatures. In this presentation, we will discuss a new improved ketal resist system referred to as KRS-XE which exhibits excellent lithography, is robust toward airborne base, compatible with 0.263 N TMAH aqueous developer and exhibits a large PAB/PEB latitude. With the combination of a high performance mask making E-beam exposure tool, high kV (75 kV) shaped beam system EL4+ and the KRS-XE resist, we have printed 75 nm lines/space features with excellent profile control at a dose of 13 (mu) C/cm2 at 75 kV. The shaped beam vector scan system used here provides an unique property in resolving small features in lithography and throughput. Overhead in EL4+ limits the systems ability to fully exploit the sensitivity of the new resist for throughput. The EL5 system, currently in the build phase, has sufficiently low overhead that it is projected to print a 4X, 16G, DRAM mask with OPC in under 3 hours with the CA resist. We will discuss the throughput advantages of the next generation EL5 system over the existing EL4+. In addition we will show the resolution of KRS-XE down to 70 nm using the PREVAIL projection printing system.

  14. Graphene engineering by neon ion beams

    DOE PAGES

    Iberi, Vighter; Ievlev, Anton V.; Vlassiouk, Ivan; ...

    2016-02-18

    Achieving the ultimate limits of materials and device performance necessitates the engineering of matter with atomic, molecular, and mesoscale fidelity. While common for organic and macromolecular chemistry, these capabilities are virtually absent for 2D materials. In contrast to the undesired effect of ion implantation from focused ion beam (FIB) lithography with gallium ions, and proximity effects in standard e-beam lithography techniques, the shorter mean free path and interaction volumes of helium and neon ions offer a new route for clean, resist free nanofabrication. Furthermore, with the advent of scanning helium ion microscopy, maskless He + and Ne + beam lithographymore » of graphene based nanoelectronics is coming to the forefront. Here, we will discuss the use of energetic Ne ions in engineering graphene devices and explore the mechanical, electromechanical and chemical properties of the ion-milled devices using scanning probe microscopy (SPM). By using SPM-based techniques such as band excitation (BE) force modulation microscopy, Kelvin probe force microscopy (KPFM) and Raman spectroscopy, we demonstrate that the mechanical, electrical and optical properties of the exact same devices can be quantitatively extracted. Additionally, the effect of defects inherent in ion beam direct-write lithography, on the overall performance of the fabricated devices is elucidated.« less

  15. A simplified method for generating periodic nanostructures by interference lithography without the use of an anti-reflection coating

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kapon, Omree; Muallem, Merav; Palatnik, Alex

    Interference lithography has proven to be a useful technique for generating periodic sub-diffraction limited nanostructures. Interference lithography can be implemented by exposing a photoresist polymer to laser light using a two-beam arrangement or more simply a one beam configuration based on a Lloyd's Mirror Interferometer. For typical photoresist layers, an anti-reflection coating must be deposited on the substrate to prevent adverse reflections from cancelling the holographic pattern of the interfering beams. For silicon substrates, such coatings are typically multilayered and complex in composition. By thinning the photoresist layer to a thickness well below the quarter wavelength of the exposing beam,more » we demonstrate that interference gratings can be generated without an anti-reflection coating on the substrate. We used ammonium dichromate doped polyvinyl alcohol as the positive photoresist because it provides excellent pinhole free layers down to thicknesses of 40 nm, and can be cross-linked by a low-cost single mode 457 nm laser, and can be etched in water. Gratings with a period of 320 nm and depth of 4 nm were realized, as well as a variety of morphologies depending on the photoresist thickness. This simplified interference lithography technique promises to be useful for generating periodic nanostructures with high fidelity and minimal substrate treatments.« less

  16. The effect of residual gas scattering on Ga ion beam patterning of graphene

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Thissen, Nick F. W., E-mail: n.f.w.thissen@tue.nl, E-mail: a.a.bol@tue.nl; Vervuurt, R. H. J.; Weber, J. W.

    2015-11-23

    The patterning of graphene by a 30 kV Ga{sup +} focused ion beam (FIB) is studied by in-situ and ex-situ Raman spectroscopy. It is found that the graphene surrounding the patterned target area can be damaged at remarkably large distances of more than 10 μm. We show that scattering of the Ga ions in the residual gas of the vacuum system is the main cause of the large range of lateral damage, as the size and shape of the tail of the ion beam were strongly dependent on the system background pressure. The range of the damage was therefore greatly reduced bymore » working at low pressures and limiting the total amount of ions used. This makes FIB patterning a feasible alternative to electron beam lithography as long as residual gas scattering is taken into account.« less

  17. Additive patterning of ion-beam-sputtered non-conformal Ni80Fe20 and Co70Fe30 magnetic films

    NASA Astrophysics Data System (ADS)

    Redondo, C.; Moralejo, S.; Castaño, F.; Lee, W.; Nielsch, K.; Ross, C. A.; Castaño, F. J.

    2006-04-01

    Additive patterning processes of magnetic films grown using an ion-beam sputter (IBS) system designed to produce non-conformal films are described. The effects of the ion-gun beam current and Ar pressure on the sputtering rates and roughness of Ni80Fe20 and Co70Fe30 magnetic thin films are investigated using atomic-force microscopy (AFM) and the films' magnetic properties are measured using spatially resolved magneto-optical magnetometry. By tailoring the plasma solid angle, non-conformal film growth allows for simple additive patterning down to lateral dimensions ranging from a few microns to the deep-submicron regime, using templates defined by photolithography or electron-beam lithography, and shadow masks created using templated self-assembly. The magnetization reversal exhibited by patterned sub-200 nm nanodisc arrays with different lateral edge-roughness will be discussed.

  18. Nucleation Of Ge 3D-islands On Pit-patterned Si Substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Novikov, P. L.; Smagina, J. V.; Vlasov, D. Yu.

    2011-12-23

    Joint experimental and theoretical study of Ge nanoislands growth on pit-patterned Si substrate is carried out. Si substrates that have been templated by means of electron beam lithography and reactive ion etching have been used to grow Ge by molecular-beam epitaxy. Atomic-force-microscopy studies show that at Si(100) substrate temperature 550 deg. C, Ge nanoislands are formed at the pits' edges, rather than between the pits. The effect is interpreted in terms of energy barrier, that is formed near the edge of a pit and prevents Ge transport inside the pit. By molecular dynamics calculations the value of the energy barriermore » 0.9 eV was obtained.« less

  19. Nanofabrication with a helium ion microscope

    NASA Astrophysics Data System (ADS)

    Maas, Diederik; van Veldhoven, Emile; Chen, Ping; Sidorkin, Vadim; Salemink, Huub; van der Drift, Emile..; Alkemade, Paul

    2010-03-01

    The recently introduced helium ion microscope (HIM) is capable of imaging and fabrication of nanostructures thanks to its sub-nanometer sized ion probe. The unique interaction of the helium ions with the sample material provides very localized secondary electron emission, thus providing a valuable signal for high-resolution imaging as well as a mechanism for very precise nanofabrication. The low proximity effects, due to the low yield of backscattered ions and the confinement of the forward scattered ions into a narrow cone, enable patterning of ultra-dense sub-10 nm structures. This paper presents various nanofabrication results obtained with direct-write, with scanning helium ion beam lithography, and with helium ion beam induced deposition.

  20. Magnetic properties of square Py nanowires: Irradiation dose and geometry dependence

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ehrmann, A., E-mail: andrea.ehrmann@fh-bielefeld.de; Blachowicz, T.; Komraus, S.

    Arrays of ferromagnetic patterned nanostructures with single particle lateral dimensions between 160 nm and 400 nm were created by electron-beam lithography. The fourfold particles with rectangular-shaped walls around a square open area were produced from permalloy. Their magnetic properties were measured using the longitudinal magneto-optical Kerr effect. The article reports about the angle-dependent coercive fields and the influence of the e-beam radiation dose on sample shapes. It is shown that a broad range of radiation dose intensities enables reliable creation of nanostructures with parameters relevant for the desired magnetization reversal scenario. The experimental results are finally compared with micromagnetic simulations to explainmore » the findings.« less

  1. Periodic scarred States in open quantum dots as evidence of quantum Darwinism.

    PubMed

    Burke, A M; Akis, R; Day, T E; Speyer, Gil; Ferry, D K; Bennett, B R

    2010-04-30

    Scanning gate microscopy (SGM) is used to image scar structures in an open quantum dot, which is created in an InAs quantum well by electron-beam lithography and wet etching. The scanned images demonstrate periodicities in magnetic field that correlate to those found in the conductance fluctuations. Simulations have shown that these magnetic transform images bear a strong resemblance to actual scars found in the dot that replicate through the modes in direct agreement with quantum Darwinism.

  2. Periodic Scarred States in Open Quantum Dots as Evidence of Quantum Darwinism

    NASA Astrophysics Data System (ADS)

    Burke, A. M.; Akis, R.; Day, T. E.; Speyer, Gil; Ferry, D. K.; Bennett, B. R.

    2010-04-01

    Scanning gate microscopy (SGM) is used to image scar structures in an open quantum dot, which is created in an InAs quantum well by electron-beam lithography and wet etching. The scanned images demonstrate periodicities in magnetic field that correlate to those found in the conductance fluctuations. Simulations have shown that these magnetic transform images bear a strong resemblance to actual scars found in the dot that replicate through the modes in direct agreement with quantum Darwinism.

  3. High yield growth of patterned vertically aligned carbon nanotubes using inkjet-printed catalyst.

    PubMed

    Beard, James D; Stringer, Jonathan; Ghita, Oana R; Smith, Patrick J

    2013-10-09

    This study reports on the fabrication of vertically aligned carbon nanotubes localized at specific sites on a growth substrate by deposition of a nanoparticle suspension using inkjet printing. Carbon nanotubes were grown with high yield as vertically aligned forests to a length of approximately 400 μm. The use of inkjet printing for catalyst fabrication considerably improves the production rate of vertically aligned patterned nanotube forests compared with conventional patterning techniques, for example, electron beam lithography or photolithography.

  4. Lab-on-Fiber biosensing for cancer biomarker detection

    NASA Astrophysics Data System (ADS)

    Ricciardi, A.; Severino, R.; Quero, G.; Carotenuto, B.; Consales, M.; Crescitelli, A.; Esposito, E.; Ruvo, M.; Sandomenico, A.; Borriello, A.; Giordano, M.; Sansone, L.; Granata, Carmine; Cutolo, A.; Cusano, A.

    2015-09-01

    This work deals with a novel Lab-on-Fiber biosensor able to detect in real time thyroid carcinomas biomarkers. The device is based on a gold nanostructure supporting localized surface plasmon resonances (LSPR) directly fabricated on the fiber tip by means of electron beam lithography and lift-off process. Following a suitable chemical and biological functionalization of the sensing area, human Thyroglobulin has been detected at nanomolar concentrations. Also, compatibility with full baseline restoration, achieved through biomarkers/bioreceptors dissociation, has been demonstrated.

  5. Micro/nano electro mechanical systems for practical applications

    NASA Astrophysics Data System (ADS)

    Esashi, Masayoshi

    2009-09-01

    Silicon MEMS as electrostatically levitated rotational gyroscope, 2D optical scanner and wafer level packaged devices as integrated capacitive pressure sensor and MEMS switch are described. MEMS which use non-silicon materials as diamond, PZT, conductive polymer, CNT (carbon nano tube), LTCC with electrical feedthrough, SiC (silicon carbide) and LiNbO3 for multi-probe data storage, multi-column electron beam lithography system, probe card for wafer-level burn-in test, mould for glass press moulding and SAW wireless passive sensor respectively are also described.

  6. A micro-scale plasma spectrometer for space and plasma edge applications (invited)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Scime, E. E., E-mail: escime@wvu.edu; Keesee, A. M.; Elliott, D.

    2016-11-15

    A plasma spectrometer design based on advances in lithography and microchip stacking technologies is described. A series of curved plate energy analyzers, with an integrated collimator, is etched into a silicon wafer. Tests of spectrometer elements, the energy analyzer and collimator, were performed with a 5 keV electron beam. The measured collimator transmission and energy selectivity were in good agreement with design targets. A single wafer element could be used as a plasma processing or fusion first wall diagnostic.

  7. Growth of high-aspect ratio horizontally-aligned ZnO nanowire arrays.

    PubMed

    Soman, Pranav; Darnell, Max; Feldman, Marc D; Chen, Shaochen

    2011-08-01

    A method of fabricating horizontally-aligned zinc-oxide (ZnO) nanowire (NW) arrays with full control over the width and length is demonstrated. SEM images reveal the hexagonal structure typical of zinc oxide NWs. Arrays of high-aspect ratio horizontal ZnO NWs are fabricated by making use of the lateral overgrowth from dot patterns created by electron beam lithography (EBL). An array of patterned wires are lifted off and transferred to a flexible PDMS substrate with possible applications in several key nanotechnology areas.

  8. Kinetics for the Sequential Infiltration Synthesis of Alumina in Poly(methyl methacrylate): An Infrared Spectroscopic Study

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Biswas, Mahua; Libera, Joseph A.; Darling, Seth B.

    Sequential infiltration synthesis (SIS) is a method for growing inorganic materials within polymers in an atomically controlled fashion. This technique can increase the etch resistance of optical, electron-beam, and block copolymer (BCP) lithography resists and is also a flexible strategy for nanomaterials synthesis. Despite this broad utility, the kinetics of SIS remain poorly understood, and this knowledge gap must be bridged in order to gain firm control over the growth of inorganic materials inside polymer films at a large scale. In this paper, we explore the reaction kinetics for Al 2O 3 SIS in PMMA using in situ Fourier transformmore » infrared spectroscopy. First, we establish the kinetics for saturation adsorption and desorption of trimethyl aluminum (TMA) in PMMA over a range of PMMA film thicknesses deposited on silicon substrates. These observations guide the selection of TMA dose and purge times during SIS lithography to achieve robust organic/inorganic structures. Next, we examine the effects of TMA desorption on BCP lithography by performing SIS on silicon surfaces coated with polystyrene-block-poly(methyl methacrylate) films. After etching the organic components, the substrates are examined using scanning electron microcopy to evaluate the resulting Al 2O 3 patterns. Finally, we examine the effects of temperature on Al 2O 3 SIS in PMMA to elucidate the infiltration kinetics. The insights provided by these measurements will help extend SIS lithography to larger substrate sizes for eventual commercialization and expand our knowledge of precursor-polymer interactions that will benefit the SIS of a wide range of inorganic materials in the future.« less

  9. DOE Office of Scientific and Technical Information (OSTI.GOV)

    Manfrinato, Vitor R.; Stein, Aaron; Zhang, Lihua

    Patterning materials efficiently at the smallest length scales has been a longstanding challenge in nanotechnology. Electron-beam lithography (EBL) is the primary method for patterning arbitrary features, but EBL has not reliably provided sub-4 nm patterns. The few competing techniques that have achieved this resolution are orders of magnitude slower than EBL. In this work, we employed an aberration-corrected scanning transmission electron microscope for lithography to achieve unprecedented resolution. Here we show aberration-corrected EBL at the one nanometer length scale using poly(methyl methacrylate) (PMMA) and have produced both the smallest isolated feature in any conventional resist (1.7 ± 0.5 nm) andmore » the highest density patterns in PMMA (10.7 nm pitch for negative-tone and 17.5 nm pitch for positive-tone PMMA). We also demonstrate pattern transfer from the resist to semiconductor and metallic materials at the sub-5 nm scale. These results indicate that polymer-based nanofabrication can achieve feature sizes comparable to the Kuhn length of PMMA and ten times smaller than its radius of gyration. Use of aberration-corrected EBL will increase the resolution, speed, and complexity in nanomaterial fabrication.« less

  10. Engineering optical properties using plasmonic nanostructures

    NASA Astrophysics Data System (ADS)

    Tamma, Venkata Ananth

    Plasmonic nanostructures can be engineered to take on unusual optical properties not found in natural materials. The optical responses of plasmonic materials are functions of the structural parameters and symmetry of the nanostructures, material parameters of the nanostructure and its surroundings and the incidence angle, frequency and polarization state of light. The scattering and hence the visibility of an object could be reduced by coating it with a plasmonic material. In this thesis, presented is an optical frequency scattering cancelation device composed of a silicon nanorod coated by a plasmonic gold nanostructure. The principle of operation was theoretically analyzed using Mie theory and the device design was verified by extensive numerical simulations. The device was fabricated using a combination of nanofabrication techniques such as electron beam lithography and focused ion beam milling. The optical responses of the scattering cancelation device and a control sample of bare silicon rod were directly visualized using near-field microscopy coupled with heterodyne interferometric detection. The experimental results were analyzed and found to match very well with theoretical prediction from numerical simulations thereby validating the design principles and our implementation. Plasmonic nanostructures could be engineered to exhibit unique optical properties such as Fano resonance characterized by narrow asymmetrical lineshape. We present dynamic tuning and symmetry lowering of Fano resonances in plasmonic nanostructures fabricated on flexible substrates. The tuning of Fano resonance was achieved by application of uniaxial mechanical stress. The design of the nanostructures was facilitated by extensive numerical simulations and the symmetry lowering was analyzed using group theoretical methods. The nanostructures were fabricated using electron beam lithography and optically characterized for various mechanical stress. The experimental results were in good agreement with the numerical simulations. The mechanically tunable plasmonic nanostructure could serve as a platform for dynamically tunable nanophotonic devices such as sensors and tunable filters.

  11. Overlay performance assessment of MAPPER's FLX-1200 (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Lattard, Ludovic; Servin, Isabelle; Pradelles, Jonathan; Blancquaert, Yoann; Rademaker, Guido; Pain, Laurent; de Boer, Guido; Brandt, Pieter; Dansberg, Michel; Jager, Remco J. A.; Peijster, Jerry J. M.; Slot, Erwin; Steenbrink, Stijn W. H. K.; Vergeer, Niels; Wieland, Marco

    2017-04-01

    Mapper Lithography has introduced its first product, the FLX-1200, which is installed at CEA-Leti in Grenoble (France). This is a mask less lithography system, based on massively parallel electron-beam writing with high-speed optical data transport for switching the electron beams. This FLX platform is initially targeted for 1 wph performance for 28 nm technology nodes, but can also be used for less demanding imaging. The electron source currently integrated is capable of scaling to 10 wph at the same resolution performance, which will be implemented by gradually upgrading the illumination optics. The system has an optical alignment system enabling mix-and-match with optical 193 nm immersion systems using standard NVSM marks. The tool at CEA-Leti is in-line with a Sokudo Duo clean track. Mapper Lithography and CEA-Leti are working in collaboration to develop turnkey solution for specific applications. At previous conferences we have presented imaging results including 28nm node resolution, cross wafer CDu of 2.5nm 3 and a throughput of half a wafer per hour, overhead times included. At this conference we will present results regarding the overlay performance of the FLX-1200. In figure 2 an initial result towards measuring the overlay performance of the FLX-1200 is shown. We have exposed a wafer twice without unloading the wafer in between exposures. In the first exposure half of a dense dot array is exposed. In the second exposure the remainder of the dense dot array is exposed. After development the wafer has been inspected using a CD-SEM at 480 locations distributed over an area of 100mm x 100mm. For each SEM image the shift of the pattern written in the first exposure relative to the pattern written in the second exposure is measured. Cross wafer this shift is 7 nm u+3s in X and 5 nm u+3s in Y. The next step is to evaluate the impact of unloading and loading of the wafer in between exposures. At the conference the latest results will be presented.

  12. Lithography exposure characteristics of poly(methyl methacrylate) (PMMA) for carbon, helium and hydrogen ions

    NASA Astrophysics Data System (ADS)

    Puttaraksa, Nitipon; Norarat, Rattanaporn; Laitinen, Mikko; Sajavaara, Timo; Singkarat, Somsorn; Whitlow, Harry J.

    2012-02-01

    Poly(methyl methacrylate) is a common polymer used as a lithographic resist for all forms of particle (photon, ion and electron) beam writing. Faithful lithographic reproduction requires that the exposure dose, Θ, lies in the window Θ0⩽Θ<Θ, where Θ0 and Θ represent the clearing and cross-linking onset doses, respectively. In this work we have used the programmable proximity aperture ion beam lithography systems in Chiang Mai and Jyväskylä to determine the exposure characteristics in terms of fluence for 2 MeV protons, 3 MeV 4He and 6 MeV 12C ions, respectively. After exposure the samples were developed in 7:3 by volume propan-2-ol:de-ionised water mixture. At low fluences, where the fluence is below the clearing fluence, the exposed regions were characterised by rough regions, particularly for He with holes around the ion tracks. As the fluence (dose) increases so that the dose exceeds the clearing dose, the PMMA is uniformly removed with sharp vertical walls. When Θ exceeds the cross-linking onset fluence, the bottom of the exposed regions show undissolved PMMA.

  13. Fabrication of digital rainbow holograms and 3-D imaging using SEM based e-beam lithography.

    PubMed

    Firsov, An; Firsov, A; Loechel, B; Erko, A; Svintsov, A; Zaitsev, S

    2014-11-17

    Here we present an approach for creating full-color digital rainbow holograms based on mixing three basic colors. Much like in a color TV with three luminescent points per single screen pixel, each color pixel of initial image is presented by three (R, G, B) distinct diffractive gratings in a hologram structure. Change of either duty cycle or area of the gratings are used to provide proper R, G, B intensities. Special algorithms allow one to design rather complicated 3D images (that might even be replacing each other with hologram rotation). The software developed ("RainBow") provides stability of colorization of rotated image by means of equalizing of angular blur from gratings responsible for R, G, B basic colors. The approach based on R, G, B color synthesis allows one to fabricate gray-tone rainbow hologram containing white color what is hardly possible in traditional dot-matrix technology. Budgetary electron beam lithography based on SEM column was used to fabricate practical examples of digital rainbow hologram. The results of fabrication of large rainbow holograms from design to imprinting are presented. Advantages of the EBL in comparison to traditional optical (dot-matrix) technology is considered.

  14. Selective-area growth of GaN nanowires on SiO{sub 2}-masked Si (111) substrates by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kruse, J. E.; Doundoulakis, G.; Institute of Electronic Structure and Laser, Foundation for Research and Technology–Hellas, N. Plastira 100, 70013 Heraklion

    2016-06-14

    We analyze a method to selectively grow straight, vertical gallium nitride nanowires by plasma-assisted molecular beam epitaxy (MBE) at sites specified by a silicon oxide mask, which is thermally grown on silicon (111) substrates and patterned by electron-beam lithography and reactive-ion etching. The investigated method requires only one single molecular beam epitaxy MBE growth process, i.e., the SiO{sub 2} mask is formed on silicon instead of on a previously grown GaN or AlN buffer layer. We present a systematic and analytical study involving various mask patterns, characterization by scanning electron microscopy, transmission electron microscopy, and photoluminescence spectroscopy, as well asmore » numerical simulations, to evaluate how the dimensions (window diameter and spacing) of the mask affect the distribution of the nanowires, their morphology, and alignment, as well as their photonic properties. Capabilities and limitations for this method of selective-area growth of nanowires have been identified. A window diameter less than 50 nm and a window spacing larger than 500 nm can provide single nanowire nucleation in nearly all mask windows. The results are consistent with a Ga diffusion length on the silicon dioxide surface in the order of approximately 1 μm.« less

  15. Ultralow-Power Electronic Trapping of Nanoparticles with Sub-10 nm Gold Nanogap Electrodes.

    PubMed

    Barik, Avijit; Chen, Xiaoshu; Oh, Sang-Hyun

    2016-10-12

    We demonstrate nanogap electrodes for rapid, parallel, and ultralow-power trapping of nanoparticles. Our device pushes the limit of dielectrophoresis by shrinking the separation between gold electrodes to sub-10 nm, thereby creating strong trapping forces at biases as low as the 100 mV ranges. Using high-throughput atomic layer lithography, we manufacture sub-10 nm gaps between 0.8 mm long gold electrodes and pattern them into individually addressable parallel electronic traps. Unlike pointlike junctions made by electron-beam lithography or larger micron-gap electrodes that are used for conventional dielectrophoresis, our sub-10 nm gold nanogap electrodes provide strong trapping forces over a mm-scale trapping zone. Importantly, our technology solves the key challenges associated with traditional dielectrophoresis experiments, such as high voltages that cause heat generation, bubble formation, and unwanted electrochemical reactions. The strongly enhanced fields around the nanogap induce particle-transport speed exceeding 10 μm/s and enable the trapping of 30 nm polystyrene nanoparticles using an ultralow bias of 200 mV. We also demonstrate rapid electronic trapping of quantum dots and nanodiamond particles on arrays of parallel traps. Our sub-10 nm gold nanogap electrodes can be combined with plasmonic sensors or nanophotonic circuitry, and their low-power electronic operation can potentially enable high-density integration on a chip as well as portable biosensing.

  16. High brightness--multiple beamlets source for patterned X-ray production

    DOEpatents

    Leung, Ka-Ngo [Hercules, CA; Ji, Qing [Albany, CA; Barletta, William A [Oakland, CA; Jiang, Ximan [El Cerrito, CA; Ji, Lili [Albany, CA

    2009-10-27

    Techniques for controllably directing beamlets to a target substrate are disclosed. The beamlets may be either positive ions or electrons. It has been shown that beamlets may be produced with a diameter of 1 .mu.m, with inter-aperture spacings of 12 .mu.m. An array of such beamlets, may be used for maskless lithography. By step-wise movement of the beamlets relative to the target substrate, individual devices may be directly e-beam written. Ion beams may be directly written as well. Due to the high brightness of the beamlets from extraction from a multicusp source, exposure times for lithographic exposure are thought to be minimized. Alternatively, the beamlets may be electrons striking a high Z material for X-ray production, thereafter collimated to provide patterned X-ray exposures such as those used in CAT scans. Such a device may be used for remote detection of explosives.

  17. Electron-beam patterned self-assembled monolayers as templates for Cu electrodeposition and lift-off.

    PubMed

    She, Zhe; Difalco, Andrea; Hähner, Georg; Buck, Manfred

    2012-01-01

    Self-assembled monolayers (SAMs) of 4'-methylbiphenyl-4-thiol (MBP0) adsorbed on polycrystalline gold substrates served as templates to control electrochemical deposition of Cu structures from acidic solution, and enabled the subsequent lift-off of the metal structures by attachment to epoxy glue. By exploiting the negative-resist behaviour of MBP0, the SAM was patterned by means of electron-beam lithography. For high deposition contrast a two-step procedure was employed involving a nucleation phase around -0.7 V versus Cu(2+)/Cu and a growth phase at around -0.35 V versus Cu(2+)/Cu. Structures with features down to 100 nm were deposited and transferred with high fidelity. By using substrates with different surface morphologies, AFM measurements revealed that the roughness of the substrate is a crucial factor but not the only one determining the roughness of the copper surface that is exposed after lift-off.

  18. Design considerations of 10 kW-scale extreme ultraviolet SASE FEL for lithography

    NASA Astrophysics Data System (ADS)

    Pagani, C.; Saldin, E. L.; Schneidmiller, E. A.; Yurkov, M. V.

    2001-05-01

    The semiconductor industry growth is driven to a large extent by steady advancements in microlithography. According to the newly updated industry roadmap, the 70 nm generation is anticipated to be available in the year 2008. However, the path to get there is not obvious. The problem of construction of Extreme Ultraviolet (EUV) quantum laser for lithography is still unsolved: progress in this field is rather moderate and we cannot expect a significant break through in the near future. Nevertheless, there is clear path for optical lithography to take us to sub- 100 nm dimensions. Theoretical and experimental work in free electron laser (FEL) and accelerator physics and technology over the last 10 years has pointed to the possibility of generation of high-power optical beams with laser-like characteristics in the EUV spectral range. Recently, there have been important advances in demonstrating a high-gain self-amplified spontaneous emission (SASE) FEL at 100 nm wavelength (Andruszkov et al., Phys. Rev. Lett. 85 (2000), 3825). In the SASE FEL powerful, coherent radiation is produced by the electron beam during single-pass of the undulator, thus there are no apparent limitations which would prevent operation at very short wavelength range and to increase the average output power of this device up to 10 kW level. The use of superconducting energy-recovery linac could produce a major, cost-effective facility with wall plug power to output optical power efficiency of about 1%. A 10-kW-scale transversely coherent radiation source with narrow bandwidth (0.5%) and variable wavelength could be an excellent tool for manufacturing computer chips with the minimum feature size below 100 nm. All components of the proposed SASE FEL equipment (injector, driver accelerator structure, energy-recovery system, undulator, etc.) have been demonstrated in practice. This is guaranteed success in the time schedule requirement.

  19. Optical nano-woodpiles: large-area metallic photonic crystals and metamaterials.

    PubMed

    Ibbotson, Lindsey A; Demetriadou, Angela; Croxall, Stephen; Hess, Ortwin; Baumberg, Jeremy J

    2015-02-09

    Metallic woodpile photonic crystals and metamaterials operating across the visible spectrum are extremely difficult to construct over large areas, because of the intricate three-dimensional nanostructures and sub-50 nm features demanded. Previous routes use electron-beam lithography or direct laser writing but widespread application is restricted by their expense and low throughput. Scalable approaches including soft lithography, colloidal self-assembly, and interference holography, produce structures limited in feature size, material durability, or geometry. By multiply stacking gold nanowire flexible gratings, we demonstrate a scalable high-fidelity approach for fabricating flexible metallic woodpile photonic crystals, with features down to 10 nm produced in bulk and at low cost. Control of stacking sequence, asymmetry, and orientation elicits great control, with visible-wavelength band-gap reflections exceeding 60%, and with strong induced chirality. Such flexible and stretchable architectures can produce metamaterials with refractive index near zero, and are easily tuned across the IR and visible ranges.

  20. Highly Stable Nanolattice Structures using Nonlinear Laser Lithography

    NASA Astrophysics Data System (ADS)

    Yavuz, Ozgun; Tokel, Onur; Ergecen, Emre; Pavlov, Ihor; Makey, Ghaith; Ilday, Fatih Omer

    Periodic nanopatterning is crucial for multiple technologies, including photovoltaics and display technologies. Conventional optical lithography techniques require complex masks, while e-beam and ion-beam lithography require expensive equipment. With the Nonlinear Laser Lithography (NLL) technique, we had recently shown that various surfaces can be covered with extremely periodic nanopatterns with ultrafast lasers through a single-step, maskless and inexpensive method. Here, we expand NLL nanopatterns to flexible materials, and also present a fully predictive model for the formation of NLL nanostructures as confirmed with experiments. In NLL, a nonlocal positive feedback mechanism (dipole scattering) competes with a rate limiting negative feedback mechanism. Here, we show that judicious use of the laser polarisation can constrain the lattice symmetry, while the nonlinearities regulate periodicity. We experimentally demonstrate that in addition to one dimensional periodic stripes, two dimensional lattices can be produced on surfaces. In particular, hexagonal and square lattices were produced, which are highly desired for display technologies. Notably, with this approach, we can tile flexible substrates, which can find applications in next generation display technologies.

  1. Direct periodic patterning of GaN-based light-emitting diodes by three-beam interference laser ablation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, Jeomoh; Ji, Mi-Hee; Detchprohm, Theeradetch

    2014-04-07

    We report on the direct patterning of two-dimensional periodic structures in GaN-based light-emitting diodes (LEDs) through laser interference ablation for the fast and reliable fabrication of periodic micro- and nano-structures aimed at enhancing light output. Holes arranged in a two-dimensional hexagonal lattice array having an opening size of 500 nm, depth of 50 nm, and a periodicity of 1 μm were directly formed by three-beam laser interference without photolithography or electron-beam lithography processes. The laser-patterned LEDs exhibit an enhancement in light output power of 20% compared to conventional LEDs having a flat top surface without degradation of electrical and optical properties of themore » top p-GaN layer and the active region, respectively.« less

  2. Direct writing of gold nanostructures with an electron beam: On the way to pure nanostructures by combining optimized deposition with oxygen-plasma treatment

    PubMed Central

    Belić, Domagoj; Shawrav, Mostafa M; Bertagnolli, Emmerich

    2017-01-01

    This work presents a highly effective approach for the chemical purification of directly written 2D and 3D gold nanostructures suitable for plasmonics, biomolecule immobilisation, and nanoelectronics. Gold nano- and microstructures can be fabricated by one-step direct-write lithography process using focused electron beam induced deposition (FEBID). Typically, as-deposited gold nanostructures suffer from a low Au content and unacceptably high carbon contamination. We show that the undesirable carbon contamination can be diminished using a two-step process – a combination of optimized deposition followed by appropriate postdeposition cleaning. Starting from the common metal-organic precursor Me2-Au-tfac, it is demonstrated that the Au content in pristine FEBID nanostructures can be increased from 30 atom % to as much as 72 atom %, depending on the sustained electron beam dose. As a second step, oxygen-plasma treatment is established to further enhance the Au content in the structures, while preserving their morphology to a high degree. This two-step process represents a simple, feasible and high-throughput method for direct writing of purer gold nanostructures that can enable their future use for demanding applications. PMID:29259868

  3. MBE growth and processing of III/V-nitride semiconductor thin film structures: Growth of gallium indium arsenic nitride and nano-machining with focused ion beam and electron beam

    NASA Astrophysics Data System (ADS)

    Park, Yeonjoon

    The advanced semiconductor material InGaAsN was grown with nitrogen plasma assisted Molecular Beam Epitaxy (MBE). The InGaAsN layers were characterized with High Resolution X-ray Diffraction (HRXDF), Atomic Fore Microscope (AFM), X-ray Photoemission Spectroscopy (XPS) and Photo-Luminescence (PL). The reduction of the band gap energy was observed with the incorporation of nitrogen and the lattice matched condition to the GaAs substrate was achieved with the additional incorporation of indium. A detailed investigation was made for the growth mode changes from planar layer-by-layer growth to 3D faceted growth with a higher concentration of nitrogen. A new X-ray diffraction analysis was developed and applied to the MBE growth on GaAs(111)B, which is one of the facet planes of InGaAsN. As an effort to enhance the processing tools for advanced semiconductor materials, gas assisted Focused Ion Beam (FIB) vertical milling was performed on GaN. The FIB processed area shows an atomically flat surface, which is good enough for the fabrication of Double Bragg Reflector (DBR) mirrors for the Blue GaN Vertical Cavity Surface Emitting Laser (VCSEL) Diodes. An in-situ electron beam system was developed to combine the enhanced lithographic processing capability with the atomic layer growth capability by MBE. The electron beam system has a compensation capability against substrate vibration and thermal drift. In-situ electron beam lithography was performed with the low pressure assisting gas. The advanced processing and characterization methods developed in this thesis will assist the development of superior semiconductor materials for the future.

  4. Fabrication of hierarchical micro-nanotopographies for cell attachment studies.

    PubMed

    López-Bosque, M J; Tejeda-Montes, E; Cazorla, M; Linacero, J; Atienza, Y; Smith, K H; Lladó, A; Colombelli, J; Engel, E; Mata, A

    2013-06-28

    We report on the development of micro/nanofabrication processes to create hierarchical surface topographies that expand from 50 nm to microns in size on different materials. Three different approaches (named FIB1, FIB2, and EBL) that combine a variety of techniques such as photolithography, reactive ion etching, focused ion beam lithography, electron beam lithography, and soft lithography were developed, each one providing different advantages and disadvantages. The EBL approach was employed to fabricate substrates comprising channels with features between 200 nm and 10 μm in size on polymethylmethacrylate (PMMA), which were then used to investigate the independent or competitive effects of micro- and nanotopographies on cell adhesion and morphology. Rat mesenchymal stem cells (rMSCs) were cultured on four different substrates including 10 μm wide and 500 nm deep channels separated by 10 μm distances (MICRO), 200 nm wide and 100 nm deep nanochannels separated by 200 nm distances (NANO), their combination in parallel (PARAL), and in a perpendicular direction (PERP). Rat MSCs behaved differently on all tested substrates with a high degree of alignment (as measured by both number of aligned cells and average angle) on both NANO and MICRO. Furthermore, cells exhibited the highest level of alignment on PARAL, suggesting a synergetic effect of the two scales of topographies. On the other hand, cells on PERP exhibited the lowest alignment and a consistent change in morphology over time that seemed to be the result of interactions with both micro- and nanochannels positioned in the perpendicular direction, also suggesting a competitive effect of the topographies.

  5. Mask replication using jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Selinidis, Kosta S.; Jones, Chris; Doyle, Gary F.; Brown, Laura; Imhof, Joseph; LaBrake, Dwayne L.; Resnick, Douglas J.; Sreenivasan, S. V.

    2011-11-01

    The Jet and Flash Imprint Lithography (J-FILTM) process uses drop dispensing of UV curable resists to assist high resolution patterning for subsequent dry etch pattern transfer. The technology is actively being used to develop solutions for memory markets including Flash memory and patterned media for hard disk drives. It is anticipated that the lifetime of a single template (for patterned media) or mask (for semiconductor) will be on the order of 104 - 105imprints. This suggests that tens of thousands of templates/masks will be required to satisfy the needs of a manufacturing environment. Electron-beam patterning is too slow to feasibly deliver these volumes, but instead can provide a high quality "master" mask which can be replicated many times with an imprint lithography tool. This strategy has the capability to produce the required supply of "working" templates/masks. In this paper, we review the development of the mask form factor, imprint replication tools and the semiconductor mask replication process. A PerfectaTM MR5000 mask replication tool has been developed specifically to pattern replica masks from an ebeam written master. Performance results, including image placement, critical dimension uniformity, and pattern transfer are covered in detail.

  6. Layered nano-gratings by electron beam writing to form 3-level diffractive optical elements for 3D phase-offset holographic lithography.

    PubMed

    Yuan, Liang Leon; Herman, Peter R

    2015-12-21

    A multi-level nanophotonic structure is a major goal in providing advanced optical functionalities as found in photonic crystals and metamaterials. A three-level nano-grating phase mask has been fabricated in an electron-beam resist (ma-N) to meet the requirement of holographic generation of a diamond-like 3D nanostructure in photoresist by a single exposure step. A 2D mask with 600 nm periodicity is presented for generating first order diffracted beams with a preferred π/2 phase shift on the X- and Y-axes and with sufficient 1(st) order diffraction efficiency of 3.5% at 800 nm wavelength for creating a 3D periodic nanostructure in SU-8 photoresist. The resulting 3D structure is anticipated to provide an 8% complete photonic band gap (PBG) upon silicon inversion. A thin SiO2 layer was used to isolate the grating layers and multiple spin-coating steps served to planarize the final resist layer. A reversible soft coating (aquaSAVE) was introduced to enable SEM inspection and verification of each insulating grating layer. This e-beam lithographic method is extensible to assembling multiple layers of a nanophotonic structure.

  7. Large-area soft x-ray projection lithography using multilayer mirrors structured by RIE

    NASA Astrophysics Data System (ADS)

    Rahn, Steffen; Kloidt, Andreas; Kleineberg, Ulf; Schmiedeskamp, Bernt; Kadel, Klaus; Schomburg, Werner K.; Hormes, F. J.; Heinzmann, Ulrich

    1993-01-01

    SXPL (soft X-ray projection lithography) is one of the most promising applications of X-ray reflecting optics using multilayer mirrors. Within our collaboration, such multilayer mirrors were fabricated, characterized, laterally structured and then used as reflection masks in a projecting lithography procedure. Mo/Si-multilayer mirrors were produced by electron beam evaporation in UHV under thermal treatment with an in-situ X-ray controlled thickness in the region of 2d equals 14 nm. The reflectivities measured at normal incidence reached up to 54%. Various surface analysis techniques have been applied in order to characterize and optimize the X-ray mirrors. The multilayers were patterned by reactive ion etching (RIE) with CF(subscript 4), using a photoresist as the etch mask, thus producing X-ray reflection masks. The masks were tested in the synchrotron radiation laboratory of the electron accelerator ELSA at the Physikalisches Institut of Bonn University. A double crystal X-ray monochromator was modified so as to allow about 0.5 cm(superscript 2) of the reflection mask to be illuminated by white synchrotron radiation. The reflected patterns were projected (with an energy of 100 eV) onto the resist (Hoechst AZ PF 514), which was mounted at an average distance of about 7 mm. In the first test-experiments, structure sizes down to 8 micrometers were nicely reproduced over the whole of the exposed area. Smaller structures were distorted by Fresnel-diffraction. The theoretically calculated diffraction images agree very well with the observed images.

  8. Holistic approach for overlay and edge placement error to meet the 5nm technology node requirements

    NASA Astrophysics Data System (ADS)

    Mulkens, Jan; Slachter, Bram; Kubis, Michael; Tel, Wim; Hinnen, Paul; Maslow, Mark; Dillen, Harm; Ma, Eric; Chou, Kevin; Liu, Xuedong; Ren, Weiming; Hu, Xuerang; Wang, Fei; Liu, Kevin

    2018-03-01

    In this paper, we discuss the metrology methods and error budget that describe the edge placement error (EPE). EPE quantifies the pattern fidelity of a device structure made in a multi-patterning scheme. Here the pattern is the result of a sequence of lithography and etching steps, and consequently the contour of the final pattern contains error sources of the different process steps. EPE is computed by combining optical and ebeam metrology data. We show that high NA optical scatterometer can be used to densely measure in device CD and overlay errors. Large field e-beam system enables massive CD metrology which is used to characterize the local CD error. Local CD distribution needs to be characterized beyond 6 sigma, and requires high throughput e-beam system. We present in this paper the first images of a multi-beam e-beam inspection system. We discuss our holistic patterning optimization approach to understand and minimize the EPE of the final pattern. As a use case, we evaluated a 5-nm logic patterning process based on Self-Aligned-QuadruplePatterning (SAQP) using ArF lithography, combined with line cut exposures using EUV lithography.

  9. Improved multi-beam laser interference lithography system by vibration analysis model

    NASA Astrophysics Data System (ADS)

    Lin, Te Hsun; Yang, Yin-Kuang; Mai, Hsuan-Ying; Fu, Chien-Chung

    2017-03-01

    This paper has developed the multi-beam laser interference lithography (LIL) system for nano/micro pattern sapphire substrate process (PSS/NPSS). However, the multi-beam LIL system is very sensitive to the light source and the vibration. When there is a vibration source in the exposure environment, the standing wave distribution on the substrate will be affected by the vibration and move in a certain angle. As a result, Moiré fringe defects occur on the exposure result. In order to eliminate the effect of the vibration, we use the software ANSYS to analyze the resonant frequencies of our multi-beam LIL system. Therefore, we need to design new multi-beam LIL system to raise the value of resonant frequencies. The new design of the multi-beam LIL system has higher resonant frequencies and successfully eliminates the bending and rotating effect of the resonant frequencies. As a result, the new multi-beam LIL system can fabricate large area and defects free period structures.

  10. Neural network approach to proximity effect corrections in electron-beam lithography

    NASA Astrophysics Data System (ADS)

    Frye, Robert C.; Cummings, Kevin D.; Rietman, Edward A.

    1990-05-01

    The proximity effect, caused by electron beam backscattering during resist exposure, is an important concern in writing submicron features. It can be compensated by appropriate local changes in the incident beam dose, but computation of the optimal correction usually requires a prohibitively long time. We present an example of such a computation on a small test pattern, which we performed by an iterative method. We then used this solution as a training set for an adaptive neural network. After training, the network computed the same correction as the iterative method, but in a much shorter time. Correcting the image with a software based neural network resulted in a decrease in the computation time by a factor of 30, and a hardware based network enhanced the computation speed by more than a factor of 1000. Both methods had an acceptably small error of 0.5% compared to the results of the iterative computation. Additionally, we verified that the neural network correctly generalized the solution of the problem to include patterns not contained in its training set.

  11. Diffraction spectral filter for use in extreme-UV lithography condenser

    DOEpatents

    Sweatt, William C.; Tichenor, Daniel A.; Bernardez, Luis J.

    2002-01-01

    A condenser system for generating a beam of radiation includes a source of radiation light that generates a continuous spectrum of radiation light; a condenser comprising one or more first optical elements for collecting radiation from the source of radiation light and for generating a beam of radiation; and a diffractive spectral filter for separating first radiation light having a particular wavelength from the continuous spectrum of radiation light. Cooling devices can be employed to remove heat generated. The condenser system can be used with a ringfield camera in projection lithography.

  12. Note: Novel diamond anvil cell for electrical measurements using boron-doped metallic diamond electrodes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Matsumoto, R.; Sasama, Y.; Yamaguchi, T.

    2016-07-15

    A novel diamond anvil cell suitable for electrical transport measurements under high pressure has been developed. A boron-doped metallic diamond film was deposited as an electrode on a nano-polycrystalline diamond anvil using a microwave plasma-assisted chemical vapor deposition technique combined with electron beam lithography. The maximum pressure that can be achieved by this assembly is above 30 GPa. We report electrical transport measurements of Pb up to 8 GPa. The boron-doped metallic diamond electrodes showed no signs of degradation after repeated compression.

  13. Nanomechanics of Microtubules

    NASA Astrophysics Data System (ADS)

    Kis, A.; Kasas, S.; Babić, B.; Kulik, A. J.; Benoît, W.; Briggs, G. A.; Schönenberger, C.; Catsicas, S.; Forró, L.

    2002-11-01

    We have determined the mechanical anisotropy of a single microtubule by simultaneously measuring the Young's and the shear moduli in vitro. This was achieved by elastically deforming the microtubule deposited on a substrate tailored by electron-beam lithography with a tip of an atomic force microscope. The shear modulus is 2orders of magnitude lower than the Young's, giving rise to a length-dependent flexural rigidity of microtubules. The temperature dependence of the microtubule's bending stiffness in the (5-40) °C range shows a strong variation upon cooling coming from the increasing interaction between the protofilaments.

  14. 3D nanostar dimers with a sub-10-nm gap for single-/few-molecule surface-enhanced raman scattering.

    PubMed

    Chirumamilla, Manohar; Toma, Andrea; Gopalakrishnan, Anisha; Das, Gobind; Zaccaria, Remo Proietti; Krahne, Roman; Rondanina, Eliana; Leoncini, Marco; Liberale, Carlo; De Angelis, Francesco; Di Fabrizio, Enzo

    2014-04-16

    Plasmonic nanostar-dimers, decoupled from the substrate, have been fabricated by combining electron-beam lithography and reactive-ion etching techniques. The 3D architecture, the sharp tips of the nanostars and the sub-10 nm gap size promote the formation of giant electric-field in highly localized hot-spots. The single/few molecule detection capability of the 3D nanostar-dimers has been demonstrated by Surface-Enhanced Raman Scattering. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xu, Jia; Zhang, Ziang; Weng, Zhankun

    This paper presents a new method for the generation of cross-scale laser interference patterns and the fabrication of moth-eye structures on silicon. In the method, moth-eye structures were produced on a surface of silicon wafer using direct six-beam laser interference lithography to improve the antireflection performance of the material surface. The periodic dot arrays of the moth-eye structures were formed due to the ablation of the irradiance distribution of interference patterns on the wafer surface. The shape, size, and distribution of the moth-eye structures can be adjusted by controlling the wavelength, incidence angles, and exposure doses in a direct six-beammore » laser interference lithography setup. The theoretical and experimental results have shown that direct six-beam laser interference lithography can provide a way to fabricate cross-scale moth-eye structures for antireflection applications.« less

  16. Random access actuation of nanowire grid metamaterial

    NASA Astrophysics Data System (ADS)

    Cencillo-Abad, Pablo; Ou, Jun-Yu; Plum, Eric; Valente, João; Zheludev, Nikolay I.

    2016-12-01

    While metamaterials offer engineered static optical properties, future artificial media with dynamic random-access control over shape and position of meta-molecules will provide arbitrary control of light propagation. The simplest example of such a reconfigurable metamaterial is a nanowire grid metasurface with subwavelength wire spacing. Recently we demonstrated computationally that such a metadevice with individually controlled wire positions could be used as dynamic diffraction grating, beam steering module and tunable focusing element. Here we report on the nanomembrane realization of such a nanowire grid metasurface constructed from individually addressable plasmonic chevron nanowires with a 230 nm × 100 nm cross-section, which consist of gold and silicon nitride. The active structure of the metadevice consists of 15 nanowires each 18 μm long and is fabricated by a combination of electron beam lithography and ion beam milling. It is packaged as a microchip device where the nanowires can be individually actuated by control currents via differential thermal expansion.

  17. Comparison of technologies for nano device prototyping with a special focus on ion beams: A review

    NASA Astrophysics Data System (ADS)

    Bruchhaus, L.; Mazarov, P.; Bischoff, L.; Gierak, J.; Wieck, A. D.; Hövel, H.

    2017-03-01

    Nano device prototyping (NDP) is essential for realizing and assessing ideas as well as theories in the form of nano devices, before they can be made available in or as commercial products. In this review, application results patterned similarly to those in the semiconductor industry (for cell phone, computer processors, or memory) will be presented. For NDP, some requirements are different: thus, other technologies are employed. Currently, in NDP, for many applications direct write Gaussian vector scan electron beam lithography (EBL) is used to define the required features in organic resists on this scale. We will take a look at many application results carried out by EBL, self-organized 3D epitaxy, atomic probe microscopy (scanning tunneling microscope/atomic force microscope), and in more detail ion beam techniques. For ion beam techniques, there is a special focus on those based upon liquid metal (alloy) ion sources, as recent developments have significantly increased their applicability for NDP.

  18. New non-chemically amplified molecular resist design with switchable sensitivity for multi-lithography applications and nanopatterning

    NASA Astrophysics Data System (ADS)

    Thakur, Neha; Guruprasad Reddy, Pulikanti; Nandi, Santu; Yogesh, Midathala; Sharma, Satinder K.; Pradeep, Chullikkattil P.; Ghosh, Subrata; Gonsalves, Kenneth E.

    2017-12-01

    The development of new photoresist materials for multi-lithography applications is crucial but a challenging task for semiconductor industries. During the last few decades, given the need for new resists to meet the requirements of semiconductor industries, several research groups have developed different resist materials for specific lithography applications. In this context, we have successfully synthesized a new molecular non-chemically amplified resist (n-CAR) (C3) based on the functionalization of aromatic hydroxyl core (4,4‧-(9H-fluorene-9,9-diyl)diphenol) with radiation sensitive sulfonium triflates for various lithography applications. While, micron scale features have been developed using i-line (365 nm) and DUVL (254 nm) exposure tools, electron beam studies on C3 thin films enabled us to pattern 20 nm line features with L/3S (line/space) characteristics on the silicon substrate. The sensitivity and contrast were calculated from the contrast curve analysis as 280 µC cm-2 and 0.025 respectively. Being an important parameter for any newly developed resists, the line edge roughness (LER) of 30 nm (L/5S) features were calculated, using SUMMIT metrology package, to be 3.66  ±  0.3 nm and found to be within the acceptable range. AFM analysis further confirmed 20 nm line width with smooth pattern wall. No deformation of patterned features was observed during AFM analysis which indicated good adhesion property between patterned resists and silicon substrates.

  19. State-of-the-art Nanofabrication in Catalysis.

    PubMed

    Karim, Waiz; Tschupp, Simon A; Herranz, Juan; Schmidt, Thomas J; Ekinci, Yasin; van Bokhovenac, Jeroen A

    2017-04-26

    We present recent developments in top-down nanofabrication that have found application in catalysis research. To unravel the complexity of catalytic systems, the design and use of models with control of size, morphology, shape and inter-particle distances is a necessity. The study of well-defined and ordered nanoparticles on a support contributes to the understanding of complex phenomena that govern reactions in heterogeneous and electro-catalysis. We review the strengths and limitations of different nanolithography methods such as electron beam lithography (EBL), photolithography, extreme ultraviolet (EUV) lithography and colloidal lithography for the creation of such highly tunable catalytic model systems and their applications in catalysis. Innovative strategies have enabled particle sizes reaching dimensions below 10 nm. It is now possible to create pairs of particles with distance controlled with an extremely high precision in the order of one nanometer. We discuss our approach to study these model systems at the single-particle level using X-ray absorption spectroscopy and show new ways to fabricate arrays of single nanoparticles or nanoparticles in pairs over a large area using EBL and EUV-achromatic Talbot lithography. These advancements have provided new insights into the active sites in metal catalysts and enhanced the understanding of the role of inter-particle interactions and catalyst supports, such as in the phenomenon of hydrogen spillover. We present a perspective on future directions for employing top-down nanofabrication in heterogeneous and electrocatalysis. The rapid development in nanofabrication and characterization methods will continue to have an impact on understanding of complex catalytic processes.

  20. Improvement in electron-beam lithography throughput by exploiting relaxed patterning fidelity requirements with directed self-assembly

    NASA Astrophysics Data System (ADS)

    Yu, Hao Yun; Liu, Chun-Hung; Shen, Yu Tian; Lee, Hsuan-Ping; Tsai, Kuen Yu

    2014-03-01

    Line edge roughness (LER) influencing the electrical performance of circuit components is a key challenge for electronbeam lithography (EBL) due to the continuous scaling of technology feature sizes. Controlling LER within an acceptable tolerance that satisfies International Technology Roadmap for Semiconductors requirements while achieving high throughput become a challenging issue. Although lower dosage and more-sensitive resist can be used to improve throughput, they would result in serious LER-related problems because of increasing relative fluctuation in the incident positions of electrons. Directed self-assembly (DSA) is a promising technique to relax LER-related pattern fidelity (PF) requirements because of its self-healing ability, which may benefit throughput. To quantify the potential of throughput improvement in EBL by introducing DSA for post healing, rigorous numerical methods are proposed to simultaneously maximize throughput by adjusting writing parameters of EBL systems subject to relaxed LER-related PF requirements. A fast, continuous model for parameter sweeping and a hybrid model for more accurate patterning prediction are employed for the patterning simulation. The tradeoff between throughput and DSA self-healing ability is investigated. Preliminary results indicate that significant throughput improvements are achievable at certain process conditions.

  1. Chalcogenide phase-change thin films used as grayscale photolithography materials.

    PubMed

    Wang, Rui; Wei, Jingsong; Fan, Yongtao

    2014-03-10

    Chalcogenide phase-change thin films are used in many fields, such as optical information storage and solid-state memory. In this work, we present another application of chalcogenide phase-change thin films, i.e., as grayscale photolithgraphy materials. The grayscale patterns can be directly inscribed on the chalcogenide phase-change thin films by a single process through direct laser writing method. In grayscale photolithography, the laser pulse can induce the formation of bump structure, and the bump height and size can be precisely controlled by changing laser energy. Bumps with different height and size present different optical reflection and transmission spectra, leading to the different gray levels. For example, the continuous-tone grayscale images of lifelike bird and cat are successfully inscribed onto Sb(2)Te(3) chalcogenide phase-change thin films using a home-built laser direct writer, where the expression and appearance of the lifelike bird and cat are fully presented. This work provides a way to fabricate complicated grayscale patterns using laser-induced bump structures onto chalcogenide phase-change thin films, different from current techniques such as photolithography, electron beam lithography, and focused ion beam lithography. The ability to form grayscale patterns of chalcogenide phase-change thin films reveals many potential applications in high-resolution optical images for micro/nano image storage, microartworks, and grayscale photomasks.

  2. Way for LEEPL technology to succeed in memory device application

    NASA Astrophysics Data System (ADS)

    Kim, In-Sung; Woo, Sang-Gyun; Cho, Han-Ku; Han, Woo-Sung; Moon, Joo-Tae

    2004-05-01

    Lithography for 65nm-node device is drawing a lot of attentions these days especially because lithography solution for this node is not clear and even tool makers tend to wait for the consensus in lithography roadmap to avoid the risk of erroneous amount of investment. Recently proposed concept of low energy electron-beam proximity-projection lithography (LEEPL)1,2 technology has already released its first production machine in 2003, which is being expected to cover the design rule down to 65nm-node and even smaller3. Although production of semiconductor device has been pursuing optical lithography, without any optical technology that is proved as a convincing solution for 65nm node and below, we need to take account of all the candidates. So we made an investigation on LEEPL technology and evaluated beta and first production tool to see the feasibility of printing sub-70nm resolution and of optic-first mix-and-match overlay from a chip maker"s point of view. Two different kinds of stencil masks were fabricated for the evaluation, which are fabricated in SiC and Si membrane. The former mask is for sparse contact holes(C/H) and the latter for dense C/Hs. Beta-tool showed a good resolving power of sub-70nm sparse C/Hs of SRAM with negligibly small proximity effect. It implies that LEEPL does not require much effort for proximity correction comparing to that required in optical lithography, which is one of the biggest issues in low-k1. LEEPL also showed a good capability of optic-first mix-and-match overlay correction and this is the most stringent and important functionality for optic-first mix-and-match application. However random intra-membrane image placement(IP) error that is a little bit larger than the requirement for sub-70nm node was observed, which is interpreted to come from the larger stress of 100MPa in 3X3mm2 dry-etched SiC unit membrane. For dense C/Hs, we failed, to the contrary, to obtain any good quality of stencil masks for DRAM cell patterns because of e-beam proximity effect which is unavoidable in the reversed order of front-side forward direct writing and back-side later membrane formation. Pros and cons of LEEPL technology are discussed based on the evaluation results and estimation from the memory device standpoint. We also propose a novel concept of stencil mask that can be helpful in memory device application.

  3. Deposition, characterization, patterning and mechanistic study of inorganic resists for next-generation nanolithography

    NASA Astrophysics Data System (ADS)

    Luo, Feixiang

    The semiconductor industry has witnessed a continuous decrease in the size of logic, memory and other computer chip components since its birth over half a century ago. The shrinking (scaling) of components has to a large extent been enabled by the development of micro- and now nano-lithographic techniques. This thesis focuses on one central component of lithography, the resist, which is essentially a thin film that when appropriately exposed enables a pattern to be printed onto a surface. Smaller features require an ever more precisely focused photon, electron or ion beam with which to expose the resist. The likely next generation source of radiation that will enable sub-20nm features to be written will employ extreme ultraviolet radiation (EUV), 92eV (13.5nm). The work discussed here involves a novel class of inorganic resists (including a solution processed Hf-based resist called HafSOx), as the organic resists that have dominated the microlithography industry for the past few decades have approached fundamental scaling limits. In order to maintain the high throughput required by high volume semiconductor manufacturing, metal oxide resists have been proposed and developed to meet the resolution and sensitivity in EUV lithography. One can think of our resists as the nano-lithographic analog to the silver halide film that dominated the photographic print industry for a century. In this thesis, we mainly describe our work on HafSOx, a "first generation" metal oxide EUV resist system. HafSOx thin films can be deposited by spin-coating a mixed solution of HfOCl2, H2O 2, and H2SO4. Various materials characterization techniques have been employed to achieve a comprehensive understanding of film composition and structure at both surface and bulk level, as well as a mechanistic understanding of the film radiation chemistry. Taking advantage of the high energy x-rays used in the XPS experiment, we developed an experiment to dynamically monitor the photochemistry within the HafSOx films. Based on this experiment, we found that an insoluble Hf-O-Hf network is eventually formed after film exposure and development by the removal of SOx, OH, and H2O, and the cross-linking of HfxOy nanoparticles. Using photoemission and complementary Raman results, and knowing that both free and bound peroxide co-exist in the precursor solution, we confirmed that there is a specific peroxide stoichiometry needed in the film to chelate to Hf. Sulfate groups were found to act as the spacers between metal oxide nanoparticles to prevent early stage nanoparticle aggregation in the as-deposited films. Too much sulfate sacrifices resist sensitivity, while too little promotes undesired nanoparticle cross-linking during film preparation. In EUV lithography, low energy secondary electron activation had been suggested as a mechanism explaining how film exposure to EUV photons through a mask can result in a patterned film, but this hypothesis lacked experimental evidence. We constructed a low energy electron beam exposure system, exposed HafSOx resists with electrons with energy ranging from 2 eV to 100 eV, and then characterized the film changes after the exposure. Surprisingly, we found electrons with an energy as low as 2 eV can activate the film if given a sufficient electron dose. Electrons with a lower energy require higher doses to fully activate the resist. Our results strongly support the hypothesis that relatively low energy secondary electrons are central in the mechanism responsible for patterning, in this case by interacting with peroxyl species bound to Hf in the films. With the recent arrival of a state-of-art Zeiss-Orion helium ion beam microscope at Rutgers, we also tested the patterning performance of a HafSOx resist with 30 keV He+ ions. (HIBL = helium ion beam lithography). 30 keV He ions were found to be 50-100 more sensitive than 30 keV electrons at patterning HafSOx, and this boost was attributed to the higher stopping power of helium ions compared with electrons. Sub-10 nm critical dimensions were achieved with fairly good line edge roughness (a key metric in assessing lithographic performance). Additionally, Monte Carlo simulations were conducted to compare the ion and electron trajectories in the solid films and to investigate energy loss in the HafSOx films. In summary, a systematic approach has been developed to understand the mechanism behind HafSOx as an EUV resist. Our work helps lead to a more comprehensive mechanistic understanding of how metal oxide EUV photoresists work in general, and suggests ways to optimize their performance.

  4. Direct determination of minority carrier diffusion lengths at axial GaAs nanowire p-n junctions.

    PubMed

    Gutsche, Christoph; Niepelt, Raphael; Gnauck, Martin; Lysov, Andrey; Prost, Werner; Ronning, Carsten; Tegude, Franz-Josef

    2012-03-14

    Axial GaAs nanowire p-n diodes, possibly one of the core elements of future nanowire solar cells and light emitters, were grown via the Au-assisted vapor-liquid-solid mode, contacted by electron beam lithography, and investigated using electron beam induced current measurements. The minority carrier diffusion lengths and dynamics of both, electrons and holes, were determined directly at the vicinity of the p-n junction. The generated photocurrent shows an exponential decay on both sides of the junction and the extracted diffusion lengths are about 1 order of magnitude lower compared to bulk material due to surface recombination. Moreover, the observed strong diameter-dependence is well in line with the surface-to-volume ratio of semiconductor nanowires. Estimating the surface recombination velocities clearly indicates a nonabrupt p-n junction, which is in essential agreement with the model of delayed dopant incorporation in the Au-assisted vapor-liquid-solid mechanism. Surface passivation using ammonium sulfide effectively reduces the surface recombination and thus leads to higher minority carrier diffusion lengths. © 2012 American Chemical Society

  5. Electron-Beam-Lithographed Nanostructures as Reference Materials for Label-Free Scattered-Light Biosensing of Single Filoviruses.

    PubMed

    Agrawal, Anant; Majdi, Joseph; Clouse, Kathleen A; Stantchev, Tzanko

    2018-05-23

    Optical biosensors based on scattered-light measurements are being developed for rapid and label-free detection of single virions captured from body fluids. Highly controlled, stable, and non-biohazardous reference materials producing virus-like signals are valuable tools to calibrate, evaluate, and refine the performance of these new optical biosensing methods. To date, spherical polymer nanoparticles have been the only non-biological reference materials employed with scattered-light biosensing techniques. However, pathogens like filoviruses, including the Ebola virus, are far from spherical and their shape strongly affects scattered-light signals. Using electron beam lithography, we fabricated nanostructures resembling individual filamentous virions attached to a biosensing substrate (silicon wafer overlaid with silicon oxide film) and characterized their dimensions with scanning electron and atomic force microscopes. To assess the relevance of these nanostructures, we compared their signals across the visible spectrum to signals recorded from Ebola virus-like particles which exhibit characteristic filamentous morphology. We demonstrate the highly stable nature of our nanostructures and use them to obtain new insights into the relationship between virion dimensions and scattered-light signal.

  6. Direct-writing lithography using laser diode beam focused with single elliptical microlens

    NASA Astrophysics Data System (ADS)

    Hasan, Md. Nazmul; Haque, Muttahid-Ull; Trisno, Jonathan; Lee, Yung-Chun

    2015-10-01

    A lithography method is proposed for arbitrary patterning using an elliptically diverging laser diode beam focused with a single planoconvex elliptical microlens. Simulations are performed to model the propagation properties of the laser beam and to design the elliptical microlens, which has two different profiles in the x- and y-axis directions. The microlens is fabricated using an excimer laser dragging method and is then attached to the laser diode using double-sided optically cleared adhesive (OCA) tape. Notably, the use of OCA tape removes the need for a complicated alignment procedure and thus significantly reduces the assembly cost. The minimum focused spot of the laser diode beam is investigated by performing single-shot exposure tests on a photoresist (PR) layer. Finally, the practical feasibility of this lithography technique to generate an arbitrary pattern is demonstrated by dotted and continuous features through thin chromium layer deposition on PR and a metal lift-off process. The results show that the minimum feature size for the dotted patterns is around 6.23 μm, while the minimum linewidths for continuous patterns is 6.44 μm. In other words, the proposed focusing technique has significant potential for writing any arbitrary high-resolution pattern for applications like printed circuit board fabrication.

  7. Mask manufacturing of advanced technology designs using multi-beam lithography (Part 1)

    NASA Astrophysics Data System (ADS)

    Green, Michael; Ham, Young; Dillon, Brian; Kasprowicz, Bryan; Hur, Ik Boum; Park, Joong Hee; Choi, Yohan; McMurran, Jeff; Kamberian, Henry; Chalom, Daniel; Klikovits, Jan; Jurkovic, Michal; Hudek, Peter

    2016-10-01

    As optical lithography is extended into 10nm and below nodes, advanced designs are becoming a key challenge for mask manufacturers. Techniques including advanced Optical Proximity Correction (OPC) and Inverse Lithography Technology (ILT) result in structures that pose a range of issues across the mask manufacturing process. Among the new challenges are continued shrinking Sub-Resolution Assist Features (SRAFs), curvilinear SRAFs, and other complex mask geometries that are counter-intuitive relative to the desired wafer pattern. Considerable capability improvements over current mask making methods are necessary to meet the new requirements particularly regarding minimum feature resolution and pattern fidelity. Advanced processes using the IMS Multi-beam Mask Writer (MBMW) are feasible solutions to these coming challenges. In this paper, we study one such process, characterizing mask manufacturing capability of 10nm and below structures with particular focus on minimum resolution and pattern fidelity.

  8. Mask manufacturing of advanced technology designs using multi-beam lithography (part 2)

    NASA Astrophysics Data System (ADS)

    Green, Michael; Ham, Young; Dillon, Brian; Kasprowicz, Bryan; Hur, Ik Boum; Park, Joong Hee; Choi, Yohan; McMurran, Jeff; Kamberian, Henry; Chalom, Daniel; Klikovits, Jan; Jurkovic, Michal; Hudek, Peter

    2016-09-01

    As optical lithography is extended into 10nm and below nodes, advanced designs are becoming a key challenge for mask manufacturers. Techniques including advanced optical proximity correction (OPC) and Inverse Lithography Technology (ILT) result in structures that pose a range of issues across the mask manufacturing process. Among the new challenges are continued shrinking sub-resolution assist features (SRAFs), curvilinear SRAFs, and other complex mask geometries that are counter-intuitive relative to the desired wafer pattern. Considerable capability improvements over current mask making methods are necessary to meet the new requirements particularly regarding minimum feature resolution and pattern fidelity. Advanced processes using the IMS Multi-beam Mask Writer (MBMW) are feasible solutions to these coming challenges. In this paper, Part 2 of our study, we further characterize an MBMW process for 10nm and below logic node mask manufacturing including advanced pattern analysis and write time demonstration.

  9. Latest results on solarization of optical glasses with pulsed laser radiation

    NASA Astrophysics Data System (ADS)

    Jedamzik, Ralf; Petzold, Uwe

    2017-02-01

    Femtosecond lasers are more and more used for material processing and lithography. Femtosecond laser help to generate three dimensional structures in photoresists without using masks in micro lithography. This technology is of growing importance for the field of backend lithography or advanced packaging. Optical glasses used for beam shaping and inspection tools need to withstand high laser pulse energies. Femtosecond laser radiation in the near UV wavelength range generates solarization effects in optical glasses. In this paper results are shown of femtosecond laser solarization experiments on a broad range of optical glasses from SCHOTT. The measurements have been performed by the Laser Zentrum Hannover in Germany. The results and their impact are discussed in comparison to traditional HOK-4 and UVA-B solarization measurements of the same materials. The target is to provide material selection guidance to the optical designer of beam shaping lens systems.

  10. Pinpoint Delivery of Molecules by Using Electron Beam Addressing Virtual Cathode Display.

    PubMed

    Hoshino, Takayuki; Yoshioka, Moto; Wagatsuma, Akira; Miyazako, Hiroki; Mabuchi, Kunihiko

    2018-03-01

    Electroporation, a physical transfection method to introduce genomic molecules in selective living cells, could be implemented by microelectrode devices. A local electric field generated by a finer electrode can induces cytomembrane poration in the electrode vicinity. To employ fine, high-speed scanning electrodes, we developed a fine virtual cathode pattern, which was generated on a cell adhesive surface of 100-nm-thick SiN membrane by inverted-electron beam lithography. The SiN membrane works as both a vacuum barrier and the display screen of the virtual cathode. The kinetic energy of the incident primary electrons to the SiN membrane was completely blocked, whereas negative charges and leaking electric current appeared on the surface of the dielectric SiN membrane within a region of 100 nm. Locally controlled transmembrane molecular delivery was demonstrated on adhered C2C12 myoblast cells in a culturing medium with fluorescent dye propidium iodide (PI). Increasing fluorescence of pre-diluted PI indicated local poration and transmembrane inflow at the virtual cathode position, as well as intracellular diffusion. The transmembrane inflows depended on beam duration time and acceleration voltage. At the post-molecular delivery, a slight decrease in intracellular PI fluorescence intensity indicates membrane recovery from the poration. Cell viability was confirmed by time-lapse cell imaging of post-exposure cell migration.

  11. History and modern applications of nano-composite materials carrying GA/cm2 current density due to a Bose-Einstein Condensate at room temperature produced by Focused Electron Beam Induced Processing for many extraordinary novel technical applications

    NASA Astrophysics Data System (ADS)

    Koops, Hans W. P.

    2015-12-01

    The discovery of Focused Electron Beam Induced Processing and early applications of this technology led to the possible use of a novel nanogranular material “Koops-GranMat®” using Pt/C and Au/C material. which carries at room temperature a current density > 50 times the current density which high TC superconductors can carry. The explanation for the characteristics of this novel material is given. This fact allows producing novel products for many applications using Dual Beam system having a gas supply and X.Y.T stream data programming and not using GDSII layout pattern control software. Novel products are possible for energy transportation. -distribution.-switching, photon-detection above 65 meV energy for very efficient energy harvesting, for bright field emission electron sources used for vacuum electronic devices like amplifiers for HF electronics, micro-tubes, 30 GHz to 6 THz switching amplifiers with signal to noise ratio >10(!), THz power sources up to 1 Watt, in combination with miniaturized vacuum pumps, vacuum gauges, IR to THz detectors, EUV- and X-Ray sources. Since focusing electron beam induced deposition works also at low energy, selfcloning multibeam-production machines for field emitter lamps, displays, multi-beam - lithography, - imaging, and - inspection, energy harvesting, and power distribution with switches controlling field-emitter arrays for KA of currents but with < 100 V switching voltage are possible. Finally the replacement of HTC superconductors and its applications by the Koops-GranMat® having Koops-Pairs at room temperature will allow the investigation devices similar to Josephson Junctions and its applications now called QUIDART (Quantum interference devices at Room Temperature). All these possibilities will support a revolution in the optical, electric, power, and electronic technology.

  12. Fabrication and ab initio study of downscaled graphene nanoelectronic devices

    NASA Astrophysics Data System (ADS)

    Mizuta, Hiroshi; Moktadir, Zakaria; Boden, Stuart A.; Kalhor, Nima; Hang, Shuojin; Schmidt, Marek E.; Cuong, Nguyen Tien; Chi, Dam Hieu; Otsuka, Nobuo; Muruganathan, Manoharan; Tsuchiya, Yoshishige; Chong, Harold; Rutt, Harvey N.; Bagnall, Darren M.

    2012-09-01

    In this paper we first present a new fabrication process of downscaled graphene nanodevices based on direct milling of graphene using an atomic-size helium ion beam. We address the issue of contamination caused by the electron-beam lithography process to pattern the contact metals prior to the ultrafine milling process in the helium ion microscope (HIM). We then present our recent experimental study of the effects of the helium ion exposure on the carrier transport properties. By varying the time of helium ion bombardment onto a bilayer graphene nanoribbon transistor, the change in the transfer characteristics is investigated along with underlying carrier scattering mechanisms. Finally we study the effects of various single defects introduced into extremely-scaled armchair graphene nanoribbons on the carrier transport properties using ab initio simulation.

  13. Electron-beam patterned self-assembled monolayers as templates for Cu electrodeposition and lift-off

    PubMed Central

    She, Zhe; DiFalco, Andrea; Hähner, Georg

    2012-01-01

    Summary Self-assembled monolayers (SAMs) of 4'-methylbiphenyl-4-thiol (MBP0) adsorbed on polycrystalline gold substrates served as templates to control electrochemical deposition of Cu structures from acidic solution, and enabled the subsequent lift-off of the metal structures by attachment to epoxy glue. By exploiting the negative-resist behaviour of MBP0, the SAM was patterned by means of electron-beam lithography. For high deposition contrast a two-step procedure was employed involving a nucleation phase around −0.7 V versus Cu2+/Cu and a growth phase at around −0.35 V versus Cu2+/Cu. Structures with features down to 100 nm were deposited and transferred with high fidelity. By using substrates with different surface morphologies, AFM measurements revealed that the roughness of the substrate is a crucial factor but not the only one determining the roughness of the copper surface that is exposed after lift-off. PMID:22428101

  14. Electron beam lithographic modeling assisted by artificial intelligence technology

    NASA Astrophysics Data System (ADS)

    Nakayamada, Noriaki; Nishimura, Rieko; Miura, Satoru; Nomura, Haruyuki; Kamikubo, Takashi

    2017-07-01

    We propose a new concept of tuning a point-spread function (a "kernel" function) in the modeling of electron beam lithography using the machine learning scheme. Normally in the work of artificial intelligence, the researchers focus on the output results from a neural network, such as success ratio in image recognition or improved production yield, etc. In this work, we put more focus on the weights connecting the nodes in a convolutional neural network, which are naturally the fractions of a point-spread function, and take out those weighted fractions after learning to be utilized as a tuned kernel. Proof-of-concept of the kernel tuning has been demonstrated using the examples of proximity effect correction with 2-layer network, and charging effect correction with 3-layer network. This type of new tuning method can be beneficial to give researchers more insights to come up with a better model, yet it might be too early to be deployed to production to give better critical dimension (CD) and positional accuracy almost instantly.

  15. Development of template and mask replication using jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Brooks, Cynthia; Selinidis, Kosta; Doyle, Gary; Brown, Laura; LaBrake, Dwayne; Resnick, Douglas J.; Sreenivasan, S. V.

    2010-09-01

    The Jet and Flash Imprint Lithography (J-FILTM)1-7 process uses drop dispensing of UV curable resists to assist high resolution patterning for subsequent dry etch pattern transfer. The technology is actively being used to develop solutions for memory markets including Flash memory and patterned media for hard disk drives. It is anticipated that the lifetime of a single template (for patterned media) or mask (for semiconductor) will be on the order of 104 - 105 imprints. This suggests that tens of thousands of templates/masks will be required. It is not feasible to employ electronbeam patterning directly to deliver these volumes. Instead, a "master" template - created by directly patterning with an electron-beam tool - will be replicated many times with an imprint lithography tool to produce the required supply of "working" templates/masks. In this paper, we review the development of the pattern transfer process for both template and mask replicas. Pattern transfer of resolutions down to 25nm has been demonstrated for bit patterned media replication. In addition, final resolution on a semiconductor mask of 28nm has been confirmed. The early results on both etch depth and CD uniformity are promising, but more extensive work is required to characterize the pattern transfer process.

  16. Immersion lithography: its history, current status and future prospects

    NASA Astrophysics Data System (ADS)

    Owa, Soichi; Nagasaka, Hiroyuki

    2008-11-01

    Since the 1980's, immersion exposure has been proposed several times. At the end of 1990's, however, these concepts were almost forgotten because other technologies, such as electron beam projection, EUVL, and 157 nm were believed to be more promising than immersion exposures. The current work in immersion lithography started in 2001 with the report of Switkes and Rothschild. Although their first proposal was at 157 nm wavelength, their report in the following year on 193 nm immersion with purified water turned out to be the turning point for the introduction of water-based 193 nm immersion lithography. In February, 2003, positive feasibility study results of 193 nm immersion were presented at the SPIE microlithography conference. Since then, the development of 193 nm immersion exposure tools accelerated. Currently (year 2008), multiple hyper NA (NA>1.0) scanners are generating mass production 45 nm half pitch devices in semiconductor manufacturing factories. As a future extension, high index immersion was studied over the past few years, but material development lagged more than expected, which resulted in the cancellation of high index immersion plans at scanner makers. Instead, double patterning, double dipole exposure, and customized illuminations techniques are expected as techniques to extend immersion for the 32 nm node and beyond.

  17. Optical nano-woodpiles: large-area metallic photonic crystals and metamaterials

    PubMed Central

    Ibbotson, Lindsey A.; Demetriadou, Angela; Croxall, Stephen; Hess, Ortwin; Baumberg, Jeremy J.

    2015-01-01

    Metallic woodpile photonic crystals and metamaterials operating across the visible spectrum are extremely difficult to construct over large areas, because of the intricate three-dimensional nanostructures and sub-50 nm features demanded. Previous routes use electron-beam lithography or direct laser writing but widespread application is restricted by their expense and low throughput. Scalable approaches including soft lithography, colloidal self-assembly, and interference holography, produce structures limited in feature size, material durability, or geometry. By multiply stacking gold nanowire flexible gratings, we demonstrate a scalable high-fidelity approach for fabricating flexible metallic woodpile photonic crystals, with features down to 10 nm produced in bulk and at low cost. Control of stacking sequence, asymmetry, and orientation elicits great control, with visible-wavelength band-gap reflections exceeding 60%, and with strong induced chirality. Such flexible and stretchable architectures can produce metamaterials with refractive index near zero, and are easily tuned across the IR and visible ranges. PMID:25660667

  18. Making structures for cell engineering.

    PubMed

    Wilkinson, C D W

    2004-10-22

    This is a mainly historical account of the events, methods and artifacts arising from my collaboration with Adam Curtis over the past twenty years to make exercise grounds for biological cells. Initially the structures were made in fused silica by photo-lithography and dry etching. The need to make micron-sized features in biodegradable polymers, led to the development of embossing techniques. Some cells response to grooves only a few tens of nanometers deep--this led to a desire to find the response of cells to features of nanometric size overall. Regular arrays of such features were made using electron beam lithography for definition of the pattern. Improvements were made in the lithographic techniques to allow arrays to be defined over areas bigger than 1 cm2. Structures with microelectrodes arranged inside guiding grooves to allow the formation of sparse predetermined networks of neurons were made. It is concluded that the creation of pattern, as in vivo, in assemblies of regrown cells in scaffolds may well be necessary in advanced cell engineering applications.

  19. Parallel compression/decompression-based datapath architecture for multibeam mask writers

    NASA Astrophysics Data System (ADS)

    Chaudhary, Narendra; Savari, Serap A.

    2017-06-01

    Multibeam electron beam systems will be used in the future for mask writing and for complimentary lithography. The major challenges of the multibeam systems are in meeting throughput requirements and in handling the large data volumes associated with writing grayscale data on the wafer. In terms of future communications and computational requirements Amdahl's Law suggests that a simple increase of computation power and parallelism may not be a sustainable solution. We propose a parallel data compression algorithm to exploit the sparsity of mask data and a grayscale video-like representation of data. To improve the communication and computational efficiency of these systems at the write time we propose an alternate datapath architecture partly motivated by multibeam direct write lithography and partly motivated by the circuit testing literature, where parallel decompression reduces clock cycles. We explain a deflection plate architecture inspired by NuFlare Technology's multibeam mask writing system and how our datapath architecture can be easily added to it to improve performance.

  20. Parallel compression/decompression-based datapath architecture for multibeam mask writers

    NASA Astrophysics Data System (ADS)

    Chaudhary, Narendra; Savari, Serap A.

    2017-10-01

    Multibeam electron beam systems will be used in the future for mask writing and for complementary lithography. The major challenges of the multibeam systems are in meeting throughput requirements and in handling the large data volumes associated with writing grayscale data on the wafer. In terms of future communications and computational requirements, Amdahl's law suggests that a simple increase of computation power and parallelism may not be a sustainable solution. We propose a parallel data compression algorithm to exploit the sparsity of mask data and a grayscale video-like representation of data. To improve the communication and computational efficiency of these systems at the write time, we propose an alternate datapath architecture partly motivated by multibeam direct-write lithography and partly motivated by the circuit testing literature, where parallel decompression reduces clock cycles. We explain a deflection plate architecture inspired by NuFlare Technology's multibeam mask writing system and how our datapath architecture can be easily added to it to improve performance.

  1. Two-photon equivalent weighting of spatial excimer laser beam profiles

    NASA Astrophysics Data System (ADS)

    Eva, Eric; Bauer, Harry H.; Metzger, K.; Pfeiffer, A.

    2001-04-01

    Damage in optical materials for semiconductor lithography applications caused by exposure to 248 or 193 nm light is usually two-photon driven, hence it is a nonlinear function of incident intensity. Materials should be tested with flat- topped temporal and spatial laser beam profiles to facilitate interpretation of data, but in reality this is hard to achieve. Sandstrom provided a formula that approximates any given temporal pulse shape with a two- photon equivalent rectangular pulse (Second Symposium on 193 nm Lithography, Colorado Springs 1997). Known as the integral-square pulse duration, this definition has been embraced as an industry standard. Originally faced with the problem of comparing results obtained with pseudo-Gaussian spatial profiles to literature data, we found that a general solution for arbitrarily inhomogeneous spatial beam profiles exists which results in a definition much similar to Sandstrom's. In addition, we proved the validity of our approach in experiments with intentionally altered beam profiles.

  2. Challenges and requirements of mask data processing for multi-beam mask writer

    NASA Astrophysics Data System (ADS)

    Choi, Jin; Lee, Dong Hyun; Park, Sinjeung; Lee, SookHyun; Tamamushi, Shuichi; Shin, In Kyun; Jeon, Chan Uk

    2015-07-01

    To overcome the resolution and throughput of current mask writer for advanced lithography technologies, the platform of e-beam writer have been evolved by the developments of hardware and software in writer. Especially, aggressive optical proximity correction (OPC) for unprecedented extension of optical lithography and the needs of low sensitivity resist for high resolution result in the limit of variable shaped beam writer which is widely used for mass production. The multi-beam mask writer is attractive candidate for photomask writing of sub-10nm device because of its high speed and the large degree of freedom which enable high dose and dose modulation for each pixel. However, the higher dose and almost unlimited appetite for dose modulation challenge the mask data processing (MDP) in aspects of extreme data volume and correction method. Here, we discuss the requirements of mask data processing for multi-beam mask writer and presents new challenges of the data format, data flow, and correction method for user and supplier MDP tool.

  3. Extreme-UV lithography condenser

    DOEpatents

    Sweatt, William C.; Sweeney, Donald W.; Shafer, David; McGuire, James

    2001-01-01

    Condenser system for use with a ringfield camera in projection lithography where the condenser includes a series of segments of a parent aspheric mirror having one foci at a quasi-point source of radiation and the other foci at the radius of a ringfield have all but one or all of their beams translated and rotated by sets of mirrors such that all of the beams pass through the real entrance pupil of a ringfield camera about one of the beams and fall onto the ringfield radius as a coincident image as an arc of the ringfield. The condenser has a set of correcting mirrors with one of the correcting mirrors of each set, or a mirror that is common to said sets of mirrors, from which the radiation emanates, is a concave mirror that is positioned to shape a beam segment having a chord angle of about 25 to 85 degrees into a second beam segment having a chord angle of about 0 to 60 degrees.

  4. Electrical Measurements on Iridium Dioxide Nanorods

    NASA Astrophysics Data System (ADS)

    Lin, Y. H.; Lee, T. C.; Lin, J. J.; Chang, H. M.; Huang, Y. S.

    2006-09-01

    Iridium dioxide (IrO2) nanorods have been prepared by metal-organic chemical vapor deposition method. Applying the standard electron-beam lithography technique, a single nanorod with a diameter of 110 nm is contacted by three Cr/Au fingers from above. The resistance measurements on this nanorod have been performed between 10 and 300 K, using different probe configurations. We observe that the resistivity ρ of the nanorod has a value ⩽ 120 μΩ cm at 300 K. On the other hand, the temperature dependence of the contact resistance R obeys the law logR ∝ T-1/2 below 100 K. The conduction process through the contact is ascribed to the transport of electrons via hopping in granular metals accidentally formed at the contact region.

  5. Electric property measurement of free-standing SrTiO3 nanoparticles assembled by dielectrophoresis

    NASA Astrophysics Data System (ADS)

    Budiman, Faisal; Kotooka, Takumi; Horibe, Yoichi; Eguchi, Masanori; Tanaka, Hirofumi

    2018-06-01

    Free-standing strontium titanate (SrTiO3/STO) nanoparticles (NPs) were synthesized by the sol–gel method. X-ray diffractometry revealed that the required minimum annealing temperature to synthesize pure and highly crystalline STO NPs was 500 °C. Moreover, morphological observation by field emission scanning electron microscopy showed that the STO NPs have a spherical structure and their size depended on annealing condition. Electrical properties were measured using a low-temperature probing system. Here, an electrode was fabricated by electron beam lithography and the synthesized STO NPs were aligned at the electrodes by dielectrophoresis. The conductance of a sample was proportional to temperature. Two conduction mechanisms originating from hopping and tunneling appeared in the Arrhenius plot.

  6. Fabrication and characterization of sub-micron scale hall devices from 2-dimensional electron gas at the heterostrutcure of GaAs/AlGaAs

    NASA Astrophysics Data System (ADS)

    Keswani, Neeti; Nakajima, Yoshikata; Chauhan, Neha; Kumar, Sakthi; Ohno, H.; Das, Pintu

    2018-05-01

    In this work, we report the fabrication and transport properties of sub-micron Hall devices to be used for nanomagnetic studies. Hall bars were fabricated using electron-beam lithography followed by wet etching of GaAs/AlGaAs heterostructures containing two-dimensional electron gas (2-DEG). Metallization using multiple metallic layers were used to achieve ohmic contacts with the 2-DEG which is about 240 nm below the surface. Detailed characterization of the metallic layers using X-ray Photoelectron Spectroscopy (XPS) demonstrate the role of alloy formation and diffusion to form ohmic contacts with the 2-DEG. Electronic transport measurements show the metallic character of the 2-DEG. Hall effect and magnetoresistance were measured to estimate the carrier mobility of 4.2×104 cm2/V-s at 5 K in dark.

  7. Nanoscale probing of electron-regulated structural transitions in silk proteins by near-field IR imaging and nano-spectroscopy

    PubMed Central

    Qin, Nan; Zhang, Shaoqing; Jiang, Jianjuan; Corder, Stephanie Gilbert; Qian, Zhigang; Zhou, Zhitao; Lee, Woonsoo; Liu, Keyin; Wang, Xiaohan; Li, Xinxin; Shi, Zhifeng; Mao, Ying; Bechtel, Hans A.; Martin, Michael C.; Xia, Xiaoxia; Marelli, Benedetto; Kaplan, David L.; Omenetto, Fiorenzo G.; Liu, Mengkun; Tao, Tiger H.

    2016-01-01

    Silk protein fibres produced by silkworms and spiders are renowned for their unparalleled mechanical strength and extensibility arising from their high-β-sheet crystal contents as natural materials. Investigation of β-sheet-oriented conformational transitions in silk proteins at the nanoscale remains a challenge using conventional imaging techniques given their limitations in chemical sensitivity or limited spatial resolution. Here, we report on electron-regulated nanoscale polymorphic transitions in silk proteins revealed by near-field infrared imaging and nano-spectroscopy at resolutions approaching the molecular level. The ability to locally probe nanoscale protein structural transitions combined with nanometre-precision electron-beam lithography offers us the capability to finely control the structure of silk proteins in two and three dimensions. Our work paves the way for unlocking essential nanoscopic protein structures and critical conditions for electron-induced conformational transitions, offering new rules to design protein-based nanoarchitectures. PMID:27713412

  8. Control of Low-Field Hysteresis Loop Shift of Spin Valves

    NASA Astrophysics Data System (ADS)

    Chernyshova, T. A.; Milyaev, M. A.; Naumova, L. I.; Proglyado, V. V.; Maksimova, I. K.; Pavlova, A. Yu.; Blagodatkov, D. V.; Ustinov, V. V.

    2017-12-01

    Spin valves that comprise synthetic antiferromagnet as a component of pinned layer and an exchange-coupled ferromagnet/Ru/ferromagnet structure in the free layer have been prepared by magnetron sputtering. Microobjects have been formed from spin valves by optical and electron-beam lithography. It has been shown that the shift of the low-field magnetoresistance hysteresis loop decreases as the thicknes of the Ru spacer in the free layer of spin valve increases. The almost hysteresis-free odd-field dependences of the magnetoresistance were obtained for micron-sized samples; in this case, the sensitivity is 0.2%/Oe.

  9. Engineered arrays of nitrogen-vacancy color centers in diamond based on implantation of CN- molecules through nanoapertures

    NASA Astrophysics Data System (ADS)

    Spinicelli, P.; Dréau, A.; Rondin, L.; Silva, F.; Achard, J.; Xavier, S.; Bansropun, S.; Debuisschert, T.; Pezzagna, S.; Meijer, J.; Jacques, V.; Roch, J.-F.

    2011-02-01

    We report a versatile method for engineering arrays of nitrogen-vacancy (NV) color centers in diamond at the nanoscale. The defects were produced in parallel by ion implantation through 80 nm diameter apertures patterned using electron beam lithography in a polymethyl methacrylate (PMMA) layer deposited on a diamond surface. The implantation was performed with CN- molecules that increased the NV defect-formation yield. This method could enable the realization of a solid-state coupled-spin array and could be used for positioning an optically active NV center on a photonic microstructure.

  10. Novel organic LED structures based on a highly conductive polymeric photonic crystal electrode.

    PubMed

    Petti, Lucia; Rippa, Massimo; Capasso, Rossella; Nenna, Giuseppe; Del Mauro, Anna De Girolamo; Maglione, Maria Grazia; Minarini, Carla

    2013-08-09

    In this work we demonstrate the possibility to realize a novel unconventional ITO-free organic light emitting diode (OLED) utilizing a photonic polymeric electrode. Combining electron beam lithography and a plasma etching process to partially structure the highly conductive poly(3,4 ethylenedioxythiophene):poly(styrene sulfonate) (PEDOT:PSS) it is possible to realize an embedded photonic crystal (PC) structure. The realized PC-anode drastically reduces the light trapped in the OLED, demonstrating the possibility to eliminate further process stages and making it easier to use this technology even on rollable and flexible substrates.

  11. Novel organic LED structures based on a highly conductive polymeric photonic crystal electrode

    NASA Astrophysics Data System (ADS)

    Petti, Lucia; Rippa, Massimo; Capasso, Rossella; Nenna, Giuseppe; De Girolamo Del Mauro, Anna; Grazia Maglione, Maria; Minarini, Carla

    2013-08-01

    In this work we demonstrate the possibility to realize a novel unconventional ITO-free organic light emitting diode (OLED) utilizing a photonic polymeric electrode. Combining electron beam lithography and a plasma etching process to partially structure the highly conductive poly(3,4 ethylenedioxythiophene):poly(styrene sulfonate) (PEDOT:PSS) it is possible to realize an embedded photonic crystal (PC) structure. The realized PC-anode drastically reduces the light trapped in the OLED, demonstrating the possibility to eliminate further process stages and making it easier to use this technology even on rollable and flexible substrates.

  12. Superconducting properties of lithographic lead break junctions

    NASA Astrophysics Data System (ADS)

    Weber, David; Scheer, Elke

    2018-01-01

    We have fabricated mechanically controlled break junction samples made of lead (Pb) by means of state-of-the-art nanofabrication methods: electron beam lithography and physical vapour deposition. The electrical and magnetic properties were characterized in a {}3{He} cryostat and showed a hard superconducting gap. Temperature and magnetic field dependence of tunnel contacts were compared and quantitatively described by including either thermal broadening of the density of states or pair breaking in the framework of a Skalski model, respectively. We show point contact spectra of few-atom contacts and present tunneling spectra exhibiting a superconducting double-gap structure.

  13. Line-edge quality optimization of electron beam resist for high-throughput character projection exposure utilizing atomic force microscope analysis

    NASA Astrophysics Data System (ADS)

    Ikeno, Rimon; Mita, Yoshio; Asada, Kunihiro

    2017-04-01

    High-throughput electron-beam lithography (EBL) by character projection (CP) and variable-shaped beam (VSB) methods is a promising technique for low-to-medium volume device fabrication with regularly arranged layouts, such as standard-cell logics and memory arrays. However, non-VLSI applications like MEMS and MOEMS may not fully utilize the benefits of CP method due to their wide variety of layout figures including curved and oblique edges. In addition, the stepwise shapes that appear on such irregular edges by VSB exposure often result in intolerable edge roughness, which may degrade performances of the fabricated devices. In our former study, we proposed a general EBL methodology for such applications utilizing a combination of CP and VSB methods, and demonstrated its capabilities in electron beam (EB) shot reduction and edge-quality improvement by using a leading-edge EB exposure tool, ADVANTEST F7000S-VD02, and high-resolution Hydrogen Silsesquioxane resist. Both scanning electron microscope and atomic force microscope observations were used to analyze quality of the resist edge profiles to determine the influence of the control parameters used in the exposure-data preparation process. In this study, we carried out detailed analysis of the captured edge profiles utilizing Fourier analysis, and successfully distinguish the systematic undulation by the exposed CP character profiles from random roughness components. Such capability of precise edge-roughness analysis is useful to our EBL methodology to maintain both the line-edge quality and the exposure throughput by optimizing the control parameters in the layout data conversion.

  14. Fabrication of ultra-high aspect ratio (>160:1) silicon nanostructures by using Au metal assisted chemical etching

    NASA Astrophysics Data System (ADS)

    Li, Hailiang; Ye, Tianchun; Shi, Lina; Xie, Changqing

    2017-12-01

    We present a facile and effective approach for fabricating high aspect ratio, dense and vertical silicon nanopillar arrays, using a combination of metal etching following electron-beam lithography and Au metal assisted chemical etching (MacEtch). Ti/Au nanostructures used as catalysts in MacEtch are formed by single layer resist-based electron-beam exposure followed by ion beam etching. The effects of MacEtch process parameters, including half period, etching time, the concentrations of H2O2 and HF, etching temperature and drying method are systematically investigated. Especially, we demonstrate an enhancement of etching quality by employing cold MacEtch process, and an enhancement in preventing the collapse of high aspect ratio nanostructures by employing low surface tension rinse liquid and natural evaporation in the drying stage. Using an optimized MacEtch process, vertical silicon nanopillar arrays with a period of 250 nm and aspect ratio up to 160:1 are realized. Our results should be instructive for exploring the achievable aspect ratio limit in silicon nanostructures and may find potential applications in photovoltaic devices, thermoelectric devices and x-ray diffractive optics.

  15. Synthesis of Large-grain, Single-crystalline Monolayer and AB-stacking Bilayer Graphene

    NASA Astrophysics Data System (ADS)

    Zhang, Luyao; Lin, Yung-Chen; Zhang, Yi; Chang, Han-Wen; Yeh, Wen-Cheng; Zhou, Chongwu; USC Nanotechnology Research Laboratory Team

    2013-03-01

    We report the growth of large-grain, single-crystalline monolayer and AB-stacking bilayer graphene by the combination of ambient pressure chemical vapor deposition and low pressure chemical vapor deposition. The shape of the monolayer graphene was modified to be either hexagons or flowers under different growth conditions. The size of the bilayer graphene region was enlarged under ambient pressure growth conditions with low methane concentration. Raman spectra and selected area electron diffraction of individual graphene grain indicated that the each graphene grain is single-crystalline. With electron beam lithography patterned PMMA seeds, graphene nucleation can be controlled and graphene monolayer and bilayer arrays were synthesized on copper foil. Electron backscatter diffraction study revealed that the graphene morphology had little correlation with the crystalline orientation of underlying copper substrate. Mork Family Department of Chemical Engineering and Materials Science

  16. VUV lithography

    DOEpatents

    George, Edward V.; Oster, Yale; Mundinger, David C.

    1990-01-01

    Deep UV projection lithography can be performed using an e-beam pumped solid excimer UV source, a mask, and a UV reduction camera. The UV source produces deep UV radiation in the range 1700-1300A using xenon, krypton or argon; shorter wavelengths of 850-650A can be obtained using neon or helium. A thin solid layer of the gas is formed on a cryogenically cooled plate and bombarded with an e-beam to cause fluorescence. The UV reduction camera utilizes multilayer mirrors having high reflectivity at the UV wavelength and images the mask onto a resist coated substrate at a preselected demagnification. The mask can be formed integrally with the source as an emitting mask.

  17. Critical aspects of substrate nanopatterning for the ordered growth of GaN nanocolumns.

    PubMed

    Barbagini, Francesca; Bengoechea-Encabo, Ana; Albert, Steven; Martinez, Javier; Sanchez García, Miguel Angel; Trampert, Achim; Calleja, Enrique

    2011-12-14

    Precise and reproducible surface nanopatterning is the key for a successful ordered growth of GaN nanocolumns. In this work, we point out the main technological issues related to the patterning process, mainly surface roughness and cleaning, and mask adhesion to the substrate. We found that each of these factors, process-related, has a dramatic impact on the subsequent selective growth of the columns inside the patterned holes. We compare the performance of e-beam lithography, colloidal lithography, and focused ion beam in the fabrication of hole-patterned masks for ordered columnar growth. These results are applicable to the ordered growth of nanocolumns of different materials.

  18. Progress on complementary patterning using plasmon-excited electron beamlets (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Du, Zhidong; Chen, Chen; Pan, Liang

    2017-04-01

    Maskless lithography using parallel electron beamlets is a promising solution for next generation scalable maskless nanolithography. Researchers have focused on this goal but have been unable to find a robust technology to generate and control high-quality electron beamlets with satisfactory brightness and uniformity. In this work, we will aim to address this challenge by developing a revolutionary surface-plasmon-enhanced-photoemission (SPEP) technology to generate massively-parallel electron beamlets for maskless nanolithography. The new technology is built upon our recent breakthroughs in plasmonic lenses, which will be used to excite and focus surface plasmons to generate massively-parallel electron beamlets through photoemission. Specifically, the proposed SPEP device consists of an array of plasmonic lens and electrostatic micro-lens pairs, each pair independently producing an electron beamlet. During lithography, a spatial optical modulator will dynamically project light onto individual plasmonic lenses to control the switching and brightness of electron beamlets. The photons incident onto each plasmonic lens are concentrated into a diffraction-unlimited spot as localized surface plasmons to excite the local electrons to near their vacuum levels. Meanwhile, the electrostatic micro-lens extracts the excited electrons to form a focused beamlet, which can be rastered across a wafer to perform lithography. Studies showed that surface plasmons can enhance the photoemission by orders of magnitudes. This SPEP technology can scale up the maskless lithography process to write at wafers per hour. In this talk, we will report the mechanism of the strong electron-photon couplings and the locally enhanced photoexcitation, design of a SPEP device, overview of our proof-of-concept study, and demonstrated parallel lithography of 20-50 nm features.

  19. Diffractive optics fabricated by direct write methods with an electron beam

    NASA Technical Reports Server (NTRS)

    Kress, Bernard; Zaleta, David; Daschner, Walter; Urquhart, Kris; Stein, Robert; Lee, Sing H.

    1993-01-01

    State-of-the-art diffractive optics are fabricated using e-beam lithography and dry etching techniques to achieve multilevel phase elements with very high diffraction efficiencies. One of the major challenges encountered in fabricating diffractive optics is the small feature size (e.g. for diffractive lenses with small f-number). It is not only the e-beam system which dictates the feature size limitations, but also the alignment systems (mask aligner) and the materials (e-beam and photo resists). In order to allow diffractive optics to be used in new optoelectronic systems, it is necessary not only to fabricate elements with small feature sizes but also to do so in an economical fashion. Since price of a multilevel diffractive optical element is closely related to the e-beam writing time and the number of etching steps, we need to decrease the writing time and etching steps without affecting the quality of the element. To do this one has to utilize the full potentials of the e-beam writing system. In this paper, we will present three diffractive optics fabrication techniques which will reduce the number of process steps, the writing time, and the overall fabrication time for multilevel phase diffractive optics.

  20. XPS study of thermal and electron-induced decomposition of Ni and Co acetylacetonate thin films for metal deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Weiss, Theodor; Warneke, Jonas; Zielasek, Volkmar, E-mail: zielasek@uni-bremen.de

    2016-07-15

    Optimizing thin metal film deposition techniques from metal-organic precursors such as atomic layer deposition, chemical vapor deposition (CVD), or electron beam-induced deposition (EBID) with the help of surface science analysis tools in ultrahigh vacuum requires a contamination-free precursor delivery technique, especially in the case of the less volatile precursors. For this purpose, the preparation of layers of undecomposed Ni(acac){sub 2} and Co(acac){sub 2} was tried via pulsed spray evaporation of a liquid solution of the precursors in ethanol into a flow of nitrogen on a CVD reactor. Solvent-free layers of intact precursor molecules were obtained when the substrate was heldmore » at a temperature of 115 °C. A qualitative comparison of thermally initiated and electron-induced precursor decomposition and metal center reduction was carried out. All deposited films were analyzed with respect to chemical composition quasi in situ by x-ray photoelectron spectroscopy. Thermally initiated decomposition yielded higher metal-to-metal oxide ratios in the deposit than the electron-induced process for which ratios of 60:40 and 20:80 were achieved for Ni and Co, resp. Compared to continuous EBID processes, all deposits showed low levels of carbon impurities of ∼10 at. %. Therefore, postdeposition irradiation of metal acetylacetonate layers by a focused electron beam and subsequent removal of intact precursor by dissolution in ethanol or by heating is proposed as electron beam lithography technique on the laboratory scale for the production of the metal nanostructures.« less

  1. Etching-free patterning method for electrical characterization of atomically thin MoSe2 films grown by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Utama, M. Iqbal Bakti; Lu, Xin; Zhan, Da; Ha, Son Tung; Yuan, Yanwen; Shen, Zexiang; Xiong, Qihua

    2014-10-01

    Patterning two-dimensional materials into specific spatial arrangements and geometries is essential for both fundamental studies of materials and practical applications in electronics. However, the currently available patterning methods generally require etching steps that rely on complicated and expensive procedures. We report here a facile patterning method for atomically thin MoSe2 films using stripping with an SU-8 negative resist layer exposed to electron beam lithography. Additional steps of chemical and physical etching were not necessary in this SU-8 patterning method. The SU-8 patterning was used to define a ribbon channel from a field effect transistor of MoSe2 film, which was grown by chemical vapor deposition. The narrowing of the conduction channel area with SU-8 patterning was crucial in suppressing the leakage current within the device, thereby allowing a more accurate interpretation of the electrical characterization results from the sample. An electrical transport study, enabled by the SU-8 patterning, showed a variable range hopping behavior at high temperatures.Patterning two-dimensional materials into specific spatial arrangements and geometries is essential for both fundamental studies of materials and practical applications in electronics. However, the currently available patterning methods generally require etching steps that rely on complicated and expensive procedures. We report here a facile patterning method for atomically thin MoSe2 films using stripping with an SU-8 negative resist layer exposed to electron beam lithography. Additional steps of chemical and physical etching were not necessary in this SU-8 patterning method. The SU-8 patterning was used to define a ribbon channel from a field effect transistor of MoSe2 film, which was grown by chemical vapor deposition. The narrowing of the conduction channel area with SU-8 patterning was crucial in suppressing the leakage current within the device, thereby allowing a more accurate interpretation of the electrical characterization results from the sample. An electrical transport study, enabled by the SU-8 patterning, showed a variable range hopping behavior at high temperatures. Electronic supplementary information (ESI) available: Further experiments on patterning and additional electrical characterizations data. See DOI: 10.1039/c4nr03817g

  2. Direct writing on graphene 'paper' by manipulating electrons as 'invisible ink'.

    PubMed

    Zhang, Wei; Zhang, Qiang; Zhao, Meng-Qiang; Kuhn, Luise Theil

    2013-07-12

    The combination of self-assembly (bottom up) and nano-imprint lithography (top down) is an efficient and effective way to record information at the nanoscale by writing. The use of an electron beam for writing is quite a promising strategy; however, the 'paper' on which to save the information is not yet fully realized. Herein, graphene was selected as the thinnest paper for recording information at the nanoscale. In a transmission electron microscope, in situ high precision writing and drawing were achieved on graphene nanosheets by manipulating electrons with a 1 nm probe (probe current ~2 × 10(-9) A m(-2)) in scanning transmission electron microscopy (STEM) mode. Under electron probe irradiation, the carbon atom tends to displace within a crystalline specimen, and dangling bonds are formed from the original sp(2) bonding after local carbon atoms have been kicked off. The absorbed random foreign amorphous carbon assembles along the line of the scanning direction induced by secondary electrons and is immobilized near the edge. With the ultralow secondary electron yield of the graphene, additional foreign atoms determining the accuracy of the pattern have been greatly reduced near the targeting region. Therefore, the electron probe in STEM mode serves as invisible ink for nanoscale writing and drawing. These results not only shed new light on the application of graphene by the interaction of different forms of carbon, but also illuminate the interaction of different carbon forms through electron beams.

  3. A low-cost fabrication method for sub-millimeter wave GaAs Schottky diode

    NASA Astrophysics Data System (ADS)

    Jenabi, Sarvenaz; Deslandes, Dominic; Boone, Francois; Charlebois, Serge A.

    2017-10-01

    In this paper, a submillimeter-wave Schottky diode is designed and simulated. Effect of Schottky layer thickness on cut-off frequency is studied. A novel microfabrication process is proposed and implemented. The presented microfabrication process avoids electron-beam (e-beam) lithography which reduces the cost. Also, this process provides more flexibility in selection of design parameters and allows significant reduction in the device parasitic capacitance. A key feature of the process is that the Schottky contact, the air-bridges, and the transmission lines, are fabricated in a single lift-off step. This process relies on a planarization method that is suitable for trenches of 1-10 μm deep and is tolerant to end-point variations. The fabricated diode is measured and results are compared with simulations. A very good agreement between simulation and measurement results are observed.

  4. Improved longitudinal magneto-optic Kerr effect signal contrast from nanomagnets with dielectric coatings

    NASA Astrophysics Data System (ADS)

    Holiday, L. F.; Gibson, U. J.

    2006-12-01

    We report on the use of dielectric coatings to improve the contrast of longitudinal magneto-optic Kerr effect signals from submicron magnetic structures. Electron-beam lithography was used to define disks in 22 nm thick Ni films deposited on Si substrates. The structures were measured in four configurations: as-deposited, through a fused silica prism using index-matching fluid, coated with ZnS, and using a prism on top of the ZnS layer. The modified samples show up to 20 times improvement in the MOKE contrast due to admittance matching to the magnetic material and suppression of the substrate reflectance. The behavior is successfully predicted by a model that includes the magneto-optic response of the nickel layer and accounts for the fraction of the beam intercepted by the magnetic structure.

  5. Nanostructured silicon membranes for control of molecular transport.

    PubMed

    Srijanto, Bernadeta R; Retterer, Scott T; Fowlkes, Jason D; Doktycz, Mitchel J

    2010-11-01

    A membrane that allows selective transport of molecular species requires precise engineering on the nanoscale. Membrane permeability can be tuned by controlling the physical structure and surface chemistry of the pores. Here, a combination of electron beam and optical lithography, along with cryogenic deep reactive ion etching, has been used to fabricate silicon membranes that are physically robust, have uniform pore sizes, and are directly integrated into a microfluidic network. Additional reductions in pore size were achieved using plasma enhanced chemical vapor deposition and atomic layer deposition of silicon dioxide to coat membrane surfaces. Cross sectioning of the membranes using focused ion beam milling was used to determine the physical shape of the membrane pores before and after coating. Functional characterization of the membranes was performed by using quantitative fluorescence microscopy to document the transport of molecular species across the membrane.

  6. Polarization-dependent enhanced photoluminescence and polarization-independent emission rate of quantum dots on gold elliptical nanodisc arrays.

    PubMed

    Zhu, Qiangzhong; Zheng, Shupei; Lin, Shijie; Liu, Tian-Ran; Jin, Chongjun

    2014-07-07

    We have fabricated gold (Au) elliptical nanodisc (ND) arrays via three-beam interference lithography and electron beam deposition of gold. The enhanced photoluminescence intensity and emission rate of quantum dots (QDs) near to the Au elliptical NDs have been studied by tuning the nearest distance between quantum dots and Au elliptical NDs. We found that the photoluminescence intensity is polarization-dependent with the degree of polarization being equal to that of the light extinction of the Au elliptical NDs, while the emission rate is polarization-independent. This is resulted from the plasmon-coupled emission via the coupling between the QD dipole and the plasmon nano-antenna. Our experiments fully confirm the evidence of the plasmophore concept proposed recently in the interaction of the QDs with metal nanoparticles.

  7. Flexible fabrication of multi-scale integrated 3D periodic nanostructures with phase mask

    NASA Astrophysics Data System (ADS)

    Yuan, Liang Leon

    Top-down fabrication of artificial nanostructures, especially three-dimensional (3D) periodic nanostructures, that forms uniform and defect-free structures over large area with the advantages of high throughput and rapid processing and in a manner that can further monolithically integrate into multi-scale and multi-functional devices is long-desired but remains a considerable challenge. This thesis study advances diffractive optical element (DOE) based 3D laser holographic nanofabrication of 3D periodic nanostructures and develops new kinds of DOEs for advanced diffracted-beam control during the fabrication. Phase masks, as one particular kind of DOE, are a promising direction for simple and rapid fabrication of 3D periodic nanostructures by means of Fresnel diffraction interference lithography. When incident with a coherent beam of light, a suitable phase mask (e.g. with 2D nano-grating) can create multiple diffraction orders that are inherently phase-locked and overlap to form a 3D light interference pattern in the proximity of the DOE. This light pattern is typically recorded in photosensitive materials including photoresist to develop into 3D photonic crystal nanostructure templates. Two kinds of advanced phase masks were developed that enable delicate phase control of multiple diffraction beams. The first exploits femtosecond laser direct writing inside fused silica to assemble multiple (up to nine) orthogonally crossed (2D) grating layers, spaced on Talbot planes to overcome the inherent weak diffraction efficiency otherwise found in low-contrast volume gratings. A systematic offsetting of orthogonal grating layers to establish phase offsets over 0 to pi/2 range provided precise means for controlling the 3D photonic crystal structure symmetry between body centered tetragonal (BCT) and woodpile-like tetragonal (wTTR). The second phase mask consisted of two-layered nanogratings with small sub-wavelength grating periods and phase offset control. That was designed with isotropic properties attractive for generating a complete photonic band gap (PBG). An isolation layer was used between adjacent polymer layers to offer a reversal coating for sample preparation of scanning electron microscopy (SEM) imaging and top surface planarization. Electron beam lithography has been employed to fabricate a multi-level nano-grating phase mask that produces a diamond-like 3D nanostructure via phase mask lithography, promising for creating photonic crystal (PC) templates that can be inverted with high-index materials and form a complete PBG at telecommunication wavelengths. A laser scanning holographic method for 3D exposure in thick photoresist is introduced that combines the unique advantages of large area 3D holographic interference lithography (HIL) with the flexible patterning of laser direct writing to form both micro- and nano-structures in a single exposure step. Phase mask interference patterns accumulated over multiple overlapping scans are shown to stitch seamlessly and form highly uniform 3D nanostructure with beam size scaled to small 200 microm diameter. Further direct-write holography demonstrates monolithical writing of multi-scale lab-on-a-chip with multiple functionalities including on-chip integrated fluorescence. Various 3D periodic nanostructures are demonstrated over a 15 mmx15 mm area, through full 40 microm photoresist thickness and with uniform structural and optical properties revealed by focused ion beam (FIB) milling, SEM imaging and stopband measures. The lateral and axial periods scale from respective 1500 nm to 570 nm and 9.2 microm to 1.2 microm to offer a Gamma-Z stopband at 1.5 microm. Overall, laser scanning is presented as a facile means to embed 3D PC nanostructure within microfluidic channels for integration into an optofluidic lab-on-chip, demonstrating a new laser HIL writing approach for creating multi-scale integrated microsystems.

  8. Nanohole and dot patterning processes on quartz substrate by R-θ electron beam lithography and nanoimprinting

    NASA Astrophysics Data System (ADS)

    Watanabe, Tsuyoshi; Taniguchi, Kazutake; Suzuki, Kouta; Iyama, Hiromasa; Kishimoto, Shuji; Sato, Takashi; Kobayashi, Hideo

    2016-06-01

    Fine hole and dot patterns with bit pitches (bp’s) of less than 40 nm were fabricated in the circular band area of a quartz substrate by R-θ electron beam lithography (EBL), reactive ion etching (RIE), and nanoimprinting. These patterning processes were studied to obtain minimum pitch sizes of hole and dot patterns without pattern collapse. The patterning on the circular band was aimed to apply these patterning processes to future high-density bit-patterned media (BPM) for hard disk drive (HDD) and permanent memory for the long life archiving of digital data. In hole patterning, a minimum-22-nm-bp and 8.2-nm-diameter pattern (1.3 Tbit/in.2) was obtained on a quartz substrate by optimizing the R-θ EBL and RIE processes. Dot patterns were replicated on another quartz substrate by nanoimprinting using a hole-patterned quartz substrate as a master mold followed by RIE. In dot patterning, a minimum-30-nm-bp and 18.5-nm-diameter pattern (0.7 Tbit/in.2) was obtained by introducing new descum conditions. It was observed that the minimum bp of successful patterning increased as the fabrication process proceeded, i.e., from 20 nm bp in the first EBL process to 30 nm bp in the last quartz dot patterning process. From the measured diameters of the patterns, it was revealed that pattern collapse was apt to occur when the value of average diameter plus 3 sigma of diameter was close to the bp. It was suggested that multiple fabrication processes caused the degradation of pattern quality; therefore, hole patterning is more suitable than dot patterning for future applications owing to the lower quality degradation by its simple fabrication process.

  9. Design, fabrication and characterization of Computer Generated Holograms for anti-counterfeiting applications using OAM beams as light decoders.

    PubMed

    Ruffato, Gianluca; Rossi, Roberto; Massari, Michele; Mafakheri, Erfan; Capaldo, Pietro; Romanato, Filippo

    2017-12-21

    In this paper, we present the design, fabrication and optical characterization of computer-generated holograms (CGH) encoding information for light beams carrying orbital angular momentum (OAM). Through the use of a numerical code, based on an iterative Fourier transform algorithm, a phase-only diffractive optical element (PO-DOE) specifically designed for OAM illumination has been computed, fabricated and tested. In order to shape the incident beam into a helicoidal phase profile and generate light carrying phase singularities, a method based on transmission through high-order spiral phase plates (SPPs) has been used. The phase pattern of the designed holographic DOEs has been fabricated using high-resolution Electron-Beam Lithography (EBL) over glass substrates coated with a positive photoresist layer (polymethylmethacrylate). To the best of our knowledge, the present study is the first attempt, in a comprehensive work, to design, fabricate and characterize computer-generated holograms encoding information for structured light carrying OAM and phase singularities. These optical devices appear promising as high-security optical elements for anti-counterfeiting applications.

  10. Indus-2 X-ray lithography beamline for X-ray optics and material science applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dhamgaye, V. P., E-mail: vishal@rrcat.gov.in; Lodha, G. S., E-mail: vishal@rrcat.gov.in

    2014-04-24

    X-ray lithography is an ideal technique by which high aspect ratio and high spatial resolution micro/nano structures are fabricated using X-rays from synchrotron radiation source. The technique has been used for fabricating optics (X-ray, visible and infrared), sensors and actuators, fluidics and photonics. A beamline for X-ray lithography is operational on Indus-2. The beamline offers wide lithographic window from 1-40keV photon energy and wide beam for producing microstructures in polymers upto size ∼100mm × 100mm. X-ray exposures are possible in air, vacuum and He gas environment. The air based exposures enables the X-ray irradiation of resist for lithography and alsomore » irradiation of biological and liquid samples.« less

  11. Hybrid Metrology and 3D-AFM Enhancement for CD Metrology Dedicated to 28 nm Node and Below Requirements

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Foucher, J.; Faurie, P.; Dourthe, L.

    2011-11-10

    The measurement accuracy is becoming one of the major components that have to be controlled in order to guarantee sufficient production yield. Already at the R and D level, we have to come up with the accurate measurements of sub-40 nm dense trenches and contact holes coming from 193 immersion lithography or E-Beam lithography. Current production CD (Critical Dimension) metrology techniques such as CD-SEM (CD-Scanning Electron Microscope) and OCD (Optical Critical Dimension) are limited in relative accuracy for various reasons (i.e electron proximity effect, outputs parameters correlation, stack influence, electron interaction with materials...). Therefore, time for R and D ismore » increasing, process windows degrade and finally production yield can decrease because you cannot manufactured correctly if you are unable to measure correctly. A new high volume manufacturing (HVM) CD metrology solution has to be found in order to improve the relative accuracy of production environment otherwise current CD Metrology solution will very soon get out of steam.In this paper, we will present a potential Hybrid CD metrology solution that smartly tuned 3D-AFM (3D-Atomic Force Microscope) and CD-SEM data in order to add accuracy both in R and D and production. The final goal for 'chip makers' is to improve yield and save R and D and production costs through real-time feedback loop implement on CD metrology routines. Such solution can be implemented and extended to any kind of CD metrology solution. In a 2{sup nd} part we will discuss and present results regarding a new AFM3D probes breakthrough with the introduction of full carbon tips made will E-Beam Deposition process. The goal is to overcome the current limitations of conventional flared silicon tips which are definitely not suitable for sub-32 nm nodes production.« less

  12. High-energy surface and volume plasmons in nanopatterned sub-10 nm aluminum nanostructures

    DOE PAGES

    Hobbs, Richard G.; Manfrinato, Vitor R.; Yang, Yujia; ...

    2016-06-13

    In this paper, we use electron energy-loss spectroscopy to map the complete plasmonic spectrum of aluminum nanodisks with diameters ranging from 3 to 120 nm fabricated by high-resolution electron-beam lithography. Our nanopatterning approach allows us to produce localized surface plasmon resonances across a wide spectral range spanning 2–8 eV. Electromagnetic simulations using the finite element method support the existence of dipolar, quadrupolar, and hexapolar surface plasmon modes as well as centrosymmetric breathing modes depending on the location of the electron-beam excitation. In addition, we have developed an approach using nanolithography that is capable of meV control over the energy andmore » attosecond control over the lifetime of volume plasmons in these nanodisks. The precise measurement of volume plasmon lifetime may also provide an opportunity to probe and control the DC electrical conductivity of highly confined metallic nanostructures. Lastly, we show the strong influence of the nanodisk boundary in determining both the energy and lifetime of surface plasmons and volume plasmons locally across individual aluminum nanodisks, and we have compared these observations to similar effects produced by scaling the nanodisk diameter.« less

  13. Nanostructured polymer brushes.

    PubMed

    Schmelmer, Ursula; Paul, Anne; Küller, Alexander; Steenackers, Marin; Ulman, Abraham; Grunze, Michael; Gölzhäuser, Armin; Jordan, Rainer

    2007-03-01

    Nanopatterned polymer brushes with sub-50-nm resolution were prepared by a combination of electron-beam chemical lithography (EBCL) of self-assembled monolayers (SAMs) and surface-initiated photopolymerization (SIPP). As a further development of our previous work, selective EBCL was performed with a highly focused electron beam and not via a mask, to region-selectively convert a SAM of 4'-nitro-1,1'-biphenyl-4-thiol to defined areas of crosslinked 4'-amino-1,1'-biphenyl-4-thiol. These "written" structures were then used to prepare surface-bonded, asymmetric, azo initiator sites of 4'-azomethylmalonodinitrile-1,1'-biphenyl-4-thiol. In the presence of bulk styrene, SIPP amplified the primary structures of line widths from 500 to 10 nm to polystyrene structures of line widths 530 nm down to approximately 45 nm at a brush height of 10 or 7 nm, respectively, as measured by scanning electron microscopy and atomic force microscopy (AFM). The relative position of individual structures was within a tolerance of a few nanometers, as verified by AFM. At line-to-line spacings down to 50-70 nm, individual polymer brush structures are still observable. Below this threshold, neighboring structures merge due to chain overlap.

  14. Nitrogen implantation with a scanning electron microscope.

    PubMed

    Becker, S; Raatz, N; Jankuhn, St; John, R; Meijer, J

    2018-01-08

    Established techniques for ion implantation rely on technically advanced and costly machines like particle accelerators that only few research groups possess. We report here about a new and surprisingly simple ion implantation method that is based upon a widespread laboratory instrument: The scanning electron microscope. We show that it can be utilized to ionize atoms and molecules from the restgas by collisions with electrons of the beam and subsequently accelerate and implant them into an insulating sample by the effect of a potential building up at the sample surface. Our method is demonstrated by the implantation of nitrogen ions into diamond and their subsequent conversion to nitrogen vacancy centres which can be easily measured by fluorescence confocal microscopy. To provide evidence that the observed centres are truly generated in the way we describe, we supplied a 98% isotopically enriched 15 N gas to the chamber, whose natural abundance is very low. By employing the method of optically detected magnetic resonance, we were thus able to verify that the investigated centres are actually created from the 15 N isotopes. We also show that this method is compatible with lithography techniques using e-beam resist, as demonstrated by the implantation of lines using PMMA.

  15. 2.5 dimension structures in deep proton lithography

    NASA Astrophysics Data System (ADS)

    Kasztelanic, Rafal

    2006-04-01

    There are several technologies for cheap mass fabrication of microelements. One of them is deep proton lithography, used for the fabrication of elements of high structural depth. In this technology, accelerated protons are usually focused or formed by a mask to light a target. The energy of the proton beam is enough for all the protons to get through the target, losing only a part of their kinesthetic energy. Protons leaving the target are counted in various ways, thanks to which it is possible to estimate the energy deposed inside the target. In the next step chemical development is used to get rid of the radiated part of the target. With the use of this method, various 2D microelements can be obtained and the proton beam plays the role of a knife, cutting out the required shapes from the material. However, in order to make elements of modified surface (2.5D surface) it is necessary to change the energy of the proton beam or to change the dose deposed inside the material. The current article presents a proposal of creating simple 2.5D structures with the use of the method modifying the deposed does. This entails the modification of the deep proton lithography setup, which results moving the part for measuring the deposed dose of energy before the target. Additionally, the new deep proton lithography setup operates in the air. This article presents the results of simulations, as well as experimental results for such a setup built for the tandem accelerator in Erlangen, Germany.

  16. Electron Transport Properties of Ge nanowires

    NASA Astrophysics Data System (ADS)

    Hanrath, Tobias; Khondaker, Saiful I.; Yao, Zhen; Korgel, Brian A.

    2003-03-01

    Electron Transport Properties of Ge nanowires Tobias Hanrath*, Saiful I. Khondaker, Zhen Yao, Brian A. Korgel* *Dept. of Chemical Engineering, Dept. of Physics, Texas Materials Institute, and Center for Nano- and Molecular Science and Technology University of Texas at Austin, Austin, Texas 78712-1062 e-mail: korgel@mail.che.utexas.edu Germanium (Ge) nanowires with diameters ranging from 6 to 50 nm and several micrometer in length were grown via a supercritical fluid-liquid-solid synthesis. Parallel electron energy loss spectroscopy (PEELS) was employed to study the band structure and electron density in the Ge nanowires. The observed increase in plasmon peak energy and peak width with decreasing nanowire diameter is attributed to quantum confinement effects. For electrical characterization, Ge nanowires were deposited onto a patterned Si/SiO2 substrate. E-beam lithography was then used to form electrode contacts to individual nanowires. The influence of nanowire diameter, surface chemistry and crystallographic defects on electron transport properties were investigated and the comparison of Ge nanowire conductivity with respect to bulk, intrinsic Ge will be presented.

  17. Patterned arrays of lateral heterojunctions within monolayer two-dimensional semiconductors

    PubMed Central

    Mahjouri-Samani, Masoud; Lin, Ming-Wei; Wang, Kai; Lupini, Andrew R.; Lee, Jaekwang; Basile, Leonardo; Boulesbaa, Abdelaziz; Rouleau, Christopher M.; Puretzky, Alexander A.; Ivanov, Ilia N.; Xiao, Kai; Yoon, Mina; Geohegan, David B.

    2015-01-01

    The formation of semiconductor heterojunctions and their high-density integration are foundations of modern electronics and optoelectronics. To enable two-dimensional crystalline semiconductors as building blocks in next-generation electronics, developing methods to deterministically form lateral heterojunctions is crucial. Here we demonstrate an approach for the formation of lithographically patterned arrays of lateral semiconducting heterojunctions within a single two-dimensional crystal. Electron beam lithography is used to pattern MoSe2 monolayer crystals with SiO2, and the exposed locations are selectively and totally converted to MoS2 using pulsed laser vaporization of sulfur to form MoSe2/MoS2 heterojunctions in predefined patterns. The junctions and conversion process are studied by Raman and photoluminescence spectroscopy, atomically resolved scanning transmission electron microscopy and device characterization. This demonstration of lateral heterojunction arrays within a monolayer crystal is an essential step for the integration of two-dimensional semiconductor building blocks with different electronic and optoelectronic properties for high-density, ultrathin devices. PMID:26198727

  18. Scanning digital lithography providing high speed large area patterning with diffraction limited sub-micron resolution

    NASA Astrophysics Data System (ADS)

    Wen, Sy-Bor; Bhaskar, Arun; Zhang, Hongjie

    2018-07-01

    A scanning digital lithography system using computer controlled digital spatial light modulator, spatial filter, infinity correct optical microscope and high precision translation stage is proposed and examined. Through utilizing the spatial filter to limit orders of diffraction modes for light delivered from the spatial light modulator, we are able to achieve diffraction limited deep submicron spatial resolution with the scanning digital lithography system by using standard one inch level optical components with reasonable prices. Raster scanning of this scanning digital lithography system using a high speed high precision x-y translation stage and piezo mount to real time adjust the focal position of objective lens allows us to achieve large area sub-micron resolved patterning with high speed (compared with e-beam lithography). It is determined in this study that to achieve high quality stitching of lithography patterns with raster scanning, a high-resolution rotation stage will be required to ensure the x and y directions of the projected pattern are in the same x and y translation directions of the nanometer precision x-y translation stage.

  19. Method for the fabrication of three-dimensional microstructures by deep X-ray lithography

    DOEpatents

    Sweatt, William C.; Christenson, Todd R.

    2005-04-05

    A method for the fabrication of three-dimensional microstructures by deep X-ray lithography (DXRL) comprises a masking process that uses a patterned mask with inclined mask holes and off-normal exposures with a DXRL beam aligned with the inclined mask holes. Microstructural features that are oriented in different directions can be obtained by using multiple off-normal exposures through additional mask holes having different orientations. Various methods can be used to block the non-aligned mask holes from the beam when using multiple exposures. A method for fabricating a precision 3D X-ray mask comprises forming an intermediate mask and a master mask on a common support membrane.

  20. Critical aspects of substrate nanopatterning for the ordered growth of GaN nanocolumns

    PubMed Central

    2011-01-01

    Precise and reproducible surface nanopatterning is the key for a successful ordered growth of GaN nanocolumns. In this work, we point out the main technological issues related to the patterning process, mainly surface roughness and cleaning, and mask adhesion to the substrate. We found that each of these factors, process-related, has a dramatic impact on the subsequent selective growth of the columns inside the patterned holes. We compare the performance of e-beam lithography, colloidal lithography, and focused ion beam in the fabrication of hole-patterned masks for ordered columnar growth. These results are applicable to the ordered growth of nanocolumns of different materials. PMID:22168918

  1. VUV lithography

    DOEpatents

    George, E.V.; Oster, Y.; Mundinger, D.C.

    1990-12-25

    Deep UV projection lithography can be performed using an e-beam pumped solid excimer UV source, a mask, and a UV reduction camera. The UV source produces deep UV radiation in the range 1,700--1,300A using xenon, krypton or argon; shorter wavelengths of 850--650A can be obtained using neon or helium. A thin solid layer of the gas is formed on a cryogenically cooled plate and bombarded with an e-beam to cause fluorescence. The UV reduction camera utilizes multilayer mirrors having high reflectivity at the UV wavelength and images the mask onto a resist coated substrate at a preselected demagnification. The mask can be formed integrally with the source as an emitting mask. 6 figs.

  2. Four-probe electrical-transport measurements on single indium tin oxide nanowires between 1.5 and 300 K

    NASA Astrophysics Data System (ADS)

    Chiu, Shao-Pin; Chung, Hui-Fang; Lin, Yong-Han; Kai, Ji-Jung; Chen, Fu-Rong; Lin, Juhn-Jong

    2009-03-01

    Single-crystalline indium tin oxide (ITO) nanowires (NWs) were grown by the standard thermal evaporation method. The as-grown NWs were typically 100-300 nm in diameter and a few µm long. Four-probe submicron Ti/Au electrodes on individual NWs were fabricated by the electron-beam lithography technique. The resistivities of several single NWs have been measured from 300 down to 1.5 K. The results indicate that the as-grown ITO NWs are metallic, but disordered. The overall temperature behavior of resistivity can be described by the Bloch-Grüneisen law plus a low-temperature correction due to the scattering of electrons off dynamic point defects. This observation suggests the existence of numerous dynamic point defects in as-grown ITO NWs.

  3. Pixel detectors for use in retina neurophysiology studies

    NASA Astrophysics Data System (ADS)

    Cunningham, W.; Mathieson, K.; Horn, M.; Melone, J.; McEwan, F. A.; Blue, A.; O'Shea, V.; Smith, K. M.; Litke, A.; Chichilnisky, E. J.; Rahman, M.

    2003-08-01

    One area of major inter-disciplinary co-operation is between the particle physics and bio-medical communities. The type of large detector arrays and fast electronics developed in laboratories like CERN are becoming used for a wide range of medical and biological experiments. In the present work fabrication technology developed for producing semiconductor radiation detectors has been applied to produce arrays which have been used in neuro-physiological experiments on retinal tissue. We have exploited UVIII, a low molecular weight resist, that has permitted large area electron beam lithography. This allows the resolution to go below that of conventional photolithography and hence the production of densely packed ˜500 electrode arrays with feature sizes down to below 2 μm. The neural signals from significant areas of the retina may thus be captured.

  4. Toward optimized light utilization in nanowire arrays using scalable nanosphere lithography and selected area growth.

    PubMed

    Madaria, Anuj R; Yao, Maoqing; Chi, Chunyung; Huang, Ningfeng; Lin, Chenxi; Li, Ruijuan; Povinelli, Michelle L; Dapkus, P Daniel; Zhou, Chongwu

    2012-06-13

    Vertically aligned, catalyst-free semiconducting nanowires hold great potential for photovoltaic applications, in which achieving scalable synthesis and optimized optical absorption simultaneously is critical. Here, we report combining nanosphere lithography (NSL) and selected area metal-organic chemical vapor deposition (SA-MOCVD) for the first time for scalable synthesis of vertically aligned gallium arsenide nanowire arrays, and surprisingly, we show that such nanowire arrays with patterning defects due to NSL can be as good as highly ordered nanowire arrays in terms of optical absorption and reflection. Wafer-scale patterning for nanowire synthesis was done using a polystyrene nanosphere template as a mask. Nanowires grown from substrates patterned by NSL show similar structural features to those patterned using electron beam lithography (EBL). Reflection of photons from the NSL-patterned nanowire array was used as a measure of the effect of defects present in the structure. Experimentally, we show that GaAs nanowires as short as 130 nm show reflection of <10% over the visible range of the solar spectrum. Our results indicate that a highly ordered nanowire structure is not necessary: despite the "defects" present in NSL-patterned nanowire arrays, their optical performance is similar to "defect-free" structures patterned by more costly, time-consuming EBL methods. Our scalable approach for synthesis of vertical semiconducting nanowires can have application in high-throughput and low-cost optoelectronic devices, including solar cells.

  5. Generation of phase singularity through diffracting a plane or Gaussian beam by a spiral phase plate.

    PubMed

    Kotlyar, Victor V; Almazov, Anton A; Khonina, Svetlana N; Soifer, Victor A; Elfstrom, Henna; Turunen, Jari

    2005-05-01

    We deduce and study an analytical expression for Fresnel diffraction of a plane wave by a spiral phase plate (SPP) that imparts an arbitrary-order phase singularity on the light field. Estimates for the optical vortex radius that depends on the singularity's integer order n (also termed topological charge, or order of the dislocation) have been derived. The near-zero vortex intensity is shown to be proportional to rho2n, where p is the radial coordinate. Also, an analytical expression for Fresnel diffraction of the Gaussian beam by a SPP with nth-order singularity is analyzed. The far-field intensity distribution is derived. The radius of maximal intensity is shown to depend on the singularity number. The behavior of the Gaussian beam intensity after a SPP with second-order singularity (n = 2) is studied in more detail. The parameters of the light beams generated numerically with the Fresnel transform and via analytical formulas are in good agreement. In addition, the light fields with first- and second-order singularities were generated by a 32-level SPP fabricated on the resist by use of the electron-beam lithography technique.

  6. Micro-thermocouple on nano-membrane: thermometer for nanoscale measurements.

    PubMed

    Balčytis, Armandas; Ryu, Meguya; Juodkazis, Saulius; Morikawa, Junko

    2018-04-20

    A thermocouple of Au-Ni with only 2.5-μm-wide electrodes on a 30-nm-thick Si 3 N 4 membrane was fabricated by a simple low-resolution electron beam lithography and lift off procedure. The thermocouple is shown to be sensitive to heat generated by laser as well as an electron beam. Nano-thin membrane was used to reach a high spatial resolution of energy deposition and to realise a heat source of sub-1 μm diameter. This was achieved due to a limited generation of secondary electrons, which increase a lateral energy deposition. A low thermal capacitance of the fabricated devices is useful for the real time monitoring of small and fast temperature changes, e.g., due to convection, and can be detected through an optical and mechanical barrier of the nano-thin membrane. Temperature changes up to ~2 × 10 5 K/s can be measured at 10 kHz rate. A simultaneous down-sizing of both, the heat detector and heat source strongly required for creation of thermal microscopy is demonstrated. Peculiarities of Seebeck constant (thermopower) dependence on electron injection into thermocouple are discussed. Modeling of thermal flows on a nano-membrane with presence of a micro-thermocouple was carried out to compare with experimentally measured temporal response.

  7. Annealing shallow traps in electron beam irradiated high mobility metal-oxide-silicon transistors

    NASA Astrophysics Data System (ADS)

    Kim, Jin-Sung; Tyryshkin, Alexei; Lyon, Stephen

    In metal-oxide-silicon (MOS) quantum devices, electron beam lithography (EBL) is known to create defects at the Si/SiO2 interface which can be catastrophic for single electron control. Shallow traps ( meV), which only manifest themselves at low temperature ( 4 K), are especially detrimental to quantum devices but little is known about annealing them. In this work, we use electron spin resonance (ESR) to measure the density of shallow traps in two sets of high mobility (μ) MOS transistors. One set (μ=14,000 cm2/Vs) was irradiated with an EBL dose (10 kV, 40 μC/cm2) and was subsequently annealed in forming gas while the other remained unexposed (μ=23,000 cm2/Vs). Our ESR data show that the forming gas anneal is sufficient to remove shallow traps generated by the EBL dose over the measured shallow trap energy range (0.3-4 meV). We additionally fit these devices' conductivity data to a percolation transition model and extract a zero temperature percolation threshold density, n0 ( 9 ×1010 cm-2 for both devices). We find that the extracted n0 agrees within 15 % with our lowest temperature (360 mK) ESR measurements, demonstrating agreement between two independent methods of evaluating the interface.

  8. Current nanoscience and nanoengineering at the Center for Nanoscale Science and Engineering

    NASA Astrophysics Data System (ADS)

    Hermann, A. M.; Singh, R. S.; Singh, V. P.

    2006-07-01

    The Center for Nanoscale Science and Engineering (CeNSE) at the University of Kentucky is a multidisciplinary group of faculty, students, and staff, with a shared vision and cutting-edge research facilities to study and develop materials and devices at the nanoscale. Current research projects at CeNSE span a number of diverse nanoscience thrusts in bio- engineering and medicine (nanosensors and nanoelectrodes, nanoparticle-based drug delivery), electronics (nanolithography, molecular electronics, nanotube FETs), nanotemplates for electronics and gas sensors (functionalization of carbon nanotubes, aligned carbon nanotube structures for gate-keeping, e-beam lithography with nanoscale precision), and nano--optoelectronics (nanoscale photonics for laser communications, quantum confinement in photovoltaic devices, and nanostructured displays). This paper provides glimpses of this research and future directions.

  9. Micromachined mold-type double-gated metal field emitters

    NASA Astrophysics Data System (ADS)

    Lee, Yongjae; Kang, Seokho; Chun, Kukjin

    1997-12-01

    Electron field emitters with double gates were fabricated using micromachining technology and the effect of the electric potential of the focusing gate (or second gate) was experimentally evaluated. The molybdenum field emission tip was made by filling a cusplike mold formed when a conformal film was deposited on the hole-trench that had been patterned on stacked metals and dielectric layers. The hole-trench was patterned by electron beam lithography and reactive ion etching. Each field emitter has a 0960-1317/7/4/009/img1 diameter extraction gate (or first gate) and a 0960-1317/7/4/009/img2 diameter focusing gate (or second gate). To make a path for the emitted electrons, silicon bulk was etched anisotropically in KOH and EDP (ethylene-diamine pyrocatechol) solution successively. The I - V characteristics and anode current change due to the focusing gate potential were measured.

  10. Mask fabrication and its applications to extreme ultra-violet diffractive optics

    NASA Astrophysics Data System (ADS)

    Cheng, Yang-Chun

    Short-wavelength radiation around 13nm of wavelength (Extreme Ultra-Violet, EUV) is being considered for patterning microcircuits, and other electronic chips with dimensions in the nanometer range. Interferometric Lithography (IL) uses two beams of radiation to form high-resolution interference fringes, as small as half the wavelength of the radiation used. As a preliminary step toward manufacturing technology, IL can be used to study the imaging properties of materials in a wide spectral range and at nanoscale dimensions. A simple implementation of IL uses two transmission diffraction gratings to form the interference pattern. More complex interference patterns can be created by using different types of transmission gratings. In this thesis, I describe the development of a EUV lithography system that uses diffractive optical elements (DOEs), from simple gratings to holographic structures. The exposure system is setup on a EUV undulator beamline at the Synchrotron Radiation Center, in the Center for NanoTechnology clean room. The setup of the EUV exposure system is relatively simple, while the design and fabrication of the DOE "mask" is complex, and relies on advanced nanofabrication techniques. The EUV interferometric lithography provides reliable EUV exposures of line/space patterns and is ideal for the development of EUV resist technology. In this thesis I explore the fabrication of these DOE for the EUV range, and discuss the processes I have developed for the fabrication of ultra-thin membranes. In addition, I discuss EUV holographic lithography and generalized Talbot imaging techniques to extend the capability of our EUV-IL system to pattern arbitrary shapes, using more coherent sources than the undulator. In a series of experiments, we have demonstrated the use of a soft X-ray (EUV) laser as effective source for EUV lithography. EUV-IL, as implemented at CNTech, is being used by several companies and research organizations to characterize photoresist materials.

  11. Verification of E-Beam direct write integration into 28nm BEOL SRAM technology

    NASA Astrophysics Data System (ADS)

    Hohle, Christoph; Choi, Kang-Hoon; Gutsch, Manuela; Hanisch, Norbert; Seidel, Robert; Steidel, Katja; Thrun, Xaver; Werner, Thomas

    2015-03-01

    Electron beam direct write lithography (EBDW) potentially offers advantages for low-volume semiconductor manufacturing, rapid prototyping or design verification due to its high flexibility without the need of costly masks. However, the integration of this advanced patterning technology into complex CMOS manufacturing processes remains challenging. The low throughput of today's single e-Beam tools limits high volume manufacturing applications and maturity of parallel (multi) beam systems is still insufficient [1,2]. Additional concerns like transistor or material damage of underlying layers during exposure at high electron density or acceleration voltage have to be addressed for advanced technology nodes. In the past we successfully proved that potential degradation effects of high-k materials or ULK shrink can be neglected and were excluded by demonstrating integrated electrical results of 28nm node transistor and BEOL performance following 50kV electron beam dry exposure [3]. Here we will give an update on the integration of EBDW in the 300mm CMOS manufacturing processes of advanced integrated circuits at the 28nm SRAM node of GLOBALFOUNDRIES Dresden. The work is an update to what has been previously published [4]. E-beam patterning results of BEOL full chip metal and via layers with a dual damascene integration scheme using a 50kV VISTEC SB3050DW variable shaped electron beam direct writer at Fraunhofer IPMSCNT are demonstrated. For the patterning of the Metal layer a Mix & Match concept based on the sequence litho - etch -litho -etch (LELE) was developed and evaluated wherein several exposure fields were blanked out during the optical exposure. Etch results are shown and compared to the POR. Results are also shown on overlay performance and optimized e-Beam exposure time using most advanced data prep solutions and resist processes. The patterning results have been verified using fully integrated electrical measurement of metal lines and vias on wafer level. In summary we demonstrate the integration capability of EBDW into a productive CMOS process flow at the example of the 28nm SRAM technology node.

  12. Tunable multipole resonances in plasmonic crystals made by four-beam holographic lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Luo, Y.; Li, X.; Zhang, X.

    2016-02-01

    Plasmonic nanostructures confine light to sub-wavelength scales, resulting in drastically enhanced light-matter interactions. Recent interest has focused on controlled symmetry breaking to create higher-order multipole plasmonic modes that store electromagnetic energy more efficiently than dipole modes. Here we demonstrate that four-beam holographic lithography enables fabrication of large-area plasmonic crystals with near-field coupled plasmons as well as deliberately broken symmetry to sustain multipole modes and Fano-resonances. Compared with the spectrally broad dipole modes we demonstrate an order of magnitude improved Q-factors (Q = 21) when the quadrupole mode is activated. We further demonstrate continuous tuning of the Fano-resonances using the polarization state ofmore » the incident light beam. The demonstrated technique opens possibilities to extend the rich physics of multipole plasmonic modes to wafer-scale applications that demand low-cost and high-throughput.« less

  13. The Formation of Conducting Gold Films by Thermal Decomposition and Direct Patterning Using Electron Beam Lithography of the Gold Cluster Au55P(C6H5)312Cl6

    DTIC Science & Technology

    1993-12-06

    CAH.,)’Il]iCl• i. AUTHOR(S) Ceceli A. Duchi, M. Kanskar, J.C. Wu, M.N. WYbourne, Sui Xiong Cai, Hingdi Yan, and John F. W. Keana PERFORMING...13 < Eugene, OR 97403 1 M- Attn: John F. W. Keana* and Martin N. Wybjurne** SPONSORINGIMONITORING AGENCY NAME(S) AND AODRESS(ES) 10...M. Kanskart, J.C. Wut , M.N. Wybourne, Sui Xiong Cail, Mingdi Yan’, and John F.W. Keanaý Department of Physicst and Chemistry*, University of Oregon

  14. Diamond anvil cells using boron-doped diamond electrodes covered with undoped diamond insulating layer

    NASA Astrophysics Data System (ADS)

    Matsumoto, Ryo; Yamashita, Aichi; Hara, Hiroshi; Irifune, Tetsuo; Adachi, Shintaro; Takeya, Hiroyuki; Takano, Yoshihiko

    2018-05-01

    Diamond anvil cells using boron-doped metallic diamond electrodes covered with undoped diamond insulating layers have been developed for electrical transport measurements under high pressure. These designed diamonds were grown on a bottom diamond anvil via a nanofabrication process combining microwave plasma-assisted chemical vapor deposition and electron beam lithography. The resistance measurements of a high-quality FeSe superconducting single crystal under high pressure were successfully demonstrated by just putting the sample and gasket on the bottom diamond anvil directly. The superconducting transition temperature of the FeSe single crystal was increased to up to 43 K by applying uniaxial-like pressure.

  15. Two-dimensional designed fabrication of subwavelength grating HCG mirror on silicon-on-insulator

    NASA Astrophysics Data System (ADS)

    Huang, Shen-Che; Hong, Kuo-Bin; Lu, Tien-Chang; He, Sailing

    2016-03-01

    We designed and fabricated a two dimensional high contrast subwavelength grating (HCG) mirrors. The computer-aided software was employed to verify the structural parameters including grating periods and filling factors. From the optimized simulation results, the designed HCG structure has a wide reflection stopband (reflectivity (R) >90%) of over 200 nm, which centered at telecommunication wavelength. The optimized HCG mirrors were fabricated by electron beam lithography and inductively coupled plasma process technique. The experimental result was almost consistent with calculated data. This achievement should have an impact on numerous photonic devices helpful attribution to the integrated HCG VCSELs in the future.

  16. Multi-wavelength VCSEL arrays using high-contrast gratings

    NASA Astrophysics Data System (ADS)

    Haglund, Erik; Gustavsson, Johan S.; Sorin, Wayne V.; Bengtsson, Jörgen; Fattal, David; Haglund, Àsa; Tan, Michael; Larsson, Anders

    2017-02-01

    The use of a high-contrast grating (HCG) as the top mirror in a vertical-cavity surface-emitting laser (VCSEL) allows for setting the resonance wavelength by the grating parameters in a post-epitaxial growth fabrication process. Using this technique, we demonstrate electrically driven multi-wavelength VCSEL arrays at 980 nm wavelength. The VCSELs are GaAs-based and the suspended GaAs HCGs were fabricated using electron-beam lithography, dry etching and selective removal of an InGaP sacrificial layer. The air-coupled cavity design enabled 4-channel arrays with 5 nm wavelength spacing and sub-mA threshold currents thanks to the high HCG reflectance.

  17. Dimensionality Effects in FeGe 2 Nanowires: Enhanced Anisotropic Magnetization and Anomalous Electrical Transport

    DOE PAGES

    Mandrus, D.; Gai, Zheng; Ward, Thomas Zac; ...

    2017-08-02

    Here, we report the synthesis of single-crystal iron germanium nanowires via chemical vapor deposition without the assistance of any catalysts. The assembly of single-crystal FeGe 2 nanowires with tetragonal C16 crystal structure shows anisotropic magnetic behavior along the radial direction or the growth axial direction, with both antiferromagnetic and ferromagnetic orders. Single FeGe 2 nanowire devices were fabricated using e-beam lithography. Electronic transport measurement in these devices show two resistivity anomalies near 250 K and 200 K which are likely signatures of the two spin density wave states in FeGe 2.

  18. Evidence of a Love wave bandgap in a quartz substrate coated with a phononic thin layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, Ting-Wei; Wu, Tsung-Tsong, E-mail: wutt@ntu.edu.tw; Lin, Yu-Ching

    This paper presents a numerical and experimental study of Love wave propagation in a micro-fabricated phononic crystal (PC) structure consisting of a 2D, periodically etched silica film deposited on a quartz substrate. The dispersion characteristics of Love waves in such a phononic structure were analyzed with various geometric parameters by using complex band structure calculations. For the experiment, we adopted reactive-ion etching with electron-beam lithography to fabricate a submicrometer phononic structure. The measured results exhibited consistency with the numerical prediction. The results of this study may serve as a basis for developing PC-based Love wave devices.

  19. Mid-infrared metasurface made of composite right/left-handed transmission-line

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Luo, Yi; Ying, Xiangxiao; Pu, Yang

    2016-06-06

    We report on the realization of a mid-infrared metasurface based on the concept of composite right/left-handed transmission-line. The metasurface consists of a three-layer metal-insulator-metal structure patterned into transmission-lines by electron-beam lithography. Angle-variable reflection spectroscopy measurements reveal resonant absorption features corresponding to both right- and left-handed propagations in the leaky-wave guided mode region. Material loss is shown to dominate the quality factor of the left-handed modes, while the radiative loss dominates the right-handed ones. The experimental results are in good agreement with full-wave numerical simulations and are explained with an equivalent circuit model.

  20. Dimensionality Effects in FeGe 2 Nanowires: Enhanced Anisotropic Magnetization and Anomalous Electrical Transport

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mandrus, D.; Gai, Zheng; Ward, Thomas Zac

    Here, we report the synthesis of single-crystal iron germanium nanowires via chemical vapor deposition without the assistance of any catalysts. The assembly of single-crystal FeGe 2 nanowires with tetragonal C16 crystal structure shows anisotropic magnetic behavior along the radial direction or the growth axial direction, with both antiferromagnetic and ferromagnetic orders. Single FeGe 2 nanowire devices were fabricated using e-beam lithography. Electronic transport measurement in these devices show two resistivity anomalies near 250 K and 200 K which are likely signatures of the two spin density wave states in FeGe 2.

  1. Step-and-Repeat Nanoimprint-, Photo- and Laser Lithography from One Customised CNC Machine.

    PubMed

    Greer, Andrew Im; Della-Rosa, Benoit; Khokhar, Ali Z; Gadegaard, Nikolaj

    2016-12-01

    The conversion of a computer numerical control machine into a nanoimprint step-and-repeat tool with additional laser- and photolithography capacity is documented here. All three processes, each demonstrated on a variety of photoresists, are performed successfully and analysed so as to enable the reader to relate their known lithography process(es) to the findings. Using the converted tool, 1 cm(2) of nanopattern may be exposed in 6 s, over 3300 times faster than the electron beam equivalent. Nanoimprint tools are commercially available, but these can cost around 1000 times more than this customised computer numerical control (CNC) machine. The converted equipment facilitates rapid production and large area micro- and nanoscale research on small grants, ultimately enabling faster and more diverse growth in this field of science. In comparison to commercial tools, this converted CNC also boasts capacity to handle larger substrates, temperature control and active force control, up to ten times more curing dose and compactness. Actual devices are fabricated using the machine including an expanded nanotopographic array and microfluidic PDMS Y-channel mixers.

  2. Step-and-Repeat Nanoimprint-, Photo- and Laser Lithography from One Customised CNC Machine

    NASA Astrophysics Data System (ADS)

    Greer, Andrew IM; Della-Rosa, Benoit; Khokhar, Ali Z.; Gadegaard, Nikolaj

    2016-03-01

    The conversion of a computer numerical control machine into a nanoimprint step-and-repeat tool with additional laser- and photolithography capacity is documented here. All three processes, each demonstrated on a variety of photoresists, are performed successfully and analysed so as to enable the reader to relate their known lithography process(es) to the findings. Using the converted tool, 1 cm2 of nanopattern may be exposed in 6 s, over 3300 times faster than the electron beam equivalent. Nanoimprint tools are commercially available, but these can cost around 1000 times more than this customised computer numerical control (CNC) machine. The converted equipment facilitates rapid production and large area micro- and nanoscale research on small grants, ultimately enabling faster and more diverse growth in this field of science. In comparison to commercial tools, this converted CNC also boasts capacity to handle larger substrates, temperature control and active force control, up to ten times more curing dose and compactness. Actual devices are fabricated using the machine including an expanded nanotopographic array and microfluidic PDMS Y-channel mixers.

  3. Tuning extreme ultraviolet emission for optimum coupling with multilayer mirrors for future lithography through control of ionic charge states

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ohashi, Hayato, E-mail: ohashi@cc.utsunomiya-u.ac.jp; Higashiguchi, Takeshi, E-mail: higashi@cc.utsunomiya-u.ac.jp; Suzuki, Yuhei

    2014-01-21

    We report on the identification of the optimum plasma conditions for a laser-produced plasma source for efficient coupling with multilayer mirrors at 6.x nm for beyond extreme ultraviolet lithography. A small shift to lower energies of the peak emission for Nd:YAG laser-produced gadolinium plasmas was observed with increasing laser power density. Charge-defined emission spectra were observed in electron beam ion trap (EBIT) studies and the charge states responsible identified by use of the flexible atomic code (FAC). The EBIT spectra displayed a larger systematic shift of the peak wavelength of intense emission at 6.x nm to longer wavelengths with increasingmore » ionic charge. This combination of spectra enabled the key ion stage to be confirmed as Gd{sup 18+}, over a range of laser power densities, with contributions from Gd{sup 17+} and Gd{sup 19+} responsible for the slight shift to longer wavelengths in the laser-plasma spectra. The FAC calculation also identified the origin of observed out-of-band emission and the charge states responsible.« less

  4. Patterned media towards Nano-bit magnetic recording: fabrication and challenges.

    PubMed

    Sbiaa, Rachid; Piramanayagam, Seidikkurippu N

    2007-01-01

    During the past decade, magnetic recording density of HDD has doubled almost every 18 months. To keep increasing the recording density, there is a need to make the small bits thermally stable. The most recent method using perpendicular recording media (PMR) will lose its fuel in a few years time and alternatives are sought. Patterned media, where the bits are magnetically separated from each other, offer the possibility to solve many issues encountered by PMR technology. However, implementation of patterned media would involve developing processing methods which offer high resolution (small bits), regular patterns, and high density. All these need to be achieved without sacrificing a high throughput and low cost. In this article, we review some of the ideas that have been proposed in this subject. However, the focus of the paper is on nano-imprint lithography (NIL) as it fulfills most of the needs of HDD as compared to conventional lithography using electron beam, EUV or X-Rays. The latest development of NIL and related technologies and their future prospects for patterned media are also discussed.

  5. X-ray lithography using holographic images

    DOEpatents

    Howells, Malcolm R.; Jacobsen, Chris

    1995-01-01

    A non-contact X-ray projection lithography method for producing a desired X-ray image on a selected surface of an X-ray-sensitive material, such as photoresist material on a wafer, the desired X-ray image having image minimum linewidths as small as 0.063 .mu.m, or even smaller. A hologram and its position are determined that will produce the desired image on the selected surface when the hologram is irradiated with X-rays from a suitably monochromatic X-ray source of a selected wavelength .lambda.. On-axis X-ray transmission through, or off-axis X-ray reflection from, a hologram may be used here, with very different requirements for monochromaticity, flux and brightness of the X-ray source. For reasonable penetration of photoresist materials by X-rays produced by the X-ray source, the wavelength X, is preferably chosen to be no more than 13.5 nm in one embodiment and more preferably is chosen in the range 1-5 nm in the other embodiment. A lower limit on linewidth is set by the linewidth of available microstructure writing devices, such as an electron beam.

  6. Chromaticity calculations and code comparisons for x-ray lithography source XLS and SXLS rings

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Parsa, Z.

    1988-06-16

    This note presents the chromaticity calculations and code comparison results for the (x-ray lithography source) XLS (Chasman Green, XUV Cosy lattice) and (2 magnet 4T) SXLS lattices, with the standard beam optic codes, including programs SYNCH88.5, MAD6, PATRICIA88.4, PATPET88.2, DIMAD, BETA, and MARYLIE. This analysis is a part of our ongoing accelerator physics code studies. 4 figs., 10 tabs.

  7. Design, Fabrication and Characterization of Micro Opto-Electro-Mechanical Systems.

    DTIC Science & Technology

    1995-12-01

    interference problems (see Fig. 3-6). Improvements in the lithography of the MCNC process would allow for grating spaces of less than 2 gm and therefore...A micro-spectrometer has been fabricated using LIGA, an acronym for lithography , electroforming, and micromolding (the acronym came from the German...location for test samples and an adjustable mirror. The beams are brought back together to form an interference pattern. At an observation screen the

  8. Method for detection and imaging over a broad spectral range

    DOEpatents

    Yefremenko, Volodymyr; Gordiyenko, Eduard; Pishko, legal representative, Olga; Novosad, Valentyn; Pishko, deceased; Vitalii

    2007-09-25

    A method of controlling the coordinate sensitivity in a superconducting microbolometer employs localized light, heating or magnetic field effects to form normal or mixed state regions on a superconducting film and to control the spatial location. Electron beam lithography and wet chemical etching were applied as pattern transfer processes in epitaxial Y--Ba--Cu--O films. Two different sensor designs were tested: (i) a 3 millimeter long and 40 micrometer wide stripe and (ii) a 1.25 millimeters long, and 50 micron wide meandering-like structure. Scanning the laser beam along the stripe leads to physical displacement of the sensitive area, and, therefore, may be used as a basis for imaging over a broad spectral range. Forming the superconducting film as a meandering structure provides the equivalent of a two-dimensional detector array. Advantages of this approach are simplicity of detector fabrication, and simplicity of the read-out process requiring only two electrical terminals.

  9. Wafer scale formation of monocrystalline silicon-based Mie resonators via silicon-on-insulator dewetting.

    PubMed

    Abbarchi, Marco; Naffouti, Meher; Vial, Benjamin; Benkouider, Abdelmalek; Lermusiaux, Laurent; Favre, Luc; Ronda, Antoine; Bidault, Sébastien; Berbezier, Isabelle; Bonod, Nicolas

    2014-11-25

    Subwavelength-sized dielectric Mie resonators have recently emerged as a promising photonic platform, as they combine the advantages of dielectric microstructures and metallic nanoparticles supporting surface plasmon polaritons. Here, we report the capabilities of a dewetting-based process, independent of the sample size, to fabricate Si-based resonators over large scales starting from commercial silicon-on-insulator (SOI) substrates. Spontaneous dewetting is shown to allow the production of monocrystalline Mie-resonators that feature two resonant modes in the visible spectrum, as observed in confocal scattering spectroscopy. Homogeneous scattering responses and improved spatial ordering of the Si-based resonators are observed when dewetting is assisted by electron beam lithography. Finally, exploiting different thermal agglomeration regimes, we highlight the versatility of this technique, which, when assisted by focused ion beam nanopatterning, produces monocrystalline nanocrystals with ad hoc size, position, and organization in complex multimers.

  10. Molecular dynamics and dynamic Monte-Carlo simulation of irradiation damage with focused ion beams

    NASA Astrophysics Data System (ADS)

    Ohya, Kaoru

    2017-03-01

    The focused ion beam (FIB) has become an important tool for micro- and nanostructuring of samples such as milling, deposition and imaging. However, this leads to damage of the surface on the nanometer scale from implanted projectile ions and recoiled material atoms. It is therefore important to investigate each kind of damage quantitatively. We present a dynamic Monte-Carlo (MC) simulation code to simulate the morphological and compositional changes of a multilayered sample under ion irradiation and a molecular dynamics (MD) simulation code to simulate dose-dependent changes in the backscattering-ion (BSI)/secondary-electron (SE) yields of a crystalline sample. Recent progress in the codes for research to simulate the surface morphology and Mo/Si layers intermixing in an EUV lithography mask irradiated with FIBs, and the crystalline orientation effect on BSI and SE yields relating to the channeling contrast in scanning ion microscopes, is also presented.

  11. Experimental realization of a polarization-independent ultraviolet/visible coaxial plasmonic metamaterial.

    PubMed

    van de Haar, M A; Maas, R; Schokker, H; Polman, A

    2014-11-12

    We report the experimental realization of an optical metamaterial composed of a hexagonal array of coaxial plasmonic metal/insulator/metal waveguides that shows strong polarization-independent optical mode index dispersion in the ultraviolet/blue. The metamaterial is composed of silicon coaxes with a well-defined diameter in the range of 150-168 nm with extremely thin sidewalls (13-15 nm), embedded in a silver film, fabricated using a combination of electron beam lithography, physical vapor deposition, reactive ion etching, and focused ion beam polishing. Using a Mach-Zehnder interferometer the phase advance is measured on several metamaterial samples with different dimensions in the UV/visible part of the spectrum. For all geometries the spectral features as well as the geometry dependence of the data correspond well with numerical finite-difference time domain simulations and the calculated waveguide dispersion diagram, showing a negative mode index between 440 and 500 nm.

  12. Diffractive optics for combined spatial- and mode- division demultiplexing of optical vortices: design, fabrication and optical characterization.

    PubMed

    Ruffato, Gianluca; Massari, Michele; Romanato, Filippo

    2016-04-20

    During the last decade, the orbital angular momentum (OAM) of light has attracted growing interest as a new degree of freedom for signal channel multiplexing in order to increase the information transmission capacity in today's optical networks. Here we present the design, fabrication and characterization of phase-only diffractive optical elements (DOE) performing mode-division (de)multiplexing (MDM) and spatial-division (de)multiplexing (SDM) at the same time. Samples have been fabricated with high-resolution electron-beam lithography patterning a polymethylmethacrylate (PMMA) resist layer spun over a glass substrate. Different DOE designs are presented for the sorting of optical vortices differing in either OAM content or beam size in the optical regime, with different steering geometries in far-field. These novel DOE designs appear promising for telecom applications both in free-space and in multi-core fibers propagation.

  13. Monolayer graphene-insulator-semiconductor emitter for large-area electron lithography

    NASA Astrophysics Data System (ADS)

    Kirley, Matthew P.; Aloui, Tanouir; Glass, Jeffrey T.

    2017-06-01

    The rapid adoption of nanotechnology in fields as varied as semiconductors, energy, and medicine requires the continual improvement of nanopatterning tools. Lithography is central to this evolving nanotechnology landscape, but current production systems are subject to high costs, low throughput, or low resolution. Herein, we present a solution to these problems with the use of monolayer graphene in a graphene-insulator-semiconductor (GIS) electron emitter device for large-area electron lithography. Our GIS device displayed high emission efficiency (up to 13%) and transferred large patterns (500 × 500 μm) with high fidelity (<50% spread). The performance of our device demonstrates a feasible path to dramatic improvements in lithographic patterning systems, enabling continued progress in existing industries and opening opportunities in nanomanufacturing.

  14. Beam shaping optics to enhance performance of interferometry techniques in grating manufacture

    NASA Astrophysics Data System (ADS)

    Laskin, Alexander; Laskin, Vadim; Ostrun, Aleksei

    2018-02-01

    Improving of industrial holographic and interferometry techniques is of great importance in interference lithography, computer-generated holography, holographic data storage, interferometry recording of Bragg gratings as well as gratings of various types in semiconductor industry. Performance of mentioned techniques is essentially enhanced by providing a light beam with flat phase front and flat-top irradiance distribution. Therefore, transformation of Gaussian distribution of a TEM00 laser to flat-top (top hat, uniform) distribution is an important optical task. There are different refractive and diffractive beam shaping approaches used in laser industrial and scientific applications, but only few of them are capable to fulfil the optimum conditions for beam quality demanding holography and interferometry. As a solution it is suggested to apply refractive field mapping beam shaping optics πShaper, which operational principle presumes almost lossless transformation of Gaussian to flat-top beam with flatness of output wavefront, conserving of beam consistency, providing collimated low divergent output beam, high transmittance, extended depth of field, negligible wave aberration, and achromatic design provides capability to work with several lasers with different wavelengths simultaneously. High optical quality of resulting flat-top beam allows applying additional optical components to build various imaging optical systems for variation of beam size and shape to fulfil requirements of a particular application. This paper will describe design basics of refractive beam shapers and optical layouts of their applying in holography and laser interference lithography. Examples of real implementations and experimental results will be presented as well.

  15. Patterned arrays of lateral heterojunctions within monolayer two-dimensional semiconductors

    DOE PAGES

    Mahjouri-Samani, Masoud; Lin, Ming-Wei; Wang, Kai; ...

    2015-07-22

    The formation of semiconductor heterojunctions and their high density integration are foundations of modern electronics and optoelectronics. To enable two-dimensional (2D) crystalline semiconductors as building blocks in next generation electronics, developing methods to deterministically form lateral heterojunctions is crucial. Here we demonstrate a process strategy for the formation of lithographically-patterned lateral semiconducting heterojunctions within a single 2D crystal. E-beam lithography is used to pattern MoSe 2 monolayer crystals with SiO 2, and the exposed locations are selectively and totally converted to MoS 2 using pulsed laser deposition (PLD) of sulfur in order to form MoSe 2/MoS 2 heterojunctions in predefinedmore » patterns. The junctions and conversion process are characterized by atomically resolved scanning transmission electron microscopy, photoluminescence, and Raman spectroscopy. This demonstration of lateral semiconductor heterojunction arrays within a single 2D crystal is an essential step for the lateral integration of 2D semiconductor building blocks with different electronic and optoelectronic properties for high-density, ultrathin circuitry.« less

  16. Development of broadband X-ray interference lithography large area exposure system

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xue, Chaofan; Wu, Yanqing, E-mail: wuyanqing@sinap.ac.cn, E-mail: zhaojun@sinap.ac.cn, E-mail: tairenzhong@sinap.ac.cn; Zhu, Fangyuan

    2016-04-15

    The single-exposure patterned area is about several 10{sup 2} × 10{sup 2} μm{sup 2} which is mainly decided by the mask area in multi-beam X-ray interference lithography (XIL). The exposure area is difficult to stitch to a larger one because the patterned area is surrounded by 0th diffraction exposure areas. To block the 0th diffraction beams precisely and effectively, a new large area exposure technology is developed in the Shanghai Synchrotron Radiation Facility by applying an order-sorting aperture with a new in situ monitoring scheme in the XIL system. The patterned area could be stitched readily up to several squaremore » centimeters and even bigger by this technology.« less

  17. Lossless compression algorithm for REBL direct-write e-beam lithography system

    NASA Astrophysics Data System (ADS)

    Cramer, George; Liu, Hsin-I.; Zakhor, Avideh

    2010-03-01

    Future lithography systems must produce microchips with smaller feature sizes, while maintaining throughputs comparable to those of today's optical lithography systems. This places stringent constraints on the effective data throughput of any maskless lithography system. In recent years, we have developed a datapath architecture for direct-write lithography systems, and have shown that compression plays a key role in reducing throughput requirements of such systems. Our approach integrates a low complexity hardware-based decoder with the writers, in order to decompress a compressed data layer in real time on the fly. In doing so, we have developed a spectrum of lossless compression algorithms for integrated circuit layout data to provide a tradeoff between compression efficiency and hardware complexity, the latest of which is Block Golomb Context Copy Coding (Block GC3). In this paper, we present a modified version of Block GC3 called Block RGC3, specifically tailored to the REBL direct-write E-beam lithography system. Two characteristic features of the REBL system are a rotary stage resulting in arbitrarily-rotated layout imagery, and E-beam corrections prior to writing the data, both of which present significant challenges to lossless compression algorithms. Together, these effects reduce the effectiveness of both the copy and predict compression methods within Block GC3. Similar to Block GC3, our newly proposed technique Block RGC3, divides the image into a grid of two-dimensional "blocks" of pixels, each of which copies from a specified location in a history buffer of recently-decoded pixels. However, in Block RGC3 the number of possible copy locations is significantly increased, so as to allow repetition to be discovered along any angle of orientation, rather than horizontal or vertical. Also, by copying smaller groups of pixels at a time, repetition in layout patterns is easier to find and take advantage of. As a side effect, this increases the total number of copy locations to transmit; this is combated with an extra region-growing step, which enforces spatial coherence among neighboring copy locations, thereby improving compression efficiency. We characterize the performance of Block RGC3 in terms of compression efficiency and encoding complexity on a number of rotated Metal 1, Poly, and Via layouts at various angles, and show that Block RGC3 provides higher compression efficiency than existing lossless compression algorithms, including JPEG-LS, ZIP, BZIP2, and Block GC3.

  18. Polymer based plasmonic elements with dye molecules

    NASA Astrophysics Data System (ADS)

    Zhang, Douguo; Wang, Xiangxian; Chen, Yikai; Han, Lu; Wang, Pei; Ming, Hai

    2012-11-01

    Recently, dielectric loaded surface plasmons (SPs) elements are inducing highly interesting in the field of nanooptics, which are composed of dielectric nanostructures fabricated on a metallic thin film. This configuration will provide a route to novel integrated micro-optical devices and components combining photonics and electronics on the same chip. The advantages are easy fabrication, easy integration, and also the potential to realizing active plasmonic devices. In this talk, we will present our recent work in this field. Polymer (PMMA) nano-structures are fabricated on a silver film by the electron beam lithography (EBL) and laser interference lithography. These nano-structures are used to manipulate the behaviors of the SPs, such as converging, diverging, and guiding the propagation of SPs in subwavelength scale. Except for the pure PMMA nano-structures, dye materials (Rhodamine B, RhB) doped PMMA structures are also fabricated on the silver film. The RhB molecules will work as the active medium to excite the SPs or compensation the loss of SPs wave. The dye doped PMMA nanostructure provides a choice to realize active plasmonic elements, such as SPs Bragg gratings. On the other hand, the interaction between the fluorescence molecules and SPs will give rise to some new optical phenomena, such as directional fluorescence emission, anisotropic fluorescence emission. These polymer based plasmonic structures are investigated with a home-built leakage radiation microscopy (LRM).

  19. Studying electron-PAG interactions using electron-induced fluorescence

    NASA Astrophysics Data System (ADS)

    Narasimhan, Amrit; Grzeskowiak, Steven; Ostrander, Jonathan; Schad, Jonathon; Rebeyev, Eliran; Neisser, Mark; Ocola, Leonidas E.; Denbeaux, Gregory; Brainard, Robert L.

    2016-03-01

    In extreme ultraviolet (EUV) lithography, 92 eV photons are used to expose photoresists. Typical EUV resists are organic-based and chemically amplified using photoacid generators (PAGs). Upon exposure, PAGs produce acids which catalyze reactions that result in changes in solubility. In EUV lithography, photo- and secondary electrons (energies of 10- 80 eV) play a large role in PAG acid-production. Several mechanisms for electron-PAG interactions (e.g. electron trapping, and hole-initiated chemistry) have been proposed. The aim of this study is to explore another mechanism - internal excitation - in which a bound PAG electron can be excited by receiving energy from another energetic electron, causing a reaction that produces acid. This paper explores the mechanism of internal excitation through the analogous process of electron-induced fluorescence, in which an electron loses energy by transferring that energy to a molecule and that molecule emits a photon rather than decomposing. We will show and quantify electron-induced fluorescence of several fluorophores in polymer films to mimic resist materials, and use this information to refine our proposed mechanism. Relationships between the molecular structure of fluorophores and fluorescent quantum yield may aid in the development of novel PAGs for EUV lithography.

  20. Development of economic MeV-ion microbeam technology at Chiang Mai University

    NASA Astrophysics Data System (ADS)

    Singkarat, S.; Puttaraksa, N.; Unai, S.; Yu, L. D.; Singkarat, K.; Pussadee, N.; Whitlow, H. J.; Natyanum, S.; Tippawan, U.

    2017-08-01

    Developing high technologies but in economic manners is necessary and also feasible for developing countries. At Chiang Mai University, Thailand, we have developed MeV-ion microbeam technology based on a 1.7-MV Tandetron tandem accelerator with our limited resources in a cost-effective manner. Instead of using expensive and technically complex electrostatic or magnetic quadrupole focusing lens systems, we have developed cheap MeV-ion microbeams using programmed L-shaped blade aperture and capillary techniques for MeV ion beam lithography or writing and mapping. The programmed L-shaped blade micro-aperture system consists of a pair of L-shaped movable aperture pieces which are controlled by computer to cut off the ion beam for controlling the beam size down to the micrometer order. The capillary technique utilizes our home-fabricated tapered glass capillaries to realize microbeams. Either system can be installed inside the endstation of the MeV ion beam line of the accelerator. Both systems have been applied to MeV-ion beam lithography or writing of micro-patterns for microfluidics applications to fabricate lab-on-chip devices. The capillary technique is being developed for MeV-ion beam mapping of biological samples. The paper reports details of the techniques and introduces some applications.

  1. Fabrication of ferroelectric polymer nanostructures on flexible substrates by soft-mold reverse nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Song, Jingfeng; Lu, Haidong; Li, Shumin; Tan, Li; Gruverman, Alexei; Ducharme, Stephen

    2016-01-01

    Conventional nanoimprint lithography with expensive rigid molds is used to pattern ferroelectric polymer nanostructures on hard substrate for use in, e.g., organic electronics. The main innovation here is the use of inexpensive soft polycarbonate molds derived from recordable DVDs and reverse nanoimprint lithography at low pressure, which is compatible with flexible substrates. This approach was implemented to produce regular stripe arrays with a spacing of 700 nm from vinylidene fluoride co trifluoroethylene ferroelectric copolymer on flexible polyethylene terephthalate substrates. The nanostructures have very stable and switchable piezoelectric response and good crystallinity, and are highly promising for use in organic electronics enhanced or complemented by the unique properties of the ferroelectric polymer, such as bistable polarization, piezoelectric response, pyroelectric response, or electrocaloric function. The soft-mold reverse nanoimprint lithography also leaves little or no residual layer, affording good isolation of the nanostructures. This approach reduces the cost and facilitates large-area, high-throughput production of isolated functional polymer nanostructures on flexible substrates for the increasing application of ferroelectric polymers in flexible electronics.

  2. Fabrication of ferroelectric polymer nanostructures on flexible substrates by soft-mold reverse nanoimprint lithography.

    PubMed

    Song, Jingfeng; Lu, Haidong; Li, Shumin; Tan, Li; Gruverman, Alexei; Ducharme, Stephen

    2016-01-08

    Conventional nanoimprint lithography with expensive rigid molds is used to pattern ferroelectric polymer nanostructures on hard substrate for use in, e.g., organic electronics. The main innovation here is the use of inexpensive soft polycarbonate molds derived from recordable DVDs and reverse nanoimprint lithography at low pressure, which is compatible with flexible substrates. This approach was implemented to produce regular stripe arrays with a spacing of 700 nm from vinylidene fluoride co trifluoroethylene ferroelectric copolymer on flexible polyethylene terephthalate substrates. The nanostructures have very stable and switchable piezoelectric response and good crystallinity, and are highly promising for use in organic electronics enhanced or complemented by the unique properties of the ferroelectric polymer, such as bistable polarization, piezoelectric response, pyroelectric response, or electrocaloric function. The soft-mold reverse nanoimprint lithography also leaves little or no residual layer, affording good isolation of the nanostructures. This approach reduces the cost and facilitates large-area, high-throughput production of isolated functional polymer nanostructures on flexible substrates for the increasing application of ferroelectric polymers in flexible electronics.

  3. Geometrical E-beam proximity correction for raster scan systems

    NASA Astrophysics Data System (ADS)

    Belic, Nikola; Eisenmann, Hans; Hartmann, Hans; Waas, Thomas

    1999-04-01

    High pattern fidelity is a basic requirement for the generation of masks containing sub micro structures and for direct writing. Increasing needs mainly emerging from OPC at mask level and x-ray lithography require a correction of the e-beam proximity effect. The most part of e-beam writers are raster scan system. This paper describes a new method for geometrical pattern correction in order to provide a correction solution for e-beam system that are not able to apply variable doses.

  4. Wavelength stabilized DBR high power diode laser using EBL optical confining grating technology

    NASA Astrophysics Data System (ADS)

    Paoletti, R.; Codato, S.; Coriasso, C.; Gotta, P.; Meneghini, G.; Morello, G.; De Melchiorre, P.; Riva, E.; Rosso, M.; Stano, A.; Gattiglio, M.

    2018-02-01

    This paper reports a DBR High Power Diode Laser (DBR-HPDL) realization, emitting up to 10W in the 920 nm range. High spectral purity (90% power in about 0.5 nm), and wavelength stability versus injected current (about 5 times more than standard FP laser) candidates DBR-HPDL as a suitable device for wavelength stabilized pump source, and high brightness applications exploiting Wavelength Division Multiplexing. Key design aspect is a multiple-orders Electron Beam Lithography (EBL) optical confining grating, stabilizing on same wafer multiple wavelengths by a manufacturable and reliable technology. Present paper shows preliminary demonstration of wafer with 3 pitches, generating DBRHPDLs 2.5 nm spaced.

  5. Simultaneous fabrication of a microcavity absorber-emitter on a Ni-W alloy film

    NASA Astrophysics Data System (ADS)

    Nashun; Kagimoto, Masahiro; Iwami, Kentaro; Umeda, Norihiro

    2017-10-01

    A process for the simultaneous fabrication of microcavity structures on both sides of a film was proposed and demonstrated to develop a free-standing-type integrated absorber-emitter for use in solar thermophotovoltaic power generation systems. The absorber-emitter-integrated film comprised a heat-resistant Ni-W alloy deposited by electroplating. A two-step silicon mould was fabricated using deep reactive-ion etching and electron beam lithography. Cavity arrays with different unit sizes were successfully fabricated on both sides of the film; these arrays are suitable for use as a solar spectrum absorber and an infrared-selective emitter. Their emissivity spectra were characterised through UV-vis-NIR and Fourier transform infrared spectroscopy.

  6. Balanced double-loop mesoscopic interferometer based on Josephson proximity nanojunctions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ronzani, Alberto, E-mail: alberto.ronzani@nano.cnr.it; Altimiras, Carles; Giazotto, Francesco

    We report on the fabrication and characterization of a two-terminal mesoscopic interferometer based on three V/Cu/V Josephson junctions having nanoscale cross-section. The junctions have been arranged in a double-ring geometry realized by metallic thin film deposition through a suspended mask defined by electron beam lithography. Although a significant amount of asymmetry between the critical current of each junction is observed, we show that the interferometer is able to suppress the supercurrent to a level lower than 6 parts per thousand, being here limited by measurement resolution. The present nano-device is suitable for low-temperature magnetometric and gradiometric measurements over the micrometricmore » scale.« less

  7. Optical second harmonic generation from V-shaped chromium nanohole arrays

    NASA Astrophysics Data System (ADS)

    Khoa Quang, Ngo; Miyauchi, Yoshihiro; Mizutani, Goro; Charlton, Martin D.; Chen, Ruiqi; Boden, Stuart; Rutt, Harvey

    2014-02-01

    We observed rotational anisotropy of optical second harmonic generation (SHG) from an array of V-shaped chromium nanoholes fabricated by electron beam lithography. Phenomenological analysis indicated that the effective nonlinear susceptibility element \\chi _{313}^{(2)} had a characteristic contribution to the observed anisotropic SHG intensity patterns. Here, coordinate 1 is in the direction of the tip of V shapes in the substrate plane, and 3 indicates the direction perpendicular to the sample surface. The SHG intensity for the S-polarized output light was very weak, probably owing to the cancellation effect of the image dipoles generated at the metal-air boundary. The possible origin of the observed nonlinearity is discussed in terms of the susceptibility elements obtained.

  8. Practical tolerancing and performance implications for XUV projection lithography reduction systems (Poster Paper)

    NASA Astrophysics Data System (ADS)

    Viswanathan, Vriddhachalam K.

    1992-07-01

    Practical considerations that will strongly affect the imaging capabilities of reflecting systems for extreme-ultraviolet (XUV) projection lithography include manufacturing tolerances and thermal distortion of the mirror surfaces due to absorption of a fraction of the incident radiation beam. We have analyzed the potential magnitudes of these effects for two types of reflective projection optical designs. We find that concentric, symmetric two-mirror systems are less sensitive to manufacturing errors and thermal distortion than off-axis, four-mirror systems.

  9. Exploring the Electrical Conductivity of Cytochrome P450 by Nano-Electrode and Conductive Atomic Force Microscopy

    NASA Astrophysics Data System (ADS)

    Li, Debin; Gu, Jianhua; Chye, Yewhee; Lederman, David; Kabulski, Jarod; Gannett, Peter; Tracy, Timothy

    2006-03-01

    There is a growing interest in measuring the conductivity of electron-transfer proteins. The cytochrome P450 (CP450) enzymes represent an important class of heme-containing enzymes. Immobilizing CP450 enzymes on a surface can be used for studying a single enzyme with respect to electron transfer. The spin state of the heme iron can change upon binding of a substrate. In our experiment, CP450 (diameter ˜ 5 nm) has been bonded to a metal surface. Nano-electrodes (gap < 10 nm) were fabricated by defining a bridge via e-beam lithography and then breaking the junction by electromigration at low temperatures. We have examined the electronic properties of CP450 by itself and after binding CP450 with flurbiprofen. The room temperature I-V conductivity is reminiscent to cyclic voltammetry measurements, indicating the presence of strong ionic transfer. At lower temperatures (100 K) the I-V characteristics indicate electronic transport dominated by tunneling processes. The conductive AFM is an additional method used to examine the enzyme's electronic properties. The results from two methods will be discussed..

  10. Continuous phase and amplitude holographic elements

    NASA Technical Reports Server (NTRS)

    Maker, Paul D. (Inventor); Muller, Richard E. (Inventor)

    1995-01-01

    A method for producing a phase hologram using e-beam lithography provides n-ary levels of phase and amplitude by first producing an amplitude hologram on a transparent substrate by e-beam exposure of a resist over a film of metal by exposing n is less than or equal to m x m spots of an array of spots for each pixel, where the spots are randomly selected in proportion to the amplitude assigned to each pixel, and then after developing and etching the metal film producing a phase hologram by e-beam lithography using a low contrast resist, such as PMMA, and n-ary levels of low doses less than approximately 200 micro-C/sq cm and preferably in the range of 20-200 micro-C/sq cm, and aggressive development using pure acetone for an empirically determined time (about 6 s) controlled to within 1/10 s to produce partial development of each pixel in proportion to the n-ary level of dose assigned to it.

  11. Nanofabrication of 10-nm T-shaped gates using a double patterning process with electron beam lithography and dry etch

    NASA Astrophysics Data System (ADS)

    Shao, Jinhai; Deng, Jianan; Lu, W.; Chen, Yifang

    2017-07-01

    A process to fabricate T-shaped gates with the footprint scaling down to 10 nm using a double patterning procedure is reported. One of the keys in this process is to separate the definition of the footprint from that for the gate-head so that the proximity effect originated from electron forward scattering in the resist is significantly minimized, enabling us to achieve as narrow as 10-nm foot width. Furthermore, in contrast to the reported technique for 10-nm T-shaped profile in resist, this process utilizes a metallic film with a nanoslit as an etch mask to form a well-defined 10-nm-wide foot in a SiNx layer by reactive ion etch. Such a double patterning process has demonstrated enhanced reliability. The detailed process is comprehensively described, and its advantages and limitations are discussed. Nanofabrication of InP-based high-electron-mobility transistors using the developed process for 10- to 20-nm T-shaped gates is currently under the way.

  12. Quantum dot behavior in transition metal dichalcogenides nanostructures

    NASA Astrophysics Data System (ADS)

    Luo, Gang; Zhang, Zhuo-Zhi; Li, Hai-Ou; Song, Xiang-Xiang; Deng, Guang-Wei; Cao, Gang; Xiao, Ming; Guo, Guo-Ping

    2017-08-01

    Recently, transition metal dichalcogenides (TMDCs) semiconductors have been utilized for investigating quantum phenomena because of their unique band structures and novel electronic properties. In a quantum dot (QD), electrons are confined in all lateral dimensions, offering the possibility for detailed investigation and controlled manipulation of individual quantum systems. Beyond the definition of graphene QDs by opening an energy gap in nanoconstrictions, with the presence of a bandgap, gate-defined QDs can be achieved on TMDCs semiconductors. In this paper, we review the confinement and transport of QDs in TMDCs nanostructures. The fabrication techniques for demonstrating two-dimensional (2D) materials nanostructures such as field-effect transistors and QDs, mainly based on e-beam lithography and transfer assembly techniques are discussed. Subsequently, we focus on electron transport through TMDCs nanostructures and QDs. With steady improvement in nanoscale materials characterization and using graphene as a springboard, 2D materials offer a platform that allows creation of heterostructure QDs integrated with a variety of crystals, each of which has entirely unique physical properties.

  13. Moore's law, lithography, and how optics drive the semiconductor industry

    NASA Astrophysics Data System (ADS)

    Hutcheson, G. Dan

    2018-03-01

    When the subject of Moore's Law arises, the important role that lithography plays and how advances in optics have made it all possible is seldom brought up in the world outside of lithography itself. When lithography is mentioned up in the value chain, it's often a critique of how advances are coming too slow and getting far too expensive. Yet advances in lithography are at the core of how Moore's Law is viable. This presentation lays out how technology and the economics of optics in manufacturing interleave to drive the immense value that semiconductors have brought to the world by making it smarter. Continuing these advances will be critical as electronics make the move from smart to cognitive.

  14. Micromagnetic studies of Full Huesler alloy, Co2FeAl, nanostructures

    NASA Astrophysics Data System (ADS)

    Yoritomo, Patricia; Mecholsky, Nicholas; Gyawali, Parshu; Sapkota, Keshab; Pegg, I. L.; Philip, John

    2013-03-01

    Co2FeAl (CFA) is a full Huesler alloy with interesting magnetic behavior and very high Curie temperature. We have carried out micromagnetic simulations on CFA nanopillars using a program, NMAG, with various dimensions and spacing. The micromagnetic simulations are compared with the experimental results that we have obtained. Nanopillars are produced using the liftoff technique after electron beam lithography. The CFA nanopillars are grown using electron beam deposition of Co, Fe and Al in the stoichiometric ratio and by further annealing at 850 K for one hour. We have simulated the magnetic behavior of CFA nanopillars ranging from 30 to 90 nm in diameter and with a height of about 115 nm. Preliminary results show the simulated coercivities are 700 Oe and 2400 Oe for 60 and 30 nm pillars. These are comparable to the experimental results that we have obtained. Magnetic behavior of polycrystalline nanowires of varying diameters is also simulated using NMAG. We will present the simulation and experimental results of nanopillars and polycrystalline nanowires in detail. This work has been supported by funding from NSF under CAREER Grant No. ECCS-0845501 and NSF-MRI, DMR-0922997.

  15. Inspection of imprint lithography patterns for semiconductor and patterned media

    NASA Astrophysics Data System (ADS)

    Resnick, Douglas J.; Haase, Gaddi; Singh, Lovejeet; Curran, David; Schmid, Gerard M.; Luo, Kang; Brooks, Cindy; Selinidis, Kosta; Fretwell, John; Sreenivasan, S. V.

    2010-03-01

    Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Acceptance of imprint lithography for manufacturing will require demonstration that it can attain defect levels commensurate with the requirements of cost-effective device production. This work summarizes the results of defect inspections of semiconductor masks, wafers and hard disks patterned using Jet and Flash Imprint Lithography (J-FILTM). Inspections were performed with optical and e-beam based automated inspection tools. For the semiconductor market, a test mask was designed which included dense features (with half pitches ranging between 32 nm and 48 nm) containing an extensive array of programmed defects. For this work, both e-beam inspection and optical inspection were used to detect both random defects and the programmed defects. Analytical SEMs were then used to review the defects detected by the inspection. Defect trends over the course of many wafers were observed with another test mask using a KLA-T 2132 optical inspection tool. The primary source of defects over 2000 imprints were particle related. For the hard drive market, it is important to understand the defectivity of both the template and the imprinted disk. This work presents a methodology for automated pattern inspection and defect classification for imprint-patterned media. Candela CS20 and 6120 tools from KLA-Tencor map the optical properties of the disk surface, producing highresolution grayscale images of surface reflectivity, scattered light, phase shift, etc. Defects that have been identified in this manner are further characterized according to the morphology

  16. Fabrication of 0.25-um electrode width SAW filters using x-ray lithography with a laser plasma source

    NASA Astrophysics Data System (ADS)

    Bobkowski, Romuald; Li, Yunlei; Fedosejevs, Robert; Broughton, James N.

    1996-05-01

    A process for the fabrication of surface acoustic wave (SAW) devices with line widths of 250 nm and less, based on x-ray lithography using a laser-plasma source has been developed. The x-ray lithography process is based on keV x-ray emission from Cu plasma produced by 15 Hz, 50 ps, 248 nm KrF excimer laser pulses. The full structure of a 2 GHz surface acoustic wave filter with interdigital transducers in a split-electrode geometry has been manufactured. The devices require patterning a 150 nm thick aluminum layer on a LiNbO3 substrate with electrodes 250 nm wide. The manufacturing process has two main steps: x-ray mask fabrication employing e-beam lithography and x-ray lithography to obtain the final device. The x-ray masks are fabricated on 1 micrometers thick membranes of Si2N4. The line patterns on the masks are written into PMMA resist using a scanning electron microscope which has been interfaced to a personal computer equipped to control the x and y scan voltages. The opaque regions of the x-ray mask are then formed by electroplating fine grain gold into the open spaces in the etched PMMA. The mask and sample are mounted in an exposure cassette with a fixed spacer of 10 micrometers separating them. The sample consists of a LiNbO3 substrate coated with Shipley XP90104C x-ray resist which has been previously characterized. The x-ray patterning is carried out in an exposure chamber with flowing helium background gas in order to minimize debris deposition on the filters. After etching the x-ray resist, the final patterns are produced using metallization and a standard lift-off technique. The SAW filters are then bonded and packaged onto impedance matching striplines. The resultant devices are tested using Scalar Network Analyzers. The final devices produced had a center frequency of 1.93 GHz with a bandwidth of 98 MHz, close to the expected performance of our simple design.

  17. Al nanogrid electrode for ultraviolet detectors.

    PubMed

    Ding, G; Deng, J; Zhou, L; Gan, Q; Hwang, J C M; Dierolf, V; Bartoli, F J; Mazuir, C; Schoenfeld, W V

    2011-09-15

    Optical properties of Al nanogrids of different pitches and gaps were investigated both theoretically and experimentally. Three-dimensional finite-difference time-domain simulation predicted that surface plasmons at the air/Al interface would enhance ultraviolet transmission through the subwavelength gaps of the nanogrid, making it an effective electrode on GaN-based photodetectors to compensate for the lack of transparent electrode and high p-type doping. The predicted transmission enhancement was verified by confocal scanning optical microscopy performed at 365 nm. The quality of the nanogrids fabricated by electron-beam lithography was verified by near-field scanning optical microscopy and scanning electron microscopy. Based on the results, the pitch and gap of the nanogrids can be optimized for the best trade-off between electrical conductivity and optical transmission at different wavelengths. Based on different cutoff wavelengths, the nanogrids can also double as a filter to render photodetectors solar-blind.

  18. Optical sensor based on a single CdS nanobelt.

    PubMed

    Li, Lei; Yang, Shuming; Han, Feng; Wang, Liangjun; Zhang, Xiaotong; Jiang, Zhuangde; Pan, Anlian

    2014-04-23

    In this paper, an optical sensor based on a cadmium sulfide (CdS) nanobelt has been developed. The CdS nanobelt was synthesized by the vapor phase transportation (VPT) method. X-Ray Diffraction (XRD) and Transmission Electron Microscopy (TEM) results revealed that the nanobelt had a hexagonal wurtzite structure of CdS and presented good crystal quality. A single nanobelt Schottky contact optical sensor was fabricated by the electron beam lithography (EBL) technique, and the device current-voltage results showed back-to-back Schottky diode characteristics. The photosensitivity, dark current and the decay time of the sensor were 4 × 10⁴, 31 ms and 0.2 pA, respectively. The high photosensitivity and the short decay time were because of the exponential dependence of photocurrent on the number of the surface charges and the configuration of the back to back Schottky junctions.

  19. Fabrication and characterization of GaN nanowire doubly clamped resonators

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Maliakkal, Carina B., E-mail: carina@tifr.res.in; Mathew, John P.; Hatui, Nirupam

    2015-09-21

    Gallium nitride (GaN) nanowires (NWs) have been intensely researched as building blocks for nanoscale electronic and photonic device applications; however, the mechanical properties of GaN nanostructures have not been explored in detail. The rigidity, thermal stability, and piezoelectric properties of GaN make it an interesting candidate for nano-electromechanical systems. We have fabricated doubly clamped GaN NW electromechanical resonators on sapphire using electron beam lithography and estimated the Young's modulus of GaN from resonance frequency measurements. For wires of triangular cross section with side ∼90 nm, we obtained values for the Young's modulus to be about 218 and 691 GPa, which are ofmore » the same order of magnitude as the values reported for bulk GaN. We also discuss the role of residual strain in the nanowire on the resonant frequency and the orientation dependence of the Young's modulus in wurtzite crystals.« less

  20. Surface potential on gold nanodisc arrays fabricated on silicon under light irradiation

    NASA Astrophysics Data System (ADS)

    Ezaki, Tomotarou; Matsutani, Akihiro; Nishioka, Kunio; Shoji, Dai; Sato, Mina; Okamoto, Takayuki; Isobe, Toshihiro; Nakajima, Akira; Matsushita, Sachiko

    2018-06-01

    This paper proposes Kelvin probe force microscopy (KFM) as a new measurement method of plasmon phenomenon. The surface potential of two arrays, namely, a monomeric array and a tetrameric array, of gold nanodiscs (600 nm diameter) on a silicon substrate fabricated by electron beam lithography was investigated by KFM with the view point of irradiation light wavelength change. In terms of the value of the surface potential, contrasting behaviour, a negative shift in the monomeric disc array and a positive shift in the tetrameric disc array, was observed by light irradiation. This interesting behaviour is thought to be related to a difference in localised plasmons caused by the disc arrangement and was investigated from various viewpoints, including Rayleigh anomalies. Finally, this paper reveals that KFM is powerful not only to investigate the plasmonic behaviour but also to predict the electron transportation.

  1. Thermoplasmonic Ignition of Metal Nanoparticles.

    PubMed

    Mutlu, Mehmet; Kang, Ju-Hyung; Raza, Søren; Schoen, David; Zheng, Xiaolin; Kik, Pieter G; Brongersma, Mark L

    2018-03-14

    Explosives, propellants, and pyrotechnics are energetic materials that can store and quickly release tremendous amounts of chemical energy. Aluminum (Al) is a particularly important fuel in many applications because of its high energy density, which can be released in a highly exothermic oxidation process. The diffusive oxidation mechanism (DOM) and melt-dispersion mechanism (MDM) explain the ways powders of Al nanoparticles (NPs) can burn, but little is known about the possible use of plasmonic resonances in NPs to manipulate photoignition. This is complicated by the inhomogeneous nature of powders and very fast heating and burning rates. Here, we generate Al NPs with well-defined sizes, shapes, and spacings by electron beam lithography and demonstrate that their plasmonic resonances can be exploited to heat and ignite them with a laser. By combining simulations with thermal-emission, electron-, and optical-microscopy studies, we reveal how an improved control over NP ignition can be attained.

  2. Nanofabrication and coloration study of artificial Morpho butterfly wings with aligned lamellae layers

    PubMed Central

    Zhang, Sichao; Chen, Yifang

    2015-01-01

    The bright and iridescent blue color from Morpho butterfly wings has attracted worldwide attentions to explore its mysterious nature for long time. Although the physics of structural color by the nanophotonic structures built on the wing scales has been well established, replications of the wing structure by standard top-down lithography still remains a challenge. This paper reports a technical breakthrough to mimic the blue color of Morpho butterfly wings, by developing a novel nanofabrication process, based on electron beam lithography combined with alternate PMMA/LOR development/dissolution, for photonic structures with aligned lamellae multilayers in colorless polymers. The relationship between the coloration and geometric dimensions as well as shapes is systematically analyzed by solving Maxwell’s Equations with a finite domain time difference simulator. Careful characterization of the mimicked blue by spectral measurements under both normal and oblique angles are carried out. Structural color in blue reflected by the fabricated wing scales, is demonstrated and further extended to green as an application exercise of the new technique. The effects of the regularity in the replicas on coloration are analyzed. In principle, this approach establishes a starting point for mimicking structural colors beyond the blue in Morpho butterfly wings. PMID:26577813

  3. Strategies for alignment and e-beam contact to buried atomic-precision devices in Si

    NASA Astrophysics Data System (ADS)

    Wyrick, Jonathan; Namboodiri, Pradeep; Wang, Xiqiao; Murray, Roy; Hagmann, Joseph; Li, Kai; Stewart, Michael; Richter, Curt; Silver, Richard

    STM based hydrogen lithography has proven to be a viable route to fabrication of atomic-precision electronic devices. The strength of this technique is the ability to control the lateral placement of phosphorus atoms in a single atomic layer of Si with sub-nanometer resolution. However, because of limitations in the rate at which a scanning probe can pattern a device, as well as the ultimate size of contacts that can be fabricated (on the order of a micron in length), making electrical contact to STM fabricated devices encased in Si is nontrivial. One commonly implemented solution to this challenge is to choose the exact location on a Si surface where a device is to be patterned by STM and to design fiducials to aid in navigating the probe to that predetermined location. We present results from an alternate strategy for contacting buried devices based on performing the STM lithography fabrication first, and determination of the buried structure location after the fact using topographically identifiable STM fabricated fiducials. AFM, scanning capacitance, and peak force Kelvin microscopy as well as optical microscopy techniques are evaluated as a means for device relocation and to quantify the comparative accuracy of these techniques.

  4. Microscale and nanoscale strain mapping techniques applied to creep of rocks

    NASA Astrophysics Data System (ADS)

    Quintanilla-Terminel, Alejandra; Zimmerman, Mark E.; Evans, Brian; Kohlstedt, David L.

    2017-07-01

    Usually several deformation mechanisms interact to accommodate plastic deformation. Quantifying the contribution of each to the total strain is necessary to bridge the gaps from observations of microstructures, to geomechanical descriptions, to extrapolating from laboratory data to field observations. Here, we describe the experimental and computational techniques involved in microscale strain mapping (MSSM), which allows strain produced during high-pressure, high-temperature deformation experiments to be tracked with high resolution. MSSM relies on the analysis of the relative displacement of initially regularly spaced markers after deformation. We present two lithography techniques used to pattern rock substrates at different scales: photolithography and electron-beam lithography. Further, we discuss the challenges of applying the MSSM technique to samples used in high-temperature and high-pressure experiments. We applied the MSSM technique to a study of strain partitioning during creep of Carrara marble and grain boundary sliding in San Carlos olivine, synthetic forsterite, and Solnhofen limestone at a confining pressure, Pc, of 300 MPa and homologous temperatures, T/Tm, of 0.3 to 0.6. The MSSM technique works very well up to temperatures of 700 °C. The experimental developments described here show promising results for higher-temperature applications.

  5. Nanofabrication and coloration study of artificial Morpho butterfly wings with aligned lamellae layers.

    PubMed

    Zhang, Sichao; Chen, Yifang

    2015-11-18

    The bright and iridescent blue color from Morpho butterfly wings has attracted worldwide attentions to explore its mysterious nature for long time. Although the physics of structural color by the nanophotonic structures built on the wing scales has been well established, replications of the wing structure by standard top-down lithography still remains a challenge. This paper reports a technical breakthrough to mimic the blue color of Morpho butterfly wings, by developing a novel nanofabrication process, based on electron beam lithography combined with alternate PMMA/LOR development/dissolution, for photonic structures with aligned lamellae multilayers in colorless polymers. The relationship between the coloration and geometric dimensions as well as shapes is systematically analyzed by solving Maxwell's Equations with a finite domain time difference simulator. Careful characterization of the mimicked blue by spectral measurements under both normal and oblique angles are carried out. Structural color in blue reflected by the fabricated wing scales, is demonstrated and further extended to green as an application exercise of the new technique. The effects of the regularity in the replicas on coloration are analyzed. In principle, this approach establishes a starting point for mimicking structural colors beyond the blue in Morpho butterfly wings.

  6. Nanofabrication and coloration study of artificial Morpho butterfly wings with aligned lamellae layers

    NASA Astrophysics Data System (ADS)

    Zhang, Sichao; Chen, Yifang

    2015-11-01

    The bright and iridescent blue color from Morpho butterfly wings has attracted worldwide attentions to explore its mysterious nature for long time. Although the physics of structural color by the nanophotonic structures built on the wing scales has been well established, replications of the wing structure by standard top-down lithography still remains a challenge. This paper reports a technical breakthrough to mimic the blue color of Morpho butterfly wings, by developing a novel nanofabrication process, based on electron beam lithography combined with alternate PMMA/LOR development/dissolution, for photonic structures with aligned lamellae multilayers in colorless polymers. The relationship between the coloration and geometric dimensions as well as shapes is systematically analyzed by solving Maxwell’s Equations with a finite domain time difference simulator. Careful characterization of the mimicked blue by spectral measurements under both normal and oblique angles are carried out. Structural color in blue reflected by the fabricated wing scales, is demonstrated and further extended to green as an application exercise of the new technique. The effects of the regularity in the replicas on coloration are analyzed. In principle, this approach establishes a starting point for mimicking structural colors beyond the blue in Morpho butterfly wings.

  7. Optimizing laser produced plasmas for efficient extreme ultraviolet and soft X-ray light sources

    NASA Astrophysics Data System (ADS)

    Sizyuk, Tatyana; Hassanein, Ahmed

    2014-08-01

    Photon sources produced by laser beams with moderate laser intensities, up to 1014 W/cm2, are being developed for many industrial applications. The performance requirements for high volume manufacture devices necessitate extensive experimental research supported by theoretical plasma analysis and modeling predictions. We simulated laser produced plasma sources currently being developed for several applications such as extreme ultraviolet lithography using 13.5% ± 1% nm bandwidth, possibly beyond extreme ultraviolet lithography using 6.× nm wavelengths, and water-window microscopy utilizing 2.48 nm (La-α) and 2.88 nm (He-α) emission. We comprehensively modeled plasma evolution from solid/liquid tin, gadolinium, and nitrogen targets as three promising materials for the above described sources, respectively. Results of our analysis for plasma characteristics during the entire course of plasma evolution showed the dependence of source conversion efficiency (CE), i.e., laser energy to photons at the desired wavelength, on plasma electron density gradient. Our results showed that utilizing laser intensities which produce hotter plasma than the optimum emission temperatures allows increasing CE for all considered sources that, however, restricted by the reabsorption processes around the main emission region and this restriction is especially actual for the 6.× nm sources.

  8. Curved grating fabrication techniques for concentric-circle grating, surface-emitting semiconductor lasers

    NASA Technical Reports Server (NTRS)

    Jordan, Rebecca H.; King, Oliver; Wicks, Gary W.; Hall, Dennis G.; Anderson, Erik H.; Rooks, Michael J.

    1993-01-01

    We describe the fabrication and operational characteristics of a novel, surface-emitting semiconductor laser that makes use of a concentric-circle grating to both define its resonant cavity and to provide surface emission. A properly fabricated circular grating causes the laser to operate in radially inward- and outward-going circular waves in the waveguide, thus, introducing the circular symmetry needed for the laser to emit a beam with a circular cross-section. The basic circular-grating-resonator concept can be implemented in any materials system; an AlGaAs/GaAs graded-index, separate confinement heterostructure (GRINSCH), single-quantum-well (SQW) semiconductor laser, grown by molecular beam epitaxy (MBE), was used for the experiments discussed here. Each concentric-circle grating was fabricated on the surface of the AlGaAs/GaAs semiconductor laser. The circular pattern was first defined by electron-beam (e-beam) lithography in a layer of polymethylmethacrylate (PMMA) and subsequently etched into the semiconductor surface using chemically-assisted (chlorine) ion-beam etching (CAIBE). We consider issues that affect the fabrication and quality of the gratings. These issues include grating design requirements, data representation of the grating pattern, and e-beam scan method. We provide examples of how these techniques can be implemented and their impact on the resulting laser performance. A comparison is made of the results obtained using two fundamentally different electron-beam writing systems. Circular gratings with period lambda = 0.25 microns and overall diameters ranging from 80 microns to 500 microns were fabricated. We also report our successful demonstration of an optically pumped, concentric-circle grating, semiconductor laser that emits a beam with a far-field divergence angle that is less than one degree. The emission spectrum is quite narrow (less than 0.1 nm) and is centered at wavelength lambda = 0.8175 microns.

  9. Photonic band gap templating using optical interference lithography

    NASA Astrophysics Data System (ADS)

    Chan, Timothy Y. M.; Toader, Ovidiu; John, Sajeev

    2005-04-01

    We describe the properties of three families of inversion-symmetric, large photonic band-gap (PBG) template architectures defined by iso-intensity surfaces in four beam laser interference patterns. These templates can be fabricated by optical interference (holographic) lithography in a suitable polymer photo-resist. PBG materials can be synthesized from these templates using two stages of infiltration and inversion, first with silica and second with silicon. By considering point and space group symmetries to produce laser interference patterns with the smallest possible irreducible Brillouin zones, we obtain laser beam intensities, directions, and polarizations which generate a diamond-like (fcc) crystal, a novel body-centered cubic (bcc) architecture, and a simple-cubic (sc) structure. We obtain laser beam parameters that maximize the intensity contrasts of the interference patterns. This optimizes the robustness of the holographic lithography to inhomogeneity in the polymer photo-resist. When the optimized iso-intensity surface defines a silicon to air boundary (dielectric contrast of 11.9 to 1), the fcc, bcc, and sc crystals have PBG to center frequency ratios of 25%, 21%, and 11%, respectively. A full PBG forms for the diamond-like crystal when the refractive index contrast exceeds 1.97 to 1. We illustrate a noninversion symmetric PBG architecture that interpolates between a simple fcc structure and a diamond network structure. This crystal exhibits two distinct and complete photonic band gaps. We also describe a generalized class of tetragonal photonic crystals that interpolate between and extrapolate beyond the diamond-like crystal and the optimized bcc crystal. We demonstrate the extent to which the resulting PBG materials are robust against perturbations to the laser beam amplitudes and polarizations, and template inhomogeneity. The body centered cubic structure exhibits the maximum robustness overall.

  10. Origami Inspired Self-assembly of Patterned and Reconfigurable Particles

    PubMed Central

    Pandey, Shivendra; Gultepe, Evin; Gracias, David H.

    2013-01-01

    There are numerous techniques such as photolithography, electron-beam lithography and soft-lithography that can be used to precisely pattern two dimensional (2D) structures. These technologies are mature, offer high precision and many of them can be implemented in a high-throughput manner. We leverage the advantages of planar lithography and combine them with self-folding methods1-20 wherein physical forces derived from surface tension or residual stress, are used to curve or fold planar structures into three dimensional (3D) structures. In doing so, we make it possible to mass produce precisely patterned static and reconfigurable particles that are challenging to synthesize. In this paper, we detail visualized experimental protocols to create patterned particles, notably, (a) permanently bonded, hollow, polyhedra that self-assemble and self-seal due to the minimization of surface energy of liquefied hinges21-23 and (b) grippers that self-fold due to residual stress powered hinges24,25. The specific protocol described can be used to create particles with overall sizes ranging from the micrometer to the centimeter length scales. Further, arbitrary patterns can be defined on the surfaces of the particles of importance in colloidal science, electronics, optics and medicine. More generally, the concept of self-assembling mechanically rigid particles with self-sealing hinges is applicable, with some process modifications, to the creation of particles at even smaller, 100 nm length scales22, 26 and with a range of materials including metals21, semiconductors9 and polymers27. With respect to residual stress powered actuation of reconfigurable grasping devices, our specific protocol utilizes chromium hinges of relevance to devices with sizes ranging from 100 μm to 2.5 mm. However, more generally, the concept of such tether-free residual stress powered actuation can be used with alternate high-stress materials such as heteroepitaxially deposited semiconductor films5,7 to possibly create even smaller nanoscale grasping devices. PMID:23407436

  11. Axial energy spread measurements of an accelerated positive ion beam

    NASA Astrophysics Data System (ADS)

    Lee, Y.; Gough, R. A.; Kunkel, W. B.; Leung, K. N.; Perkins, L. T.; Pickard, D. S.; Sun, L.; Vujic, J.; Williams, M. D.; Wutte, D.; Mondelli, Alfred A.; Stengl, Gerhard

    1997-01-01

    A multicusp ion source has been designed for use in ion projection lithography. Longitudinal energy spreads of the extracted positive hydrogen ion beam have been studied using a retarding field energy analyzer. It has been found that the filament-discharge multicusp ion source can deliver a beam with an energy spread less than 3 eV which is required for the ALG-1000 machine. The multicusp ion source can also deliver the current required for the application.

  12. Condenser for ring-field deep-ultraviolet and extreme-ultraviolet lithography

    DOEpatents

    Chapman, Henry N.; Nugent, Keith A.

    2001-01-01

    A condenser for use with a ring-field deep ultraviolet or extreme ultraviolet lithography system. A condenser includes a ripple-plate mirror which is illuminated by a collimated beam at grazing incidence. The ripple plate comprises a plate mirror into which is formed a series of channels along an axis of the mirror to produce a series of concave surfaces in an undulating pattern. Light incident along the channels of the mirror is reflected onto a series of cones. The distribution of slopes on the ripple plate leads to a distribution of angles of reflection of the incident beam. This distribution has the form of an arc, with the extremes of the arc given by the greatest slope in the ripple plate. An imaging mirror focuses this distribution to a ring-field arc at the mask plane.

  13. Alternative stitching method for massively parallel e-beam lithography

    NASA Astrophysics Data System (ADS)

    Brandt, Pieter; Tranquillin, Céline; Wieland, Marco; Bayle, Sébastien; Milléquant, Matthieu; Renault, Guillaume

    2015-07-01

    In this study, a stitching method other than soft edge (SE) and smart boundary (SB) is introduced and benchmarked against SE. The method is based on locally enhanced exposure latitude without throughput cost, making use of the fact that the two beams that pass through the stitching region can deposit up to 2× the nominal dose. The method requires a complex proximity effect correction that takes a preset stitching dose profile into account. Although the principle of the presented stitching method can be multibeam (lithography) systems in general, in this study, the MAPPER FLX 1200 tool is specifically considered. For the latter tool at a metal clip at minimum half-pitch of 32 nm, the stitching method effectively mitigates beam-to-beam (B2B) position errors such that they do not induce an increase in critical dimension uniformity (CDU). In other words, the same CDU can be realized inside the stitching region as outside the stitching region. For the SE method, the CDU inside is 0.3 nm higher than outside the stitching region. A 5-nm direct overlay impact from the B2B position errors cannot be reduced by a stitching strategy.

  14. GaSb-based single-mode distributed feedback lasers for sensing (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Gupta, James A.; Bezinger, Andrew; Lapointe, Jean; Poitras, Daniel; Aers, Geof C.

    2017-02-01

    GaSb-based tunable single-mode diode lasers can enable rapid, highly-selective and highly-sensitive absorption spectroscopy systems for gas sensing. In this work, single-mode distributed feedback (DFB) laser diodes were developed for the detection of various trace gases in the 2-3.3um range, including CO2, CO, HF, H2S, H2O and CH4. The lasers were fabricated using an index-coupled grating process without epitaxial regrowth, making the process significantly less expensive than conventional DFB fabrication. The devices are based on InGaAsSb/AlGaAsSb separate confinement heterostructures grown on GaSb by molecular beam epitaxy. DFB lasers were produced using a two step etch process. Narrow ridge waveguides were first defined by optical lithography and etched into the semiconductor. Lateral gratings were then defined on both sides of the ridge using electron-beam lithography and etched to produce the index-grating. Effective index modeling was used to optimize the ridge width, etch depths and the grating pitch to ensure single-lateral-mode operation and adequate coupling strength. The effective index method was further used to simulate the DFB laser emission spectrum, based on a transfer matrix model for light transmission through the periodic structure. The fabricated lasers exhibit single-mode operation which is tunable through the absorption features of the various target gases by adjustment of the drive current. In addition to the established open-path sensing applications, these devices have great potential for optoelectronic integrated gas sensors, making use of integrated photodetectors and possibly on-chip Si photonics waveguide structures.

  15. Fabrication of Pt nanowires with a diffraction-unlimited feature size by high-threshold lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, Li, E-mail: lil@cust.edu.cn, E-mail: wangz@cust.edu.cn, E-mail: kq-peng@bnu.edu.cn; Zhang, Ziang; Yu, Miao

    2015-09-28

    Although the nanoscale world can already be observed at a diffraction-unlimited resolution using far-field optical microscopy, to make the step from microscopy to lithography still requires a suitable photoresist material system. In this letter, we consider the threshold to be a region with a width characterized by the extreme feature size obtained using a Gaussian beam spot. By narrowing such a region through improvement of the threshold sensitization to intensity in a high-threshold material system, the minimal feature size becomes smaller. By using platinum as the negative photoresist, we demonstrate that high-threshold lithography can be used to fabricate nanowire arraysmore » with a scalable resolution along the axial direction of the linewidth from the micro- to the nanoscale using a nanosecond-pulsed laser source with a wavelength λ{sub 0} = 1064 nm. The minimal feature size is only several nanometers (sub λ{sub 0}/100). Compared with conventional polymer resist lithography, the advantages of high-threshold lithography are sharper pinpoints of laser intensity triggering the threshold response and also higher robustness allowing for large area exposure by a less-expensive nanosecond-pulsed laser.« less

  16. CRionScan: A stand-alone real time controller designed to perform ion beam imaging, dose controlled irradiation and proton beam writing

    NASA Astrophysics Data System (ADS)

    Daudin, L.; Barberet, Ph.; Serani, L.; Moretto, Ph.

    2013-07-01

    High resolution ion microbeams, usually used to perform elemental mapping, low dose targeted irradiation or ion beam lithography needs a very flexible beam control system. For this purpose, we have developed a dedicated system (called “CRionScan”), on the AIFIRA facility (Applications Interdisciplinaires des Faisceaux d'Ions en Région Aquitaine). It consists of a stand-alone real-time scanning and imaging instrument based on a Compact Reconfigurable Input/Output (Compact RIO) device from National Instruments™. It is based on a real-time controller, a Field Programmable Gate Array (FPGA), input/output modules and Ethernet connectivity. We have implemented a fast and deterministic beam scanning system interfaced with our commercial data acquisition system without any hardware development. CRionScan is built under LabVIEW™ and has been used on AIFIRA's nanobeam line since 2009 (Barberet et al., 2009, 2011) [1,2]. A Graphical User Interface (GUI) embedded in the Compact RIO as a web page is used to control the scanning parameters. In addition, a fast electrostatic beam blanking trigger has been included in the FPGA and high speed counters (15 MHz) have been implemented to perform dose controlled irradiation and on-line images on the GUI. Analog to Digital converters are used for the beam current measurement and in the near future for secondary electrons imaging. Other functionalities have been integrated in this controller like LED lighting using Pulse Width Modulation and a “NIM Wilkinson ADC” data acquisition.

  17. Fabrication of resistively-coupled single-electron device using an array of gold nanoparticles

    NASA Astrophysics Data System (ADS)

    Huong, Tran Thi Thu; Matsumoto, Kazuhiko; Moriya, Masataka; Shimada, Hiroshi; Kimura, Yasuo; Hirano-Iwata, Ayumi; Mizugaki, Yoshinao

    2017-08-01

    We demonstrated one type of single-electron device that exhibited electrical characteristics similar to those of resistively-coupled SE transistor (R-SET) at 77 K and room temperature (287 K). Three Au electrodes on an oxidized Si chip served as drain, source, and gate electrodes were formed using electron-beam lithography and evaporation techniques. A narrow (70-nm-wide) gate electrode was patterned using thermal evaporation, whereas wide (800-nm-wide) drain and source electrodes were made using shadow evaporation. Subsequently, aqueous solution of citric acid and 15-nm-diameter gold nanoparticles (Au NPs) and toluene solution of 3-nm-diameter Au NPs chemisorbed via decanethiol were dropped on the chip to make the connections between the electrodes. Current-voltage characteristics between the drain and source electrodes exhibited Coulomb blockade (CB) at both 77 and 287 K. Dependence of the CB region on the gate voltage was similar to that of an R-SET. Simulation results of the model based on the scanning electron microscopy image of the device could reproduce the characteristics like the R-SET.

  18. Multiresonant layered plasmonic films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    DeVetter, Brent M.; Bernacki, Bruce E.; Bennett, Wendy D.

    Multi-resonant nanoplasmonic films have numerous applications in areas such as nonlinear optics, sensing, and tamper indication. While techniques such as focused ion beam milling and electron beam lithography can produce high-quality multi-resonant films, these techniques are expensive, serial processes that are difficult to scale at the manufacturing level. Here, we present the fabrication of multi-resonant nanoplasmonic films using a layered stacking technique. Periodically-spaced gold nanocup substrates were fabricated using self-assembled polystyrene nanospheres followed by oxygen plasma etching and metal deposition via magnetron sputter coating. By adjusting etch parameters and initial nanosphere size, it was possible to achieve an optical responsemore » ranging from the visible to the near-infrared. Singly resonant, flexible films were first made by performing peel-off using an adhesive-coated polyolefin film. Through stacking layers of the nanofilm, we demonstrate fabrication of multi-resonant films at a fraction of the cost and effort as compared to top-down lithographic techniques.« less

  19. Nanobits, Nembranes and Micro Four-Point Probes: Customizable Tools for insitu Manipulation and Characterisation of Nanostructures

    NASA Astrophysics Data System (ADS)

    Boggild, Peter; Hjorth Petersen, Dirch; Sardan Sukas, Ozlem; Dam, Henrik Friis; Lei, Anders; Booth, Timothy; Molhave, Kristian; Eicchorn, Volkmar

    2010-03-01

    We present a range of highly adaptable microtools for direct interaction with nanoscale structures; (i) semiautomatic pick-and-place assembly of multiwalled carbon nanotubes onto cantilevers for high-aspect ratio scanning probe microscopy, using electrothermal microgrippers inside a SEM. Topology optimisation was used to calculate the optimal gripper shape defined by the boundary conditions, resulting in 10-100 times better performance. By instead pre-defining detachable tips using electron beam lithography, free-form scanning probe tips (Nanobits) can be mounted in virtually any position on a cantilever; (ii) scanning micro four point probes allow fast, non- destructive mapping of local electrical properties (sheet resistance and Hall mobility) and hysteresis effects of graphene sheets; (iii) sub 100 nm freestanding devices with wires, heaters, actuators, sensors, resonators and probes were defined in a 100 nm thin membrane with focused ion beam milling. By patterning generic membrane templates (Nembranes) the fabrication time of a TEM compatible NEMS device is effectively reduced to less around 20 minutes.

  20. Data sharing system for lithography APC

    NASA Astrophysics Data System (ADS)

    Kawamura, Eiichi; Teranishi, Yoshiharu; Shimabara, Masanori

    2007-03-01

    We have developed a simple and cost-effective data sharing system between fabs for lithography advanced process control (APC). Lithography APC requires process flow, inter-layer information, history information, mask information and so on. So, inter-APC data sharing system has become necessary when lots are to be processed in multiple fabs (usually two fabs). The development cost and maintenance cost also have to be taken into account. The system handles minimum information necessary to make trend prediction for the lots. Three types of data have to be shared for precise trend prediction. First one is device information of the lots, e.g., process flow of the device and inter-layer information. Second one is mask information from mask suppliers, e.g., pattern characteristics and pattern widths. Last one is history data of the lots. Device information is electronic file and easy to handle. The electronic file is common between APCs and uploaded into the database. As for mask information sharing, mask information described in common format is obtained via Wide Area Network (WAN) from mask-vender will be stored in the mask-information data server. This information is periodically transferred to one specific lithography-APC server and compiled into the database. This lithography-APC server periodically delivers the mask-information to every other lithography-APC server. Process-history data sharing system mainly consists of function of delivering process-history data. In shipping production lots to another fab, the product-related process-history data is delivered by the lithography-APC server from the shipping site. We have confirmed the function and effectiveness of data sharing systems.

  1. State-of-the-art EUV materials and processes for the 7nm node and beyond

    NASA Astrophysics Data System (ADS)

    Buitrago, Elizabeth; Meeuwissen, Marieke; Yildirim, Oktay; Custers, Rolf; Hoefnagels, Rik; Rispens, Gijsbert; Vockenhuber, Michaela; Mochi, Iacopo; Fallica, Roberto; Tasdemir, Zuhal; Ekinci, Yasin

    2017-03-01

    Extreme ultraviolet lithography (EUVL, λ = 13.5 nm) being the most likely candidate to manufacture electronic devices for future technology nodes is to be introduced in high volume manufacturing (HVM) at the 7 nm logic node, at least at critical lithography levels. With this impending introduction, it is clear that excellent resist performance at ultra-high printing resolutions (below 20 nm line/space L/S) is ever more pressing. Nonetheless, EUVL has faced many technical challenges towards this paradigm shift to a new lithography wavelength platform. Since the inception of chemically amplified resists (CARs) they have been the base upon which state-of-the art photoresist technology has been developed from. Resist performance as measured in terms of printing resolution (R), line edge roughness (LER), sensitivity (D or exposure dose) and exposure latitude (EL) needs to be improved but there are well known trade-off relationships (LRS trade-off) among these parameters for CARs that hamper their simultaneous enhancement. Here, we present some of the most promising EUVL materials tested by EUV interference lithography (EUV-IL) with the aim of resolving features down to 11 nm half-pitch (HP), while focusing on resist performance at 16 and 13 nm HP as needed for the 7 and 5 nm node, respectively. EUV-IL has enabled the characterization and development of new resist materials before commercial EUV exposure tools become available and is therefore a powerful research and development tool. With EUV-IL, highresolution periodic images can be printed by the interference of two or more spatially coherent beams through a transmission-diffraction grating mask. For this reason, our experiments have been performed by EUV-IL at Swiss Light Source (SLS) synchrotron facility located at the Paul Scherrer Institute (PSI). Having the opportunity to test hundreds of EUVL materials from vendors and research partners from all over the world, PSI is able to give a global update on some of the most promising materials tested.

  2. A hybrid approach to nanoelectronics

    NASA Astrophysics Data System (ADS)

    Cerofolini, G. F.; Arena, G.; Camalleri, C. M.; Galati, C.; Reina, S.; Renna, L.; Mascolo, D.

    2005-08-01

    The definition of features on the nanometre length scale (NLS) is impossible via conventional lithography, but can be done using extreme ultraviolet, synchrotron-radiation, or electron beam lithography. However, since these techniques are very expensive and still in their infancy, their exploitation in integrated circuit (IC) processing is still highly putative. Geometries on the NLS can however be produced with relative ease using the spacer patterning technique, i.e. transforming vertical features (like film thickness) in the vicinity of a step of a sacrificial layer into horizontal features. The ultimate length that can be produced in this way is controlled by the steepness of the step defining the sacrificial layer, the uniformity of the deposited or grown films, and the anisotropy of its etching. While useful for the preparation of a few devices with special needs, the above trick does not allow by itself the development of a nanotechnology where each layer useful for defining the circuit should be on the NLS and aligned on the underlying geometries with tolerances on the NLS. Setting up such a nanotechnology is a major problem which will involve the IC industry in the post-Roadmap era. Irrespective of the detailed structure of the basic constituents (molecules, supramolecular structures, clusters, etc), ICs with nanoscopic active elements can hardly be prepared without the ability to produce arrays of conductive strips with pitch on the NLS. This work is devoted to describing a scheme (essentially based on the existing microelectronic technology) for their production without the use of advanced lithography and how it can be arranged to host molecular devices.

  3. Diffraction limited focusing and routing of gap plasmons by a metal-dielectric-metal lens

    DOE PAGES

    Dennis, Brian S.; Czaplewski, David A.; Haftel, Michael I.; ...

    2015-08-12

    Passive optical elements can play key roles in photonic applications such as plasmonic integrated circuits. Here we experimentally demonstrate passive gap-plasmon focusing and routing in two-dimensions. This is accomplished using a high numerical-aperture metal-dielectric-metal lens incorporated into a planar-waveguide device. Fabrication via metal sputtering, oxide deposition, electron- and focused-ion- beam lithography, and argon ion-milling is reported on in detail. Diffraction-limited focusing is optically characterized by sampling out-coupled light with a microscope. The measured focal distance and full-width-half-maximum spot size agree well with the calculated lens performance. The surface plasmon polariton propagation length is measured by sampling light from multiple out-couplermore » slits.« less

  4. Electromagnetic energy vortex associated with sub-wavelength plasmonic Taiji marks.

    PubMed

    Chen, Wei Ting; Wu, Pin Chieh; Chen, Chen Jung; Chung, Hung-Yi; Chau, Yuan-Fong; Kuan, Chieh-Hsiung; Tsai, Din Ping

    2010-09-13

    The Taiji symbol is a very old schematic representation of two opposing but complementary patterns in oriental civilization. Using electron beam lithography, we fabricated an array of 70 × 70 gold Taiji marks with 30 nm thickness and a total area of 50 × 50 µm(2) on a fused silica substrate. The diameter of each Taiji mark is 500 nm, while the period of the array is 700 nm. Here we present experimental as well as numerical simulation results pertaining to plasmonic resonances of several Taiji nano-structures under normal illumination. We have identified a Taiji structure with a particularly interesting vortex-like Poynting vector profile, which could be attributed to the special shape and dimensions of the Taiji symbol.

  5. Tuning the interaction between propagating and localized surface plasmons for surface enhanced Raman scattering in water for biomedical and environmental applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shioi, Masahiko, E-mail: shioi.masahiko@jp.panasonic.com; Department of Electric and Electronic Engineering, Graduate School of Engineering, Kobe University, Rokkodai, Nada, Kobe 657-8501; Jans, Hilde

    With a view to biomedical and environmental applications, we investigate the plasmonic properties of a rectangular gold nanodisk array in water to boost surface enhanced Raman scattering (SERS) effects. To control the resonance wavelengths of the surface plasmon polariton and the localized surface plasmon, their dependence on the array period and diameter in water is studied in detail using a finite difference time domain method. A good agreement is obtained between calculated resonant wavelengths and those of gold nanodisk arrays fabricated using electron beam lithography. For the optimized structure, a SERS enhancement factor of 7.8 × 10{sup 7} is achieved in watermore » experimentally.« less

  6. Polymer photonic crystal slab waveguides

    NASA Astrophysics Data System (ADS)

    Liguda, C.; Böttger, G.; Kuligk, A.; Blum, R.; Eich, M.; Roth, H.; Kunert, J.; Morgenroth, W.; Elsner, H.; Meyer, H. G.

    2001-04-01

    We present details of the fabrication, calculations, and transmission measurements for finite two-dimensional (2D) polymer photonic crystal (PC) slab waveguides, which were fabricated from a benzocyclobutene polymer on a low refractive index substrate from Teflon. A square air hole lattice (500 nm lattice constant, 300 nm hole diameter) was realized by electron beam lithography and reactive ion etching. Polarization and wavelength dependent transmission results show TE-like and TM-like stop gaps at 1.3 μm excitation wavelengths and are in good agreement with the calculated data obtained by 2D and three-dimensional finite difference time domain methods. Transmission was suppressed by 15 dB in the center of the TE-like stop gap for a PC length of ten lattice constants.

  7. Mastering multi-depth bio-chip patterns with DVD LBRs

    NASA Astrophysics Data System (ADS)

    Carson, Doug

    2017-08-01

    Bio chip and bio disc are rapidly growing technologies used in medical, health and other industries. While there are numerous unique designs and features, these products all rely on precise three-dimensional micro-fluidic channels or arrays to move, separate and combine samples under test. These bio chip and bio disc consumables are typically manufactured by molding these parts to a precise three-dimensional pattern on a negative metal stamper, or they can be made in smaller quantities using an appropriate curable resin and a negative mold/stamper. Stampers required for bio chips have been traditionally made using either micro machining or XY stepping lithography. Both of these technologies have their advantages as well as limitations when it comes to creating micro-fluidic patterns. Significant breakthroughs in continuous maskless lithography have enabled accurate and efficient manufacturing of micro-fluidic masters using LBRs (Laser Beam Recorders) and DRIE (Deep Reactive Ion Etching). The important advantages of LBR continuous lithography vs. XY stepping lithography and micro machining are speed and cost. LBR based continuous lithography is >100x faster than XY stepping lithography and more accurate than micro machining. Several innovations were required in order to create multi-depth patterns with sub micron accuracy. By combining proven industrial LBRs with DCA's G3-VIA pattern generator and DRIE, three-dimensional bio chip masters and stampers are being manufactured efficiently and accurately.

  8. Focused Ion Beam Fabrication of Graded Channel Field Effect Transistors (FETs) in GaAs and Si

    DTIC Science & Technology

    1988-11-21

    is used even though the cut may need to be - I-am wide. Since theL ± ne REMOVAL etch time varies as the inverse square of the beam diameter , a ROF...at room temperature a fairly large diameter capillary 1.4-mm and ion induced deposition or etching , the focused ion beam inner diameter was used . For...Pd/B/As/P (alloy sources) Main - micromachining - implantation uses - ion induced deposition - lithography and etching - high resolution SIMS

  9. High-energy radiation and polymers: A review of commercial processes and emerging applications

    NASA Astrophysics Data System (ADS)

    Clough, R. L.

    2001-12-01

    Ionizing radiation has been found to be widely applicable in modifying the structure and properties of polymers, and can be used to tailor the performance of either bulk materials or surfaces. Fifty years of research in polymer radiation chemistry has led to numerous applications of commercial and economic importance, and work remains active in the application of radiation to practical uses involving polymeric materials. This paper provides a survey of radiation-processing methods of industrial interest, ranging from technologies already commercially well established, through innovations in the active R&D stage which show exceptional promise for future commercial use. Radiation-processing technologies are discussed under the following categories: cross-linking of plastics and rubbers, curing of coatings and inks, heat-shrink products, fiber-matrix composites, chain-scission for processing control, surface modification, grafting, hydrogels, sterilization, natural product enhancement, plastics recycling, ceramic precursors, electronic property materials, ion-track membranes and lithography for microdevice production. In addition to new technological innovations utilizing conventional gamma and e-beam sources, a number of promising new applications make use of novel radiation types which include ion beams (heavy ions, light ions, highly focused microscopic beams and high-intensity pulses), soft X-rays which are focused, coherent X-rays (from a synchrotron) and e-beams which undergo scattering to generate patterns.

  10. Progress in mask replication using jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Selinidis, Kosta S.; Brooks, Cynthia B.; Doyle, Gary F.; Brown, Laura; Jones, Chris; Imhof, Joseph; LaBrake, Dwayne L.; Resnick, Douglas J.; Sreenivasan, S. V.

    2011-04-01

    The Jet and Flash Imprint Lithography (J-FILTM) process uses drop dispensing of UV curable resists to assist high resolution patterning for subsequent dry etch pattern transfer. The technology is actively being used to develop solutions for memory markets including Flash memory and patterned media for hard disk drives. It is anticipated that the lifetime of a single template (for patterned media) or mask (for semiconductor) will be on the order of 104 - 105imprints. This suggests that tens of thousands of templates/masks will be required to satisfy the needs of a manufacturing environment. Electron-beam patterning is too slow to feasibly deliver these volumes, but instead can provide a high quality "master" mask which can be replicated many times with an imprint lithography tool. This strategy has the capability to produce the required supply of "working" templates/masks. In this paper, we review the development of the mask form factor, imprint replication tools and processes specifically for semiconductor applications. The requirements needed for semiconductors dictate the need for a well defined form factor for both master and replica masks which is also compatible with the existing mask infrastructure established for the 6025 semi standard, 6" x 6" x 0.25" photomasks. Complying with this standard provides the necessary tooling needed for mask fabrication processes, cleaning, metrology, and inspection. The replica form factor has additional features specific to imprinting such as a pre-patterned mesa. A PerfectaTM MR5000 mask replication tool has been developed specifically to pattern replica masks from an e-beam written master. The system specifications include a throughput of four replicas per hour with an added image placement component of 5nm, 3sigma and a critical dimension uniformity error of less than 1nm, 3sigma. A new process has been developed to fabricate replicas with high contrast alignment marks so that designs for imprint can fit within current device layouts and maximize the usable printed area on the wafer. Initial performance results of this marks are comparable to the baseline fused silica align marks.

  11. Influence of substrates and rutile seed layers on the assembly of hydrothermally grown rutile TiO2 nanorod arrays

    NASA Astrophysics Data System (ADS)

    Kalb, Julian; Dorman, James A.; Folger, Alena; Gerigk, Melanie; Knittel, Vanessa; Plüisch, Claudia S.; Trepka, Bastian; Lehr, Daniela; Chua, Emily; Goodge, Berit H.; Wittemann, Alexander; Scheu, Christina; Polarz, Sebastian; Schmidt-Mende, Lukas

    2018-07-01

    Rutile TiO2 nanorod arrays (NRAs) are applicable in various prospective technologies. Hydrothermal methods present a simple technique to fabricate such NRAs. In this report, we present the fabrication of seed layers for the hydrothermal growth of rutile TiO2 nanorods via sputter deposition, electron-beam evaporation, and sol-gel method and study the influence of each on the growth behavior. To satisfy the requirements of numerous applications, p-type silicon, platinum, levitating carbon membranes, a template made of polystyrene spheres, and commercial fluorine tin oxide (FTO) were employed as substrates. We document the structural properties of the TiO2 seed layers and describe the relationship between the characteristics of the seed crystals, the growth evolution, and the appearance of as-grown nanorods. Various growth stages of rutile TiO2 nanorods are compared depending on whether they are grown on polycrystalline TiO2 or FTO seed layers. In both cases, a homogenous TiO2 bottom layer is formed at the seed layer/substrate interface, which is essential for electronic applications such as hybrid solar cells. Detached NRAs illustrate the effect of rutile FTO and TiO2 on the porosity of this bottom layer. Further details about the formation process of this layer are obtained from the growth on confined seed layers fabricated by electron-beam lithography.

  12. Potential of e-beam writing for diffractive optics

    NASA Astrophysics Data System (ADS)

    Kley, Ernst-Bernhard; Wyrowski, Frank

    1997-05-01

    E-beam lithography (EBL) is a powerful tool in optics. Optician can use the progress in EBL to fabricate optical components and systems with novel functions. However, EBL is dominated by microelectronics. Therefore the demands of optics are not always met by the exiting EBL technology. Some possibilities as well as limits of EBL in optics are discussed at the example of diffractive optics.

  13. Silicon patterning using ion blistering and e-beam lithography

    NASA Astrophysics Data System (ADS)

    Giguere, A.; Terreault, B.; Beerens, J.; Aimez, V.; Beauvais, J.

    2004-03-01

    We explore the limits of silicon patterning using ion blistering in conjunction with e-beam lithography. In a first approach, we implanted 3.5E16 H/cm**2 at 5 keV through variable width (0.1-10 micron) e-beam written PMMA masks. The resist was then removed and the samples were rapid-thermal-annealed (RTA) up to 650 °C. In the wider trenches, round blisters with 800-900 nm diameter and 15 nm height and a few exfoliations are observed, which are similar to those observed on an unmasked surface. In submicron trenches (500-1000 nm), there is a transition in morphology created by the proximity to the border; the blisters are smaller and they are densely aligned along the trench direction ("pearl-string" pattern). No effect is observed in the lowest dimension trenches. The results are discussed in terms of stress/strain fields, defect configuration, and mask shadowing and charging effects. Ultimate pattern resolution will be limited by lateral straggling of the ions in and by the mechanics of lateral crack propagation.

  14. Controlled deterministic implantation by nanostencil lithography at the limit of ion-aperture straggling

    NASA Astrophysics Data System (ADS)

    Alves, A. D. C.; Newnham, J.; van Donkelaar, J. A.; Rubanov, S.; McCallum, J. C.; Jamieson, D. N.

    2013-04-01

    Solid state electronic devices fabricated in silicon employ many ion implantation steps in their fabrication. In nanoscale devices deterministic implants of dopant atoms with high spatial precision will be needed to overcome problems with statistical variations in device characteristics and to open new functionalities based on controlled quantum states of single atoms. However, to deterministically place a dopant atom with the required precision is a significant technological challenge. Here we address this challenge with a strategy based on stepped nanostencil lithography for the construction of arrays of single implanted atoms. We address the limit on spatial precision imposed by ion straggling in the nanostencil—fabricated with the readily available focused ion beam milling technique followed by Pt deposition. Two nanostencils have been fabricated; a 60 nm wide aperture in a 3 μm thick Si cantilever and a 30 nm wide aperture in a 200 nm thick Si3N4 membrane. The 30 nm wide aperture demonstrates the fabricating process for sub-50 nm apertures while the 60 nm aperture was characterized with 500 keV He+ ion forward scattering to measure the effect of ion straggling in the collimator and deduce a model for its internal structure using the GEANT4 ion transport code. This model is then applied to simulate collimation of a 14 keV P+ ion beam in a 200 nm thick Si3N4 membrane nanostencil suitable for the implantation of donors in silicon. We simulate collimating apertures with widths in the range of 10-50 nm because we expect the onset of J-coupling in a device with 30 nm donor spacing. We find that straggling in the nanostencil produces mis-located implanted ions with a probability between 0.001 and 0.08 depending on the internal collimator profile and the alignment with the beam direction. This result is favourable for the rapid prototyping of a proof-of-principle device containing multiple deterministically implanted dopants.

  15. Progress in coherent lithography using table-top extreme ultraviolet lasers

    NASA Astrophysics Data System (ADS)

    Li, Wei

    Nanotechnology has drawn a wide variety of attention as interesting phenomena occurs when the dimension of the structures is in the nanometer scale. The particular characteristics of nanoscale structures had enabled new applications in different fields in science and technology. Our capability to fabricate these nanostructures routinely for sure will impact the advancement of nanoscience. Apart from the high volume manufacturing in semiconductor industry, a small-scale but reliable nanofabrication tool can dramatically help the research in the field of nanotechnology. This dissertation describes alternative extreme ultraviolet (EUV) lithography techniques which combine table-top EUV laser and various cost-effective imaging strategies. For each technique, numerical simulations, system design, experiment result and its analysis will be presented. In chapter II, a brief review of the main characteristics of table-top EUV lasers will be addressed concentrating on its high power and large coherence radius that enable the lithography application described herein. The development of a Talbot EUV lithography system which is capable of printing 50nm half pitch nanopatterns will be illustrated in chapter III. A detailed discussion of its resolution limit will be presented followed by the development of X-Y-Z positioning stage, the fabrication protocol for diffractive EUV mask, and the pattern transfer using self- developed ion beam etching, and the dose control unit. In addition, this dissertation demonstrated the capability to fabricate functional periodic nanostructures using Talbot EUV lithography. After that, resolution enhancement techniques like multiple exposure, displacement Talbot EUV lithography, fractional Talbot EUV lithography, and Talbot lithography using 18.9nm amplified spontaneous emission laser will be demonstrated. Chapter IV will describe a hybrid EUV lithography which combines the Talbot imaging and interference lithography rendering a high resolution interference pattern whose lattice is modified by a custom designed Talbot mask. In other words, this method enables filling the arbitrary Talbot cell with ultra-fine interference nanofeatures. Detailed optics modeling, system design and experiment results using He-Ne laser and table top EUV laser are included. The last part of chapter IV will analyze its exclusive advantages over traditional Talbot or interference lithography.

  16. Distortion of 3D SU8 photonic structures fabricated by four-beam holographic lithography withumbrella configuration.

    PubMed

    Zhu, Xuelian; Xu, Yongan; Yang, Shu

    2007-12-10

    We present a quantitative study of the distortion from a threeterm diamond-like structure fabricated in SU8 polymer by four-beam holographic lithography. In the study of the refraction effect, theory suggests that the lattice in SU8 should be elongated in the [111] direction but have no distortion in the (111) plane, and each triangular-like hole array in the (111) plane would rotate by ~30 degrees away from that in air. Our experiments agree with the prediction on the periodicity in the (111) plane and the rotation due to refraction effect, however, we find that the film shrinkage during lithographic process has nearly compensated the predicted elongation in the [111] direction. In study of photonic bandgap (PBG) properties of silicon photonic crystals templated by the SU8 structure, we find that the distortion has decreased quality of PBG.

  17. Condenser for ring-field deep ultraviolet and extreme ultraviolet lithography

    DOEpatents

    Chapman, Henry N.; Nugent, Keith A.

    2002-01-01

    A condenser for use with a ring-field deep ultraviolet or extreme ultraviolet lithography system. A condenser includes a ripple-plate mirror which is illuminated by a collimated or converging beam at grazing incidence. The ripple plate comprises a flat or curved plate mirror into which is formed a series of channels along an axis of the mirror to produce a series of concave surfaces in an undulating pattern. Light incident along the channels of the mirror is reflected onto a series of cones. The distribution of slopes on the ripple plate leads to a distribution of angles of reflection of the incident beam. This distribution has the form of an arc, with the extremes of the arc given by the greatest slope in the ripple plate. An imaging mirror focuses this distribution to a ring-field arc at the mask plane.

  18. Optical bandgap modelling from the structural arrangement of carbon nanotubes.

    PubMed

    Butler, Timothy P; Rashid, Ijaz; Montelongo, Yunuen; Amaratunga, Gehan A J; Butt, Haider

    2018-06-14

    The optical bandgap properties of vertically-aligned carbon nanotube (VACNT) arrays were probed through their interaction with white light, with the light reflected from the rotating arrays measured with a spectrometer. The precise deterministic control over the structure of vertically-aligned carbon nanotube arrays through electron beam lithography and well-controlled growth conditions brings with it the ability to produce exotic photonic crystals over a relatively large area. The characterisation of the behaviour of these materials in the presence of light is a necessary first step toward application. Relatively large area array structures of high-quality VACNTs were fabricated in square, hexagonal, circular and pseudorandom patterned arrays with length scales on the order of those of visible light for the purpose of investigating how they may be used to manipulate an impinging light beam. In order to investigate the optical properties of these arrays a set of measurement apparatus was designed which allowed the accurate measurement of their optical bandgap characteristics. The patterned samples were rotated under the illuminating white light beam, revealing interesting optical bandgap results caused by the changing patterns and relative positions of the scattering elements (VACNTs).

  19. A new fabrication technique for complex refractive micro-optical systems

    NASA Astrophysics Data System (ADS)

    Tormen, Massimo; Carpentiero, Alessandro; Ferrari, Enrico; Cabrini, Stefano; Cojoc, Dan; Di Fabrizio, Enzo

    2006-01-01

    We present a new method that allows to fabricate structures with tightly controlled three-dimensional profiles in the 10 nm to 100 μm scale range. This consists of a sequence of lithographic steps such as Electron Beam (EB) or Focused Ion Beam (FIB) lithography, alternated with isotropic wet etching processes performed on a quartz substrate. Morphological characterization by SEM and AFM shows that 3D structures with very accurate shape control and nanometer scale surface roughness can be realized. Quartz templates have been employed as complex system of micromirrors after metal coating of the patterned surface or used as stamps in nanoimprint, hot embossing or casting processes to shape complex plastic elements. Compared to other 3D micro and nanostructuring methods, in which a hard material is directly "sculptured" by energetic beams, our technique requires a much less intensive use of expensive lithographic equipments, for comparable volumes of structured material, resulting in dramatic increase of throughput. Refractive micro-optical elements have been fabricated and characterized in transmission and reflection modes with white and monochromatic light. The elements produce a distribution of sharp focal spots and lines in the three dimensional space, opening the route for applications of image reconstruction based on refractive optics.

  20. Maskless, reticle-free, lithography

    DOEpatents

    Ceglio, N.M.; Markle, D.A.

    1997-11-25

    A lithography system in which the mask or reticle, which usually carries the pattern to be printed onto a substrate, is replaced by a programmable array of binary (i.e. on/off) light valves or switches which can be programmed to replicate a portion of the pattern each time an illuminating light source is flashed. The pattern of light produced by the programmable array is imaged onto a lithographic substrate which is mounted on a scanning stage as is common in optical lithography. The stage motion and the pattern of light displayed by the programmable array are precisely synchronized with the flashing illumination system so that each flash accurately positions the image of the pattern on the substrate. This is achieved by advancing the pattern held in the programmable array by an amount which corresponds to the travel of the substrate stage each time the light source flashes. In this manner the image is built up of multiple flashes and an isolated defect in the array will only have a small effect on the printed pattern. The method includes projection lithographies using radiation other than optical or ultraviolet light. The programmable array of binary switches would be used to control extreme ultraviolet (EUV), x-ray, or electron, illumination systems, obviating the need for stable, defect free masks for projection EUV, x-ray, or electron, lithographies. 7 figs.

  1. Maskless, reticle-free, lithography

    DOEpatents

    Ceglio, Natale M.; Markle, David A.

    1997-11-25

    A lithography system in which the mask or reticle, which usually carries the pattern to be printed onto a substrate, is replaced by a programmable array of binary (i.e. on/off) light valves or switches which can be programmed to replicate a portion of the pattern each time an illuminating light source is flashed. The pattern of light produced by the programmable array is imaged onto a lithographic substrate which is mounted on a scanning stage as is common in optical lithography. The stage motion and the pattern of light displayed by the programmable array are precisely synchronized with the flashing illumination system so that each flash accurately positions the image of the pattern on the substrate. This is achieved by advancing the pattern held in the programmable array by an amount which corresponds to the travel of the substrate stage each time the light source flashes. In this manner the image is built up of multiple flashes and an isolated defect in the array will only have a small effect on the printed pattern. The method includes projection lithographies using radiation other than optical or ultraviolet light. The programmable array of binary switches would be used to control extreme ultraviolet (EUV), x-ray, or electron, illumination systems, obviating the need for stable, defect free masks for projection EUV, x-ray, or electron, lithographies.

  2. Inverse Tomo-Lithography for Making Microscopic 3D Parts

    NASA Technical Reports Server (NTRS)

    White, Victor; Wiberg, Dean

    2003-01-01

    According to a proposal, basic x-ray lithography would be extended to incorporate a technique, called inverse tomography, that would enable the fabrication of microscopic three-dimensional (3D) objects. The proposed inverse tomo-lithographic process would make it possible to produce complex shaped, submillimeter-sized parts that would be difficult or impossible to make in any other way. Examples of such shapes or parts include tapered helices, paraboloids with axes of different lengths, and even Archimedean screws that could serve as rotors in microturbines. The proposed inverse tomo-lithographic process would be based partly on a prior microfabrication process known by the German acronym LIGA (lithographie, galvanoformung, abformung, which means lithography, electroforming, molding). In LIGA, one generates a precise, high-aspect ratio pattern by exposing a thick, x-ray-sensitive resist material to an x-ray beam through a mask that contains the pattern. One can electrodeposit metal into the developed resist pattern to form a precise metal part, then dissolve the resist to free the metal. Aspect ratios of 100:1 and patterns into resist thicknesses of several millimeters are possible.

  3. Triple/quadruple patterning layout decomposition via linear programming and iterative rounding

    NASA Astrophysics Data System (ADS)

    Lin, Yibo; Xu, Xiaoqing; Yu, Bei; Baldick, Ross; Pan, David Z.

    2017-04-01

    As the feature size of the semiconductor technology scales down to 10 nm and beyond, multiple patterning lithography (MPL) has become one of the most practical candidates for lithography, along with other emerging technologies, such as extreme ultraviolet lithography (EUVL), e-beam lithography (EBL), and directed self-assembly. Due to the delay of EUVL and EBL, triple and even quadruple patterning is considered to be used for lower metal and contact layers with tight pitches. In the process of MPL, layout decomposition is the key design stage, where a layout is split into various parts and each part is manufactured through a separate mask. For metal layers, stitching may be allowed to resolve conflicts, whereas it is forbidden for contact and via layers. We focus on the application of layout decomposition where stitching is not allowed, such as for contact and via layers. We propose a linear programming (LP) and iterative rounding solving technique to reduce the number of nonintegers in the LP relaxation problem. Experimental results show that the proposed algorithms can provide high quality decomposition solutions efficiently while introducing as few conflicts as possible.

  4. Nearly amorphous Mo-N gratings for ultimate resolution in extreme ultraviolet interference lithography

    NASA Astrophysics Data System (ADS)

    Wang, L.; Kirk, E.; Wäckerlin, C.; Schneider, C. W.; Hojeij, M.; Gobrecht, J.; Ekinci, Y.

    2014-06-01

    We present fabrication and characterization of high-resolution and nearly amorphous Mo1 - xNx transmission gratings and their use as masks for extreme ultraviolet (EUV) interference lithography. During sputter deposition of Mo, nitrogen is incorporated into the film by addition of N2 to the Ar sputter gas, leading to suppression of Mo grain growth and resulting in smooth and homogeneous thin films with a negligible grain size. The obtained Mo0.8N0.2 thin films, as determined by x-ray photoelectron spectroscopy, are characterized to be nearly amorphous using x-ray diffraction. We demonstrate a greatly reduced Mo0.8N0.2 grating line edge roughness compared with pure Mo grating structures after e-beam lithography and plasma dry etching. The amorphous Mo0.8N0.2 thin films retain, to a large extent, the benefits of Mo as a phase grating material for EUV wavelengths, providing great advantages for fabrication of highly efficient diffraction gratings with extremely low roughness. Using these grating masks, well-resolved dense lines down to 8 nm half-pitch are fabricated with EUV interference lithography.

  5. SOR Lithography in West Germany

    NASA Astrophysics Data System (ADS)

    Heuberger, Anton

    1989-08-01

    The 64 Mbit DRAM will represent the first generation of integrated circuits which cannot be produced reasonably by means of optical lithography techniques. X-ray lithography using synchrotron radiation seems to be the most promising method in overcoming the problems in the sub-0.5 micron range. The first year of production of the 64 Mbit DRAM will be 1995 or 1996. This means that X-ray lithography has to show its applicability in an industrial environment by 1992 and has to prove that the specifications of a 64 Mbit DRAM technology can actually be achieved. Part of this task is a demonstration of production suitable equipment such as the X-ray stepper, including an appropriate X-ray source and measurement and inspection tools. The most important bottlenecks on the way toward reaching these goals are linked to the 1 x scale mask technology, especially the pattern definition accuracy and zero level of printing defects down to the order of magnitude of 50 nm. Specifically, fast defect detection methods on the basis of high resolution e-beam techniques and repair methods have to be developed. The other problems of X-ray lithography, such as high quality single layer X-ray resists, X-ray sources and stepper including alignment are either well on the way or are already solved.

  6. Advanced electric-field scanning probe lithography on molecular resist using active cantilever

    NASA Astrophysics Data System (ADS)

    Kaestner, Marcus; Aydogan, Cemal; Ivanov, Tzvetan; Ahmad, Ahmad; Angelov, Tihomir; Reum, Alexander; Ishchuk, Valentyn; Krivoshapkina, Yana; Hofer, Manuel; Lenk, Steve; Atanasov, Ivaylo; Holz, Mathias; Rangelow, Ivo W.

    2015-07-01

    The routine "on demand" fabrication of features smaller than 10 nm opens up new possibilities for the realization of many devices. Driven by the thermally actuated piezoresistive cantilever technology, we have developed a prototype of a scanning probe lithography (SPL) platform which is able to image, inspect, align, and pattern features down to the single digit nanoregime. Here, we present examples of practical applications of the previously published electric-field based current-controlled scanning probe lithography. In particular, individual patterning tests are carried out on calixarene by using our developed table-top SPL system. We have demonstrated the application of a step-and-repeat SPL method including optical as well as atomic force microscopy-based navigation and alignment. The closed-loop lithography scheme was applied to sequentially write positive and negative tone features. Due to the integrated unique combination of read-write cycling, each single feature is aligned separately with the highest precision and inspected after patterning. This routine was applied to create a pattern step by step. Finally, we have demonstrated the patterning over larger areas, over existing topography, and the practical applicability of the SPL processes for lithography down to 13-nm pitch patterns. To enhance the throughput capability variable beam diameter electric field, current-controlled SPL is briefly discussed.

  7. Programmed LWR metrology by multi-techniques approach

    NASA Astrophysics Data System (ADS)

    Reche, Jérôme; Besacier, Maxime; Gergaud, Patrice; Blancquaert, Yoann; Freychet, Guillaume; Labbaye, Thibault

    2018-03-01

    Nowadays, roughness control presents a huge challenge for the lithography step. For advanced nodes, this morphological aspect reaches the same order of magnitude than the Critical Dimension. Hence, the control of roughness needs an adapted metrology. In this study, specific samples with designed roughness have been manufactured using e-beam lithography. These samples have been characterized with three different methodologies: CD-SEM, OCD and SAXS. The main goal of the project is to compare the capability of each of these techniques in terms of reliability, type of information obtained, time to obtain the measurements and level of maturity for the industry.

  8. How to measure a-few-nanometer-small LER occurring in EUV lithography processed feature

    NASA Astrophysics Data System (ADS)

    Kawada, Hiroki; Kawasaki, Takahiro; Kakuta, Junichi; Ikota, Masami; Kondo, Tsuyoshi

    2018-03-01

    For EUV lithography features we want to decrease the dose and/or energy of CD-SEM's probe beam because LER decreases with severe resist-material's shrink. Under such conditions, however, measured LER increases from true LER, due to LER bias that is fake LER caused by random noise in SEM image. A gap error occurs between the right and the left LERs. In this work we propose new procedures to obtain true LER by excluding the LER bias from the measured LER. To verify it we propose a LER's reference-metrology using TEM.

  9. Rapid Prototyping across the Spectrum: RF to Optical 3D Electromagnetic Structures

    DTIC Science & Technology

    2015-11-17

    34Imprintable, Bendable, and Shape-Conformable Polymer Electrolytes for Versatile-Shaped Lithium - Ion Batteries ," Advanced Materials, vol. 25, pp. 1395-1400...center; and (d) close-up of light aperture etched with a focused ion beam [104] ............ 22 Figure 16: (a) Conformal antenna patterned by...where the features are defined using focused ion beam milling (e.g. fishnet patterns) [20], standard micro-/nano- lithography processes that are

  10. Image grating metrology using phase-stepping interferometry in scanning beam interference lithography

    NASA Astrophysics Data System (ADS)

    Li, Minkang; Zhou, Changhe; Wei, Chunlong; Jia, Wei; Lu, Yancong; Xiang, Changcheng; Xiang, XianSong

    2016-10-01

    Large-sized gratings are essential optical elements in laser fusion and space astronomy facilities. Scanning beam interference lithography is an effective method to fabricate large-sized gratings. To minimize the nonlinear phase written into the photo-resist, the image grating must be measured to adjust the left and right beams to interfere at their waists. In this paper, we propose a new method to conduct wavefront metrology based on phase-stepping interferometry. Firstly, a transmission grating is used to combine the two beams to form an interferogram which is recorded by a charge coupled device(CCD). Phase steps are introduced by moving the grating with a linear stage monitored by a laser interferometer. A series of interferograms are recorded as the displacement is measured by the laser interferometer. Secondly, to eliminate the tilt and piston error during the phase stepping, the iterative least square phase shift method is implemented to obtain the wrapped phase. Thirdly, we use the discrete cosine transform least square method to unwrap the phase map. Experiment results indicate that the measured wavefront has a nonlinear phase around 0.05 λ@404.7nm. Finally, as the image grating is acquired, we simulate the print-error written into the photo-resist.

  11. System design considerations for a production-grade, ESR-based x-ray lithography beamline

    NASA Astrophysics Data System (ADS)

    Kovacs, Stephen; Melore, Dan; Cerrina, Franco; Cole, Richard K.

    1991-08-01

    As electron storage ring (ESR) based x-ray lithography technology moves closer to becoming an industrial reality, more and more attention has been devoted to studying problem areas related to its application in the production environment. A principle component is the x-ray lithography beamline (XLBL) and its associated design requirements. XLBL, an x-ray radiation transport system, is one of the three major subunits in the ESR-based x-ray lithography system (XLS) and has a pivotal role in defining performance characteristics of the entire XLS. Its major functions are to transport the synchrotron orbital radiation (SOR) to the lithography target area with defined efficiency and to modify SOR into the spectral distribution defined by the lithography process window. These functions must be performed reliably in order to satisfy the required high production rate and ensure 0.25 micron resolution lithography conditions. In this paper the authors attempt to answer some specific questions that arise during the formulation of an XLBL system design. Three principle issues that are essential to formulating a design are (1) Radiation transport efficiency, (2) X-ray optical configurations in the beamline, (3) Beamline system configurations. Some practical solutions to thee problem areas are presented, and the effects of these parameters on lithography production rate are examined.

  12. Integrating Sub-3 nm Plasmonic Gaps into Solid-State Nanopores.

    PubMed

    Shi, Xin; Verschueren, Daniel; Pud, Sergii; Dekker, Cees

    2018-05-01

    Plasmonic nanopores combine the advantages of nanopore sensing and surface plasmon resonances by introducing confined electromagnetic fields to a solid-state nanopore. Ultrasmall nanogaps between metallic nanoantennas can generate the extremely enhanced localized electromagnetic fields necessary for single-molecule optical sensing and manipulation. Challenges in fabrication, however, hamper the integration of such nanogaps into nanopores. Here, a top-down approach for integrating a plasmonic antenna with an ultrasmall nanogap into a solid-state nanopore is reported. Employing a two-step e-beam lithography process, the reproducible fabrication of nanogaps down to a sub-1 nm scale is demonstrated. Subsequently, nanopores are drilled through the 20 nm SiN membrane at the center of the nanogap using focused-electron-beam sculpting with a transmission electron microscope, at the expense of a slight gap expansion for the smallest gaps. Using this approach, sub-3 nm nanogaps can be readily fabricated on solid-state nanopores. The functionality of these plasmonic nanopores for single-molecule detection is shown by performing DNA translocations. These integrated devices can generate intense electromagnetic fields at the entrance of the nanopore and can be expected to find applications in nanopore-based single-molecule trapping and optical sensing. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Adsorption on Nanopores of Different Cross Sections Made by Electron Beam Nanolithography.

    PubMed

    Bruschi, Lorenzo; Mistura, Giampaolo; Prasetyo, Luisa; Do, Duong D; Dipalo, Michele; De Angelis, Francesco

    2018-01-09

    Adsorption on nanoporous matrices is characterized by a pronounced hysteresis loop in the adsorption isotherm, when the substrate is loaded and unloaded with adsorbate, the origin of which is a matter of immense debate in the literature. In this work, we report a study of argon adsorption at 85 K on nonconnecting nanopores with one end closed to the surrounding where the effects of different pore cross sections fabricated by electron beam lithography (EBL) are investigated. A polymethylmethacrylate (PMMA) resist is deposited on the electrodes of a sensitive quartz crystal microbalance without degradation of the resonance quality factor or the long-term and short-term stabilities of the device even at cryogenic temperatures. Four different pores' cross sections: circular, square, rectangular, and triangular, are produced from EBL, and the isotherms for these pore shapes exhibit pronounced hysteresis loops whose adsorption and desorption branches are nearly vertical and have almost the same slopes. No difference is observed in the hysteresis loops of the isotherms for the pores with triangular and square cross sections, whereas the hysteresis loop for the pore with circular cross sections is much narrower, suggesting that they are more regular than the other pores. All of these observations suggest that the hysteresis behavior resulted mainly from microscopic geometric irregularities present in these porous matrices.

  14. Polarization Control via He-Ion Beam Induced Nanofabrication in Layered Ferroelectric Semiconductors

    DOE PAGES

    Belianinov, Alex; Iberi, Vighter; Tselev, Alexander; ...

    2016-02-23

    Rapid advanced in nanoscience rely on continuous improvements of matter manipulation at near atomic scales. Currently, well characterized, robust, resist-based lithography carries the brunt of the nanofabrication process. However, use of local electron, ion and physical probe methods is also expanding, driven largely by their ability to fabricate without the multi-step preparation processes that can result in contamination from resists and solvents. Furthermore, probe based methods extend beyond nanofabrication to nanomanipulation and imaging, vital ingredients to rapid transition to prototyping and testing of layered 2D heterostructured devices. In this work we demonstrate that helium ion interaction, in a Helium Ionmore » Microscope (HIM), with the surface of bulk copper indium thiophosphate CuM IIIP 2X 6 (M = Cr, In; X= S, Se), (CITP) results in the control of ferroelectric domains, and growth of cylindrical nanostructures with enhanced conductivity; with material volumes scaling with the dosage of the beam. The nanostructures are oxygen rich, sulfur poor, and with the copper concentration virtually unchanged as confirmed by Energy Dispersive X-ray (EDX). Scanning Electron Microscopy (SEM) imaging contrast as well as Scanning Microwave Microscopy (SMM) measurements suggest enhanced conductivity in the formed particle, whereas Atomic Force Microscopy (AFM) measurements indicate that the produced structures have lower dissipation and a lower Young s modulus.« less

  15. Prewarping techniques in imaging: applications in nanotechnology and biotechnology

    NASA Astrophysics Data System (ADS)

    Poonawala, Amyn; Milanfar, Peyman

    2005-03-01

    In all imaging systems, the underlying process introduces undesirable distortions that cause the output signal to be a warped version of the input. When the input to such systems can be controlled, pre-warping techniques can be employed which consist of systematically modifying the input such that it cancels out (or compensates for) the process losses. In this paper, we focus on the mask (reticle) design problem for 'optical micro-lithography', a process similar to photographic printing used for transferring binary circuit patterns onto silicon wafers. We use a pixel-based mask representation and model the above process as a cascade of convolution (aerial image formation) and thresholding (high-contrast recording) operations. The pre-distorted mask is obtained by minimizing the norm of the difference between the 'desired' output image and the 'reproduced' output image. We employ the regularization framework to ensure that the resulting masks are close-to-binary as well as simple and easy to fabricate. Finally, we provide insight into two additional applications of pre-warping techniques. First is 'e-beam lithography', used for fabricating nano-scale structures, and second is 'electronic visual prosthesis' which aims at providing limited vision to the blind by using a prosthetic retinally implanted chip capable of electrically stimulating the retinal neuron cells.

  16. Characterization of Graphene-based FET Fabricated using a Shadow Mask

    PubMed Central

    Tien, Dung Hoang; Park, Jun-Young; Kim, Ki Buem; Lee, Naesung; Seo, Yongho

    2016-01-01

    To pattern electrical metal contacts, electron beam lithography or photolithography are commonly utilized, and these processes require polymer resists with solvents. During the patterning process the graphene surface is exposed to chemicals, and the residue on the graphene surface was unable to be completely removed by any method, causing the graphene layer to be contaminated. A lithography free method can overcome these residue problems. In this study, we use a micro-grid as a shadow mask to fabricate a graphene based field-effect-transistor (FET). Electrical measurements of the graphene based FET samples are carried out in air and vacuum. It is found that the Dirac peaks of the graphene devices on SiO2 or on hexagonal boron nitride (hBN) shift from a positive gate voltage region to a negative region as air pressure decreases. In particular, the Dirac peaks shift very rapidly when the pressure decreases from ~2 × 10−3 Torr to ~5 × 10−5 Torr within 5 minutes. These Dirac peak shifts are known as adsorption and desorption of environmental gases, but the shift amounts are considerably different depending on the fabrication process. The high gas sensitivity of the device fabricated by shadow mask is attributed to adsorption on the clean graphene surface. PMID:27169620

  17. Electron beam fabrication of a microfluidic device for studying submicron-scale bacteria

    PubMed Central

    2013-01-01

    Background Controlled restriction of cellular movement using microfluidics allows one to study individual cells to gain insight into aspects of their physiology and behaviour. For example, the use of micron-sized growth channels that confine individual Escherichia coli has yielded novel insights into cell growth and death. To extend this approach to other species of bacteria, many of whom have dimensions in the sub-micron range, or to a larger range of growth conditions, a readily-fabricated device containing sub-micron features is required. Results Here we detail the fabrication of a versatile device with growth channels whose widths range from 0.3 μm to 0.8 μm. The device is fabricated using electron beam lithography, which provides excellent control over the shape and size of different growth channels and facilitates the rapid-prototyping of new designs. Features are successfully transferred first into silicon, and subsequently into the polydimethylsiloxane that forms the basis of the working microfluidic device. We demonstrate that the growth of sub-micron scale bacteria such as Lactococcus lactis or Escherichia coli cultured in minimal medium can be followed in such a device over several generations. Conclusions We have presented a detailed protocol based on electron beam fabrication together with specific dry etching procedures for the fabrication of a microfluidic device suited to study submicron-sized bacteria. We have demonstrated that both Gram-positive and Gram-negative bacteria can be successfully loaded and imaged over a number of generations in this device. Similar devices could potentially be used to study other submicron-sized organisms under conditions in which the height and shape of the growth channels are crucial to the experimental design. PMID:23575419

  18. Top-down Fabrication and Enhanced Active Area Electronic Characteristics of Amorphous Oxide Nanoribbons for Flexible Electronics.

    PubMed

    Jang, Hyun-June; Joong Lee, Ki; Jo, Kwang-Won; Katz, Howard E; Cho, Won-Ju; Shin, Yong-Beom

    2017-07-18

    Inorganic amorphous oxide semiconductor (AOS) materials such as amorphous InGaZnO (a-IGZO) possess mechanical flexibility and outstanding electrical properties, and have generated great interest for use in flexible and transparent electronic devices. In the past, however, AOS devices required higher activation energies, and hence higher processing temperatures, than organic ones to neutralize defects. It is well known that one-dimensional nanowires tend to have better carrier mobility and mechanical strength along with fewer defects than the corresponding two-dimensional films, but until now it has been difficult, costly, and impractical to fabricate such nanowires in proper alignments by either "bottom-up" growth techniques or by "top-down" e-beam lithography. Here we show a top-down, cost-effective, and scalable approach for the fabrication of parallel, laterally oriented AOS nanoribbons based on lift-off and nano-imprinting. High mobility (132 cm 2 /Vs), electrical stability, and transparency are obtained in a-IGZO nanoribbons, compared to the planar films of the same a-IGZO semiconductor.

  19. Optical proximity correction (OPC) in near-field lithography with pixel-based field sectioning time modulation

    NASA Astrophysics Data System (ADS)

    Oh, Seonghyeon; Han, Dandan; Shim, Hyeon Bo; Hahn, Jae W.

    2018-01-01

    Subwavelength features have been successfully demonstrated in near-field lithography. In this study, the point spread function (PSF) of a near-field beam spot from a plasmonic ridge nanoaperture is discussed with regard to the complex decaying characteristic of a non-propagating wave and the asymmetry of the field distribution for pattern design. We relaxed the shape complexity of the field distribution with pixel-based optical proximity correction (OPC) for simplifying the pattern image distortion. To enhance the pattern fidelity for a variety of arbitrary patterns, field-sectioning structures are formulated via convolutions with a time-modulation function and a transient PSF along the near-field dominant direction. The sharpness of corners and edges, and line shortening can be improved by modifying the original target pattern shape using the proposed approach by considering both the pattern geometry and directionality of the field decay for OPC in near-field lithography.

  20. Optical proximity correction (OPC) in near-field lithography with pixel-based field sectioning time modulation.

    PubMed

    Oh, Seonghyeon; Han, Dandan; Shim, Hyeon Bo; Hahn, Jae W

    2018-01-26

    Subwavelength features have been successfully demonstrated in near-field lithography. In this study, the point spread function (PSF) of a near-field beam spot from a plasmonic ridge nanoaperture is discussed with regard to the complex decaying characteristic of a non-propagating wave and the asymmetry of the field distribution for pattern design. We relaxed the shape complexity of the field distribution with pixel-based optical proximity correction (OPC) for simplifying the pattern image distortion. To enhance the pattern fidelity for a variety of arbitrary patterns, field-sectioning structures are formulated via convolutions with a time-modulation function and a transient PSF along the near-field dominant direction. The sharpness of corners and edges, and line shortening can be improved by modifying the original target pattern shape using the proposed approach by considering both the pattern geometry and directionality of the field decay for OPC in near-field lithography.

  1. Window-assisted nanosphere lithography for vacuum micro-nano-electronics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, Nannan; Institute of Electronic Engineering, Chinese Academy of Engineering Physics, Mianyang, 621900; Pang, Shucai

    2015-04-15

    Development of vacuum micro-nano-electronics is quite important for combining the advantages of vacuum tubes and solid-state devices but limited by the prevailing fabricating techniques which are expensive, time consuming and low-throughput. In this work, window-assisted nanosphere lithography (NSL) technique was proposed and enabled the low-cost and high-efficiency fabrication of nanostructures for vacuum micro-nano-electronic devices, thus allowing potential applications in many areas. As a demonstration, we fabricated high-density field emitter arrays which can be used as cold cathodes in vacuum micro-nano-electronic devices by using the window-assisted NSL technique. The details of the fabricating process have been investigated. This work provided amore » new and feasible idea for fabricating nanostructure arrays for vacuum micro-nano-electronic devices, which would spawn the development of vacuum micro-nano-electronics.« less

  2. Tandem array of nanoelectronic readers embedded coplanar to a fluidic nanochannel for correlated single biopolymer analysis

    PubMed Central

    Lesser-Rojas, Leonardo; Sriram, K. K.; Liao, Kuo-Tang; Lai, Shui-Chin; Kuo, Pai-Chia; Chu, Ming-Lee; Chou, Chia-Fu

    2014-01-01

    We have developed a two-step electron-beam lithography process to fabricate a tandem array of three pairs of tip-like gold nanoelectronic detectors with electrode gap size as small as 9 nm, embedded in a coplanar fashion to 60 nm deep, 100 nm wide, and up to 150 μm long nanochannels coupled to a world-micro-nanofluidic interface for easy sample introduction. Experimental tests with a sealed device using DNA-protein complexes demonstrate the coplanarity of the nanoelectrodes to the nanochannel surface. Further, this device could improve transverse current detection by correlated time-of-flight measurements of translocating samples, and serve as an autocalibrated velocimeter and nanoscale tandem Coulter counters for single molecule analysis of heterogeneous samples. PMID:24753731

  3. The JWST/NIRCam Coronagraph: Mask Design and Fabrication

    NASA Technical Reports Server (NTRS)

    Krista, John E.; Balasubramanian, Kunjithapatha; Beichman, Charles A.; Echternach, Pierre M.; Green, Joseph J.; Liewer, Kurt M.; Muller, Richard E.; Serabyn, Eugene; Shaklan, Stuart B.; Trauger, John T.; hide

    2009-01-01

    The NIRCam instrument on the James Webb Space Telescope will provide coronagraphic imaging from lambda =1-5 microns of high contrast sources such as extrasolar planets and circumstellar disks. A Lyot coronagraph with a variety of circular and wedge-shaped occulting masks and matching Lyot pupil stops will be implemented. The occulters approximate grayscale transmission profiles using halftone binary patterns comprising wavelength-sized metal dots on anti-reflection coated sapphire substrates. The mask patterns are being created in the Micro Devices Laboratory at the Jet Propulsion Laboratory using electron beam lithography. Samples of these occulters have been successfully evaluated in a coronagraphic testbed. In a separate process, the complex apertures that form the Lyot stops will be deposited onto optical wedges. The NIRCam coronagraph flight components are expected to be completed this year.

  4. Multipolar Coupling in Hybrid Metal–Dielectric Metasurfaces

    DOE PAGES

    Guo, Rui; Rusak, Evgenia; Staude, Isabelle; ...

    2016-03-02

    In this paper, we study functional hybrid metasurfaces consisting of metal–dielectric nanoantennas that direct light from an incident plane wave or from localized light sources into a preferential direction. The directionality is obtained by carefully balancing the multipolar contributions to the scattering response from the constituents of the metasurface. The hybrid nanoantennas are composed of a plasmonic gold nanorod acting as a feed element and a silicon nanodisk acting as a director element. In order to experimentally realize this design, we have developed a two-step electron-beam lithography process in combination with a precision alignment step. Finally, the optical response ofmore » the fabricated sample is measured and reveals distinct signatures of coupling between the plasmonic and the dielectric nanoantenna elements that ultimately leads to unidirectional radiation of light.« less

  5. Fabrication of 3D SiO x structures using patterned PMMA sacrificial layer

    NASA Astrophysics Data System (ADS)

    Li, Zhiqin; Xiang, Quan; Zheng, Mengjie; Bi, Kaixi; Chen, Yiqin; Chen, Keqiu; Duan, Huigao

    2018-02-01

    Three-dimensional (3D) nanofabrication based on electron-beam lithography (EBL) has drawn wide attention for various applications with its high patterning resolution and design flexibility. In this work, we present a bilayer EBL process to obtain 3D freestanding SiO x structures via the release of the bottom sacrificial layer. This new kind of bilayer process enables us to define various 3D freestanding SiO x structures with high resolution and low edge roughness. As a proof of concept for applications, metal-coated freestanding SiO x microplates with an underlying air gap were fabricated to form asymmetric Fabry-Perot resonators, which can be utilized for colorimetric refractive index sensing and thus also have application potential for biochemical detection, anti-counterfeiting and smart active nano-optical devices.

  6. Characterization of superconducting nanowire single-photon detector with artificial constrictions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Ling; Liu, Dengkuan; Wu, Junjie

    2014-06-15

    Statistical studies on the performance of different superconducting nanowire single-photon detectors (SNSPDs) on one chip suggested that random constrictions existed in the nanowire that were barely registered by scanning electron microscopy. With the aid of advanced e-beam lithography, artificial geometric constrictions were fabricated on SNSPDs as well as single nanowires. In this way, we studied the influence of artificial constrictions on SNSPDs in a straight forward manner. By introducing artificial constrictions with different wire widths in single nanowires, we concluded that the dark counts of SNSPDs originate from a single constriction. Further introducing artificial constrictions in SNSPDs, we studied themore » relationship between detection efficiency and kinetic inductance and the bias current, confirming the hypothesis that constrictions exist in SNSPDs.« less

  7. Dielectric-Like Behavior of Graphene in Au Plasmon Resonator.

    PubMed

    Liu, Junku; Li, Qunqing; Chen, Mo; Ren, Mengxin; Zhang, Lihui; Xiao, Lin; Jiang, Kaili; Fan, Shoushan

    2016-12-01

    Graphene has proven to be a promising conductive layer in fabricating optical plasmon resonators on insulator substrate using electron beam lithography and has the potential to construct electrically controlled active plasmon resonators. In this study, we investigate the effect of graphene on plasmon resonance using graphene and Au plasmon resonator system as a model at visible and near-infrared wavelength. Our experiment data show that the presence of graphene does not weaken and annihilate the plasmon resonance peaks, instead it predominantly makes the peaks redshift, which is similar to the behavior of depositing SiO 2 film on Au plasmon resonators. This fact indicates that graphene predominantly exhibits dielectric-like behavior at visible and near-infrared wavelength, which can be attributed to the low carrier density in graphene compared with metals.

  8. Fabrication of self-aligned, nanoscale, complex oxide varactors

    NASA Astrophysics Data System (ADS)

    Fu, Richard X.; Toonen, Ryan C.; Hirsch, Samuel G.; Ivill, Mathew P.; Cole, Melanie W.; Strawhecker, Kenneth E.

    2015-01-01

    Applications in ferroelectric random access memory and superparaelectric devices require the fabrication of ferroelectric capacitors at the nanoscale that exhibit extremely small leakage currents. To systematically study the material-size dependence of ferroelectric varactor performance, arrays of parallel-plate structures have been fabricated with nanoscale dielectric diameters. Electron beam lithography and inductively coupled plasma dry etching have been used to fabricate arrays of ferroelectric varactors using top electrodes as a self-aligned etch mask. Parallel-plate test structures using RF-sputtered Ba0.6Sr0.4TiO3 thin-films were used to optimize the fabrication process. Varactors with diameters down to 20 nm were successfully fabricated. Current-voltage (I-V) characteristics were measured to evaluate the significance of etch-damage and fabrication quality by ensuring low leakage currents through the structures.

  9. Surface-enhanced localized surface plasmon resonance biosensing of avian influenza DNA hybridization using subwavelength metallic nanoarrays

    NASA Astrophysics Data System (ADS)

    Kim, Shin Ae; Byun, Kyung Min; Kim, Kyujung; Jang, Sung Min; Ma, Kyungjae; Oh, Youngjin; Kim, Donghyun; Kim, Sung Guk; Shuler, Michael L.; Kim, Sung June

    2010-09-01

    We demonstrated enhanced localized surface plasmon resonance (SPR) biosensing based on subwavelength gold nanoarrays built on a thin gold film. Arrays of nanogratings (1D) and nanoholes (2D) with a period of 200 nm were fabricated by electron-beam lithography and used for the detection of avian influenza DNA hybridization. Experimental results showed that both nanoarrays provided significant sensitivity improvement and, especially, 1D nanogratings exhibited higher SPR signal amplification compared with 2D nanohole arrays. The sensitivity enhancement is associated with changes in surface-limited reaction area and strong interactions between bound molecules and localized plasmon fields. Our approach is expected to improve both the sensitivity and sensing resolution and can be applicable to label-free detection of DNA without amplification by polymerase chain reaction.

  10. Diffraction limited focusing and routing of gap plasmons by a metal-dielectric-metal lens

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dennis, Brian S.; Czaplewski, David A.; Haftel, Michael I.

    2015-01-01

    Passive optical elements can play key roles in photonic applications such as plasmonic integrated circuits. Here we experimentally demonstrate passive gap-plasmon focusing and routing in two-dimensions. This is accomplished using a high numerical-aperture metal-dielectric-metal lens incorporated into a planar-waveguide device. Fabrication via metal sputtering, oxide deposition, electron-and focused-ion-beam lithography, and argon ion-milling is reported on in detail. Diffraction-limited focusing is optically characterized by sampling out-coupled light with a microscope. The measured focal distance and full-width-half-maximum spot size agree well with the calculated lens performance. The surface plasmon polariton propagation length is measured by sampling light from multiple out-coupler slits. (C)more » 2015 Optical Society of America« less

  11. Four-port coupled channel-guide device based on 2D photonic crystal structure

    NASA Astrophysics Data System (ADS)

    Camargo, Edilson A.; Chong, Harold M. H.; De La Rue, Richard M.

    2004-12-01

    We have fabricated and measured a four-port coupled channel-waveguide device using W1 channel waveguides oriented along ΓK directions in a two-dimensional (2D) hole-based planar photonic crystal (PhC) based on silicon-on-insulator (SOI) waveguide material, at operation wavelengths around 1550 nm. 2D FDTD simulations and experimental results are shown and compared. The structure has been designed using a mode conversion approach, combined with coupled-mode concepts. The overall length of the photonic crystal structure is typically about 39 μm and the structure has been fabricated using a combination of direct-write electron-beam lithography (EBL) and dry-etch processing. Devices were measured using a tunable laser with end-fire coupling into the planar structure.

  12. SEMICONDUCTOR TECHNOLOGY: An efficient dose-compensation method for proximity effect correction

    NASA Astrophysics Data System (ADS)

    Ying, Wang; Weihua, Han; Xiang, Yang; Renping, Zhang; Yang, Zhang; Fuhua, Yang

    2010-08-01

    A novel simple dose-compensation method is developed for proximity effect correction in electron-beam lithography. The sizes of exposed patterns depend on dose factors while other exposure parameters (including accelerate voltage, resist thickness, exposing step size, substrate material, and so on) remain constant. This method is based on two reasonable assumptions in the evaluation of the compensated dose factor: one is that the relation between dose factors and circle-diameters is linear in the range under consideration; the other is that the compensated dose factor is only affected by the nearest neighbors for simplicity. Four-layer-hexagon photonic crystal structures were fabricated as test patterns to demonstrate this method. Compared to the uncorrected structures, the homogeneity of the corrected hole-size in photonic crystal structures was clearly improved.

  13. CROSS-DISCIPLINARY PHYSICS AND RELATED AREAS OF SCIENCE AND TECHNOLOGY: Novel Route to Fabrication of Metal-Sandwiched Nanoscale Tapered Structures

    NASA Astrophysics Data System (ADS)

    Zhang, Yang; Yu, Da-Peng

    2009-08-01

    Tapered dielectric structures in metal have exhibited extraordinary performance in both surface plasmon polariton (SPP) waveguiding and SPP focusing. This is crucial to plasmonic research and industrial plasmonic device integration. We present a method that facilitates easy fabrication of smooth-surfaced sub-micron tapered structures in large scale simply with electron beam lithography (EBL). When a PMMA layer is spin-coated on previously-EBL-defined PMMA structures, steep edges can be transformed into a declining slope to form tapered PMMA structures, scaled from 10 nm to 1000 nm. Despite the simplicity of our method, patterns with PMMA surface smoothness can be well-positioned and replicated in large numbers, which therefore gives scientists easy access to research on the properties of tapered structures.

  14. Beam line BL11 for LIGA process at the NewSUBARU

    NASA Astrophysics Data System (ADS)

    Mekaru, Harutaka; Utsumi, Yuichi; Hattori, Tadashi

    2001-07-01

    A beam line BL11 is constructed for exposure Hard X-ray Lithography (HXL) in the LIGA (German acronym for Lithographite Galvanoformung and Abformung) process at the synchrotron radiation (SR) facility NewSUBARU of the Laboratory of Advanced Science and Technology for Industry (LASTI) in Himeji Institute of Technology (HIT). This beam line was designed by the criteria; photon energy range 4-6 keV, a beam spot size on the exposure stage ⩾60×5 mm 2, a density of total irradiated photons ⩾10 11 photons/cm 2. The PMMA sheet etching was successfully demonstrated by using the output beam. We conclude that this beam line performs sufficiently well to study the exposure of HXL in the LIGA process.

  15. Fabrication of Single, Vertically Aligned Carbon Nanotubes in 3D Nanoscale Architectures

    NASA Technical Reports Server (NTRS)

    Kaul, Anupama B.; Megerian, Krikor G.; Von Allmen, Paul A.; Baron, Richard L.

    2010-01-01

    Plasma-enhanced chemical vapor deposition (PECVD) and high-throughput manufacturing techniques for integrating single, aligned carbon nanotubes (CNTs) into novel 3D nanoscale architectures have been developed. First, the PECVD growth technique ensures excellent alignment of the tubes, since the tubes align in the direction of the electric field in the plasma as they are growing. Second, the tubes generated with this technique are all metallic, so their chirality is predetermined, which is important for electronic applications. Third, a wafer-scale manufacturing process was developed that is high-throughput and low-cost, and yet enables the integration of just single, aligned tubes with nanoscale 3D architectures with unprecedented placement accuracy and does not rely on e-beam lithography. Such techniques should lend themselves to the integration of PECVD grown tubes for applications ranging from interconnects, nanoelectromechanical systems (NEMS), sensors, bioprobes, or other 3D electronic devices. Chemically amplified polyhydroxystyrene-resin-based deep UV resists were used in conjunction with excimer laser-based (lambda = 248 nm) step-and-repeat lithography to form Ni catalyst dots = 300 nm in diameter that nucleated single, vertically aligned tubes with high yield using dc PECVD growth. This is the first time such chemically amplified resists have been used, resulting in the nucleation of single, vertically aligned tubes. In addition, novel 3D nanoscale architectures have been created using topdown techniques that integrate single, vertically aligned tubes. These were enabled by implementing techniques that use deep-UV chemically amplified resists for small-feature-size resolution; optical lithography units that allow unprecedented control over layer-to-layer registration; and ICP (inductively coupled plasma) etching techniques that result in near-vertical, high-aspect-ratio, 3D nanoscale architectures, in conjunction with the use of materials that are structurally and chemically compatible with the high-temperature synthesis of the PECVD-grown tubes. The techniques offer a wafer-scale process solution for integrating single PECVD-grown nanotubes into novel architectures that should accelerate their integration in 3D electronics in general. NASA can directly benefit from this technology for its extreme-environment planetary missions. Current Si transistors are inherently more susceptible to high radiation, and do not tolerate extremes in temperature. These novel 3D nanoscale architectures can form the basis for NEMS switches that are inherently less susceptible to radiation or to thermal extremes.

  16. A Low-Cost Hands-On Laboratory to Introduce Lithography Concepts

    ERIC Educational Resources Information Center

    Jalali, M.; Marti, J. J.; Kirchhoff, A. L.; Lawrenz, F.; Campbell, S. A.

    2012-01-01

    A lithography lab course has been developed that is applicable to students from the middle-school level up to college students. It can also be inserted into electronics technology or similar courses in two- and four-year colleges, or used to demonstrate applications of polymers in chemistry classes. Some of these techniques would enable research…

  17. Nanopillar Photonic Crystal Lasers for Tb/s Transceivers on Silicon

    DTIC Science & Technology

    2015-07-09

    dimensions of NWs can be adjusted by lithographically patterned nanoholes on dielectric mask. Some studies of SAE growth on Si using Ga droplets, i.e. Ga...inside the patterned nanoholes . In this study, the effects of seeding layer growth temperature on uniformity, vertical yield, and optical...thermal silicon dioxide (SiO2). Next, E-Beam resist ZEP520A was coated and nanoholes were patterned by E-Beam lithography (EBL). The designed diameter

  18. Submicron Structures and Various Technology

    DTIC Science & Technology

    1990-06-01

    Replication in PMMA of a 30 nm-wide gold focused-ion-beam lithography alone. We are absorber line with (a) CK (A = 4.5 nm), ( b ) developing a new generation of...into soft x-ray spectroscopy and atom beam contact with the substrate b electrostatic interferometry, and to fabricate new classes means. A variety of...Professor Dimitri A. Antonaidis, Stuart B . Field, drain resistances and gate-source overlaps. Professor Marc A. Kastner, Udi Meirav, Samuel L. This will

  19. Efficient creation of dipolar coupled nitrogen-vacancy spin qubits in diamond

    NASA Astrophysics Data System (ADS)

    Jakobi, I.; Momenzadeh, S. A.; Fávaro de Oliveira, F.; Michl, J.; Ziem, F.; Schreck, M.; Neumann, P.; Denisenko, A.; Wrachtrup, J.

    2016-09-01

    Coherently coupled pairs or multimers of nitrogen-vacancy defect electron spins in diamond have many promising applications especially in quantum information processing (QIP) but also in nanoscale sensing applications. Scalable registers of spin qubits are essential to the progress of QIP. Ion implantation is the only known technique able to produce defect pairs close enough to allow spin coupling via dipolar interaction. Although several competing methods have been proposed to increase the resulting resolution of ion implantation, the reliable creation of working registers is still to be demonstrated. The current limitation are residual radiation-induced defects, resulting in degraded qubit performance as trade-off for positioning accuracy. Here we present an optimized estimation of nanomask implantation parameters that are most likely to produce interacting qubits under standard conditions. We apply our findings to a well-established technique, namely masks written in electron-beam lithography, to create coupled defect pairs with a reasonable probability. Furthermore, we investigate the scaling behavior and necessary improvements to efficiently engineer interacting spin architectures.

  20. Strong Schottky barrier reduction at Au-catalyst/GaAs-nanowire interfaces by electric dipole formation and Fermi-level unpinning.

    PubMed

    Suyatin, Dmitry B; Jain, Vishal; Nebol'sin, Valery A; Trägårdh, Johanna; Messing, Maria E; Wagner, Jakob B; Persson, Olof; Timm, Rainer; Mikkelsen, Anders; Maximov, Ivan; Samuelson, Lars; Pettersson, Håkan

    2014-01-01

    Nanoscale contacts between metals and semiconductors are critical for further downscaling of electronic and optoelectronic devices. However, realizing nanocontacts poses significant challenges since conventional approaches to achieve ohmic contacts through Schottky barrier suppression are often inadequate. Here we report the realization and characterization of low n-type Schottky barriers (~0.35 eV) formed at epitaxial contacts between Au-In alloy catalytic particles and GaAs-nanowires. In comparison to previous studies, our detailed characterization, employing selective electrical contacts defined by high-precision electron beam lithography, reveals the barrier to occur directly and solely at the abrupt interface between the catalyst and nanowire. We attribute this lowest-to-date-reported Schottky barrier to a reduced density of pinning states (~10(17) m(-2)) and the formation of an electric dipole layer at the epitaxial contacts. The insight into the physical mechanisms behind the observed low-energy Schottky barrier may guide future efforts to engineer abrupt nanoscale electrical contacts with tailored electrical properties.

Top