Chain photoreduction of CCl3F in TiO2 suspensions: enhancement induced by O2.
Winkelmann, Kurt; Calhoun, Robert L; Mills, German
2006-12-28
Trichlorofluoromethane (CFC 11) was photoreduced in aqueous suspensions of TiO2 particles containing HCO2- ions and air. Dissolved O2 inhibited the reaction during an induction period that preceded the rapid formation of chloride ions. Reaction rates were higher in systems containing O2 as compared to analogous reactions that occurred in anaerobic suspensions. High photonic efficiencies of Cl- formation (> or =15) were achieved using suspensions with pH > or = 5. As was the case for studies with air-free suspensions, reactions are best described using a photoinitiated chain mechanism that produced CHCl2F and Cl- during the propagation steps. The enhanced yields obtained in the presence of air are attributed to the removal by O2 of electrons trapped in the oxide, which are converted first into H2O2 and then into reducing radicals that participate in the chain process. Enhanced yields of Freon photoreduction were also observed during illumination of air-free suspensions containing hydrogen peroxide, which were interpreted using a similar mechanism.
NASA Astrophysics Data System (ADS)
Lin, Wensheng; Zheng, Jiaxian; Yan, Lianghong; Zhang, Xinxiang
2018-03-01
Self-cleaning SiO2-TiO2/SiO2-TiO2 double-layer antireflective (AR) coating is prepared by sol-gel process. SiO2 sol is prepared by using tetraethyl orthosilicate (TEOS) as precursor and ammonia as catalyst, while TiO2 sol was prepared by using tetrabutyl orthotitanate (TBOT) as precursor and hydrochloric acid as catalyst. The effect of TiO2 content on refractive index, abrasion-resistance and photo-catalytic activity of SiO2-TiO2 hybrid thin films or powders is systematically investigated. It is found that the refractive index of SiO2-TiO2 hybrid thin films increases gradually from 1.18 to 1.53 as the weight ratio of TiO2 to SiO2 increased from 0 to 1.0. The SiO2-TiO2 hybrid thin film and powder possesses good abrasion-resistance and photo-catalytic activity, respectively, as the weight ratio of TiO2 to SiO2 is 0.4. The degradation degree of Rhodamine B by SiO2-TiO2 hybrid powder is 88.3%. Finally, SiO2-TiO2/SiO2-TiO2 double-layer AR coating with high transmittance, abrasion-resistance and self-cleaning property is realized.
NASA Astrophysics Data System (ADS)
Butt, M. A.; Fomchenkov, S. A.; Ullah, A.; Verma, P.; Khonina, S. N.
2016-08-01
We report a design for creating a multilayer dielectric optical filters based on TiO2 and SiO2/MgF2 alternating layers. We have selected Titanium dioxide (TiO2) for high refractive index (2.5), Silicon dioxide (SiO2) and Magnesium fluoride (MgF2) as a low refractive index layer (1.45 & 1.37) respectively. Miniaturized visible spectrometers are useful for quick and mobile characterization of biological samples. Such devices can be fabricated by using Fabry-Perot (FP) filters consisting of two highly reflecting mirrors with a central cavity in between. Distributed Bragg Reflectors (DBRs) consisting of alternating high and low refractive index material pairs are the most commonly used mirrors in FP filters, due to their high reflectivity. However, DBRs have high reflectivity for a selected range of wavelengths known as the stopband of the DBR. This range is usually much smaller than the sensitivity range of the spectrometer range. Therefore a bandpass filters are required to restrict wavelength outside the stopband of the FP DBRs. The proposed filter shows a high quality with average transmission of 97.4% within the passbands and the transmission outside the passband is around 4%. Special attention has been given to keep the thickness of the filters within the economic limits. It can be suggested that these filters are exceptional choice for florescence imaging and Endoscope narrow band imaging.
Canesi, Laura; Ciacci, Caterina; Vallotto, Davide; Gallo, Gabriella; Marcomini, Antonio; Pojana, Giulio
2010-01-31
As the nanotechnology industries increase production, nanoscale products will enter the aquatic environment, posing a possible threat to aquatic organisms. Suspension-feeding invertebrates may represent a unique target group for nanoparticle (NP) ecotoxicity, since they have highly developed processes for the cellular internalisation of nano- and microscale particles (endocytosis and phagocytosis), which are integral to key physiological functions such as intracellular digestion and cellular immunity. In the marine bivalve Mytilus, short-term exposure to nanosized carbon black (NCB) was shown to significantly affect immune parameters of immune cells, the hemocytes, in vitro. In this work, we further investigated the effects of other types of commercial NPs (C60 fullerene, TiO(2) and SiO(2) at 1, 5, 10 microg/ml) on Mytilus hemocytes. Characterization of NP suspensions in artificial sea water (ASW) was performed, indicating the formation of agglomerates of different sizes for different types of NPs. None of the NP tested significantly affected lysosomal membrane stability, indicating the lack of a major toxic effect. However, all NP suspensions induced a concentration-dependent lysozyme release, extracellular oxyradical and nitric oxide (NO) production, to a different extent and with different time courses depending on the concentration and the NP type. The inflammatory effects of NPs were mediated by rapid activation of the stress-activated p38 MAPK. The results further support the hypothesis that in bivalves the immune system represents a significant target for NPs. Copyright (c) 2009 Elsevier B.V. All rights reserved.
Electrorheological behavior of copper phthalocyanine-doped mesoporous TiO2 suspensions.
Di, Kai; Zhu, Yihua; Yang, Xiaoling; Li, Chunzhong
2006-02-15
A type of anhydrous electrorheological (ER) material of copper phthalocyanine (CuPC)-doped mesoporous TiO2 was synthesized by in situ micelle-assisted incorporation CuPC during mesoporous TiO2 synthesis. TEM, XRD and the nitrogen adsorption-desorption isotherms demonstrated that the material had mesoporous structure and an anatase framework. The ER behavior of the suspensions of CuPC-doped mesoporous TiO2 in silicone oil with the different volume fractions was investigated under an applied electric field. It is found that the suspensions showed visible electrorheological behavior which were compared with that of pure TiO2. The dopants of CuPC molecules within the mesochannel of TiO2 mesoporous sieve improved the conductivity of the particles and produced a proper conductivity of approximately 10(-7) S m(-1). Dielectric spectra of the ER fluid were measured to examine the peak of epsilon'' should appear in the frequency range of 10(2)-10(5) Hz and have a large Deltaepsilon' in this frequency range. Therefore, the both properties may make a conjunct effect on electrorheological behavior.
SiO2 and TiO2 nanoparticles synergistically trigger macrophage inflammatory responses.
Tsugita, Misato; Morimoto, Nobuyuki; Nakayama, Masafumi
2017-04-11
Silicon dioxide (SiO 2 ) nanoparticles (NPs) and titanium dioxide (TiO 2 ) NPs are the most widely used inorganic nanomaterials. Although the individual toxicities of SiO 2 and TiO 2 NPs have been extensively studied, the combined toxicity of these NPs is much less understood. In this study, we observed unexpected and drastic activation of the caspase-1 inflammasome and production of IL-1β in mouse bone marrow-derived macrophages stimulated simultaneously with SiO 2 and TiO 2 NPs at concentrations at which these NPs individually do not cause macrophage activation. Consistent with this, marked lung inflammation was observed in mice treated intratracheally with both SiO 2 and TiO 2 NPs. In macrophages, SiO 2 NPs localized in lysosomes and TiO 2 NPs did not; while only TiO 2 NPs produced ROS, suggesting that these NPs induce distinct cellular damage leading to caspase-1 inflammasome activation. Intriguingly, dynamic light scattering measurements revealed that, although individual SiO 2 and TiO 2 NPs immediately aggregated to be micrometer size, the mixture of these NPs formed a stable and relatively monodisperse complex with a size of ~250 nm in the presence of divalent cations. Taken together, these results suggest that SiO 2 and TiO 2 NPs synergistically induce macrophage inflammatory responses and subsequent lung inflammation. Thus, we propose that it is important to assess the synergistic toxicity of various combinations of nanomaterials.
Comparisons between TiO2- and SiO2-flux assisted TIG welding processes.
Tseng, Kuang-Hung; Chen, Kuan-Lung
2012-08-01
This study investigates the effects of flux compounds on the weld shape, ferrite content, and hardness profile in the tungsten inert gas (TIG) welding of 6 mm-thick austenitic 316 L stainless steel plates, using TiO2 and SiO2 powders as the activated fluxes. The metallurgical characterizations of weld metal produced with the oxide powders were evaluated using ferritoscope, optical microscopy, and Vickers microhardness test. Under the same welding parameters, the penetration capability of TIG welding with TiO2 and SiO2 fluxes was approximately 240% and 292%, respectively. A plasma column made with SiO2 flux exhibited greater constriction than that made with TiO2 flux. In addition, an anode root made with SiO2 flux exhibited more condensation than that made with TiO2 flux. Results indicate that energy density of SiO2-flux assisted TIG welding is higher than that of TiO2-flux assisted TIG welding.
NASA Astrophysics Data System (ADS)
Nguyen, Anh Q. D.; Nguyen, Vinh H.
2017-08-01
In this study, we present an insightful investigation on optimal selection of scattering enhancement particles (SEP) to satisfy each specific optical property of white LEDs (WLEDs). The interested contenders include CaCO3, CaF2, SiO2, and TiO2, each of them is added with YAG:Ce phosphor compounding. The quality improvement on each considered property is demonstrated convincingly by applying Mie-scattering theory together with Monte Carlo simulation on a particular WLEDs which has the color temperature of 8500K. It is observed by simulation results that TiO2 particles provide the highest color uniformity among the SEP, as increasing TiO2 concentration. These results of this work can serve as a practical guideline for manufacturing high-quality WLEDs.
NASA Astrophysics Data System (ADS)
Ma, Jian-Qi; Guo, Shao-Bo; Guo, Xiao-Hua; Ge, Hong-Guang
2015-07-01
To prevent and avoid magnetic loss caused by magnetite core phase transition involving in high-temperature crystallization of amorphous sol-gel TiO2, core-shell Fe3O4@SiO2@TiO2 composite spheres were synthesized via non-thermal process of TiO2. First, core-shell Fe3O4@SiO2 particles were synthesized through a solvothermal method followed by a sol-gel process. Second, anatase TiO2 nanoparticles (NPs) were directly coated on Fe3O4@SiO2 surface by liquid-phase deposition method, which uses (NH4)2TiF6 as Ti source for TiO2 and H3BO3 as scavenger for F- ions at 50 °C. The morphology, structure, composition, and magnetism of the resulting composites were characterized and their photocatalytic activities were also evaluated. The results demonstrate that TiO2 NPs with an average size of 6-8 nm were uniformly deposited on the Fe3O4@SiO2 surface. Magnetic hysteresis curves indicate that the composite spheres exhibit superparamagnetic characteristics with a magnetic saturation of 32.5 emu/g at room temperature. The magnetic TiO2 composites show high photocatalytic performance and can be recycled five times by magnetic separation without major loss of activity, which meant that they can be used as efficient and conveniently renewable photocatalyst.
NASA Astrophysics Data System (ADS)
Ye, Xiaoyun; Cai, Shuguang; Zheng, Chan; Xiao, Xueqing; Hua, Nengbin; Huang, Yanyi
2015-08-01
SiO2/TiO2/Ag core-shell multilayered microspheres were successfully synthesized by the combination of anatase of TiO2 modification on the surfaces of SiO2 spheres and subsequent Ag nanoparticles deposition and Ag shell growth with face-centered cubic (fcc) Ag. The composites were characterized by TEM, FT-IR, UV-vis, Raman spectroscopy and XRD, respectively. The infrared emissivity values during 8-14 μm wavelengths of the composites were measured. The results revealed that TiO2 thin layers with the thickness of ∼10 nm were coated onto the SiO2 spheres of ∼220 nm in diameter. The thickness of the TiO2 layers was controlled by varying the amount of TBOT precursor. Homogeneous Ag nanoparticles of ∼20 nm in size were successfully deposited by ultrasound on the surfaces of SiO2/TiO2 composites, followed by complete covering of Ag shell. The infrared emissivity value of the SiO2/TiO2 composites was decreased than that of pure SiO2. Moreover, the introduction of the Ag brought the remarkably lower infrared emissivity value of the SiO2/TiO2/Ag multilayered microspheres with the lowest value down to 0.424. Strong chemical effects in the interface of SiO2/TiO2 core-shell composites and high reflection performance of the metal Ag are two decisive factors for the improved infrared radiation performance of the SiO2/TiO2/Ag multilayered microspheres.
Bayat, Ali Esfandyari; Junin, Radzuan; Shamshirband, Shahaboddin; Chong, Wen Tong
2015-09-16
Engineered aluminum oxide (Al2O3), titanium dioxide (TiO2), and silicon dioxide (SiO2) nanoparticles (NPs) are utilized in a broad range of applications; causing noticeable quantities of these materials to be released into the environment. Issues of how and where these particles are distributed into the subsurface aquatic environment remain as major challenges for those in environmental engineering. In this study, transport and retention of Al2O3, TiO2, and SiO2 NPs through various saturated porous media were investigated. Vertical columns were packed with quartz-sand, limestone, and dolomite grains. The NPs were introduced as a pulse suspended in aqueous solutions and breakthrough curves in the column outlet were generated using an ultraviolet-visible spectrophotometer. It was found that Al2O3 and TiO2 NPs are easily transported through limestone and dolomite porous media whereas NPs recoveries were achieved two times higher than those found in the quartz-sand. The highest and lowest SiO2-NPs recoveries were also achieved from the quartz-sand and limestone columns, respectively. The experimental results closely replicated the general trends predicted by the filtration and DLVO calculations. Overall, NPs mobility through a porous medium was found to be strongly dependent on NP surface charge, NP suspension stability against deposition, and porous medium surface charge and roughness.
Esfandyari Bayat, Ali; Junin, Radzuan; Shamshirband, Shahaboddin; Tong Chong, Wen
2015-01-01
Engineered aluminum oxide (Al2O3), titanium dioxide (TiO2), and silicon dioxide (SiO2) nanoparticles (NPs) are utilized in a broad range of applications; causing noticeable quantities of these materials to be released into the environment. Issues of how and where these particles are distributed into the subsurface aquatic environment remain as major challenges for those in environmental engineering. In this study, transport and retention of Al2O3, TiO2, and SiO2 NPs through various saturated porous media were investigated. Vertical columns were packed with quartz-sand, limestone, and dolomite grains. The NPs were introduced as a pulse suspended in aqueous solutions and breakthrough curves in the column outlet were generated using an ultraviolet-visible spectrophotometer. It was found that Al2O3 and TiO2 NPs are easily transported through limestone and dolomite porous media whereas NPs recoveries were achieved two times higher than those found in the quartz-sand. The highest and lowest SiO2-NPs recoveries were also achieved from the quartz-sand and limestone columns, respectively. The experimental results closely replicated the general trends predicted by the filtration and DLVO calculations. Overall, NPs mobility through a porous medium was found to be strongly dependent on NP surface charge, NP suspension stability against deposition, and porous medium surface charge and roughness. PMID:26373598
NASA Astrophysics Data System (ADS)
Balachandran, K.; Venckatesh, Rajendran; Sivaraj, Rajeshwari; Rajiv, P.
2014-07-01
A novel, simple, less time-consuming and cost-effective wet chemical technique was used to synthesis TiO2 nanoparticles and TiO2-SiO2 nanocomposites using Titanium tetra isopropoxide (TTIP) as a precursor relatively at low temperature in acidic pH. Titania sol was prepared by hydrolysis of TTIP and was mixed with silicic acid and tetrahydrofuran mixture. The reaction was carried out under vigorous stirring for 6 h and dried at room temperature. The resulting powders were characterized by UV-Visible spectroscopy, Fourier transform infrared (FT-IR), X-ray diffraction, scanning electron microscope (SEM) and transmission electron microscope (TEM). The grain size of the particles was calculated by X-ray diffraction, surface morphology and chemical composition was determined from scanning electron microscopy-energy dispersive spectroscopy, metal oxide stretching was confirmed from FT-IR spectroscopy, band gap was calculated using UV-Visible spectroscopy. Surface area of the composite as calculated by BET analyzer and it was found to be 65 and 75 m2/g for TiO2 and TiO2-SiO2 respectively. The photocatalytic experiments were performed with aqueous solution of acid red 88 with TiO2 and TiO2-SiO2 batch studies for 4 h irradiation, direct photolysis of TiO2 and TiO2-SiO2 contributed 94.2% and 96.5% decomposition in solar radiation for the optimized concentration of acid red 88.
NASA Astrophysics Data System (ADS)
Liu, Xuezhang; Wen, Kui; Deng, Chunming; Yang, Kun; Deng, Changguang; Liu, Min; Zhou, Kesong
2018-02-01
High plasma power is beneficial for the deposition efficiency and adhesive strength of suspension-sprayed photocatalytic TiO2 coatings, but it confronts two challenges: one is the reduced activity due to the critical phase transformation of anatase into rutile, and the other is fragmented droplets which cannot be easily injected into the plasma core. Here, TiO2 coatings were deposited at high plasma power and the position of suspension injection was varied with the guidance of numerical simulation. The simulation was based on a realistic three-dimensional time-dependent numerical model that included the inside and outside of torch regions. Scanning electron microscopy was performed to study the microstructure of the TiO2 coatings, whereas x-ray diffraction was adopted to analyze phase composition. Meanwhile, photocatalytic activities of the manufactured TiO2 coatings were evaluated by the degradation of an aqueous solution of methylene blue dye. Fragmented droplets were uniformly injected into the plasma jet, and the solidification pathway of melting particles was modified by varying the position of suspension injection. A nanostructured TiO2 coating with 93.9% anatase content was obtained at high plasma power (48.1 kW), and the adhesive coating bonding to stainless steel exhibited the desired photocatalytic activity.
Self-cleaning cotton functionalized with TiO2/SiO2: focus on the role of silica.
Pakdel, Esfandiar; Daoud, Walid A
2013-07-01
This manuscript aims to investigate the functionalization of cotton fabrics with TiO2/SiO2. In this study, the sol-gel method was employed to prepare titania and silica sols and the functionalization was carried out using the dip-pad-dry-cure process. Titanium tetra isopropoxide (TTIP) and tetra ethyl orthosilicate (TEOS) were utilized as precursors of TiO2 and SiO2, respectively. TiO2/SiO2 composite sols were prepared in three different Ti:Si molar ratios of 1:0.43, 1:1, and 1:2.33. The self-cleaning property of cotton samples functionalized with TiO2/SiO2 was assessed based on the coffee stain removal capability and the decomposition rate of methylene blue under UV irradiation. FTIR study of the TiO2/SiO2 photocatalyst confirmed the existence of Si-O-Si and Ti-O-Si bonds. Scanning electron microscopy was employed to investigate the morphology of the functionalized cotton samples. The samples coated with TiO2/SiO2 showed greater ability of coffee stain removal and methylene blue degradation compared with samples functionalized with TiO2 demonstrating improved self-cleaning properties. The role of SiO2 in improving these properties is also discussed. Copyright © 2013 Elsevier Inc. All rights reserved.
Balachandran, K; Venckatesh, Rajendran; Sivaraj, Rajeshwari; Rajiv, P
2014-07-15
A novel, simple, less time-consuming and cost-effective wet chemical technique was used to synthesis TiO2 nanoparticles and TiO2-SiO2 nanocomposites using Titanium tetra isopropoxide (TTIP) as a precursor relatively at low temperature in acidic pH. Titania sol was prepared by hydrolysis of TTIP and was mixed with silicic acid and tetrahydrofuran mixture. The reaction was carried out under vigorous stirring for 6h and dried at room temperature. The resulting powders were characterized by UV-Visible spectroscopy, Fourier transform infrared (FT-IR), X-ray diffraction, scanning electron microscope (SEM) and transmission electron microscope (TEM). The grain size of the particles was calculated by X-ray diffraction, surface morphology and chemical composition was determined from scanning electron microscopy-energy dispersive spectroscopy, metal oxide stretching was confirmed from FT-IR spectroscopy, band gap was calculated using UV-Visible spectroscopy. Surface area of the composite as calculated by BET analyzer and it was found to be 65 and 75 m(2)/g for TiO2 and TiO2-SiO2 respectively. The photocatalytic experiments were performed with aqueous solution of acid red 88 with TiO2 and TiO2-SiO2 batch studies for 4h irradiation, direct photolysis of TiO2 and TiO2-SiO2 contributed 94.2% and 96.5% decomposition in solar radiation for the optimized concentration of acid red 88. Copyright © 2014 Elsevier B.V. All rights reserved.
Electrophoretic kinetics of concentrated TiO2 nanoparticle suspensions in aprotic solvent
NASA Astrophysics Data System (ADS)
Lee, So-Yeon; Yim, Jung-Ryoul; Lee, Se-Hee; Choi, In-Suk; Nam, Ki Tae; Joo, Young-Chang
2018-01-01
We studied the dependences of the concentration of additive and particle size on the electrophoretic mobility of TiO2 nanoparticles. A high concentration of TiO2 nanoparticles was dispersed in aprotic solvent, which is similar to the operating conditions of electrophoretic applications. Because spectroscopy has limits to measuring the electrophoretic mobility of concentrated suspensions in aprotic solvents, we developed a new measurement to determine the electrophoretic mobility of particles using the reflectance change according to the motion of the particles. TiO2 nanoparticles with sizes of 31 nm to 164 nm were synthesized by hydrolysis and were dispersed in cyclohexanone with a dye (Sudan Black B) for use in the new measurement method. In a concentrated suspension in aprotic solvent, the mobility of the particles was proportional to the dye concentration and was inversely proportional to the size of the particles. This infers that the particle size influences the drag force rather than the surface charge, and therefore, to increase the mobility by changing the surface charge, an additive is effective. [Figure not available: see fulltext.
Selective adsorption of thiophenic compounds from fuel over TiO2/SiO2 under UV-irradiation.
Miao, Guang; Ye, Feiyan; Wu, Luoming; Ren, Xiaoling; Xiao, Jing; Li, Zhong; Wang, Haihui
2015-12-30
This study investigates selective adsorption of thiophenic compounds from fuel over TiO2/SiO2 under UV-irradiation. The TiO2/SiO2 adsorbents were prepared and then characterized by N2 adsorption, X-ray diffraction and X-ray photoelectron spectroscopy. Adsorption isotherms, selectivity and kinetics of TiO2/SiO2 were measured in a UV built-in batch reactor. It was concluded that (a) with the employment of UV-irradiation, high organosulfur uptake of 5.12 mg/g was achieved on the optimized 0.3TiO2/0.7SiO2 adsorbent at low sulfur concentration of 15 ppmw-S, and its adsorption selectivity over naphthalene was up to 325.5; (b) highly dispersed TiO2 served as the photocatalytic sites for DBT oxidation, while SiO2 acted as the selective adsorption sites for the corresponding oxidized DBT using TiO2 as a promoter, the two types of active sites worked cooperatively to achieve the high adsorption selectivity of TiO2/SiO2; (c) The kinetic rate-determining step for the UV photocatalysis-assisted adsorptive desulfurization (PADS) over TiO2/SiO2 was DBT oxidation; (d) consecutive adsorption-regeneration cycles suggested that the 0.3TiO2/0.7SiO2 adsorbent can be regenerated by acetonitrile washing followed with oxidative air treatment. This work demonstrated an effective PADS approach to greatly enhance adsorption capacity and selectivity of thiophenic compounds at low concentrations for deep desulfurization under ambient conditions. Copyright © 2015 Elsevier B.V. All rights reserved.
Si NW network by Ag nanoparticle assisted etching and TiO2/Si NWs as photodetector
NASA Astrophysics Data System (ADS)
Bhowmik, Kishan; Mondal, Aniruddha
2015-03-01
Glancing angle deposited silver (Ag) nanoparticles (NPs) were employed to fabricate the silicon (Si) nanowire (NW) network on p-type Si substrate. The Si NWs were characterized by X-ray diffraction, which shows the (311) oriented single crystalline nature. The FEG-SEM images show that the nanowire diameters are in the order of 60-180 nm. The photoluminescence emission at 525 nm was recognized from the Si NWs. The Ag-TiO2 contacts exhibit Schottky behavior and higher photoconduction was observed for TiO2-Si NW detector than that of TiO2 Thin film under illumination up to 2.5 V applied potential. A threefold enhanced photodetection for the Silicon nanowire device was observed compared to the TiO2 thin film device, under applied voltages of 0.4-1.5 V. [Figure not available: see fulltext.
NASA Astrophysics Data System (ADS)
Potthoff, Annegret; Kratzsch, Robert; Barbosa, Maria; Kulissa, Nick; Kunze, Oliver; Toma, Filofteia-Laura
2018-04-01
Compositions in the system Cr2O3-TiO2-Al2O3 are among the most used ceramic materials for thermally sprayed coating solutions. Cr2O3 coatings present good sliding wear resistance; Al2O3 coatings show excellent insulation behavior and TiO2 striking corrosion properties. In order to combine these properties, coatings containing more than one oxide are highly interesting. The conventional spraying process is limited to the availability of binary feedstock powders with defined compositions. The use of suspensions offers the opportunity for tailor-made chemical compositions: within the triangle of Cr2O3-TiO2-Al2O3, each mixture of oxides can be created. Criteria for the selection of raw materials as well as the relevant aspects for the development of binary suspensions in the Cr2O3-TiO2-Al2O3 system to be used as feedstock for thermal spraying are presented. This formulation of binary suspensions required the development of water-based single-oxide suspensions with suitable behavior; otherwise, the interaction between the particles while mixing could lead up to a formation of agglomerates, which affect both the stability of the spray process and the coating properties. For the validation of this formulation procedure, binary Cr2O3-TiO2 and Al2O3-TiO2 suspensions were developed and sprayed using the S-HVOF process. The binary coatings were characterized and discussed in terms of microstructure and microhardness.
Preparation and photocatalytic properties of nanometer-sized magnetic TiO2/SiO2/CoFe2O4 composites.
Li, Hansheng; Zhang, Yaping; Wu, Qin; Wang, Xitao; Liu, Changhao
2011-11-01
Magnetic TiO2/SiO2/CoFe2O4 nanoparticles (TiO2/SCFs) were prepared by a sol-gel process in a reverse microemulsion combined with solvent-thermal technique. TiO2/SCFs were characterized by Fourier transform infrared spectrometry, thermogravimetric analysis-differential scanning calorimetry, X-ray diffraction, Raman spectrometry, TEM, BET specific surface area measurement, and magnetic analysis. Structure analyses indicated that TiO2/SCFs presented a core-shell structure with TiO2 uniformly coating on SiO2/CoFe2O4 nanomagnets (SCFs) and typical ferromagnetic hysteresis. TiO2/SCFs showed larger specific surface area and better photocatalytic activities than TiO2 and TiO2/CoFe2O4 photocatalysts prepared by the same method. The doping interaction between TiO2 and CoFe2O4 reduced thanks to the inert SiO2 mesosphere.
Enhanced the hydrophobic surface and the photo-activity of TiO2-SiO2 composites
NASA Astrophysics Data System (ADS)
Wahyuni, S.; Prasetya, A. T.
2017-02-01
The aim of this research is to develop nanomaterials for coating applications. This research studied the effect of various TiO2-SiO2 composites in acrylic paint to enhance the hydrophobic properties of the substrate. Titanium dioxide containing silica in the range 20-35 mol% has been synthesized using sol-gel route. The XRD’s spectra show that increasing SiO2 content in the composite, decreasing its crystalline properties but increasing the surface area. TiO2-SiO2 composite was dispersed in acrylic paint in 2% composition by weight. The largest contact angle was 70, which produced by the substrate coated with TS-35-modified acrylic paint. This study also investigated the enhanced photo-activity of TiO2-SiO2 modified with poly-aniline. The XRD spectra show that the treatment does not change the crystal structure of TiO2. The photo-activity of the composite was evaluated by degradation of Rhodamine-B with visible light. The best performance of the degradation process was handled by the composite treated with 0.1mL anilines per gram of TiO2-SiO2 composite (TSP-A). On the other side, the contact angle 70 has not shown an excellent hydrophobic activity. However, the AFM spectra showed that nanoroughness has started to form on the surface of acrylic paint modified with TiO2-SiO2 than acrylic alone.
Tribological Properties of TiO2/SiO2 Double Layer Coatings Deposited on CP-Ti
NASA Astrophysics Data System (ADS)
Çomakli, O.; Yazici, M.; Yetim, T.; Yetim, A. F.; Çelik, A.
In the present paper, the influences of different double layer on wear and scratch performances of commercially pure Titanium (CP-Ti) were investigated. TiO2/SiO2 and SiO2/TiO2 double layer coatings were deposited on CP-Ti by sol-gel dip coating process and calcined at 750∘C. The phase structure, cross-sectional morphology, composition, wear track morphologies, adhesion properties, hardness and roughness of uncoated and coated samples were characterized with X-ray diffraction, scanning electron microscopy (SEM), nano-indentation technique, scratch tester and 3D profilometer. Also, the tribological performances of all samples were investigated by a pin-on-disc tribo-tester against Al2O3 ball. Results showed that hardness, elastic modulus and adhesion resistance of double layer coated samples were higher than untreated CP-Ti. It was found that these properties of TiO2/SiO2 double layer coatings have higher than SiO2/TiO2 double layer coating. Additionally, the lowest friction coefficient and wear rates were obtained from TiO2/SiO2 double layer coatings. Therefore, it was seen that phase structure, hardness and film adhesion are important factors on the tribological properties of double layer coatings.
Morphology and crystalline phase study of electrospun TiO2 SiO2 nanofibres
NASA Astrophysics Data System (ADS)
Ding, Bin; Kim, Hakyong; Kim, Chulki; Khil, Myungseob; Park, Soojin
2003-05-01
Nanofibres of TiO2-SiO2 (Ti:Si = 50: 50 mol%) with diameters of 50-400 nm were prepared by calcining electrospun nanofibres of polyvinyl acetate (PVac)/titania-silica composite as precursor. These PVac/titania-silica hybrid nanofibres were obtained from a homogenous solution of PVac with a sol-gel of titanium isopropoxide (TiP) and tetraethoxysilane by using the electrospinning technique. The nanofibres were characterized by scanning electron microscopy (SEM), wide-angle x-ray diffraction (WAXD), Fourier transform infrared (FTIR) spectroscopy and Brunauer-Emmett-Teller (BET) surface area. SEM, WAXD and FTIR results indicated that the morphology and crystalline phase of TiO2-SiO2 nanofibres were strongly influenced by the calcination temperature and the content of titania and silica in the nanofibres. Additionally, the BET results showed that the surface area of TiO2-SiO2 nanofibres was decreased with increasing calcination temperature and the content of titania and silica in nanofibres.
NASA Astrophysics Data System (ADS)
Dubey, R. S.; Ganesan, V.
2017-11-01
Passive devices made of SiO2/TiO2 bilayers have been demanded for the molding of electromagnetic waves in optical waveguides, microcavities, solar cells, sensors and so on. Here, we present the fabrication and characterization of SiO2/TiO2 multilayer structures as reflectors. The refractive indices were found to be 1.43 & 2.0 with thicknesses 230 & 70 nm corresponding to the SiO2 and TiO2 films respectively. AFM surface topography study showed little bit large surface roughness of the TiO2 as compared to SiO2 film due to its large grain size. The corresponding reflectance enhancement was noticed with the increased number of bilayers of SiO2/TiO2 films. Furthermore, six alternate layers of SiO2/TiO2 demonstrated the as much as 78% reflectance in the near-infrared wavelength range.
NASA Astrophysics Data System (ADS)
Dong, Zhenbiao; Ding, Dongyan; Li, Ting; Ning, Congqin
2018-04-01
Photoelectrochemical (PEC) water splitting based doping modified one dimensional (1D) titanium dioxide (TiO2) nanostructures provide an efficient method for hydrogen generation. Here we first successfully fabricated 1D Si-doped TiO2 (Ti-Si-O) nanotube arrays through anodizing Ti-Si alloys with different Si amount, and reported the PEC properties for water splitting. The Ti-Si-O nanotube arrays fabricated on Ti-5 wt.% Si alloy and annealed at 600 °C possess higher PEC activity, yielding a higher photocurrent density of 0.83 mA/cm2 at 0 V vs. Ag/AgCl. The maximum photoconversion efficiency was 0.54%, which was 2.7 times the photoconversion efficiency of undoped TiO2.
Preparation of MgO-SnO2-TiO2 Materials and Their Corrosion in Na3AlF6-AlF3-K3AlF6 Bath
NASA Astrophysics Data System (ADS)
Xu, Yibiao; Li, Yawei; Sang, Shaobai; Ren, Bo; Qin, Qingwei; Yang, Jianhong
2015-01-01
New types of refractory materials need to be developed for designing the so-called ledge-free sidewalls of the Hall-Héroult cell for aluminum extraction, which are currently constructed using Si3N4 bonded SiC refractories. In the present paper, MgO-based materials as potential candidate sidewalls were prepared using fused magnesia, tin dioxide, and anatase powder as starting materials. The reaction sintering process of the MgO-SnO2-TiO2 materials was investigated by means of X-ray diffraction and scanning electron microscope (SEM). All the specimens were corroded in a Na3AlF6-AlF3-K3AlF6 bath to assess the electrolyte corrosion resistance. The results show that reaction sintering occurs in the MgO-SnO2-TiO2 system in the range of 1373 K to 1873 K (1100 °C to 1600 °C). Firstly, MgO reacts separately with TiO2 and SnO2 to produce the Mg2TiO4 and Mg2SnO4 phases at 1373 K (1100 °C), which in turn react to form the Mg2Ti x Sn1-x O4 composite spinel at temperatures above 1373 K (1100 °C). All the specimens prepared are composed of the composite spinel and periclase phases. Increasing the SnO2 addition from 2 to 10 wt pct enhances densification of the specimens, which is accompanied by the formation of homogeneously distributed composite spinels in the MgO matrix, but the density of the specimen decreases when the amount of SnO2 added is higher than 10 wt pct due to larger volume expansion and agglomeration of the composite spinel. The MgO-SnO2-TiO2 refractories prepared exhibit good corrosion resistance to the electrolyte melts owing to their high density and formation of the composite spinel in the specimens. Their corrosion resistance increases progressively with the increase in the SnO2 addition owing to the formation of more chemically stable composite spinel.
The photovoltaic impact of atomic layer deposited TiO2 interfacial layer on Si-based photodiodes
NASA Astrophysics Data System (ADS)
Karabulut, Abdulkerim; Orak, İkram; Türüt, Abdulmecit
2018-06-01
In present work, photocurrent, current-voltage (I-V) and capacitance/conductance-voltage-frequency (C/G-V-f) measurements were analyzed for the photodiode and diode parameters of Al/TiO2/p-Si structure. The TiO2 thin film structure was deposited on p-Si by using atomic layer deposition technique (ALD) and its thickness was about 10 nm. The surface morphology of TiO2 coated on p-Si structure was observed via atomic force microscope (AFM). Barrier height (Φb) and ideality factor (n) values of device were found to be 0.80 eV, 0.70 eV, 0.56 eV and 1.04, 2.24, 10.27 under dark, 10 and 100 mW/cm2, respectively. Some photodiodes parameters such as fill factor (FF), power efficiency (%η), open circuit voltage (Voc), short circuit current (Isc) were obtained from I-V measurement under different light intensity. FF and η were accounted 49.2, 39,0 and 0.05, 0.45 under 10 and 100 mW/cm2 light power intensity, respectively. C-2-V graph was plotted from C-V-f measurements and zero bias voltage (V0), donor concentration (Nd), Fermi energy (EF), barrier height (Φb) and maximum electric field (Em) were determined from C-2-V data for different frequencies. The electrical and photocurrent values demonstrated that it can be used for photodiode, photo detector and photo sensing applications.
Above room temperature ferromagnetism in Si:Mn and TiO(2-delta)Co.
Granovsky, A; Orlov, A; Perov, N; Gan'shina, E; Semisalova, A; Balagurov, L; Kulemanov, I; Sapelkin, A; Rogalev, A; Smekhova, A
2012-09-01
We present recent experimental results on the structural, electrical, magnetic, and magneto-optical properties of Mn-implanted Si and Co-doped TiO(2-delta) magnetic oxides. Si wafers, both n- and p-type, with high and low resistivity, were used as the starting materials for implantation with Mn ions at the fluencies up to 5 x 10(16) cm(-2). The saturation magnetization was found to show the lack of any regular dependence on the Si conductivity type, type of impurity and the short post-implantation annealing. According to XMCD Mn impurity in Si does not bear any appreciable magnetic moment at room temperature. The obtained results indicate that above room temperature ferromagnetism in Mn-implanted Si originates not from Mn impurity but rather from structural defects in Si. The TiO(2-delta):Co thin films were deposited on LaAlO3 (001) substrates by magnetron sputtering in the argon-oxygen atmosphere at oxygen partial pressure of 2 x 10(-6)-2 x 10(-4) Torr. The obtained transverse Kerr effect spectra at the visible and XMCD spectra indicate on intrinsic room temperature ferromagnetism in TiO(2-delta):Co thin films at low (< 1%) volume fraction of Co.
Crystallization behaviour of nanostructured hybrid SiO2-TiO2 gel glasses to nanocomposites.
Tsvetelina, Gerganova; Yordanka, Ivanova; Yuliya, Vueva; Miranda, Salvado Isabel M; Helena, Fernandes Maria
2010-04-01
The crystallization behaviour of hybrid SiO2-TiO2 nanocomposites derived from titanosiloxanes by sol-gel method has been investigated depending on the type of siloxane precursor and the pirolysis temperature. The resulting hybrid titanosiloxanes, crosslinked with trimethylsilil isocyanate (nitrogen-modified) or methyltrietoxisilane (carbon-modified), were pirolyzed in an inert atmosphere in the temperature range between 600 to 1100 degrees C in order to form C-(N)-Si-O-TiO2 nanocomposites. By means of XRD, FTIR, 29Si NMR, SEM, TEM and AFM investigations have been established that the transformation of the nanostructured SiO2-TiO2 hybrid materials into nanocomposites as well as the crystalline size depend on the titanium content and the type of cross-linking agents used in the synthesizes.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Wu, Yi Y.; Kung, Harold H.
The decomposition of 2-propanol was studied over SiO2, SiO2 with an overlayer of TiO2 (Ti/SiO2), Au/SiO2, and Au/SiO2 with an overlayer of TiO2 (Ti/[Au/SiO2]) at 170–190 °C. There was no reaction on SiO2. Propene was the only product on Ti/SiO2, and its rate of formation increased proportionally with the Ti content. Acetone was the major product (selectivity 65–99%) on all Au-containing catalysts. Its rate of formation also increased with Ti loading. In addition, small amounts of propene were also formed on Ti/[Au/SiO2] the rate of which increased with Ti loading. Characterization of the catalysts with N2 adsorption, STEM, DR-UV-vis spectroscopy,more » XPS, XANES and EXAFS suggested that the Ti formed an amorphous TiO2 overlayer on the catalyst. At high Ti loadings (4–5 wt.%), there were patches of thick porous TiO2 layer, and some microdomains of crystalline TiO2 could be detected. Au was present as 1–3 nm nanoparticles on all catalysts, before and after used in reaction. Only Lewis acid sites were detected based on results from pyridine adsorption, and their quantities increased with Ti loading. Based on the comparison of reaction rates, the dependence of the kinetics on 2-propanol partial pressure, the apparent activation energies, and the effect of co-feeding O2 among different catalysts, it was concluded that propene was formed on the TiO2 overlayer, acetone was formed primarily at the Au-TiO2 interfacial perimeter sites, and α-C-H bond breaking preceding acetone formation was more facile on Au at the interfacial site than other surface Au atoms. Implication of these results to the selective acetone formation in the oxidation of propane in the presence of a O2/H2 mixture was discussed.« less
NASA Astrophysics Data System (ADS)
Ramesh, Sivalingam; Kim, Gwang-Hoon; Kim, Jaehwan; Kim, Joo-Hyung
2015-04-01
Organic-inorganic hybrid material based cellulose was synthesized by the sol-gel approach. The explosion of activity in this area in the past decade has made tremendous progress in industry or academic both fundamental understanding of sol-gel process and applications of new functionalized hybrid materials. In this present research work, we focused on cellulose-dopamine functionalized SiO2/TiO2 hybrid nanocomposite by sol-gel process. The cellulose-dopamine hybrid nanocomposite was synthesized via γ-aminopropyltriethoxysilane (γ-APTES) coupling agent by in-situ sol-gel process. The chemical structure of cellulose-amine functionalized dopamine bonding to cellulose structure with covalent cross linking hybrids was confirmed by FTIR spectral analysis. The morphological analysis of cellulose-dopamine nanoSiO2/TiO2 hybrid nanocomposite materials was characterized by XRD, SEM and TEM. From this different analysis results indicate that the optical transparency, thermal stability, control morphology of cellulose-dopamine-SiO2/TiO2 hybrid nanocomposite. Furthermore cellulose-dopamine-SiO2/TiO2 hybrid nanocomposite was tested against pathogenic bacteria for antimicrobial activity.
NASA Astrophysics Data System (ADS)
Waseem, M.; Muntha, S. T.; Nawaz, M.; Rehman, W.; Rehman, M. A.; Shah, K. H.
2017-01-01
In this study nanosized SiO2, TiO2 and their composite were synthesized via the oil in water (o/w) microemulsion method and their thermal treatment was performed at 378, 573, 973 and 1273 K. The physicochemical properties of the samples were studied by surface area measurements, scanning electron microscopy, Fourier transform infra-red spectroscopy and x-ray diffraction analysis. The Brunauer, Emmett and Teller surface area of all the adsorbents increases from 378 to 573 K, while it decreases upon further heat treatment. The average crystallite size decreases by heating the samples from 378 to 573 K while it increases when the adsorbents were thermally heat treated at 973 and 1273 K. The intensity of a few IR bands was reduced along with the disappearance of most of the bands at higher temperatures. The appearance of the beta-cristobalite phase in SiO2 and the rutile phase in TiO2 was confirmed from the diffraction data. The heat treated samples were subjected to preliminary adsorption of Cd2+ ions from aqueous solution at 293 K. Based on the preliminary adsorption experiments, SiO2, TiO2 and their composite heat treated at 573 K were selected for further adsorption studies. The Langmuir model was found to be fitted to the sorption data of TiO2 and the nanocomposite while the adsorption of Cd2+ ions by the SiO2 nanoparticles was explained well based on the Freundlich model. In the present study, the maximum Cd2+ adsorption capacity of SiO2, TiO2 and their composite was found to be 79.72, 98.55 and 107.17 mg g-1, respectively. The q m and K f values obtained in the present study were found to be far better than those reported in the literature. The negative values of ΔG confirm the feasibility of an adsorption process at higher temperatures. The positive values of ΔH and ΔS represent the endothermic and physical nature of the adsorption process with the increased randomness of Cd2+ ions at the solid/solution interface.
Fries, Elke; Crouzet, Catherine; Michel, Caroline; Togola, Anne
2016-09-01
The aim of the present study was to investigate interactions of the antibiotic ciprofloxacin (CIP), titanium dioxide nanoparticles (TiO2 NP) and natural organic matter (NOM) in aqueous suspensions. The mean hydrodynamic diameter of particles of TiO2 NP and NOM in the suspensions ranged from 113 to 255nm. During batch experiments the radioactivity resulting from (14)CIP was determined in the filtrate (filter pore size 100nm) by scintillation measurements. Up to 72h, no significant sorption of NOM to TiO2 NP was observed at a TiO2 NP concentration of 5mg/L. When the concentration of TiO2 NP was increased to 500mg/L, a small amount of NOM of 9.5%±0.6% was sorbed at 72h. The low sorption affinity of NOM on TiO2 NP surfaces could be explained by the negative charge of both components in alkaline media or by the low hydrophobicity of the NOM contents. At a TiO2 NP concentration of 5mgL(-1), the sorption of CIP on TiO2 NP was insignificant (TiO2 NP/CIP ratio: 10). When the TiO2 NP/CIP ratio was increased to 1000, a significant amount of 53.6%±7.2% of CIP was sorbed on TiO2 NP under equilibrium conditions at 64h. In alkaline media, CIP is present mainly as zwitterions which have an affinity to sorb on negatively charged TiO2 NP surfaces. The sorption of CIP on TiO2 NP in the range of TiO2 NP concentrations currently estimated for municipal wastewater treatment plants is estimated to be rather low. The Freundlich sorption coefficients (KF) in the presence of NOM of 2167L(n)mgmg(-n)kg(-1) was about 10 times lower than in the absence of NOM. This is an indication that the particle fraction of NOM<100nm could play a role as a carrier for ionic organic micro-pollutants as CIP. Copyright © 2015 Elsevier B.V. All rights reserved.
Vishwas, M; Rao, K Narasimha; Gowda, K V Arjuna; Chakradhar, R P S
2011-12-01
Titanium dioxide (TiO(2)) and silicon dioxide (SiO(2)) thin films and their mixed films were synthesized by the sol-gel spin coating method using titanium tetra isopropoxide (TTIP) and tetra ethyl ortho silicate (TEOS) as the precursor materials for TiO(2) and SiO(2) respectively. The pure and composite films of TiO(2) and SiO(2) were deposited on glass and silicon substrates. The optical properties were studied for different compositions of TiO(2) and SiO(2) sols and the refractive index and optical band gap energies were estimated. MOS capacitors were fabricated using TiO(2) films on p-silicon (100) substrates. The current-voltage (I-V) and capacitance-voltage (C-V) characteristics were studied and the electrical resistivity and dielectric constant were estimated for the films annealed at 200°C for their possible use in optoelectronic applications. Copyright © 2011 Elsevier B.V. All rights reserved.
NASA Astrophysics Data System (ADS)
Mahesh, K. P. O.; Kuo, Dong-Hau
2015-12-01
Highly photocatalytic active Ni magnetic nanoparticles-decorated SiO2 core/TiO2 shell (Ni-SiO2/TiO2) particles have been prepared by the simultaneous hydrolysis and condensation of titanium tetra-isopropoxide on SiO2 sphere of ∼300 nm in size followed by the reduction of nickel chloride using hydrazine hydrate as a reducing agent. The crystalline nature, surface morphology, electrochemical impedance spectra and UV-vis diffuse reflectance spectra of the Ni-SiO2/TiO2 magnetic spheres were characterized by PXRD, FE-SEM, TEM, EIS and UV-vis DRS. The Ni-SiO2/TiO2 magnetic photocatalyst was used for the degradation of Acid Black 1 (AB 1) dye under UV irradiation. The effects of different concentrations of the Ni nanoparticles deposited on the SiO2/TiO2 composite spheres for the photo-mineralization of AB 1 dye were analyzed. The results showed the Ni-SiO2/TiO2 magnetic photocatalyst to be efficient and reusable.
Comparative differences in the behavior of TiO2 and SiO2 food additives in food ingredient solutions
NASA Astrophysics Data System (ADS)
Yusoff, Ridhwan; Nguyen, Luong T. H.; Chiew, Paul; Wang, Zheng Ming; Ng, Kee Woei
2018-03-01
Nanotechnology is widely used in the food industry to improve the color, taste, and texture of food products. However, concerns regarding potential undesirable health effects remain. It is expected that interaction of engineered nanomaterials (ENMs) with food ingredients will influence their behavior and the resulting corona. Nonetheless, there are limited systematic studies conducted to clarify this understanding to date. Herein, we investigated the behavior and corona formation of food grade titanium dioxide (TiO2) and silicon dioxide (SiO2) in solutions of model food ingredients including bovine serum albumin (BSA) and sucrose. Measurements using dynamic light scattering (DLS) showed that both TiO2 and SiO2 nanoparticles displayed a decrease in agglomerate sizes in the presence of both food ingredients. Both particles were negatively charged in all the conditions tested. Corona adsorption studies were carried out using multiple complementary methods including Fourier transform infrared (FTIR) spectroscopy, matrix-assisted laser desorption/ionization time-of-flight mass spectrometry (MALDI-ToF-MS), transmission electron microscopy (TEM), micro bicinchoninic acid (BCA) protein assay, and thermogravimetric analysis (TGA). Comparative investigation showed that sucrose could disperse both particles more effectively than BSA and that SiO2 displayed greater adsorption capacity for both BSA and sucrose, compared to TiO2. Taken collectively, this study demonstrated the importance of considering food ingredient effects when mapping the behavior of ENMs in food products. Such understanding could be significant in the evaluation of biological effects, such as toxicity, of ENMs used in food products.
USDA-ARS?s Scientific Manuscript database
The photocatalytic degradation of methylene blue (MB) and inactivation of Gram-negative bacteria E. coli K12 and P. aeruginosa by TiO2 nanoparticles in aqueous suspension were studied. TiO2 resulted in significant reduction in MB absorption and a shift of MB absorption peak from 664 nm to 658 nm aft...
Investigating the solubility and cytocompatibility of CaO-Na2 O-SiO2 /TiO2 bioactive glasses.
Wren, Anthony W; Coughlan, Aisling; Smith, Courtney M; Hudson, Sarah P; Laffir, Fathima R; Towler, Mark R
2015-02-01
This study aims to investigate the solubility of a series of titanium (TiO2 )-containing bioactive glasses and their subsequent effect on cell viability. Five glasses were synthesized in the composition range SiO2 -Na2 O-CaO with 5 mol % of increments TiO2 substituted for SiO2 . Glass solubility was investigated with respect to (1) exposed surface area, (2) particle size, (3) incubation time, and (4) compositional effects. Ion release profiles showed that sodium (Na(+) ) presented high release rates after 1 day and were unchanged between 7 and 14 days. Calcium (Ca(2+) ) release presented a significant change at each time period and was also composition dependent, where a reduction in Ca(2+) release is observed with an increase in TiO2 concentration. Silica (Si(4+) ) release did not present any clear trends while no titanium (Ti(4+) ) was released. Cell numbers were found to increase up to 44%, compared to the growing control population, with a reduction in particle size and with the inclusion of TiO2 in the glass composition. © 2014 Wiley Periodicals, Inc.
Surface passivation of nano-textured fluorescent SiC by atomic layer deposited TiO2
NASA Astrophysics Data System (ADS)
Lu, Weifang; Ou, Yiyu; Jokubavicius, Valdas; Fadil, Ahmed; Syväjärvi, Mikael; Petersen, Paul Michael; Ou, Haiyan
2016-07-01
Nano-textured surfaces have played a key role in optoelectronic materials to enhance the light extraction efficiency. In this work, morphology and optical properties of nano-textured SiC covered with atomic layer deposited (ALD) TiO2 were investigated. In order to obtain a high quality surface for TiO2 deposition, a three-step cleaning procedure was introduced after RIE etching. The morphology of anatase TiO2 indicates that the nano-textured substrate has a much higher surface nucleated grain density than a flat substrate at the beginning of the deposition process. The corresponding reflectance increases with TiO2 thickness due to increased surface diffuse reflection. The passivation effect of ALD TiO2 thin film on the nano-textured fluorescent 6H-SiC sample was also investigated and a PL intensity improvement of 8.05% was obtained due to the surface passivation.
NASA Astrophysics Data System (ADS)
Guo, Na; Liang, Yimai; Lan, Shi; Liu, Lu; Ji, Guijuan; Gan, Shucai; Zou, Haifeng; Xu, Xuechun
2014-06-01
TiO2-SiO2 hollow nanospheres with remarkable enhanced photocatalytic performance have been fabricated by sol-gel method. The hollow sphere possesses both high phototcatalytic activity and adsorption capability. The as-prepared samples were characterized by XRD, SEM, TEM, FTIR, XPS, BJH and TGA/DSC. The experiment results show that, the photocatalyst calcined at 500 °C with Ti/Si ratio of 5:1 (denoted as 5T/S-500) displayed superiorities in both textural and functional properties with the enhanced degradation efficiency on azo dyes (methylene blue, methyl orange) and phenol. The high adsorption capability of organic poisonous contaminants onto 5T/S-500 in aqueous solution demonstrated that the photocatalyst can remove the contaminants from water effectively even without illumination. The TEM and SEM morphologies demonstrated unique hollow and coarse structure of 5T/S-500. Structural analysis showed that Si was doped into the lattice of TiO2 and SiO2 nanoparticles can work as a surface modifier on TiO2. The surface area of 5T/S-500 is 1105 m2/g, 14.5 times as great as that of the pure hollow TiO2 nanosphere, confirms the effect of SiO2 on the improvement of specific surface area. The high photocatalytic activities and high adsorption ability for organic poisonous contaminants demonstrate that the nanocomposite of TiO2-SiO2 is a promising candidate material for future treatment of contaminated water.
Hakki, Amer; Yang, Lu; Wang, Fazhou; Macphee, Donald E.
2017-01-01
The chemical bonding of particulate photocatalysts to supporting material surfaces is of great importance in engineering more efficient and practical photocatalytic structures. However, the influence of such chemical bonding on the optical and surface properties of the photocatalyst and thus its photocatalytic activity/reaction selectivity behavior has not been systematically studied. In this investigation, TiO2 has been supported on the surface of SiO2 by means of two different methods: (i) by the in situ formation of TiO2 in the presence of sand quartz via a sol-gel method employing tetrabutyl orthotitanium (TBOT); and (ii) by binding the commercial TiO2 powder to quartz on a surface silica gel layer formed from the reaction of quartz with tetraethylorthosilicate (TEOS). For comparison, TiO2 nanoparticles were also deposited on the surfaces of a more reactive SiO2 prepared by a hydrolysis-controlled sol-gel technique as well as through a sol-gel route from TiO2 and SiO2 precursors. The combination of TiO2 and SiO2, through interfacial Ti-O-Si bonds, was confirmed by FTIR spectroscopy and the photocatalytic activities of the obtained composites were tested for photocatalytic degradation of NO according to the ISO standard method (ISO 22197−1). The electron microscope images of the obtained materials showed that variable photocatalyst coverage of the support surface can successfully be achieved but the photocatalytic activity towards NO removal was found to be affected by the preparation method and the nitrate selectivity is adversely affected by Ti-O-Si bonding. PMID:28715384
NASA Astrophysics Data System (ADS)
Ma, Jianqi; Guo, Xiaohua; Ge, Hongguang; Tian, Guanghui; Zhang, Qiang
2018-03-01
Ag-decorated SiO2@TiO2 microspheres (SiO2@TiO2-Ag) with ideal core-shell structure and enhanced photocatalytic activity were successfully fabricated by combining both coating anatase TiO2 on the surface of SiO2 spheres and subsequent depositing face-centered cubic Ag nanoparticles (NPs) on the coated TiO2 surface via novel sol-gel method and Ag-seed-mediated photodeposition (PD) route, respectively. The morphology, structure, composition and optical properties of the resulting composites were characterized in detail. The results reveal that the monodisperse SiO2 spheres of ∼260 nm were covered uniformly and perfectly by the TiO2 nanoparticle coating layer with the thickness of ca. 55 nm by the novel sol-gel method. Further, homogeneously and highly dispersed Ag NPs with an average size of 8 ± 1.5 nm were strongly anchored onto the TiO2 surface in SiO2@TiO2 core-shell spheres by the modified PD process (Ag-seed-mediated PD route), whereas polydispersed Ag aggregates and detached Ag NPs were irregularly deposited over the TiO2 surface in previous works, which is the inherent problem and has not been effectively solved for depositing noble metal NPs such as Au, Ag, Pt, Pd on TiO2 surface by conventional PD method. The formation mechanism of small and uniformly dispersed Ag NPs with narrow size distribution via the modified PD method is tentatively explained by both nucleation kinetics and growth kinetics. The key reason is that the pre-deposited seeds firmly tethered on SiO2@TiO2 spheres served as nucleation sites and anchoring points for the further nucleation and subsequent growth of Ag via photoreduction of Ag+.
NASA Astrophysics Data System (ADS)
Mu, Xiaoyu; Liu, Xiaoyu; Wang, Xiaohu; Dai, Haitao; Liu, Changlong
2018-01-01
TiO2 in nanoscale exhibits unique physicochemical and optoelectronic properties and has attracted much more interest of the researchers. In this work, TiO2 nanostructures are synthesized in amorphous SiO2 slices by implanting Ti ions, or sequentially implanting Ti and Cu ions combined with annealing at high temperature. The morphology, structure, spatial distribution and optical properties of the formed nanostructures have been investigated in detail. Our results clearly show that the thermal growth of TiO2 nanostructures in SiO2 substrate is significantly enhanced by presence of post Cu ion implantation, which depends strongly on the applied Cu ion fluence, as well as the annealing atmosphere. Due to the formation of Cu2O in the substrate, rutile TiO2 nanorods of large size have been well fabricated in the Ti and Cu sequentially implanted SiO2 after annealing in N2 atmosphere, in which Cu2O plays a role as a catalyst. Moreover, the sample with well-fabricated TiO2 nanorods exhibits a narrowed band gap, an enhanced optical absorption in visible region, and catalase-/peroxidase-like catalytic characteristics. Our findings provide an effective route to fabricate functional TiO2 nanorods in SiO2 via ion implantation.
Buruiana, Tinca; Melinte, Violeta; Buruiana, Emil C
2017-01-01
Polymer nanocomposites containing titanium oxide nanoparticles (TiO2 NPs) combined with other inorganic components (Si–O–Si or/and γ-Fe2O3) were prepared by the dispersion of premade NPs (nanocrystalline TiO2, TiO2/SiO2, TiO2/Fe2O3, TiO2/SiO2/Fe2O3) within a photopolymerizable urethane dimethacrylate (polytetrahydrofuran-urethane dimethacrylate, PTHF-UDMA). The physicochemical characterization of nanoparticles and hybrid polymeric composites with 10 wt % NPs (S1–S4) was realized through XRD, TEM and FTIR analyses. The mean size (10–30 nm) and the crystallinity of the NPs varied as a function of the inorganic constituent. The catalytic activity of these hybrid films was tested for the photodegradation of phenol, hydroquinone and dopamine in aqueous solution under UV or visible-light irradiation. The best results were obtained for the films with TiO2/Fe2O3 or TiO2/SiO2/Fe2O3 NPs. The degradation of the mentioned model pollutants varied between 71% and 100% (after 250 min of irradiation) depending on the composition of the hybrid film tested and the light applied (UV–visible light). Also, it was established that such hybrid films can be reused at least for five cycles, without losing too much of the photocatalytic efficiency (ca. 7%). These findings could have implications in the development of new nanocatalysts. PMID:28243566
Zhang, Ying; Zhao, Yan; Cao, Shunsheng; Yin, Zhengliang; Cheng, Li; Wu, Limin
2017-09-06
TiO 2 has been widely investigated as an electrode material because of its long cycle life and good durability, but the relatively low theoretical capacity restricts its practical application. Herein, we design and synthesize novel hierarchical SiO 2 @C/TiO 2 (HSCT) hollow spheres via a template-directed method. These unique HSCT hollow spheres combine advantages from both TiO 2 such as cycle stability and SiO 2 with a high accessible area and ionic transport. In particular, the existence of a C layer is able to enhance the electrical conductivity. The SiO 2 layer with a porous structure can increase the ion diffusion channels and accelerate the ion transfer from the outer to the inner layers. The electrochemical measurements demonstrate that the HSCT-hollow-sphere-based electrode manifests a high specific capacitance of 1018 F g -1 at 1 A g -1 which is higher than those for hollow TiO 2 (113 F g -1 ) and SiO 2 /TiO 2 (252 F g -1 ) electrodes, and substantially higher than those of all the previously reported TiO 2 -based electrodes.
Xing, Zhuo; Ren, Feng; Wu, Hengyi; Wu, Liang; Wang, Xuening; Wang, Jingli; Wan, Da; Zhang, Guozhen; Jiang, Changzhong
2017-01-01
Nanostructured Si as the high efficiency photoelectrode material is hard to keep stable in aqueous for water splitting. Capping a passivation layer on the surface of Si is an effective way of protecting from oxidation. However, it is still not clear in the different mechanisms and effects between insulating oxide materials and oxide semiconductor materials as passivation layers. Here, we compare the passivation effects, the photoelectrochemical (PEC) properties, and the corresponding mechanisms between the HfO2/nanoporous-Si and the TiO2/nanoporous-Si by I–V curves, Motte-schottky (MS) curves, and electrochemical impedance spectroscopy (EIS). Although the saturated photocurrent densities of the TiO2/nanoporous Si are lower than that of the HfO2/nanoporous Si, the former is more stable than the later. PMID:28252106
NASA Astrophysics Data System (ADS)
Xing, Zhuo; Ren, Feng; Wu, Hengyi; Wu, Liang; Wang, Xuening; Wang, Jingli; Wan, Da; Zhang, Guozhen; Jiang, Changzhong
2017-03-01
Nanostructured Si as the high efficiency photoelectrode material is hard to keep stable in aqueous for water splitting. Capping a passivation layer on the surface of Si is an effective way of protecting from oxidation. However, it is still not clear in the different mechanisms and effects between insulating oxide materials and oxide semiconductor materials as passivation layers. Here, we compare the passivation effects, the photoelectrochemical (PEC) properties, and the corresponding mechanisms between the HfO2/nanoporous-Si and the TiO2/nanoporous-Si by I-V curves, Motte-schottky (MS) curves, and electrochemical impedance spectroscopy (EIS). Although the saturated photocurrent densities of the TiO2/nanoporous Si are lower than that of the HfO2/nanoporous Si, the former is more stable than the later.
Xing, Zhuo; Ren, Feng; Wu, Hengyi; Wu, Liang; Wang, Xuening; Wang, Jingli; Wan, Da; Zhang, Guozhen; Jiang, Changzhong
2017-03-02
Nanostructured Si as the high efficiency photoelectrode material is hard to keep stable in aqueous for water splitting. Capping a passivation layer on the surface of Si is an effective way of protecting from oxidation. However, it is still not clear in the different mechanisms and effects between insulating oxide materials and oxide semiconductor materials as passivation layers. Here, we compare the passivation effects, the photoelectrochemical (PEC) properties, and the corresponding mechanisms between the HfO 2 /nanoporous-Si and the TiO 2 /nanoporous-Si by I-V curves, Motte-schottky (MS) curves, and electrochemical impedance spectroscopy (EIS). Although the saturated photocurrent densities of the TiO 2 /nanoporous Si are lower than that of the HfO 2 /nanoporous Si, the former is more stable than the later.
Fabrication and tritium release property of Li2TiO3-Li4SiO4 biphasic ceramics
NASA Astrophysics Data System (ADS)
Yang, Mao; Ran, Guangming; Wang, Hailiang; Dang, Chen; Huang, Zhangyi; Chen, Xiaojun; Lu, Tiecheng; Xiao, Chengjian
2018-05-01
Li2TiO3-Li4SiO4 biphasic ceramic pebbles have been developed as an advanced tritium breeder due to the potential to combine the advantages of both Li2TiO3 and Li4SiO4. Wet method was developed for the pebble fabrication and Li2TiO3-Li4SiO4 biphasic ceramic pebbles were successfully prepared by wet method using the powders synthesized by hydrothermal method. The tritium release properties of the Li2TiO3-Li4SiO4 biphasic ceramic pebbles were evaluated. The biphasic pebbles exhibited good tritium release property at low temperatures and the tritium release temperature was around 470 °C. Because of the isotope exchange reaction between H2 and tritium, the addition of 0.1%H2 to purge gas He could significantly enhance the tritium gas release and the fraction of molecular form of tritium increased from 28% to 55%. The results indicate that the Li2TiO3-Li4SiO4 biphasic ceramic pebbles fabricated by wet method exhibit good tritium release property and hold promising potential as advanced breeder pebbles.
Fabrication and characterization of TiO2/SiO2 based Bragg reflectors for light trapping applications
NASA Astrophysics Data System (ADS)
Dubey, R. S.; Ganesan, V.
Distributed Bragg reflectors (DBRs) have received an intensive attention due to their increasing demand in optoelectronic and photonic devices. Such reflectors are capable to prohibit the light propagation within the specified wavelength range of interest. In this paper, we present the fabrication of TiO2/SiO2 stacks based Bragg reflectors by using a simple and in-expensive sol-gel spin coating technique. The prepared single-layer thin films of TiO2 and SiO2 onto glass substrates were characterized for their optical constants. By tuning the process parameters, one-seven DBR stacks of TiO2/SiO2 were prepared. The corresponding shift of the Bragg reflection peak was observed with the increased number of DBR stacks and as much as about 90% reflectance is observed from the 7DBR stacks. The experimentally measured reflectance was compared with the simulated one, which showed good in agreement. FESEM measurement has confirmed the formation of bright and dark strips of TiO2 and SiO2 films with their thicknesses 80 and 115 nm respectively. The simulation study was explored to a design of thin film silicon solar cell using 7DBR stacks. An enhancement in light absorption in the visible wavelength range is observed which coincides with the experimental result of the reflectance. The use of DBR at the bottom of the solar cell could felicitate the better light harvesting with the occurrence of Fabry-Perot resonances in the absorbing layer.
Photodegradation of bisphenol-A in a batch TiO2 suspension reactor.
Tsai, Wen-Tien; Lee, Mei-Kuei; Su, Ting-Yi; Chang, Yuan-Ming
2009-08-30
In this work, the photocatalytic behaviors of bisphenol-A (BPA), which has been listed as one of endocrine disrupting chemicals, were carried out in a batch TiO(2) suspension reactor. The photodegradation efficiency has been investigated under the controlled process parameters including initial BPA concentration (i.e., 1-50 mg L(-1)), TiO(2) dosage (i.e., 5-600 mg/200 cm(3)), initial pH (i.e., 3-11), and temperature (i.e., 10-70 degrees C). It was found that the optimal conditions in the photoreaction process could be coped with at initial BPA concentration=20 mg L(-1), TiO(2) dosage=0.5 g L(-1) (100mg/200 cm(3)), initial pH=7.0, and temperature=25 degrees C. According to the Langmuir-Hinshelwood model, the results showed that the photodegradation kinetics for the destruction of BPA in water also followed the first-order model well. The apparent first-order reaction constants (k(obs)), thus obtained from the fittings of the model, were in line with the destruction-removal efficiencies of BPA in all the photocatalytic experiments. Based on the intermediate products identified in the study, the possible mechanisms for the photodegradation of BPA in water were also proposed in the present study.
Rahnama, H; Sattarzadeh, A; Kazemi, F; Ahmadi, N; Sanjarian, F; Zand, Z
2016-11-15
Recent updates on Magnetic Nano-Particles (MNPs) based separation of nucleic acids have received more attention due to their easy manipulation, simplicity, ease of automation and cost-effectiveness. It has been indicated that DNA molecules absorb on solid surfaces via hydrogen-bonding, and hydrophobic and electrostatic interactions. These properties highly depend on the surface condition of the solid support. Therefore, surface modification of MNPs may enhance their functionality and specification. In the present study, we functionalized Fe3O4 nano-particle surface utilizing SiO2 and TiO2 layer as Fe3O4/SiO2 and Fe3O4/SiO2/TiO2 and then compare their functionality in the adsorption of plasmid DNA molecules with the naked Fe3O4 nano-particles. The result obtained showed that the purity and amount of DNA extracted by Fe3O4 coated by SiO2 or SiO2/TiO2 were higher than the naked Fe3O4 nano-particles. Furthermore, we obtained pH 8 and 1.5 M NaCl as an optimal condition for desorption of DNA from MNPs. The result further showed that, 0.2 mg nano-particle and 10 min at 55 °C are the optimal conditions for DNA desorption from nano-particles. In conclusion, we recommended Fe3O4/SiO2/TiO2 as a new MNP for separation of DNA molecules from biological sources. Copyright © 2016 Elsevier Inc. All rights reserved.
NASA Astrophysics Data System (ADS)
Lu, Dingze; Fang, Pengfei; Ding, Junqian; Yang, Minchen; Cao, Yufei; Zhou, Yawei; Peng, Kui; Kondamareddy, Kiran Kumar; Liu, Min
2017-02-01
Two-dimensional TiO2-based nanosheets (TNSs) co-modified by surface-enriched carbon dots (CDs) and Gd2O3 nanoparticles: (Gd-C-TNSs), capable of exhibiting visible-light-driven photo catalysis were synthesized using a two-pot hydrothermal route. The samples had a sheet-like structure, thickness of approximately 3.6 nm, large specific surface area of 240-350 cm2/g. The CDs (2-3 nm) and Gd2O3 nanoparticles (1-2 nm) were highly dispersed over the surface of the nanosheets. The co-modification by Gd2O3 nanoparticles and CDs influenced the crystallinity, crystal structure, and surface area of the TNSs, and improved the visible-light absorption. Surface photocurrent and fluorescence spectral studies revealed that the photo-generated charge carrier separation efficiency could be improved by an appropriate amount of modification. A very high efficiency was obtained using 0.5 at% Gd/Ti and 3.0 g/L of CDs. The visible-light-induced photocatalytic activity is enhanced under the isolated Cr(VI) system, isolated Rhodamin B (RhB) system, and the synergism between RhB degradation and Cr(VI) reduction for the Gd-C-TNSs photocatalysts. Initially, the photocatalytic activity gradually increased with an increase in the amount of CDs, and then decreased after attaining a maximum, in the case where 0.5 at% Gd/Ti and 3.0 g/L of CDs were used. The enhancement in the photocatalytic activity was attributed to the synergetic effect of the Gd2O3 nanoparticles, TNSs, and CDs in the Gd-C-TNSs composites. The effect led to a fast separation and slow recombination of photo-induced electron-hole pairs. An alternate mechanism for enhanced visible-light photocatalytic activity was also considered.
Cheng, Fei; Lorch, Mark; Sajedin, Seyed Mani; Kelly, Stephen M; Kornherr, Andreas
2013-08-01
To inhibit the photocatalytic degradation of organic material supports induced by small titania (TiO2 ) nanoparticles, four kinds of TiO2 nanoparticles, that is, commercial P25-TiO2 , commercial rutile phase TiO2 , rutile TiO2 nanorods and rutile TiO2 spheres, prepared from TiCl4 , were coated with a thin, but dense, coating of silica (SiO2 ) using a conventional sol-gel technique to form TiO2 /SiO2 core/shell nanoparticles. These core/shell particles were deposited and fixed as a very thin coating onto the surface of cellulose paper samples by a wet-chemistry polyelectrolyte layer-by-layer approach. The TiO2 /SiO2 nanocoated paper samples exhibit higher whiteness and brightness and greater stability to UV-bleaching than comparable samples of blank paper. There are many potential applications for this green chemistry approach to protect cellulosic fibres from UV-bleaching in sunlight and to improve their whiteness and brightness. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
NASA Astrophysics Data System (ADS)
Levchuk, Irina; Sillanpää, Mika; Guillard, Chantal; Gregori, Damia; Chateau, Denis; Parola, Stephane
2016-10-01
The aim of the work was to study photocatalytic activity of composite TiO2/Au/SiO2 thin films. Coatings were prepared using sol-gel technique. Physicochemical parameters of coatings were characterized using UV-vis spectrometry, scanning electron microscopy (SEM), transmission electron microscopy (TEM), X-ray photoelectron spectrometry (XPS), inductively coupled plasma optical emission spectroscopy (ICP-OES), ellipsometry, tactile measurements, goniometry and diffuse reflectance measurements. The photocatalytic activity of the films was tested in batch mode using aqueous solution of formic acid. Changes of formic acid concentration were determined by means of high pressure liquid chromatography (HPLC). Increase of initial degradation rate of formic acid was detected for TiO2/Au/SiO2 films with gold nanoparticle's load 0.5 wt.% and 1.25 wt.%. However, deeper insights using more detailed characterization of these coatings demonstrated that the improvement of the photocatalytic activity is more probably attributed to an increase in the areal loading of TiO2.
Kulyk, Kostiantyn; Palianytsia, Borys; Alexander, John D; Azizova, Liana; Borysenko, Mykola; Kartel, Mykola; Larsson, Mats; Kulik, Tetiana
2017-07-19
Valeric acid is an important renewable platform chemical that can be produced efficiently from lignocellulosic biomass. Upgrading of valeric acid by catalytic pyrolysis has the potential to produce value added biofuels and chemicals on an industrial scale. Understanding the different mechanisms involved in the thermal transformations of valeric acid on the surface of nanometer-sized oxides is important for the development of efficient heterogeneously catalyzed pyrolytic conversion techniques. In this work, the thermal decomposition of valeric acid on the surface of nanoscale SiO 2 , γ-Al 2 O 3 , CeO 2 /SiO 2 , Al 2 O 3 /SiO 2 and TiO 2 /SiO 2 has been investigated by temperature-programmed desorption mass spectrometry (TPD MS). Fourier transform infrared spectroscopy (FTIR) has also been used to investigate the structure of valeric acid complexes on the oxide surfaces. Two main products of pyrolytic conversion were observed to be formed depending on the nano-catalyst used-dibutylketone and propylketene. Mechanisms of ketene and ketone formation from chemisorbed fragments of valeric acid are proposed and the kinetic parameters of the corresponding reactions were calculated. It was found that the activation energy of ketenization decreases in the order SiO 2 >γ-Al 2 O 3 >TiO 2 /SiO 2 >Al 2 O 3 /SiO 2 , and the activation energy of ketonization decreases in the order γ-Al 2 O 3 >CeO 2 /SiO 2 . Nano-oxide CeO 2 /SiO 2 was found to selectively catalyze the ketonization reaction. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.
Xekoukoulotakis, N P; Mantzavinos, D; Dillert, R; Bahnemann, D
2010-01-01
Boron-doped TiO(2) photocatalysts were synthesized employing a sol-gel method. Boric acid was used as the boron source and titanium tetra-isopropoxide as the TiO(2) precursor, both dissolved in isopropanol. Nominal boron to titanium atomic ratios were in the range 0 to 4%. After the hydrolysis step, two different procedures for the recovery of TiO(2) were followed, based on either centrifugation of the resulting reaction mixture or evaporation of the solvent under reduced pressure, both followed by a subsequent calcination step performed at 400 or 500 degrees C. The photocatalytic efficiency of the synthesized photocatalysts was assessed by measuring the photocatalytic mineralization of dichloroacetic acid in aqueous suspensions under UV-A irradiation and it was compared to the corresponding efficiency of the commercial Degussa P 25 TiO(2). Photocatalytic efficiency of the synthesized catalysts was higher for the boron-doped TiO(2) synthesized at 2% boron to titanium nominal atomic ratio, centrifuged after the hydrolysis step followed by calcinations at 400 degrees C. However, all photocatalysts synthesized in this work showed lower photocatalytic activity than Degussa P 25 TiO(2), thus highlighting the need of further improvements of the proposed method.
NASA Astrophysics Data System (ADS)
Denda, Junya; Uryu, Kazuya; Watanabe, Masahiro
2013-04-01
A novel scheme of resistance switching random access memory (ReRAM) devices fabricated using Si/CaF2/CdF2/CaF2/Si quantum-well structures grown on metal CoSi2 layer formed on a Si substrate has been proposed, and embryonic write/erase memory operation has been demonstrated at room temperature. It has been found that the oxide-mediated epitaxy (OME) technique for forming the CoSi2 layer on Si dramatically improves the stability and reproducibility of the current-voltage (I-V) curve. This technology involves 10-nm-thick Co layer deposition on a protective oxide prepared by boiling in a peroxide-based solution followed by annealing at 550 °C for 30 min for silicidation in ultrahigh vacuum. A switching voltage of lower than 1 V, a peak current density of 32 kA/cm2, and an ON/OFF ratio of 10 have been observed for the sample with the thickness sequence of 0.9/0.9/2.5/0.9/5.0 nm for the respective layers in the Si/CaF2/CdF2/CaF2/Si structure. Results of surface morphology analysis suggest that the grain size of crystal islands with flat surfaces strongly affects the quality of device characteristics.
NASA Astrophysics Data System (ADS)
Yoshiba, Shuhei; Tanitsu, Katsuya; Suda, Yoshiyuki; Kamisako, Koichi
2017-06-01
Passivation films or antireflection coatings are generally prepared using costly vacuum or high-temperature processes. Thus, we report the preparation of TiO x -SiO x composite films by novel spin coatable solutions for the synthesis of low-cost passivation coating materials. The desired films were formed by varying the mixing ratios of TiO x and SiO x , and the resulting films exhibited excellent surface passivation properties. For the p-type wafer, an optimal effective surface recombination velocity (S eff) of 93 cm/s was achieved at \\text{TiO}x:\\text{SiO}x = 6:4, while a surface recombination current density (J 0s) of 195 fA/cm2 was obtained. In contrast, for the n-type wafer, an S eff of 27 cm/s and a J 0s of 38 fA/cm2 were achieved at \\text{TiO}x:\\text{SiO}x = 8:2. This excellent surface passivation effect could be attributed to the low interface state density and high positive fixed charge density. Furthermore, the thickness of the interfacial SiO x layer was determined to be important for obtaining the desired surface passivation effect.
Bi12TiO20 crystallization in a Bi2O3-TiO2-SiO2-Nd2O3 system
NASA Astrophysics Data System (ADS)
Slavov, S.; Jiao, Z.
2018-03-01
Polycrystalline mono-phase bismuth titanate was produced by free cooling from melts heated to 1170 °C. The control over the initial amounts in the starting compositions in the system Bi2O3/TiO2/SiO2/Nd2O3 and over the thermal gradient of the heat process resulted in the formation of specific structures and microstructures of monophase sillenite ceramics. The main phase Bi12TiO20 belongs to the amorphous network groups based on oxides of silicon, bismuth and titanium. In this work, we demonstrated a way to control the crystalline and amorphous phase formation in bulk poly-crystalline materials in the selected system.
NASA Astrophysics Data System (ADS)
Nemaga, Abirdu Woreka; Mallet, Jeremy; Michel, Jean; Guery, Claude; Molinari, Michael; Morcrette, Mathieu
2018-07-01
The development of high energy density Li-ion batteries requires to look for electrode materials with high capacity while keeping their stability upon cycling. In this study, amorphous silicon (a-Si) thin film deposited on self-organized TiO2 nanotubes is investigated as negative electrode for Li-ion batteries. Nanostructured composite negative electrodes were fabricated by a two-step cost effective electrochemical process. Firstly, self-organized TiO2 nanotube arrays were synthesised by anodizing of Ti foil. Subsequently, thanks to the use of room temperature ionic liquid, conformal Si layer was electrodeposited on the TiO2 nanotubes to achieve the synthesis of nanostructured a-Si/TiO2 nanotube composite negative electrodes. The influence of the Si loading as well as the crystallinity of the TiO2 nanotubes have been studied in terms of capacity and cyclic stability. For an optimized a-Si loading, it is shown that the amorphous state for the TiO2 nanotubes enables to get stable lithiation and delithiation with a total areal charge capacity of about 0.32 mA h cm-2 with improved capacity retention of about 84% after 50 cycles, while a-Si on crystalline TiO2 nanotubes shows poor cyclic stability independently from the Si loading.
Aäritalo, Virpi; Areva, Sami; Jokinen, Mika; Lindén, Mika; Peltola, Timo
2007-09-01
A series of sol-gel derived TiO(2)-SiO(2) mixed oxide coatings were prepared by carefully controlling the process parameters to obtain silica-releasing coatings consisting of nanoparticles. These features are of paramount importance for enhanced cell adhesion and activation. To achieve both these goals the Ti-alkoxide and Si-alkoxide were first separately hydrolysed and the titania-silica mixed sol was further reacted before the dipping process to obtain the desired particle sizes resulting to the biologically favourable topographical features. Silica release was observed from all the prepared coatings and it was dependent on SiO(2) amount added to the sols, i.e., the higher the added amount the higher the release. In addition, calcium phosphate was able to nucleate on the coatings. From the obtained SiO(2) dissolution data, together with the detailed XPS peak analysis, the mixed oxide coatings are concluded to be chemically heterogeneous, consisting of TiO(2) and SiO(2) species most likely linked together by Ti-O-Si bonds. TiO(2) is chemically stable making long-term implant coating possible and the desired nanoscale dimensions were well preserved although the composition was changed as a consequence of SiO(2) dissolution under in vitro conditions.
Improvements in SiC{sub w}/Al{sub 2}O{sub 3} composites through colloidally stabilized suspensions
DOE Office of Scientific and Technical Information (OSTI.GOV)
Crimp, M.J.; Oppermann, D.A.; Zhang, M.
1994-12-31
Through manipulation of colloidal parameters, suspensions of SiC(whisker)/Al{sub 2}O{sub 3} were prepared, at 5, 10 and 20 vol% SiC whisker, using processing conditions established in Stable Suspension{copyright}. Utilizing Hogg, Healy and Furstenau`s modifications to DLVO theory, this program predicts stability conditions for composite suspensions. Variations in the suspension pH induce changes in the attractive/repulsive interactions between components. This type of interaction in turn influences the packing and green density. Composite suspensions were prepared, freeze dried, then cold consolidated. The distribution of the SiC whiskers within the Al{sub 2}O{sub 3} matrix was determined from SEM micrographs and the composite green densitymore » correlated to the extent of homo- versus heterostability within the composite suspension. The green density of the pure Al{sub 2}O{sub 3} and the 5 vol% SiC whisker additions was the highest at the pH of maximum stability for each interaction. In contrast, at whisker additions of 10 and 20 vol%, the green density is the highest at a pH of low heterostability.« less
Lee, Seung Jun; Hur, Man Gyu; Yoon, Dae Ho
2013-11-01
We investigate nano-sized double layer anti-reflection coatings (ARCs) using a TiO2 and SiO2 sol-gel solution process for mono-crystalline silicon solar cells. The process can be easily adapted for spraying sol-gel coatings to reduce manufacturing cost. The spray-coated SiO2/TiO2 nano-sized double layer ARCs were deposited on mono-crystalline silicon solar cells, and they showed good optical properties. The spray coating process is a lower-cost fabrication process for large-scale coating than vacuum deposition processes such as PECVD. The measured average optical reflectance (300-1200 nm) was about approximately 8% for SiO2/TiO2 nano-sized double layer ARCs. The electrical parameters of a mono-crystalline silicon solar cell and reflection losses show that the SiO2/TiO2 stacks can improve cell efficiency by 0.2% compared to a non-coated mono-crystalline silicon solar cell. In the results, good correlation between theoretical and experimental data was obtained. We expect that the sol-gel spray-coated mono-crystalline silicon solar cells have high potential for low-cost solar cell fabrication.
NASA Astrophysics Data System (ADS)
Su, Jianwei; Zhang, Yunxia; Xu, Sichao; Wang, Shuan; Ding, Hualin; Pan, Shusheng; Wang, Guozhong; Li, Guanghai; Zhao, Huijun
2014-04-01
Herein, we demonstrate the design and fabrication of the well-defined triple-shelled Ag@Fe3O4@SiO2@TiO2 nanospheres with burr-shaped hierarchical structures, in which the multiple distinct functional components are integrated wonderfully into a single nanostructure. In comparison with commercial TiO2 (P25), pure TiO2 microspheres, Fe3O4@SiO2@TiO2 and annealed Ag@Fe3O4@SiO2@TiO2 nanocomposites, the as-obtained amorphous triple-shelled Ag@Fe3O4@SiO2@TiO2 hierarchical nanospheres exhibit a markedly enhanced visible light or sunlight photocatalytic activity towards the photodegradation of methylene blue and photoreduction of hexavalent chromium ions in wastewater. The outstanding photocatalytic activities of the plasmonic photocatalyst are mainly due to the enhanced light harvesting, reduced transport paths for both mass and charge transport, reduced recombination probability of photogenerated electrons/holes, near field electromagnetic enhancement and efficient scattering from the plasmonic nanostructure, increased surface-to-volume ratio and active sites in three dimensional (3D) hierarchical porous nanostructures, and improved photo/chemical stability. More importantly, the hierarchical nanostructured Ag@Fe3O4@SiO2@TiO2 photocatalysts could be easily collected and separated by applying an external magnetic field and reused at least five times without any appreciable reduction in photocatalytic efficiency. The enhanced photocatalytic activity and excellent chemical stability, in combination with the magnetic recyclability, make these multifunctional nanostructures promising candidates to remediate aquatic contaminants and meet the demands of future environmental issues.Herein, we demonstrate the design and fabrication of the well-defined triple-shelled Ag@Fe3O4@SiO2@TiO2 nanospheres with burr-shaped hierarchical structures, in which the multiple distinct functional components are integrated wonderfully into a single nanostructure. In comparison with commercial TiO2
Optical properties of amorphous SiO2-TiO2 multi-nanolayered coatings for 1064-nm mirror technology
NASA Astrophysics Data System (ADS)
Magnozzi, M.; Terreni, S.; Anghinolfi, L.; Uttiya, S.; Carnasciali, M. M.; Gemme, G.; Neri, M.; Principe, M.; Pinto, I.; Kuo, L.-C.; Chao, S.; Canepa, M.
2018-01-01
The use of amorphous, SiO2-TiO2 nanolayered coatings has been proposed recently for the mirrors of 3rd-generation interferometric detectors of gravitational waves, to be operated at low temperature. Coatings with a high number of low-high index sub-units pairs with nanoscale thickness were found to preserve the amorphous structure for high annealing temperatures, a key factor to improve the mechanical quality of the mirrors. The optimization of mirror designs based on such coatings requires a detailed knowledge of the optical properties of sub-units at the nm-thick scale. To this aim we have performed a Spectroscopic Ellipsometry (SE) study of amorphous SiO2-TiO2 nanolayered films deposited on Si wafers by Ion Beam Sputtering (IBS). We have analyzed films that are composed of 5 and 19 nanolayers (NL5 and NL19 samples) and have total optical thickness nominally equivalent to a quarter of wavelength at 1064 nm. A set of reference optical properties for the constituent materials was obtained by the analysis of thicker SiO2 and TiO2 homogeneous films (∼ 120 nm) deposited by the same IBS facility. By flanking SE with ancillary techniques, such as TEM and AFM, we built optical models that allowed us to retrieve the broad-band (250-1700 nm) optical properties of the nanolayers in the NL5 and NL19 composite films. In the models which provided the best agreement between simulation and data, the thickness of each sub-unit was fitted within rather narrow bounds determined by the analysis of TEM measurements on witness samples. Regarding the NL5 sample, with thickness of 19.9 nm and 27.1 nm for SiO2 and TiO2 sub-units, respectively, the optical properties presented limited variations with respect to the thin film counterparts. For the NL19 sample, which is composed of ultrathin sub-units (4.4 nm and 8.4 nm for SiO2 and TiO2, respectively) we observed a significant decrease of the IR refraction index for both types of sub-units; this points to a lesser mass density with
A promising tritium breeding material: Nanostructured 2Li2TiO3-Li4SiO4 biphasic ceramic pebbles
NASA Astrophysics Data System (ADS)
Dang, Chen; Yang, Mao; Gong, Yichao; Feng, Lan; Wang, Hailiang; Shi, Yanli; Shi, Qiwu; Qi, Jianqi; Lu, Tiecheng
2018-03-01
As an advanced tritium breeder material for the fusion reactor blanket of the International Thermonuclear Experimental Reactor (ITER), Li2TiO3-Li4SiO4 biphasic ceramic has attracted widely attention due to its merits. In this paper, the uniform precursor powders were prepared by hydrothermal method, and nanostructured 2Li2TiO3-Li4SiO4 biphasic ceramic pebbles were fabricated by an indirect wet method at the first time. In addition, the composition dependence (x/y) of their microstructure characteristics and mechanical properties were investigated. The results indicated that the crush load of biphasic ceramic pebbles was better than that of single phase ceramic pebbles under identical conditions. The 2Li2TiO3-Li4SiO4 ceramic pebbles have good morphology, small grain size (90 nm), satisfactory crush load (37.8 N) and relative density (81.8 %T.D.), which could be a promising breeding material in the future fusion reactor.
NASA Astrophysics Data System (ADS)
Zhang, Ying; Li, Yalong; Cui, Zhaolun; Chen, Dachang; Zhang, Xiaoxing
2018-05-01
The high-temperature effect gas SF6 is used in the power industry, and its emissions are increasing daily. Therefore, the degradation of SF6 is particularly important. In this work, SF6 with a high concentration of 2% was degraded using the catalytic principle of TiO2 under UV light at normal temperature and pressure. Experimental results proved that this method can effectively degrade SF6. Moreover, the addition of TiO2 can effectively increase the degradation rate of SF6. The degradation of eight pieces of TiO2 with a unit area of 3 cm2 was 8.98% after 3 h of catalysis. FTIR spectral analysis showed that the main degradation products were SO2F2, SiF4, SF4, and SO2. Adding H2O can further increase the degradation rate, which can reach 27.22% in 3 h. The main degradation products were SO2F2, SiF4, SF4, SiH4, HF, and SO2. Finally, simulations verified the catalytic decomposition of SF6 on the surface of TiO2.
Zhang, Ying; Chen, Juanrong; Hua, Li; Li, Songjun; Zhang, Xuanxuan; Sheng, Weichen; Cao, Shunsheng
2017-10-15
Ongoing research activities are targeted to explore high photocatalytic activity of TiO 2 -based photocatalysts for the degradation of environmental contaminants under UV and visible light irradiation. In this work, we devise a facile, cost-effective technique to in situ synthesize hierarchical SiO 2 @C-doped TiO 2 (SCT) hollow spheres for the first time. This strategy mainly contains the preparation of monodisperse cationic polystyrene spheres (CPS), sequential deposition of inner SiO 2 , the preparation of the sandwich-like CPS@SiO 2 @CPS particles, and formation of outer TiO 2 . After the one-step removal of CPS templates by calcination at 450°C, hierarchical SiO 2 @C-doped TiO 2 hollow spheres are in situ prepared. The morphology, hierarchical structure, and properties of SCT photocatalyst were characterized by TEM. SEM, STEM Mapping, BET, XRD, UV-vis spectroscopy, and XPS. Results strongly confirm the carbon doping in the outer TiO 2 lattice of SCT hollow spheres. When the as-synthesized SCT hollow spheres were employed as a photocatalyst for the degradation of Rhodamine B under visible-light and ultraviolet irradiation, the SCT photocatalyst exhibits a higher photocatalytic activity than commercial P25, effectively overcoming the limitations of poorer UV activity for many previous reported TiO 2 -based photocatalysts due to doping. Copyright © 2017 Elsevier B.V. All rights reserved.
Zhang, Xiao Qiang; Yin, Li Hong; Tang, Meng; Pu, Yue Pu
2011-12-01
This study aims to investigate and compare the toxic effects of four types of metal oxide (ZnO, TiO(2), SiO(2,) and Al(2)O(3)) nanoparticles with similar primary size (∼20 nm) on human fetal lung fibroblasts (HFL1) in vitro. The HFL1 cells were exposed to the nanoparticles, and toxic effects were analyzed by using MTT assay, cellular morphology observation and Hoechst 33 258 staining. The results show that the four types of metal oxide nanoparticles lead to cellular mitochondrial dysfunction, morphological modifications and apoptosis at the concentration range of 0.25-1.50 mg/mL and the toxic effects are obviously displayed in dose-dependent manner. ZnO is the most toxic nanomaterials followed by TiO(2), SiO(2), and Al(2)O(3) nanoparticles in a descending order. The results highlight the differential cytotoxicity associated with exposure to ZnO, TiO(2), SiO(2), and Al(2)O(3) nanoparticles, and suggest an extreme attention to safety utilization of these nanomaterials. Copyright © 2011 The Editorial Board of Biomedical and Environmental Sciences. Published by Elsevier B.V. All rights reserved.
Photochemical tuning of ultrathin TiO2/ p-Si p-n junction properties via UV-induced H doping
NASA Astrophysics Data System (ADS)
Lee, Sang Yeon; Kim, Jinseo; Ahn, Byungmin; Cho, In Sun; Yu, Hak Ki; Seo, Hyungtak
2017-03-01
We report a modified TiO2/ p-Si electronic structure that uses ultraviolet exposure for the incorporation of H. This structure was characterized using various photoelectron spectroscopic techniques. The ultraviolet (UV) exposure of the TiO2 surface allowed the Fermi energy level to be tuned by the insertion of H radicals, which induced changes in the heterojunction TiO2/ p-Si diode properties. The UV exposure of the TiO2 surface was performed in air. On UVexposure, a photochemical reaction involving the incorporation of UV-induced H radicals led to the creation of a surface Ti-O-OH group and caused interstitial H doping (Ti-H-O) in the bulk, which modified the electronic structures in different ways, depending on the location of the H. On the basis of the band alignment determined using a combined spectroscopic analysis, it is suggested that the UV-induced H incorporation into the TiO2 could be utilized for the systematic tuning of the heterojunction property for solar cells, photocatalytic applications, and capacitors.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Bo, Zhenyu; Eaton, Todd R.; Gallagher, James R.
Controlling metal nanoparticle size is one of the principle challenges in developing new supported catalysts. Typical methods where a metal salt is deposited and reduced can result in a polydisperse mixture of metal nanoparticles, especially at higher loading. Polydispersity can exacerbate the already significant challenge of controlling sintering at high temperatures, which decreases catalytic surface area. Here, we demonstrate the size-selective photoreduction of Ag nanoparticles on TiO2 whose surface has been partially masked with a thin SiO2 layer. To synthesize this layered oxide material, TiO2 particles are grafted with tert-butylcalix[4]arene molecular templates (~2 nm in diameter) at surface densities ofmore » 0.05–0.17 templates.nm–2, overcoated with ~2 nm of SiO2 through repeated condensation cycles of limiting amounts of tetraethoxysilane (TEOS), and the templates are removed oxidatively. Ag photodeposition results in uniform nanoparticle diameters ≤ 3.5 nm (by transmission electron microscopy (TEM)) on the partially masked TiO2, whereas Ag nanoparticles deposited on the unmodified TiO2 are larger and more polydisperse (4.7 ± 2.7 nm by TEM). Furthermore, Ag nanoparticles on the partially masked TiO2 do not sinter after heating at 450 °C for 3 h, while nanoparticles on the control surfaces sinter and grow by at least 30%, as is typical. Overall, this new synthesis approach controls metal nanoparticle dispersion and enhances thermal stability, and this facile synthesis procedure is generalizable to other TiO2-supported nanoparticles and sizes and may find use in the synthesis of new catalytic materials.« less
NASA Astrophysics Data System (ADS)
Zhao, Xiaona; Liu, Xinzhao; Lu, Dingze; Wu, Pei; Yan, Qiuyang; Liu, Min; Fang, Pengfei
2017-01-01
TiO2-based nanosheets (TNSs) co-modified by Fe2O3 and Ho2O3 were synthesized by one-pot hydrothermal method using Fe(NO3)3 and Ho(NO3)3 as precursors compositing with TiO2. The Fe2O3/Ho2O3-TNSs heterojunctions possessed a thickness of approximately 3-4 nm, large specific surface area of 210-310 cm2/g, with Fe2O3 and Ho2O3 nanoparticles highly dispersed over the surface of the nanosheets. The crystallization of the samples gradually increased with the amount of Fe2O3 nanoparticles, which was confirmed by the XRD, BET and Raman spectra, indicating that Ho2O3 and Fe2O3 influenced the crystallinity and structure evolution of the TNSs, besides, led to an improved the visible-light absorption. Surface photocurrent and fluorescence spectral studies revealed that the photo-generated charge carrier separation efficiency could be efficiently improved by an appropriate amount of modification. The Fe2O3/Ho2O3-TNSs exhibited synergistic effect on photocatalytic degradation of RhB as well as MO under visible light. The highest efficiency was obtained by 0.05%-Fe2O3/Ho2O3-TNSs (Fe:Ho:Ti = 0.05:1:100), which was 8.86 and 6.72 times than that of individual 1.0%-Ho2O3-TNSs (Ho:Ti = 1:100) and 0.05%-Fe2O3-TNSs (Fe:Ti = 0.05:100), respectively. The possible mechanism for enhanced visible-light-induced photocatalytic activity was proposed. Ho2O3 introduced in the photocatalysts may act as the hole capture while Fe2O3 may share the same Fermi levels with TNSs and serve as the electron capture center in the n-n-p system, which reduced the recombination rate of photo-induced electron-hole pairs.
NASA Astrophysics Data System (ADS)
Yang, Xue; Ma, Jianjun; Ling, Jing; Li, Na; Wang, Di; Yue, Fan; Xu, Shimei
2018-03-01
The cellulose acetate (CA)/SiO2-TiO2 hybrid microsphere composite aerogel films were successfully fabricated via water vapor-induced phase inversion of CA solution and simultaneous hydrolysis/condensation of 3-aminopropyltrimethoxysilane (APTMS) and tetrabutyl titanate (TBT) at room temperature. Micro-nano hierarchical structure was constructed on the surface of the film. The film could separate nano-sized surfactant-stabilized water-in-oil emulsions only under gravity. The flux of the film for the emulsion separation was up to 667 L m-2 h-1, while the separation efficiency was up to 99.99 wt%. Meanwhile, the film exhibited excellent stability during multiple cycles. Moreover, the film performed excellent photo-degradation performance under UV light due to the photocatalytic ability of TiO2. Facile preparation, good separation and potential biodegradation maked the CA/SiO2-TiO2 hybrid microsphere composite aerogel films a candidate in oil/water separation application.
High Photocatalytic Performance of Two Types of Graphene Modified TiO2 Composite Photocatalysts
NASA Astrophysics Data System (ADS)
Zhang, Jun; Li, Sen; Tang, Bo; Wang, Zhengwei; Ji, Guojian; Huang, Weiqiu; Wang, Jinping
2017-07-01
High quality and naturally continuous structure of three-dimensional graphene network (3DGN) endow it a promising candidate to modify TiO2. Although the resulting composite photocatalysts display outstanding performances, the lacking of active sites of the 3DGN not only goes against a close contact between the graphene basal plane and TiO2 nanoparticles (weaken electron transport ability) but also limits the efficient adsorption of pollutant molecules. Similar with surface functional groups of the reduced graphene oxide (RGO) nanosheets, surface defects of the 3DGN can act as the adsorption sites. However, the defect density of the 3DGN is difficult to control (a strict cool rate of substrate and a strict flow of precursor gas are necessary) because of its growth approach (chemical vapor deposition method). In this study, to give full play to the functions of graphene, the RGO nanosheets and 3DGN co-modified TiO2 composite photocatalysts are prepared. After optimizing the mass fraction of the RGO nanosheets in the composite photocatalyst, the resulting chemical adsorption ability and yields of strong oxidizing free radicals increase significantly, indicating the synergy of the RGO nanosheets and 3DGN.
NASA Astrophysics Data System (ADS)
Behzadi, Hadi; Esrafili, Mehdi D.; Manzetti, Sergio; Roonasi, Payman
2014-02-01
This study reports the structure and electronic properties of three stable endohedral X@Si20F20 and exohedral X-Si20F20 (X=O2-, S2-, Se2-) complexes. The study revealed that the endohedral complexes with S2- and Se2- result as energy minimum structures, with the guest anion located in the cage center. In the case of endohedral O2--complexes, the pattern is quite different whereas the O2- complexes dramatically deviated from the architecture of S2- and Se2-, by having O2- located toward one of silicon atoms in the cage. With respect to the exohedral form, the energy minimized structure is obtained by positioning the anion between two silicon atoms and forming two Si-X bonds. For both cases, the strength of the interactions is calculated to increase accordingly to the pattern: Se2-@Si20F20 (Se2--Si20F20)2-@Si20F20 (S2--Si20F20)
A "win-win" nanoplatform: TiO2:Yb,Ho,F for NIR light-induced synergistic therapy and imaging.
Zhou, Jie; Luo, Pei; Sun, Chong; Meng, Lingchang; Ye, Weiran; Chen, Shanshan; Du, Bin
2017-03-23
To avoid the defect of low energy transfer efficiency in core-shell UCNP-TiO 2 NPs, doping rare earth into TiO 2 and improving the photocatalytic activity of TiO 2 itself under Vis-NIR light might be a more direct and efficient strategy for high 1 O 2 production. Here, we designed a TiO 2 :Yb,Ho,F-β-CD@DTX/HA nanoplatform using TiO 2 :Yb,Ho,F as the core, β-CD as the drug carrier, hyaluronic acid (HA) as the capping agent and target, and then applied it for 808 nm induced photodynamic-chemotherapy and 980 nm upconversion fluorescence/MR imaging. The results were as follows: (i) for TiO 2 as a photosensitizer, after doping Yb, Ho, F into TiO 2 , it could directly generate reactive oxygen species under an 808 nm laser; the dopants enhanced the absorption under the UV-Vis-NIR region and increased the electron-hole pair separation. (ii) For TiO 2 as the upconversion host, F and Ho also endowed TiO 2 :Yb,Ho,F with enhanced upconversion fluorescence under a 980 nm laser and T 2 -MRI contrast performance (r 2 = 30.71 mM -1 s -1 ), respectively, thus, facilitating imaging for deep tissues. (iii) The HA shell outside of β-CD prevented the unexpected leaking of DTX, which improved the target abilities and achieved the enzyme-responsive drug release. The in vitro and in vivo studies also demonstrated the nanosystem could efficiently suppress tumor growth by combination therapy and had excellent imaging (UCL/MR) ability. Particularly, our work was the first example that utilized TiO 2 simultaneously as a photosensitizer and upconversion host, which simplified the core-shell UCNP-TiO 2 nanocomposites and reached a "win-win" cooperation in NIR-induced photodynamic therapy and UCL imaging.
Seo, Hyunwoong; Ichida, Daiki; Hashimoto, Shinji; Itagaki, Naho; Koga, Kazunori; Shiratani, Masaharu; Nam, Sang-Hun; Boo, Jin-Hyo
2016-05-01
The multiple exciton generation characteristics of quantum dots have been expected to enhance the performance of photochemical solar cells. In previous work, we first introduced Si quantum dot for sensitized solar cells. The Si quantum dots were fabricated by multi-hollow discharge plasma chemical vapor deposition, and were characterized optically and morphologically. The Si quantum dot-sensitized solar cells had poor performance due to significant electron loss by charge recombination. Although the large Si particle size resulted in the exposure of a large TiO2 surface area, there was a limit to ho much the particle size could be decreased due to the reduced absorbance of small particles. Therefore, this work focused on decreasing the internal impedance to improve charge transfer. TiO2 was electronically modified by doping with vanadium, which can improve electron transfer in the TiO2 network, and which is stable in the redox electrolyte. Photogenerated electrons can more easily arrive at the conductive electrode due to the decreased internal impedance. The dark photovoltaic properties confirmed the reduction of charge recombination, and the photon-to-current conversion efficiency reflected the improved electron transfer. Impedance analysis confirmed a decrease in internal impedance and an increased electron lifetime. Consequently, these improvements by vanadium doping enhanced the overall performance of Si quantum dot-sensitized solar cells.
NASA Astrophysics Data System (ADS)
Zhang, Renhui; Yang, Yingchang; Leng, Senlin; Wang, Qing
2018-04-01
Efficient electron-holes separation is of crucial importance for the improvement of photocatalytic activity for photocatalytic reaction. In this work, dark TiO2 (D-TiO2) nanorods, grey SiO2 (G-SiO2) and D-TiO2/G-SiO2 composite with surface defects are synthesized. We report that the efficiency of photo-generated electrons and holes separation is well enhanced by introducing G-SiO2 into D-TiO2 lattice. Using first-principles method, we find that surface defects (O or Si vacancy) can be conducive to improving the optical absorption under visible-light region. Combination of the experimental results, for D-TiO2/G-SiO2 composite, the surface defects of TiO2 nanocrystallines can significantly improve the photocatalytic efficiency.
Photocatalytic reduction of NO with NH3 using Si-doped TiO2 prepared by hydrothermal method.
Jin, Ruiben; Wu, Zhongbiao; Liu, Yue; Jiang, Boqiong; Wang, Haiqiang
2009-01-15
A series of Si-doped TiO2 (Si/TiO2) photocatalysts supported on woven glass fabric were prepared by hydrothermal method for photocatalytic reduction of NO with NH3. The photocatalytic activity tests were carried out in a continuous Pyrex reactor with the flow rate of 2000mL/min under UV irradiation (luminous flux: 1.1x10(4)lm, irradiated catalyst area: 160cm2). The photocatalysts were characterized by X-ray diffraction (XRD), BET, X-ray photoelectron spectroscopy (XPS), Fourier transform infrared (FT-IR) spectrophotometer, transmission electron microscopy (TEM), photoluminescence (PL) and temperature-programmed desorption (TPD). The experiment results showed that NO conversion on Si/TiO2 at 323K could exceed 60%, which was about 50% higher than that on Degussa P25 and pure TiO2. With the doping of Si, photocatalysts with smaller crystal size, larger surface area and larger pore volume were obtained. It was also found that Ti-O-Si bands were formed on the surface of Si/TiO2 and that the surface hydroxyl concentration was greatly increased. As a result, total acidity and NH3 chemisorption amount were enhanced for Si/TiO2 leading to its photocatalytic activity improvement.
TiO2-BASED Composite Films for the Photodegradation of Oxytetracycline
NASA Astrophysics Data System (ADS)
Li, Hui; Guan, Ling-Xiao; Feng, Ji-Jun; Li, Fang; Yao, Ming-Ming
2015-02-01
The spread of the antibiotic oxytetracycline (OTC) has been thought as a threat to the safety of drinking water. In this paper, the photocatalytic activity of the nanocrystalline Fe/Ca co-doped TiO2-SiO2 composite film for the degradation of OTC was studied. The films were characterized by field emission scanning electron microscopy (FE-SEM) equipped with energy-dispersive spectroscopy (EDS), N2 adsorption/desorption isotherms, photoluminescence (PL) spectra, and UV-Vis diffraction reflectance absorption spectra (DRS). The FE-SEM results indicated that the Fe/Ca co-doped TiO2-SiO2 film was composed of smaller nanoparticles compared to pure TiO2 or TiO2-SiO2 film. The BET surface area results showed that the specific surface area of the pure TiO2, TiO2-SiO2 and Ca2+/Fe3+ co-doped TiO2-SiO2 is 118.3 m2g-1, 294.3 m2g-1 and 393.7 m2g-1, respectively. The DRS and PL spectra revealed that the Fe/Ca co-doped TiO2-SiO2 film had strong visible light adsorption and diminished electrons/holes recombination. Experimental results showed that the Fe/Ca co-doped TiO2-SiO2 film is effective in the degradation of OTC under both UV and visible light irradiation.
NASA Astrophysics Data System (ADS)
Sung, Young Hoon; Jung, Pil-Hoon; Han, Kyung-Hoon; Kim, Yang Doo; Kim, Jang-Joo; Lee, Heon
2017-10-01
In order to increase the out-coupling efficiency of organic light emitting diodes, conical Si oxide nanostructures were formed on a glass substrate using nanoimprint lithography with hydrogen silsesquioxane. Then, the substrate was planarized with TiO2 nanoparticles. Since TiO2 nanoparticles have a higher refractive index than Si oxide, the surface of substrate is physically flat, but optically undulated in a manner that enables optical scattering and suppression of total internal reflection. Subsequently, OLEDs formed on a substrate with nanostructured Si oxide and a TiO2 planarization layer exhibit a 25% increase in out-coupling efficiency by suppressing total internal reflection.
NASA Astrophysics Data System (ADS)
Fatimah, I.
2017-02-01
TiO2-SiO2have been synthesized by the sol-gel method from titanium isopropoxide and varied silica precursors: tetraethyl orthosilicate and tetra methyl ortho silicate. To study the effect of the precursor, prepared materials were characterized by X-ray diffraction, scanning electron microscopy, Diffuse Reflectance UV-vis optical absorption, and also gas sorption analysis. XRD patterns showed the formation of TiO2 anatase in the TiO2-SiO2 composite with different crystallite size from different silica precursor as well as the different surface morphology. The DRUV-vis absorption spectra exhibit similar band gap energy correspond to 3.21eV value while the surface area, pore volume and pore radius of the materials seems to be affected by the precursor. The higher specific surface area contributes to give the enhanced activity in phenol hydroxylation and methylene blue photodegradation.
Woo, Seouk-Hoon; Hwangbo, Chang Kwon
2006-03-01
Effects of thermal annealing at 400 degrees C on the optical, structural, and chemical properties of TiO2 single-layer, MgF2 single-layer, and TiO2/MgF2 narrow-bandpass filters deposited by conventional electron-beam evaporation (CE) and plasma ion-assisted deposition (PIAD) were investigated. In the case of TiO2 films, the results show that the annealing of both CE and PIAD TiO2 films increases the refractive index slightly and the extinction coefficient and surface roughness greatly. Annealing decreases the thickness of CE TiO2 films drastically, whereas it does not vary that of PIAD TiO2 films. For PIAD MgF2 films, annealing increases the refractive index and decreases the extinction coefficient drastically. An x-ray photoelectron spectroscopy analysis suggests that an increase in the refractive index and a decrease in the extinction coefficient for PIAD MgF2 films after annealing may be related to the enhanced concentration of MgO in the annealed PIAD MgF2 films and the changes in the chemical bonding states of Mg 2p, F 1s, and O is. It is found that (TiO2/MgF2) multilayer filters, consisting of PIAD TiO2 and CE MgF2 films, are as deposited without microcracks and are also thermally stable after annealing.
NASA Astrophysics Data System (ADS)
Ramesh, Sivalingam; Sivasamy, Arumugam; Kim, Joo-Hyung
2012-06-01
Maleimide-functionalized polystyrene (PSMA-SiO2/TiO2) hybrid nanocomposites were prepared by sol-gel reaction starting from tratraethoxysilane (TEOS) and titanium isopropoxide in the solution of polystyrene maleimide in 1,4-dioxane. The hybrid films were obtained by the hydrolysis and polycondensation of TEOS and titanium isopropoxide in maleimide-functionalized polystyrene solution followed by the Michael addition reaction. The transparency of polymer (PSMA-SiO2/TiO2) hybrid was prepared from polystyrene titanium isopropoxide using the γ-aminopropyltriethoxy silane as crosslinking agent by in situ sol-gel process via covalent bonding between the organic-inorganic hybrid nanocomposites. The maleimide-functionalized polystyrene was synthesized by Friedel-Crafts reaction from N-choloromethyl maleimide. The FTIR spectroscopy data conformed the occurrence of Michael addition reaction between the pendant maleimide moieties of the styrene and γ-aminopropyltriethoxysilane. The chemical structure and morphology of PSMA-SiO2/TiO2 hybrid nanocomposites were characterized by FTIR, nuclear magnetic resonance (NMR), 13 C NMR, SEM, XRD, and TEM analyses. The results also indicate that the inorganic particles are much smaller in the ternary systems than in the binary systems; the shape of the inorganic particles and compatibility for maleimide-functionalized polystrene and inorganic moieties are varied with the ratio of the inorganic moieties in the hybrids. Furthermore, TGA and DSC results indicate that the thermal stability of maleimide-functionalized polystyrene was enhanced through the incorporation of the inorganic moieties in the hybrid materials.
Experimental Determination of the Phase Diagram of the CaO-SiO2-5 pctMgO-10 pctAl2O3-TiO2 System
NASA Astrophysics Data System (ADS)
Shi, Junjie; Sun, Lifeng; Zhang, Bo; Liu, Xuqiang; Qiu, Jiyu; Wang, Zhaoyun; Jiang, Maofa
2016-02-01
Ti-bearing CaO-SiO2-MgO-Al2O3-TiO2 slags are important for the smelting of vanadium-titanium bearing magnetite. In the current study, the pseudo-melting temperatures were determined by the single-hot thermocouple technique for the specified content of 5 to 25 pct TiO2 in the CaO-SiO2-5 pctMgO-10 pctAl2O3-TiO2 phase diagram system. The 1573 K to 1773 K (1300 °C to 1500 °C) liquidus lines were first calculated based on the pseudo-melting temperatures according to thermodynamic equations in the specific primary crystal field. The phase equilibria at 1573 K (1300 °C) were determined experimentally using the high-temperature equilibrium and quench method followed by X-ray fluorescence, X-ray diffraction, scanning electron microscope, and energy dispersive X-ray spectroscope analysis; the liquid phase, melilite solid solution phase (C2MS2,C2AS)ss, and perovskite phase of CaO·TiO2 were found. Therefore, the phase diagram was constructed for the specified region of the CaO-SiO2-5 pctMgO-10 pctAl2O3-TiO2 system.
Miyata, Noboru; Fuke, Ken-ichi; Chen, Qi; Kawashita, Masakazu; Kokubo, Tadashi; Nakamura, Takashi
2004-01-01
Hydrolysis and polycondensation of triethoxysilane end-capped Poly (tetramethylene oxide) (Si-PTMO), tetraethoxysilane (TEOS), tetraisopropyltitanate (TiPT) and calcium nitrate (Ca(NO(3))(2)) gave transparent monolithics of PTMO-modified CaO-SiO(2)-TiO(2) hybrids. The samples with (TiPT)/(TEOS+TiPT) molar ratios from 0 to 0.20 under constant ratio of (Si-PTMO)/(TEOS+TiPT) of 2/3 in weight were prepared. It was found that the incorporation of TiO(2) component into a PTMO-CaO-SiO(2) hybrid results in an increase in the apatite-forming ability in a simulated body fluid: the hybrids with (TiPT)/(TEOS+TiPT) of 0.10 and 0.20 in mol formed an apatite on their surfaces within only 0.5 day. It seemed that, within the range of compositions studied, the TiO(2) content little affects the overall mechanical properties: Young's modulus were 52-55MPa, tensile strength, 7-9MPa, and strain at failure, about 30%. Thus, the organic-inorganic hybrids exhibiting both fairly high apatite-forming ability and high capability for deformation were obtained. These hybrid materials may be useful as new kind of bioactive bone-repairing materials.
Katagiri, Kiyofumi; Harada, Genki; Matsuda, Atsunori; Kogure, Toshihiro; Muto, Hiroyuki; Sakai, Mototsugu
2006-06-01
Effects of the addition of a supramolecular assembly of cetyltrimethylammonium bromide in SiO2-TiO2 gel films on the formation of anatase type TiO2 nanocrystals with hot-water treatment were investigated. Anatase nanocrystals were formed in the whole SiO2-TiO2 gel films with the addition of cetyltrimethylammonium bromide by the treatment, whereas the nanocrystals were formed only on the film surface in the case of gel films without cetyltrimethylammonium bromide. Cetyltrimethylammonium bromide molecules in the SiO2-TiO2 gel films were completely removed by the hot-water treatment and the following UV irradiation. In the usual procedure for preparation of porous materials, the removal of template molecular assemblies required high temperature treatment over 400 degrees C. In this system, all the processes were performed at temperatures less than 100 degrees C. Additionally, the porous structure produced by the removal of micellar assembly allowed anatase nanocrystals to be formed inside the films. Therefore, the method presented in this work provides us with the novel photocatalyst coatings of porous membrane with highly-dispersed TiO2 nanocrystals via low temperature process.
NASA Astrophysics Data System (ADS)
Bao, Lei; Liu, Jingxiao; Shi, Fei; Jiang, Yanyan; Liu, Guishan
2014-01-01
In order to prevent the low temperature degradation and improve the bioactivity of zirconia ceramic implants, TiO2 and Si-doped octacalcium phosphate composite coating was prepared on zirconia substrate. The preventive effect on low temperature degradation and surface morphology of the TiO2 layer were studied. Meanwhile, the structure and property changes of the bioactive coating after doping Si were discussed. The results indicate that the dense TiO2 layer, in spite of some microcracks, inhibited the direct contact of the water vapor with the sample's surface and thus prevented the low temperature degradation of zirconia substrates. The acceleration aging test shows that the ratio of the monoclinic phase transition decreased from 10% for the original zirconia substrate to 4% for the TiO2-coated substrate. As to the Si-doped octacalcium phosphate coating prepared by biomimetic method, the main phase composition of the coating was octacalcium phosphate. The morphology of the coating was lamellar-like, and the surface was uniform and continuous with no cracks being observed. It is suggested that Si was added into the coating both through substituting for PO43- and doping as NaSiO3.
Wang, Pengwei; Zhao, Guofeng; Wang, Yu; Lu, Yong
2017-01-01
Oxidative coupling of methane (OCM) is a promising method for the direct conversion of methane to ethene and ethane (C2 products). Among the catalysts reported previously, Mn2O3-Na2WO4/SiO2 showed the highest conversion and selectivity, but only at 800° to 900°C, which represents a substantial challenge for commercialization. We report a TiO2-doped Mn2O3-Na2WO4/SiO2 catalyst by using Ti-MWW zeolite as TiO2 dopant as well as SiO2 support, enabling OCM with 26% conversion and 76% C2-C3 selectivity at 720°C because of MnTiO3 formation. MnTiO3 triggers the low-temperature Mn2+↔Mn3+ cycle for O2 activation while working synergistically with Na2WO4 to selectively convert methane to C2-C3. We also prepared a practical Mn2O3-TiO2-Na2WO4/SiO2 catalyst in a ball mill. This catalyst can be transformed in situ into MnTiO3-Na2WO4/SiO2, yielding 22% conversion and 62% selectivity at 650°C. Our results will stimulate attempts to understand more fully the chemistry of MnTiO3-governed low-temperature activity, which might lead to commercial exploitation of a low-temperature OCM process. PMID:28630917
Synthesis and Characterization of TiO2/SiO2 Thin Film via Sol-Gel Method
NASA Astrophysics Data System (ADS)
Halin, D. S. C.; Abdullah, M. M. A. B.; Mahmed, N.; Malek, S. N. A. Abdul; Vizureanu, P.; Azhari, A. W.
2017-06-01
TiO2/SiO2 thin films were prepared by sol-gel spin coating method. Structural, surface morphology and optical properties were investigated for different annealing temperatures at 300°C, 400°C and 500°C. X-ray diffraction pattern show that brookite TiO2 crystalline phase with SiO2 phase presence at 300°C. At higher temperatures of 400-500°C, the only phase presence was brookite. The surface morphology of film was characterized by scanning electron microscopy (SEM). The films annealed at 300°C shows an agglomeration of small flaky with crack free. When the temperature of annealing increase to 400-500°C, the films with large flaky and large cracks film were formed which was due to surface tension between the film and the air during the drying process. The UV-Vis spectroscopy shows that the film exhibits a low transmittance around 30% which was due to the substrate is inhomogeneously covered by the films. In order to improve the coverage of the film on the substrate, it has to repeatable the spin coating to ensure the substrate is fully covered by the films.
Guo, Hao; Zhang, Xiong; Chen, Hongjun; Zhang, Peiyuan; Liu, Honggang; Chang, Hudong; Zhao, Wei; Liao, Qinghua; Cui, Yiping
2013-09-09
GaN-based light-emitting diodes (LEDs) on patterned sapphire substrate (PSS) with patterned composite SiO(2)/Al(2)O(3) passivation layers and TiO(2)/Al(2)O(3) distributed Bragg reflector (DBR) backside reflector have been proposed and fabricated. Highly passivated Al(2)O(3) layer deposited on indium tin oxide (ITO) layer with excellent uniformity and quality has been achieved with atomic layer deposition (ALD) technology. With a 60 mA current injection, an enhancement of 21.6%, 59.7%, and 63.4% in the light output power (LOP) at 460 nm wavelength was realized for the LED with the patterned composite SiO(2)/Al(2)O(3) passivation layers, the LED with the patterned composite SiO(2)/Al(2)O(3) passivation layers and Ag mirror + 3-pair TiO(2)/SiO(2) DBR backside reflector, and the LED with the patterned composite SiO(2)/Al(2)O(3) passivation layer and Ag mirror + 3-pair ALD-grown TiO(2)/Al(2)O(3) DBR backside reflector as compared with the conventional LED only with a single SiO(2) passivation layer, respectively.
Karunakaran, Gopalu; Suriyaprabha, Rangaraj; Rajendran, Venkatachalam; Kannan, Narayanasamy
2016-08-01
The focus of this investigation is to evaluate the phytotoxicity of selected metal oxide nanoparticles and microparticles as a function of maize seed germination and root elongation under different growth conditions (Petri plate, cotton and soil). The results of seed germination and root elongation experiments reveal that all the growth conditions show almost similar results. Alumina (Al2O3) and titania (TiO2) nanoparticles significantly reduce the germination percentage, whereas silica (SiO2) nanoparticles and microparticles enhance the same. The results of nanoparticles and microparticles of zirconia (ZrO2) are found to be same as those of controls. Root elongation is enhanced by SiO2 nanoparticles and microparticles treatment, whereas inhibition is observed with Al2O3 and TiO2 nanoparticles and microparticles. The X-ray fluorescence spectrometry data of the treated and control seed samples show that seeds uptake SiO2 particles to a greater extent followed by TiO2, Al2O3 and ZrO2. In addition, the uptake of nanoparticles is found to be greater than that of microparticles. Thus, the tested metal oxides penetrated seeds at the nanoscale as compared with the microscale. This study clarifies phytotoxicity of nanoparticles treated in different growth substrates and highlights the impact of nanoparticles on environment and agricultural systems.
Photocatalysis effect of nanometer TiO2 and TiO2-coated ceramic plate on Hepatitis B virus.
Zan, Ling; Fa, Wenjun; Peng, Tianyou; Gong, Zhen-Kui
2007-02-01
The photocatalysis effect of nanometer TiO2 particles and TiO2-coated ceramic plate on Hepatitis B virus surface antigen (HBsAg) was investigated. The ELISA (enzyme-linked immunosorbent assay) standard method was used to assess the efficiency of TiO2 material to destroy the HBsAg. The research has shown that the suspension of TiO2 (0.5g/L) can destroy most of the HBsAg under the irradiation of mercury lamp, with the light intensity of 0.6mW/cm(2) at 365nm wavelength, or under the sunlight irradiation for a few hours. TiO2-coated ceramic plates can also destroy the HBsAg under the irradiation of mercury lamp, with the light intensity of 0.05mW/cm(2) at 365nm wavelength or under the room daylight for a few hours.
Ma, Qiang; Li, Zhuo Ran; Yang, Lai Shan; Lin, Jing Huang; Ba, Jin; Wang, Ze Yu; Qi, Jun Lei; Feng, Ji Cai
2017-06-23
In order to achieve a high-quality joint between SiO 2f /SiO 2 and metals, it is necessary to address the poor wettability of SiO 2f /SiO 2 and the high residual stress in SiO 2f /SiO 2 -Nb joint. Here, we simultaneously realize good wettability and low residual stress in SiO 2f /SiO 2 -Nb joint by combined method of HF etching treatment and Finite Element Analysis (FEA). After etching treatment, the wettability of E-SiO 2f /SiO 2 was improved, and the residual stress in the joint was decreased. In order to better control the quality of joints, efforts were made to understand the relationship between surface structure of E-SiO 2f /SiO 2 and residual stress in joint using FEA. Based on the direction of FEA results, a relationship between residual stress, surface structure and joint property in the brazed joints were investigated by experiments. As well the FEA and the brazing test results both realized the high-quality joint of E-SiO 2f /SiO 2 -Nb and the shear strength of the joint reached 61.9 MPa.
Namkhang, Pornpan; Kongkachuichay, Paisan
2015-07-01
The selective catalytic reduction of NO over a series of Cu-based catalysts supported on modified silica including SiO2-Al2O3, SiO2-TiO2, and SiO2-ZrO2 prepared via a sol-gel process and a flame spray pyrolysis (FSP) was studied. The prepared catalysts were characterized by means of TEM, XRD, XRF, TPR, and nitrogen physisorption measurement techniques, to determine particle diameter, morphology, crystallinity, phase composition, copper reducibility, surface area, and pore size of catalysts. The particles obtained from sol-gel method were almost spherical while the particles obtained from the FSP were clearly spherical and non-porous nanosized particles. The effects of Si:Al, Si:Ti, and Si:Zr molar ratio of precursor were identified as the domain for different crystalline phase of materials. It was clearly seen that a high SiO2 content inhibited the crystallization of materials. The BET surface area of catalysts obtained from sol-gel method was higher than that from the FSP and it shows that surface area increased with increasing SiO2 molar ratio due to high surface area from SiO2. The catalyst performances were tested for the selective catalytic reduction of NO with H2. It was found that the catalyst prepared over 7 wt% Cu on Si02-Al2O3 support was the most active compared with the others which converted NO as more than 70%. Moreover, the excess copper decreased the performance of NO reduction, due to the formation of CuO agglomeration covered on the porous silica as well as the alumina surface, preventing the direct contact of CO2 and AL2O3.
Solution based synthesis of mixed-phase materials in the Li2TiO3-Li4SiO4 system
NASA Astrophysics Data System (ADS)
Hanaor, Dorian A. H.; Kolb, Matthias H. H.; Gan, Yixiang; Kamlah, Marc; Knitter, Regina
2015-01-01
As candidate tritium breeder materials for use in the ITER helium cooled pebble bed, ceramic multiphasic compounds lying in the region of the quasi-binary lithium metatitanate-lithium orthosilicate system may exhibit mechanical and physical advantages relative to single phase materials. Here we present an organometallic solution-based synthesis procedure for the low-temperature fabrication of compounds in the Li2TiO3-Li4SiO4 region and investigate phase stability and transformations through temperature varied X-ray diffraction and scanning calorimetry. Results demonstrate that the metatitanate and metasilicate phases Li2TiO3 and Li2SiO3 readily crystallise in nanocrystalline form at temperatures below 180 °C. Lithium deficiency in the region of 5% results from Li sublimation from Li4SiO4 and/or from excess Li incorporation in the metatitanate phase and brings about a stoichiometry shift, with product compounds exhibiting mixed lithium orthosilicate/metasilicate content towards the Si rich region and predominantly Li2TiO3 content towards the Ti rich region. Above 1150 °C the transformation of monoclinic to cubic γ-Li2TiO3 disordered solid-solution occurs while the melting of silicate phases indicates a likely monotectic type system with a solidus line in the region 1050-1100 °C. Synthesis procedures involving a lithium chloride precursor are not likely to be a viable option for breeder pebble synthesis as this route was found to yield materials with a more significant Li-deficiency exhibiting the crystallisation of the Li2TiSiO5 phase at intermediate compositions.
Ghazzal, Mohamed Nawfal; Aubry, Eric; Chaoui, Nouari; Robert, Didier
2015-01-01
We investigate the effect of the thickness of the silicon nitride (SiN x ) diffusion barrier on the structural and photocatalytic efficiency of TiO2 films obtained with different processes. We show that the structural and photocatalytic efficiency of TiO2 films produced using soft chemistry (sol-gel) and physical methods (reactive sputtering) are affected differentially by the intercalating SiN x diffusion barrier. Increasing the thickness of the SiN x diffusion barrier induced a gradual decrease of the crystallite size of TiO2 films obtained by the sol-gel process. However, TiO2 obtained using the reactive sputtering method showed no dependence on the thickness of the SiN x barrier diffusion. The SiN x barrier diffusion showed a beneficial effect on the photocatalytic efficiency of TiO2 films regardless of the synthesis method used. The proposed mechanism leading to the improvement in the photocatalytic efficiency of the TiO2 films obtained by each process was discussed.
NASA Astrophysics Data System (ADS)
Chen, Daimei; Jiang, Zhongyi; Geng, Jiaqing; Zhu, Juhong; Yang, Dong
2009-02-01
The nitrogen and fluorine co-doped TiO2 (N-F-TiO2) nanoparticles of anatase crystalline structure were prepared by a facile method of (NH4)2TiF6 pyrolysis, and characterized by thermogravimetry-differential thermal analysis (TG-DTA), X-ray diffraction (XRD), transmission electron microscopy (TEM), X-ray photoelectron spectroscopy (XPS), and ultraviolet visible (UV-Vis) spectroscopy etc. With the increase of calcination temperature, (NH4)2TiF6 decomposed into TiOF2 and NH4TiOF3 at first, and then formed anatase-type TiO2 with thin sheet morphology. H3BO3 as oxygen source can promote the formation of anatase TiO2, but decrease the F content in the N-F-TiO2 materials due to the formation of volatile BF3 during the precursor decomposition. The photocatalytic activity of the obtained N-F-TiO2 samples was evaluated by the methylene blue degradation under visible light, and all the samples exhibited much higher photocatalytic activity than P25. Moreover, the merits and disadvantages of this proposed method to prepare doped TiO2 are discussed.
Santiago, Dunia E; Pastrana-Martínez, Luisa M; Pulido-Melián, Elisenda; Araña, Javier; Faria, Joaquim L; Silva, Adrián M T; González-Díaz, Óscar; Doña-Rodríguez, José M
2018-03-02
Magnetite (Fe 3 O 4 ), a core-shell material (SiO 2 @Fe 3 O 4 ), and reduced graphene oxide-Fe 3 O 4 (referred as rGO-MN) were used as supports of a specific highly active TiO 2 photocatalyst. Thermal treatments at 200 or 450 °C, different atmospheres (air or N 2 ), and TiO 2 :support weight ratios (1.0, 1.5, or 2.0) were investigated. X-ray diffractograms revealed that magnetite is not oxidized to hematite when the core-shell SiO 2 @Fe 3 O 4 material-or a N 2 atmosphere (instead of air) in the thermal treatment-was employed to prepare the TiO 2 -based catalysts (the magnetic properties being preserved). The materials treated with N 2 were first tested for degradation of imazalil (a well-known fungicide) in deionized water. The best compromise between the photocatalytic activity, magnetic separation, and Fe leached (1.61 mg L -1 , i.e., below the threshold for water reuse in irrigation) was found for the magnetic catalyst prepared with SiO 2 @Fe 3 O 4 , an intermediate TiO 2 :support ratio (1.5), and treated at 200 °C under N 2 atmosphere (i.e., SiO 2 @Fe 3 O 4 -EST-1.5-200-N 2 ). This material was then tested for the treatment of imazalil in a synthetic wastewater, SW (with a chemical composition simulating an effluent resulting from fruit postharvest activity). This SW has a pH of 4.2 and the experiments were carried out at this natural pH 0 and at neutral conditions (keeping pH at 7 along the reaction). The magnetic catalyst was more active than bare TiO 2 for the treatment of imazalil in SW at natural pH. Since Fe leaching was observed (3.53 mg L -1 ), added H 2 O 2 enhanced both imazalil degradation and mineralization. Conveniently, these catalysts can be readily recovered by using a conventional magnetic field, as demonstrated over three consecutive recycling runs. Graphical abstract % Imazalil conversion using different magnetic catalysts and comparison with bare TiO 2 .
Thermostable photocatalytically active TiO2 anatase nanoparticles
NASA Astrophysics Data System (ADS)
Qi, Fei; Moiseev, Anna; Deubener, Joachim; Weber, Alfred
2011-03-01
Anatase is the low-temperature (300-550 °C) crystalline polymorph of TiO2 and it transforms to rutile upon heating. For applications utilizing the photocatalytic properties of nanoscale anatase at elevated temperatures (over 600 °C) the issue of phase stabilisation is of major interest. In this study, binary TiO2/SiO2 particles were synthesized by a flame aerosol process with TiCl4 and SiCl4 as precursors. The theoretical Si/Ti ratio was varied in the range of 0.7-1.3 mol/mol. The synthesized TiO2/SiO2 samples were heat treated at 900 and 1,000 °C for 3 h to determine the thermostability of anatase. Pyrogenic TiO2 P25 (from Evonik/Degussa, Germany) widely applied as photocatalyst was used as non-thermostabilized reference material for comparison of photocatalytic activity of powders. Both the non-calcinated and calcinated powders were characterized by means of XRD, TEM and BET. Photocatalytic activity was examined with dichloroacetic acid (DCA) chosen as a model compound. It was found that SiO2 stabilized the material retarding the collapse of catalyst surface area during calcination. The weighted anatase content of 85% remains completely unchanged even after calcination at 1,000 °C. The presence of SiO2 layer/bridge as spacer between TiO2 particles freezes the grain growth: the average crystallite size increased negligibly from 17 to 18 nm even during the calcination at 1,000 °C. Due to the stabilizing effect of SiO2 the titania nanoparticles calcinated at 900 and 1,000 °C show significant photocatalytic activity. Furthermore, the increase in photocatalytic activity with calcination temperature indicates that the titania surface becomes more accessible either due to intensified cracking of the SiO2 layer or due to enhanced transport of SiO2 into the necks thus releasing additional titania surface.
Effect of addition of nanoparticle TiO 2/SiO 2 on the superconducting properties of MgB 2
NASA Astrophysics Data System (ADS)
Zhang, Y.; Zhou, S. H.; Wang, X. L.; Dou, S. X.
2008-09-01
In this paper, bulk MgB 2 was prepared by doping with nanoparticle TiO 2 surface-modified by 5-10% SiO 2. The doping ratio of TiO 2/SiO 2 to MgB 2 was 0, 5, 10, and 15 wt%. The sintering temperature varied from 650 °C to 950 °C. Quantitative X-ray diffraction (XRD) analysis was performed to obtain the lattice constants and the weight fraction of impurities using the Rietveld method. It was found that the critical temperature ( Tc) increases with the lattice constants. The critical current density ( Jc) is affected by the doping ratio and the sintering temperature. The Jc exhibited the highest value at the doping ratio of 10 wt% for 5 K and 20 K and at the doping ratio of 5 wt% for 30 K, when the sintering temperature was fixed at 750 °C. When the doping ratio was fixed at 5 wt%, the samples with the sintering temperature of 750 °C had the best Jc for 5 K and 20 K, while the sample with the sintering temperature of 850 °C exhibited the highest Jc at 30 K.
Dai, Ke; Peng, Tianyou; Chen, Hao; Liu, Juan; Zan, Lin
2009-03-01
Photocatalytic degradation of commercial phoxim emulsion in aqueous suspension was investigated by using La-doped mesoporous TiO2 nanoparticles (m-TiO2) as the photocatalyst under UV irradiation. Effects of La-doping level, calcination temperature, and additional amount of the photocatalyst on the photocatalytic degradation efficiency were investigated in detail. Experimental results indicate that 20 mg L(-1) phoxim in 0.5 g L(-1) La/m-TiO2 suspension (the initial pH 4.43) can be decomposed as prolonging the irradiation time. Almost 100% phoxim was decomposed after 4 h irradiation according to the spectrophotometric analyses, whereas the mineralization rate of phoxim just reached ca. 80% as checked by ion chromatography (IC) analyses. The elimination of the organic solvent in the phoxim emulsion as well as the formation and decomposition of some degradation intermediates were observed by high-performance liquid chromatography-mass spectroscopy (HPLC-MS). On the basis of the analysis results on the photocatalytic degradation intermediates, two possible photocatalytic degradation pathways are proposed under the present experimental conditions, which reveal that both the hydrolysis and adsorption of phoxim under UV light irradiation play important roles during the photocatalytic degradation of phoxim.
NASA Astrophysics Data System (ADS)
Nabil, M. F.; Azmi, W. H.; Hamid, K. A.; Mamat, R.
2017-10-01
The need for high performance of heat transfer has been evaluated by finding different ways to enhance heat transfer rate in fluid. One of the methods is the combination of two or more nanoparticles and it is known as hybrid/composite nanofluids which can give better performance of heat transfer. Thus, the present study focused on combination of Titanium oxide (TiO2) and Silicon oxide (SiO2) nanoparticles dispersed in 60:40 volume ratio of water and ethylene glycol mixture as the base fluid. The TiO2-SiO2 hybrid nanofluids are prepared using two-step method for different concentration of 2.0%, 2.5% and 3.0%. The experimental determination of heat transfer coefficients are conducted in the Reynolds numbers range from 2000 to 10000 at a bulk temperature of 30°C. The experiments are undertaken for constant heat flux in a circular tube. The Nusselt number of composite TiO2- SiO2 nanofluids is observed to be higher than the base fluid. The finding on heat transfer coefficient shows that 3.0% volume concentration is the highest enhancement with 45.9% compared with base fluid. While at concentration 2.0% and 2.5%, the enhancement recorded were 29.4% and 33.2%, respectively. The friction factor of nanofluids shows a decreased with the increasing of Reynolds numbers. However, the friction factor slightly increased with the increased of concentration.
Zhang, Zichuan; Zhang, Pei
2015-07-01
To evaluate the influence of TiO(2)-SiO(2)-SnOx nano-coatings with different firing temperatures on the bond strength of low-fusing dental porcelain to pure titanium. The surface of pure titanium was coated uniformly with TiO(2)-SiO(2)-SnOx nano-coatings by solution-gelatin (Sol-Gel) technology and then fired at 300 °C (group A) or 750 °C (group B) for 1 h. The specimens without any coatings were the control group (group C). There were 10 specimens in each group. Dental porcelain was sintered on the surface of titanium specimens. Surface roughness and contact angle of the coatings were also detected. The titanium-porcelain bond strength was investigated according to YY 0621-2008 standards using three-point flexure bond test. The phase composition of the TiO(2)-SiO(2)-SnOx nano-coatings was characterized by X-ray diffraction(XRD). The interface of titanium-porcelain and TiO(2)-SiO(2)-SnOx nano-coatings were observed using scanning electron microscope (SEM). No rutile phase was found in these specimens of group A and group B. The surface roughness of group A, B, C was (0.97 ± 0.06), (0.99 ± 0.03), (0.96 ± 0.07) µm, respectively. No significant difference was found among the three groups. Compared with that of group C (64.37° ± 3.01°), contact angles detected in group A (52.04° ± 3.15°) and group B (85.27° ± 4.17°) were significantly different (P < 0.05). The bond strength of titanium-porcelain in group A [(35.66 ± 2.65) MPa] was significantly increased compared with those in group B [(26.18 ± 2.22) MPa] and group C [(31.66 ± 3.52) MPa]. SEM photomicrographs of titanium-porcelain interface morphology of the specimens before porcelain sintering showed that TiO(2)-SiO(2)-SnOx nano-coatings in group A were compact and homogeneous with petty cracks and those in group B was loose and arranged disorderly. TiO(2)-SiO(2)-SnOx nano-coating fired at 300 °C is significantly effective in improving the titanium-porcelain bond strength.
NASA Astrophysics Data System (ADS)
Wu, Ling; Nishi, Hiroyasu; Tatsuma, Tetsu
2015-10-01
Photoelectrodes based on plasmonic Au semishell (or halfshell) arrays are developed. A colloidal crystal consisting of SiO2@TiO2 core-shell particles is prepared on a TiO2-coated transparent electrode. A Au semishell (or halfshell) array is deposited by sputtering or evaporation on the colloidal crystal. An electrode with the semishell (or halfshell) array exhibits negative photopotential shifts and anodic photocurrents under visible light at 500-800 nm wavelengths in an aqueous electrolyte containing an electron donor. In particular, hydroquinone and ethanol are good electron donors. The photocurrents can be explained in terms of plasmon-induced charge separation at the Au-TiO2 interface.
Park, Su Kyung; Yun, Tae Kwan; Bae, Jae Young
2016-03-01
N/F-doping and CaCO3 surface modification was carried out in TiO2 photoelectrodes for dye-sensitized solar cells (DSSCs). The combined effect of the N/F doped TiO2 and the CaCO3 coating showed a great increase of the short-circuit current (J(sc)), and photoelectric conversion efficiency (η) of the prepared cells; the efficiency (η) was improved from 7.00% of a commercial TiO2 photoelectrode to 7.90% of an uncoated N/F-doped electrode, and to 9.09% of a N/F-doped and CaCO3 surface modified electrode. An enhanced photoresponse in N/F-doped TiO2 nanoparticles generate more photo-excited electrons, as supported by measured UV-Vis diffuse reflectance spectra. A successive CaCO3 surface modification then forms a barrier on the surface of the N/F-doped TiO2 particles; the higher basicity of the CaCO3 modified TiO2 facilitates the dye adsorption, as supported by the direct measurement of the amount of adsorbed dye.
Molinari, Alessandra; Samiolo, Luca; Amadelli, Rossano
2015-05-01
Using the EPR spin trapping technique, we prove that simultaneous reactions take place in illuminated suspensions of TiO2 in aqueous carbonate solutions (pH ≈ 7). The adsorbed HCO3(-) is reduced to formate as directly made evident by the detection of formate radicals (˙CO2(-)). In addition, the amount of OH˙ radicals from the photo-oxidation of water shows a linear dependence on the concentration of bicarbonate, indicating that electron scavenging by HCO3(-) increases the lifetime of holes. In a weakly alkaline medium, photo-oxidation of HCO3(-)/CO3(2-) to ˙CO3(-) interferes with the oxidation of water. A comparative analysis of different TiO2 samples shows that formation of ˙CO2(-) is influenced by factors related to the nature of the surface, once expected surface area effects are accounted for. Modification of the TiO2 surface with noble metal nanoparticles does not have unequivocal benefits: the overall activity improves with Pd and Rh but not with Ru, which favours HCO3(-) photo-oxidation even at pH = 7. In general, identification of radical intermediates of oxidation and reduction reactions can provide useful mechanistic information that may be used in the development of photocatalytic systems for the reduction of CO2 also stored in the form of carbonates.
Zarria-Romero, Jacquelyne; Osorio, Ana; Pino, José; Shiga, Betty; Vivas-Ruiz, Dan
2017-01-01
To evaluate the effect of ZnO, TiO2 and SiO2 nanoparticles on cell viability and expression of the interleukin 7, interleukin 3, and granulocyte-macrophage colony stimulating factor (GM-CSF) genes in Mus musculus. Red bone marrow was extracted from five Balb/c mice for the analysis of cell viability using the MTT test. The mice were divided into two groups of five each: one group was inoculated intraperitoneally with 0.5, 1.0, 2.5, 5.0, and 10 mg/kg of ZnO and SiO2 nanoparticles, respectively, and the other group was inoculated with 5.0, 10.0, 15.0, 20.0, and 25 mg/kg of TiO2 nanoparticles, respectively. Thirty hours later, RNA was extracted from the red bone marrow of the mice in both groups for gene expression analysis using quantitative PCR and RT-PCR. ZnO and SiO2 nanoparticles reduced cell viability in a dose-dependent manner by 37% and 26%, respectively, starting at a dose of 1 mg/kg. TiO2 nanoparticles at 5 mg/kg and 10 mg/kg reduced the gene expression of interleukins 7 and 3 by 55.3% and 70.2%, respectively, and SiO2 nanoparticles caused the greatest decrease (91%) in the expression of GM-CSF. ZnO nanoparticles reduced the expression of GM-CSF starting at doses of 20 mg/kg and 25 mg/kg. ZnO, SiO2 and TiO2 nanoparticles affect cell viability and gene expression in the mouse bone marrow.
NASA Astrophysics Data System (ADS)
Momeni, Mansour; Saghafian, Hasan; Golestani-Fard, Farhad; Barati, Nastaran; Khanahmadi, Amirhossein
2017-01-01
Nanostructured N doped TiO2/20%SiO2 thin films were developed on steel surface via sol gel method using a painting airbrush. Thin films then were calcined at various temperatures in a range of 400-600 °C. The effect of SiO2 addition on phase composition and microstructural evolution of N doped TiO2 films were studied using XRD and FESEM. Optical properties, visible light photocatalytic activity, hydrophilic behavior, and mechanical behavior of the films were also investigated by DRS, methylene blue degradation, water contact angle measurements, and nanoscratch testing. Results indicated that the band gap energy of N doped TiO2/SiO2 was increased from 2.93 to 3.09 eV. Crack formation during calcination was also significantly promoted in the composite films. All composite films demonstrated weaker visible light photocatalytic activities and lower mechanical stability in comparison with N doped TiO2 films. Moreover, the N doped TiO2/SiO2 film calcined at 600 °C showed undesirable hydrophilic behavior with a water contact angle of 57° after 31 h of visible light irradiation. Outcomes of the present study reveal some different results to previous reports on TiO2/SiO2 films. In general, we believe the differences in substrate material as well as application in visible light are the main reasons for the above mentioned contradiction.
The fabrication of visible light responsive Ag-SiO2 co-doped TiO2 thin films by the sol-gel method
NASA Astrophysics Data System (ADS)
Dam Le, Duy; Dung Dang, Thi My; Thang Chau, Vinh; Chien Dang, Mau
2010-03-01
In this study we have successfully deposited Ag-SiO2 co-doped TiO2 thin films on glass substrates by the sol-gel method. After being coated by a dip coating method, the film was transparent, smooth and had strong adhesion on the glass surface. The deposited film was characterized by x-ray diffraction (XRD), Fourier transform infrared spectroscopy (FT-IR), ultraviolet-visible spectroscopy (UV-Vis), a scanning electron microscope (SEM) and atomic force microscope (AFM) to investigate its crystallization, transmittance and surface structure. The antifogging ability is explained by the contact angle of water on the surface of the glass substrates under visible-light. The obtained results show that Ag-SiO2 co-doped TiO2 film has potential applications for self cleaning and anti-bacterial ceramic tiles.
Liu, Yinghan; Ye, Nan; Fang, Hao; Wang, Degao
2018-01-01
Metal-based nanoparticles (NPs) are the most widely used engineered nanomaterials. The individual toxicities of metal-based NPs have been plentifully studied. However, the mixture toxicity of multiple NP systems (n ≥ 3) remains much less understood. Herein, the toxicity of titanium dioxide (TiO2) nanoparticles (NPs), silicon dioxide (SiO2) NPs and zirconium dioxide (ZrO2) NPs to unicellular freshwater algae Scenedesmus obliquus was investigated individually and in binary and ternary combination. Results show that the ternary combination systems of TiO2, SiO2 and ZrO2 NPs at a mixture concentration of 1 mg/L significantly enhanced mitochondrial membrane potential and intracellular reactive oxygen species level in the algae. Moreover, the ternary NP systems remarkably increased the activity of the antioxidant defense enzymes superoxide dismutase and catalase, together with an increase in lipid peroxidation products and small molecule metabolites. Furthermore, the observation of superficial structures of S. obliquus revealed obvious oxidative damage induced by the ternary mixtures. Taken together, the ternary NP systems exerted more severe oxidative stress in the algae than the individual and the binary NP systems. Thus, our findings highlight the importance of the assessment of the synergistic toxicity of multi-nanomaterial systems. PMID:29419775
Lu, Ping; Campbell, Charles T; Xia, Younan
2013-10-09
A triphasic catalytic system (Pt/TiO2-SiO2) with an "islands in the sea" configuration was fabricated by controlling the selectivity of SiO2 deposition onto the surface of TiO2 versus the surface of Pt nanoparticles. The Pt surface was exposed, while the nanoparticles were supported on TiO2 and isolated from each other by SiO2 to achieve both significantly improved sinter resistance up to 700 °C and outstanding activity after high-temperature calcination. This work not only demonstrates the feasibility of using a new triphasic system with uncovered catalyst to maximize the thermal stability and catalytic activity but also offers a general approach to the synthesis of high-performance catalytic systems with tunable compositions.
Improved thermal conductivity of TiO2-SiO2 hybrid nanofluid in ethylene glycol and water mixture
NASA Astrophysics Data System (ADS)
Hamid, K. A.; Azmi, W. H.; Nabil, M. F.; Mamat, R.
2017-10-01
The need to study hybrid nanofluid properties such as thermal conductivity has increased recently in order to provide better understanding on nanofluid thermal properties and behaviour. Due to its ability to improve heat transfer compared to conventional heat transfer fluids, nanofluids as a new coolant fluid are widely investigated. This paper presents the thermal conductivity of TiO2-SiO2 nanoparticles dispersed in ethylene glycol (EG)-water. The TiO2-SiO2 hybrid nanofluids is measured for its thermal conductivity using KD2 Pro Thermal Properties Analyzer for concentration ranging from 0.5% to 3.0% and temperature of 30, 50 and 70°C. The results show that the increasing in concentration and temperature lead to enhancement in thermal conductivity at range of concentration studied. The maximum enhancement is found to be 22.1% at concentration 3.0% and temperature 70°C. A new equation is proposed based on the experiment data and found to be in good agreement where the average deviation (AD), standard deviation (SD) and maximum deviation (MD) are 1.67%, 1.66% and 5.13%, respectively.
Schiavo, S; Oliviero, M; Miglietta, M; Rametta, G; Manzo, S
2016-04-15
The increasing use of oxide nanoparticles (NPs) in commercial products has intensified the potential release into the aquatic environment where algae represent the basis of the trophic chain. NP effects upon algae population growth were indeed already reported in literature, but the concurrent effects at cellular and genomic levels are still largely unexplored. Our work investigates the genotoxic (by COMET assay) and cytotoxic effects (by qualitative ROS production and cell viability) of ZnO nanoparticles toward marine microalgae Dunaliella tertiolecta. A comparison at defined population growth inhibition levels (i.e. 50% Effect Concentration, EC50, and No Observed Effect Concentration, NOEC) with SiO2 and TiO2 genotoxic effects and previously investigated cytotoxic effects (Manzo et al., 2015) was performed in order to elucidate the possible diverse mechanisms leading to algae growth inhibition. After 72h exposure, ZnO particles act firstly at the level of cell division inhibition (EC50: 2mg Zn/L) while the genotoxic action is evident only starting from 5mg Zn/L. This outcome could be ascribable mainly to the release of toxic ions from the aggregate of ZnO particle in the proximity of cell membrane. In the main, at EC50 and NOEC values for ZnO NPs showed the lowest cytotoxic and genotoxic effect with respect to TiO2 and SiO2. Based on Mutagenic Index (MI) the rank of toxicity is actually: TiO2>SiO2>ZnO with TiO2 and SiO2 that showed similar MI values at both NOEC and EC50 concentrations. The results presented herein suggest that up to TiO2 NOEC (7.5mg/L), the algae DNA repair mechanism is efficient and the DNA damage does not result in an evident algae population growth inhibition. A similar trend for SiO2, although at lower effect level with respect to TiO2, is observable. The comparison among all the tested nanomaterial toxicity patterns highlighted that the algae population growth inhibition occurred through pathways specific for each NP also related to their
Synthesis and characterization of high surface area TiO 2/SiO 2 mesostructured nanocomposite
NASA Astrophysics Data System (ADS)
Bonne, Magali; Pronier, Stéphane; Can, Fabien; Courtois, Xavier; Valange, Sabine; Tatibouët, Jean-Michel; Royer, Sébastien; Marécot, Patrice; Duprez, Daniel
2010-06-01
Recently titania synthesis was reported using various structuration procedures, leading to the production of solid presenting high surface area but exhibiting moderate thermal stability. The study presents the synthesis of TiO 2/SiO 2 nanocomposites, a solid that can advantageously replace bulk titania samples as catalyst support. The silica host support used for the synthesis of the nanocomposite is a SBA-15 type silica, having a well-defined 2D hexagonal pore structure and a large pore size. The control of the impregnation media is important to obtain dispersed titania crystals into the porosity, the best results have been obtained using an impregnation in an excess of solvent. After calcination at low temperature (400 °C), nanocomposites having titania nanodomains (˜2-3 nm) located inside the pores and no external aggregates visible are obtained. This nanocomposite exhibits high specific surface area (close to that of the silica host support, even with a titania loading of 55 wt.%) and a narrow pore size distribution. Surprisingly, the increase in calcination temperature up to 800 °C does not allow to detect the anatase to rutile transition. Even at 800 °C, the hexagonal mesoporous structure of the silica support is maintained, and the anatase crystal domain size is evaluated at ˜10 nm, a size close to that of the silica host support porosity (8.4 nm). Comparison of their physical properties with the results presented in literature for bulk samples evidenced that these TiO 2/SiO 2 solids are promising in term of thermal stability.
Zhang, Maolin; An, Taicheng; Fu, Jiamo; Sheng, Guoying; Wang, Xinming; Hu, Xiaohong; Ding, Xuejun
2006-06-01
An adsorptive silica-supported titania photocatalyst TiO(2)/SiO(2) was prepared by using nanosized titania (anatase) immobilized on silica gel by the sol-gel technique with the titanium tetra isopropoxide as the main raw material and acetic acid as the acid catalyst. Meanwhile the structure and properties of the TiO(2)/SiO(2) photocatalyst were studied by means of many modern analysis techniques such as TEM, XRD, and BET. Gas-solid heterogeneous photocatalytic decomposition of four carbonyl compounds mixture at low concentration levels over ultraviolet irradiated TiO(2)/SiO(2) photocatalyst were carried out with high degradation efficiencies in a coaxial triple-cylinder-type fluidized bed photocatalytic reactor, which provided efficient continuous contact of ultraviolet photons, silica-supported titania photocatalyst, and gaseous reactants. Experimental results showed that the photocatalyst had a high adsorption performance and a good photocatalytic activity for four carbonyl compounds mixture. Some factors influencing the photocatalytic decomposition of the mixed carbonyl compounds, i.e. the gas flowrate, relative humidity, concentration of oxygen, and illumination time, were discussed in detail. It is found that the photocatalytic reaction rate of four carbonyl compounds decreased in this order: propionaldehyde, acetone, acetaldehyde and formaldehyde.
Effects of TiO2 addition on microwave dielectric properties of Li2MgSiO4 ceramics
NASA Astrophysics Data System (ADS)
Rose, Aleena; Masin, B.; Sreemoolanadhan, H.; Ashok, K.; Vijayakumar, T.
2018-03-01
Silicates have been widely studied for substrate applications in microwave integrated circuits owing to their low dielectric constant and low tangent loss values. Li2MgSiO4 (LMS) ceramics are synthesized through solid-state reaction route using TiO2 as an additive to the pure ceramics. Variations in dielectric properties of LMS upon TiO2 addition in different weight percentages (0.5, 1.5, 2) are studied by keeping the sintering parameters constant. Crystalline structure, phase composition, and microstructure of LMS and LMS-TiO2 ceramics were studied using x-ray diffraction spectrometer and High Resolution Scanning electron microscope. Density was measured through Archimedes method and the microwave dielectric properties were examined by Cavity perturbation technique. LMS achieved relative permittivity (ε r) of 5.73 and dielectric loss (tan δ) of 5.897 × 10‑4 at 8 GHz. In LMS-TiO2 ceramics, 0.5 wt% TiO2 added LMS showed comparatively better dielectric properties than other weight percentages where ε r = 5.67, tan δ = 7.737 × 10‑4 at 8 GHz.
NASA Astrophysics Data System (ADS)
Wang, Huang; Qin, Renyao; He, Guo
2016-09-01
The metallurgical behavior during shielded metal arc welding (SMAW) and the slag detachability of the CaO-CaF2-SiO2 type ENiCrFe-7-covered electrodes was investigated. The results indicated that the slag detachability could be improved as the SiO2 in the flux coatings decreased. When the SiO2 in the flux coating was 10.9 pct, about 28.3 pct CaF2 resulted in the best slag detachability. The CaF2 and SiO2 in the flux coating interacted during SMAW to form gaseous SiF4 to be evacuated. In the reactions, one SiO2 consumed two CaF2, leading to the reduction of the ratio of CaF2/SiO2. After comparing the slag compositions, the best slag detachability was obtained at CaO:CaF2:SiO2 = 1.7:1.8:1, but the worst slag detachability appeared at CaO:CaF2:SiO2 = 1.3:0.9:1. The XRD analysis revealed that the oxides and fluorides in the slags preferred to gather together to form cuspidine and other complex phases. If the CaF2 was dominant in the slags, they intended to form homogenous porous microstructures that were relatively strong and would most likely detach from the weld metal in blocks, exhibiting good slag detachability. If the cuspidine phase was dominant, the slags exhibited a `rock strata'-like microstructure in the intergranular area. Such microstructure was very fragile and could be broken into fine powders that were easily embedded in the weld ripples, leading to slag adhesions. This work provides the researcher with a wealth of information and data, which will also be beneficial to the welding material producers and users.
Chellappa, Maniickam; Thejaswini, Bezawada; Vijayalakshmi, Uthirapathy
2017-02-01
The objective of this study is to evaluate the biocompatibility of composite powder consisting of silica and titania (SiO 2 -TiO 2 ) for biomedical applications. The advancement of nanoscience and nanotechnology encourages researchers to actively participate in reinvention of existing materials with improved physical, chemical and biological properties. Hence, a composite/hybrid material has given birth of new materials with intriguing properties. In the present investigation, SiO 2 -TiO 2 composite powder was synthesised by sol-gel method and the prepared nanocomposite was characterised for its phase purity, functional groups, surface topography by powder X-ray diffraction (XRD), Fourier transform infrared spectroscopy (FT-IR) and scanning electron microscopy. Furthermore, to understand the adverse effects of composite, biocompatibility test was analysed by cell culture method using MG63 osteoblast cell lines as a basic screening method. From the results, it was observed that typical Si-O-Ti peaks in FT-IR confirms the formation of composite and the crystallinity of the composite powder was analysed by XRD analysis. Further in vitro biocompatibility and acridine orange results have indicated better biocompatibility at different concentrations on osteoblast cell lines. On the basis of these observations, we envision that the prepared silica-titania nanocomposite is an intriguing biomaterial for better biomedical applications.
NASA Astrophysics Data System (ADS)
Kang, Xiaolan; Han, Ying; Song, Xuezhi; Tan, Zhenquan
2018-03-01
Herein, we report a facile and economical photoassisted strategy for synthesizing the highly active N, F-codoped oxygen-deficient TiO2 with coexposed {001} and {101} facets. NH4TiOF3 mesocrystals were used to act as the resource of dopants and the intermediate to fabricate TiO2 with highly active {001} facets. Comprehensive analysis based on X-ray photoelectron spectroscopy, transmission electron microscopy and electron spin resonances manifested that F, N and oxygen vacancies were simultaneously introduced to TiO2 through the photoassisted process. The test of phenol and Rhodamine B (RhB) degradation under visible light demonstrates that the as-prepared N, F codoped oxygen-deficient TiO2 exhibits higher photocatalytic activity than its references. The increased photocatalytic performances results from the synergetic effect of the induced Vo's and N, F codoping in TiO2 with co-exposed {001} and {101} facets, favoring the visible light utilization as well as the separation of photogenerated carriers. This strategy is expected to provide a new insight into the design of high performance photocatalysts.
Ziegmann, Markus; Frimmel, Fritz H
2010-01-01
The combination of powdered activated carbon (PAC) and TiO(2) has been tested for synergistic/antagonistic effects in the photocatalytic degradation of carbamazepine, clofibric acid and iomeprol. Synergistic effects are thought to be caused by rapid adsorption on the PAC surface followed by diffusion to the TiO(2) surface and photocatalytic degradation. The Freundlich constant K(F) was used for comparing the sorption properties of the three substances and it was found that K(F) for clofibric acid was 3 times lower than for carbamazepine and iomeprol, regardless of the kind of PAC used. A PAC with a distinct tendency to form conglomerates was selected so that a high percentage of the PAC surface was in direct proximity to the TiO(2) surface. The photocatalytic degradation of the pharmaceutically active compounds studied followed pseudo-first order kinetics. Synergistic effects only occurred for clofibric acid (factor 1.5) and an inverse relationship between adsorption affinity and synergistic effects was found. High affinity of the target substances to the PAC surface seemed to be counterproductive for the photocatalytic degradation.
Resende, S F; Nunes, E H M; Houmard, M; Vasconcelos, W L
2014-11-01
In this study we prepared silica-titania composites with a low SiO2:TiO2 molar ratio. These materials were prepared using a simple sol-gel route in which a hydrothermal treatment was used to obtain mesoporous anatase particles. Pure titania was also synthetized for comparison purposes. These materials were examined by scanning and transmission electron microscopy (SEM and TEM, respectively), energy dispersive X-ray spectroscopy (EDS), X-ray diffraction (XRD), Fourier transform infrared spectroscopy (FTIR), differential scanning calorimetry (DSC), and nitrogen sorption tests. A thin silica coating was formed on the anatase particles. It was observed that the presence of this coating led to samples with an enhanced thermal stability. Indeed, the composites prepared in this work showed an anatase structure and a high specific surface area (SSA), even after their calcination at 800°C. Thus, we believe that the synthetized material present an outstanding SiO2-TiO2 interfacial area associated with a high amount of anatase particles which could improve its photoactive properties. Copyright © 2014 Elsevier Inc. All rights reserved.
NASA Astrophysics Data System (ADS)
Wang, Ping; Lu, Yanggang; Wang, Xuefei; Yu, Huogen
2017-01-01
Highly efficient TiO2 photocatalysts co-modified by amorphous-Ti(IV) hole cocatalyst and Ni(OH)2 electron cocatalyst (referred to as Ni(OH)2-Ti(IV)/TiO2) were prepared by facile two-step process which was the initial formation of amorphous Ti(IV) on the TiO2 surface via hydrolysis method and the following formation of Ni(OH)2 via precipitation reaction. It was found that the Ni(OH)2-Ti(IV)/TiO2 showed obviously high hydrogen-production performance. When the amount of Ni(OH)2 and Ti(IV) was 1 wt% and 0.1 wt%, respectively, the hydrogen-production rate of the resultant Ni(OH)2-Ti(IV)/TiO2 reached 7280.04 μmol h-1 g-1, which was significantly higher than that of TiO2, Ti(IV)/TiO2 and Ni(OH)2/TiO2 by a factor of 215, 63 and 1.8, respectively. Moreover, it was found that Ni(OH)2-Ti(IV)/TiO2 photocatalyst preserved a steady and highly efficient H2-production performance during repeated tests and also exhibited a high transient photocurrent density. The enhanced hydrogen-production performance of Ni(OH)2-Ti(IV)/TiO2 can be attributed to the synergistic effect of Ti(IV) hole cocatalyst and Ni(OH)2 electron cocatalyst to simultaneously accelerate the interfacial transfer of photogenerated holes and electrons. The present surface modification of dual cocatalysts can be regarded as one of the ideal strategies for the preparation of highly efficient hydrogen-production materials in view of their abundance, low cost and facile method.
NASA Astrophysics Data System (ADS)
Losito, I.; Amorisco, A.; Palmisano, F.; Zambonin, P. G.
2005-02-01
X-ray photoelectron spectroscopy (XPS) was adopted for the analytical characterization of composite titanium dioxide-poly(vinylidenefluoride) (TiO 2-PVDF) films developed for applications in the photocatalytic degradation of pollutants. The composites were deposited on glass substrates by casting or spin coating from TiO 2-PVDF suspensions in dimethylformamide (DMF). XPS data on the TiO 2-PVDF surface composition were used to optimize preparation conditions (composition of the TiO 2/PVDF suspension, deposition technique) in terms of titanium dioxide surface amount and film stability. The use of spin-coating deposition and the increase of TiO 2 amount in the DMF suspensions were found to improve the titanium surface content, although high TiO 2/PVDF ratios led to film instability. PVDF-TiO 2 films were also used in preliminary photocatalytic degradation tests on isoproturon, a phenylurea herbicide, under solar UV irradiation; the results were compared to direct photolysis to evaluate the catalytic efficiency of immobilized TiO 2 and the role played by the PVDF film during the degradation process.
Sol-gel TiO2 films as NO2 gas sensors
NASA Astrophysics Data System (ADS)
Georgieva, V.; Gadjanova, V.; Grechnikov, A.; Donkov, N.; Sendova-Vassileva, M.; Stefanov, P.; Kirilov, R.
2014-05-01
TiO2 films were prepared by a sol-gel technique with commercial TiO2 powder as a source material (P25 Degussa AG). After a special treatment, printing paste was prepared. The TiO2 layers were formed by means of drop-coating on Si-control wafers and on the Au-electrodes of quartz resonators. The surface morphology of the films was examined by scanning electron microscopy (SEM). Their structure was studied by Raman spectroscopy and the surface composition was determined by X-ray photoelectron spectroscopy (XPS). The layers had a grain-like surface morphology and consisted mainly of anatase TiO2 phase. The sensitivity of the TiO2 films to NO2 was assessed by the quartz crystal microbalance (QCM) technique. To this end, the films were deposited on both sides of a 16-MHz QCM. The sensing characteristic of the TiO2-QCM structure was investigated by measuring the resonant frequency shift (ΔF) of the QCM due to the mass loading caused by NO2 adsorption. The Sauerbrey equation was applied to establish the correlation between the QCM frequency changes measured after exposure to different NO2 concentrations and the mass-loading of the QCM. The experiments were carried out in a dynamic mode on a special laboratory setup with complete control of the process parameters. The TiO2 films were tested in the NO2 concentration interval from 10 ppm to 5000 ppm. It was found that a TiO2 loading of the QCM by 5.76 kHz corresponded to a system sensitive to NO2 concentrations above 250 ppm. On the basis of the frequency-time characteristics (FTCs) measured, AF at different NO2 concentrations was defined, the adsorption/desorption cycles were studied and the response and recovery times were estimated. The results obtained show that the process is reversible in the NO2 interval investigated. The results further suggested that TiO2 films prepared by a sol-gel method on a QCM can be used as a sensor element for NO2 detection.
Liu, Caixia; Chen, Liang; Li, Junhua; Ma, Lei; Arandiyan, Hamidreza; Du, Yu; Xu, Jiayu; Hao, Jiming
2012-06-05
A series of novel metal-oxide-supported CeO(2) catalysts were prepared via the wet impregnation method, and their NH(3)-SCR activities were investigated. The Ce/TiO(2)-SiO(2) catalyst with a Ti/Si mass ratio of 3/1 exhibited superior NH(3)-SCR activity and high N(2) selectivity in the temperature range of 250-450 °C. The characterization results revealed that the activity enhancement was correlated with the properties of the support material. Cerium was highly dispersed on the TiO(2)-SiO(2) binary metal oxide support, and the interaction of Ti and Si resulted in greater conversion of Ce(4+) to Ce(3+) on the surface of the catalyst compared to that on the single metal oxide supports. As a result of in the increased number of acid sites on Ce/TiO(2)-SiO(2) that resulted from the addition of SiO(2), the NH(3) adsorption capacity was significantly improved. All of these factors played significant roles in the high SCR activity. More importantly, Ce/TiO(2)-SiO(2) exhibited strong resistance to SO(2) and H(2)O poisoning. After the addition of SiO(2), the number of Lewis-acid sites was not decreased, but the number of Brønsted-acid sites on the TiO(2)-SiO(2) carrier was increased. The introduction of SiO(2) further weakened the alkalinity over the surface of the Ce/TiO(2)-SiO(2) catalyst, which resulted in sulfate not easily accumulating on the surface of the Ce/TiO(2)-SiO(2) catalyst in comparison with Ce/TiO(2).
Jo, Sinae; Kang, Seunggu
2013-05-01
The effect of TiO2 on the degree of crystallization, thermal properties and microstructure for MgO-Al2O3-SiO2 glass-ceramics system containing 0-13 wt% TiO2 and 0-1.5 wt% B2O3 in which the cordierite is the main phase was studied. Using Kissinger and Augis-Bennett equations, the activation energy, 510 kJ/mol and Avrami constant, 1.8 were calculated showing the surface-oriented crystallization would be preferred. The alpha-cordierite phase was generated in the glass-ceramics of containing TiO2 of 0-5.6 wt%. However, for the glass-ceramics of TiO2 content above 7 wt%, an alpha-cordierite disappeared and micro-cordierite phase was formed. The glass-ceramics of no TiO2 added had spherical crystals of few tens nanometer size spread in the matrix. As TiO2 content increased up to 5.6 wt%, a lump of dendrite was formed. In the glass-ceramics containing TiO2 7-13 wt%, in which the main phase is micro-cordierite, the dendrite crystal disappeared and a few hundred nanometer sized crystal particles hold tightly each other were generated. The thermal conductivity of glass-ceramics of both a-cordierite and micro-cordierite base decreased with TiO2 contend added. The thermal conductivity of glass-ceramics of 1.5 wt% TiO2 added was 3.4 W/mK which is 36% higher than that of glass-ceramics of no TiO2 added. The sintering temperature for 1.5 wt% TiO2 glass-ceramics was 965 degrees C which could be concluded as to apply to LTCC process for LED packaging.
NASA Astrophysics Data System (ADS)
Wan, Hengcheng; Yao, Weitang; Zhu, Wenkun; Tang, Yi; Ge, Huilin; Shi, Xiaozhong; Duan, Tao
2018-06-01
SiO2@TiO2 yolk@shell hollow nanospheres (STNSs) is considered as an outstanding photocatalyst due to its tunable structure and composition. Based on this point, we present an unprecedentedly excellent photocatalytic property of STNSs toward tannic acid via a Fe-N co-doped strategy. Their morphologies, compositions, structure and properties are characterized. The Fe-N co-doped STNSs formed good hollow yolk@shell structure. The results show that the energy gap of the composites can be downgraded to 2.82 eV (pure TiO2 = 3.2 eV). Photocatalytic degradation of tannic acid (TA, 30 mg L-1) under visible light (380 nm < λ < 780 nm) irradiation is used to evaluate the photocatalytic activity of the composites. Compared with pure TiO2 nanospheres, non-doped STNSs and N-doped STNSs, the Fe-N co-doped STNSs exhibits the highest activity, which can degrade 99.5% TA into CO2 and H2O in 80 min. The probable degradation mechanism of the composites is simultaneously proposed, the band gap of STNSs becomes narrow by co-doping Fe-N, so that the TiO2 shell can stimulate electrons under visible light exposure, generate the ions of radOH and radO2- with a strong oxidizing property. Therefore this approach works is much desired for radioactive organic wastewater photocatalytic degradation.
Application of RF varactor using Ba(x)Sr(1-x)TiO3/TiO2/HR-Si substrate for reconfigurable radio.
Kim, Ki-Byoung; Park, Chul-Soon
2007-11-01
In this paper, the potential feasibility of integrating Ba(x)Sr(1-x)TiO3 (BST) films into Si wafer by adopting tunable interdigital capacitor (IDC) with TiO2 thin film buffer layer and a RF tunable active bandpass filter (BPF) using BST based capacitor are proposed. TiO2 as a buffer layer is grown onto Si substrate by atomic layer deposition (ALD) and the interdigital capacitor on BST(500 nm)/TiO2 (50 nm)/HR-Si is fabricated. BST interdigital tunable capacitor integrated on HR-Si substrate with high tunability and low loss tangent are characterized for their microwave performances. BST/TiO2/HR-Si IDC shows much enhanced tunability values of 40% and commutation quality factor (CQF) of 56.71. A resonator consists of an active capacitance circuit together with a BST varactor. The active capacitor is made of a field effect transistor (FET) that exhibits negative resistance as well as capacitance. The measured second order active BPF shows bandwidth of 110 MHz, insertion loss of about 1 dB at the 1.81 GHz center frequency and tuning frequency of 230 MHz (1.81-2.04 GHz).
Habila, Mohamed A; ALOthman, Zeid A; El-Toni, Ahmed Mohamed; Labis, Joselito Puzon; Soylak, Mustafa
2016-07-01
Interference of organic compounds in the matrix of heavy metal solution could suppress their pre-concentration and detection processes. Therefore, this work aimed to develop simple and facile methods for separation of heavy metals before ICP-MS analysis. Fe3O4@SiO2@TiO2 core-double shell magnetic adsorbent was prepared and characterized by TEM, SEM, FTIR, XRD and surface area, and tested for Magnetic Solid Phase Extraction (MSPE) of Cu(II), Zn(II), Cd(II) and Pb(II). TEM micrograph of Fe3O4@SiO2@TiO2 reveals the uniform coating of TiO2 layer of about 20nm onto the Fe3O4@SiO2 nanoparticles and indicates that all nanoparticles are monodispersed and uniform. The saturation magnetization from the room-temperature hysteresis loops of Fe3O4 and Fe3O4@SiO2@TiO2 was found to be 72 and 40emug(-1), respectively, suggesting good separability of the nanoparticles. The Fe3O4@SiO2@TiO2 showed maximum adsorption capacity of 125, 137, 148 and 160mgg(-1) for Cu(II), Zn(II), Cd(II) and Pb(II) respectively, and the process was found to fit with the second order kinetic model and Langmuir isotherm. Fe3O4@SiO2@TiO2 showed efficient photocatalytic decomposition for tartrazine and sunset yellow (consider as Interfering organic compounds) in aqueous solution under the irradiation of UV light. The maximum recovery% was achieved at pH 5, by elution with 10mL of 2M nitric acid solution. The LODs were found to be 0.066, 0.049, 0.041 and 0.082µgL(-1) for Cu(II), Zn(II), Cd(II) and Pb(II), respectively while the LOQs were found to be 0.20, 0.15, 0.12 and 0.25µgL(-1) for Cu(II), Zn(II), Cd(II) and Pb(II), respectively. Copyright © 2016 Elsevier B.V. All rights reserved.
Degradation of the antibiotic oxolinic acid by photocatalysis with TiO2 in suspension.
Giraldo, Ana L; Peñuela, Gustavo A; Torres-Palma, Ricardo A; Pino, Nancy J; Palominos, Rodrigo A; Mansilla, Héctor D
2010-10-01
In the work presented here, a photocatalytic system using titanium Degussa P-25 in suspension was used to evaluate the degradation of 20mg L(-1) of antibiotic oxolinic acid (OA). The effects of catalyst load (0.2-1.5 g L(-1)) and pH (7.5-11) were evaluated and optimized using the surface response methodology and the Pareto diagram. In the range of variables studied, low pH values and 1.0 g L(-1) of TiO(2) favoured the efficiency of the process. Under optimal conditions the evolution of the substrate, chemical oxygen demand, dissolved organic carbon, toxicity and antimicrobial activity on Escherichia coli cultures were evaluated. The results indicate that, under optimal conditions, after 30 min, the TiO(2) photocatalytic system is able to eliminate both the substrate and the antimicrobial activity, and to reduce the toxicity of the solution by 60%. However, at the same time, ∼53% of both initial DOC and COD remain in solution. Thus, the photocatalytical system is able to transform the target compound into more oxidized by-products without antimicrobial activity and with a low toxicity. The study of OA by-products using liquid chromatography coupled with mass spectrometry, as well as the evaluation of OA degradation in acetonitrile media as solvent or in the presence of isopropanol and iodide suggest that the reaction is initiated by the photo-Kolbe reaction. Adsorption isotherm experiments in the dark indicated that under pH 7.5, adsorption corresponded to the Langmuir adsorption model, indicating the dependence of the reaction on an initial adsorption step. Copyright © 2010 Elsevier Ltd. All rights reserved.
Self-Organized Formation of Short TiO2 Nanotube Arrays By Complete Anodization of Ti Thin Films
NASA Astrophysics Data System (ADS)
Okada, Masahisa; Tajima, Kazuki; Yamada, Yasusei; Yoshimura, Kazuki
We investigate the self-organized growth of short TiO2 nanotubes by complete anodization of Ti thin films deposited on Si substrates in ethylene glycol electrolytes with small addition of NH4F. During the anodization process, real-time inspection of the current transient is performed to anodize the Ti films completely. X-ray photoelectron spectroscopy and scanning electron microscopy are employed to characterize the resulting samples. We find that the length of the formed TiO2 nanotubes is governed by the thickness of Ti thin films independently of the tube diameter. Short TiO2 nanotubes are also found to be stable up to 550 °C in air atmosphere even after crystallization to rutile.
2014-01-01
This work deals with the deposition of Cr-doped TiO2 thin films on porous silicon (PS) prepared from electrochemical anodization of multicrystalline (mc-Si) Si wafers. The effect of Cr doping on the properties of the TiO2-Cr/PS/Si samples has been investigated by means of X-ray diffraction (XRD), atomic force microcopy (AFM), photoluminescence, lifetime, and laser beam-induced current (LBIC) measurements. The photocatalytic activity is carried out on TiO2-Cr/PS/Si samples. It was found that the TiO2-Cr/PS/mc-Si type structure degrades an organic pollutant (amido black) under ultraviolet (UV) light. A noticeable degradation of the pollutant is obtained for a Cr doping of 2 at. %. This result is discussed in light of LBIC and photoluminescence measurements. PMID:25313302
Hajjaji, Anouar; Trabelsi, Khaled; Atyaoui, Atef; Gaidi, Mounir; Bousselmi, Latifa; Bessais, Brahim; El Khakani, My Ali
2014-01-01
This work deals with the deposition of Cr-doped TiO2 thin films on porous silicon (PS) prepared from electrochemical anodization of multicrystalline (mc-Si) Si wafers. The effect of Cr doping on the properties of the TiO2-Cr/PS/Si samples has been investigated by means of X-ray diffraction (XRD), atomic force microcopy (AFM), photoluminescence, lifetime, and laser beam-induced current (LBIC) measurements. The photocatalytic activity is carried out on TiO2-Cr/PS/Si samples. It was found that the TiO2-Cr/PS/mc-Si type structure degrades an organic pollutant (amido black) under ultraviolet (UV) light. A noticeable degradation of the pollutant is obtained for a Cr doping of 2 at. %. This result is discussed in light of LBIC and photoluminescence measurements.
TiO2 as conductivity enhancer in PVdF-HFP polymer electrolyte system
NASA Astrophysics Data System (ADS)
Bhattacharya, Shreya; Manojkumar Ubarhande, Radha; Usha Rani, M.; Shanker Babu, Ravi; Arunkumar, R.
2017-11-01
Composite polymer electrolytes were prepared by incorporating inorganic filler TiO2 into PVdF-HFP-PMMA-EC-LiClO4 system. The electrolyte films were prepared by solvent casting technique. The effect of inorganic filler on the conductivity of the blended polymer electrolyte was studied and it is found that there is a considerable increase in ionic conductivity 1.296 × 10-3 S/cm-1 on the addition of TiO2. X-ray diffraction (XRD) study elucidate the increase in amorphous nature of the polymer electrolyte. This tendency of the polymer electrolyte could be the reason behind the increase in ionic conductivity. Fourier transform infrared spectroscopy (FTIR) spectra show the occurrence of complexation and interaction among the components.
Mo/Si multilayers with enhanced TiO II- and RuO II-capping layers
NASA Astrophysics Data System (ADS)
Yulin, Sergiy; Benoit, Nicolas; Feigl, Torsten; Kaiser, Norbert; Fang, Ming; Chandhok, Manish
2008-03-01
The lifetime of Mo/Si multilayer-coated projection optics is one of the outstanding issues on the road of commercialization of extreme-ultraviolet lithography (EUVL). The application of Mo/Si multilayer optics in EUVL requires both sufficient radiation stability and also the highest possible normal-incidence reflectivity. A serious problem of conventional high-reflective Mo/Si multilayers capped by silicon is the considerable degradation of reflective properties due to carbonization and oxidation of the silicon surface layer under exposure by EUV radiation. In this study, we focus on titanium dioxide (TiO II) and ruthenium dioxide (RuO II) as promising capping layer materials for EUVL multilayer coatings. The multilayer designs as well as the deposition parameters of the Mo/Si systems with different capping layers were optimized in terms of maximum peak reflectivity at the wavelength of 13.5 nm and longterm stability under high-intensive irradiation. Optimized TiO II-capped Mo/Si multilayer mirrors with an initial reflectivity of 67.0% presented a reflectivity drop of 0.6% after an irradiation dose of 760 J/mm2. The reflectivity drop was explained by the partial oxidation of the silicon sub-layer. No reflectivity loss after similar irradiation dose was found for RuO II-capped Mo/Si multilayer mirrors having initial peak reflectivity of 66%. In this paper we present data on improved reflectivity of interface-engineered TiO II- and RuO II-capped Mo/Si multilayer mirrors due to the minimization of both interdiffusion processes inside the multilayer stack and absorption loss in the oxide layer. Reflectivities of 68.5% at the wavelength of 13.4 nm were achieved for both TiO II- and RuO II-capped Mo/Si multilayer mirrors.
Jeong, Eun -Suk; Park, Chang -In; Jin, Zhenlan; ...
2015-01-21
This paper examined the local structural properties of Pt nanoparticles on SiO 2, TiO 2–SiO 2, and ZrO 2–SiO 2 supports to better understand the impact of oxide-support type on the performance of Pt-based catalysts. In situ X-ray absorption fine structure (XAFS) measurements were taken for the Pt L3-edge in a temperature range from 300 to 700 K in He, H 2, and O 2 gas environments. The XAFS measurements demonstrated that Pt atoms were highly dispersed on TiO 2–SiO 2 and ZrO 2–SiO 2 forming pancake-shaped nanoparticles, whereas Pt atoms formed larger particles of hemispherical shapes on SiO 2more » supports. Contrary to the SiO 2 case, the coordination numbers for Pt, Ti, and Zr around Pt atoms on the TiO 2–SiO 2 and ZrO 2–SiO 2 supports were nearly constant from 300 to 700 K under the different gas environments. These results are consistent with the improvements in thermal stability of Pt nanoparticles achieved by incorporating TiO 2 or ZrO 2 on the surface of SiO 2 supports. XAFS analysis further indicated that the enhanced dispersion and stability of Pt were a consequence of the strong metal support interaction via Pt–Ti and Pt–Zr bonds.« less
Micropatterning of TiO2 thin films by MOCVD and study of their growth tendency.
Hwang, Ki-Hwan; Kang, Byung-Chang; Jung, Duk Young; Kim, Youn Jea; Boo, Jin-Hyo
2015-03-23
In this work, we studied the growth tendency of TiO2 thin films deposited on a narrow-stripe area (<10 μm). TiO2 thin films were selectively deposited on OTS patterned Si(100) substrates by MOCVD. The experimental data showed that the film growth tendency was divided into two behaviors above and below a line patterning width of 4 μm. The relationship between the film thickness and the deposited area was obtained as a function of f(x) = a[1 - e((-bx))]c. To find the tendency of the deposition rate of the TiO2 thin films onto the various linewidth areas, the relationship between the thickness of the TiO2 thin film and deposited linewidth was also studied. The thickness of the deposited TiO2 films was measured from the alpha-step profile analyses and cross-sectional SEM images. At the same time, a computer simulation was carried out to reveal the relationship between the TiO2 film thickness and deposited line width. The theoretical results suggest that the mass (velocity) flux in flow direction is directly affected to the film thickness.
Wasan Awin, Eranezhuth; Lale, Abhijeet; Kumar, Kollamala Chellappan Nair Hari
2018-01-01
Titania (TiO2) is considered to have immense potential as a photocatalyst, the anatase phase in particular. There have been numerous attempts to push the limits of its catalytic activity to higher wavelengths to harness the visible electromagnetic radiation. Most of the investigations till date have been restricted to fine-tuning the bandgap by doping, control of defect chemistry at the surface and several to first principle simulations either with limited success or success at the cost of complexities in processing. Here, we report a simple and elegant way of preparing ceramics through precursor chemistry which involves synthesis of macroporous and mesoporous nanocomposites with in situ formation of TiO2 nanocrystals into a robust and protecting SiOC matrix. The in situ nanoscaled TiO2 is anatase of size 9–10 nm, which is uniformly distributed in an amorphous SiOC matrix forming a new generation of nanocomposites that combine the robustness, structural stability and durability of the SiOC matrix while achieving nanoscaled TiO2 functionalities. The stabilization of the anatase phase even at temperature as high as 1200 °C was evident. With an average pore size of 6.8 nm, surface area of 129 m2/g (BET) and pore volume of 0.22 cm3/g (BET), mesoporosity was achieved in the nanocomposites. The composites exhibited visible light photocatalytic activity, which is attributed to the Ti–O–C/TiC bonds resulting in the reduction of band gap by 0.2 to 0.9 eV. Furthermore, the heterojunction formed between the amorphous SiOC and crystalline TiO2 is also expected to minimize the recombination rate of electron-hole pair, making these novel nanocomposites based on TiO2 extremely active in visible wavelength regime. PMID:29494505
Sun, Z; Zhang, L X; Qi, J L; Zhang, Z H; Hao, T D; Feng, J C
2017-03-22
With the aim of expanding their applications, particularly when joining metals, a simple but effective method is reported whereby the surface chemical reactivity of SiO 2f /SiO 2 (SiO 2f /SiO 2 stands for silica fibre reinforced silica based composite materials and f is short for fibre) composites with vertically oriented few-layer graphene (VFG, 3-10 atomic layers of graphene vertically oriented to the substrate) can be tailored. VFG was uniformly grown on the surface of a SiO 2f /SiO 2 composite by using plasma enhanced chemical vapour deposition (PECVD). The wetting experiments were conducted by placing small pieces of AgCuTi alloy foil on SiO 2f /SiO 2 composites with and without VFG decoration. It was demonstrated that the contact angle dropped from 120° (without VFG decoration) to 50° (with VFG decoration) when the holding time was 10 min. The interfacial reaction layer in SiO 2f /SiO 2 composites with VFG decoration became continuous without any unfilled gaps compared with the composites without VFG decoration. High-resolution transmission electron microscopy (HRTEM) was employed to investigate the interaction between VFG and Ti from the AgCuTi alloy. The results showed that VFG possessed high chemical reactivity and could easily react with Ti even at room temperature. Finally, a mechanism of how VFG promoted the wetting of the SiO 2f /SiO 2 composite by the AgCuTi alloy is proposed and thoroughly discussed.
NASA Astrophysics Data System (ADS)
Islam, Syed Z.; Wanninayake, Namal; Reed, Allen D.; Kim, Doo-Young; Rankin, Stephen E.
2016-10-01
The optical and electronic properties of TiO2 thin films provide tremendous opportunities in several applications including photocatalysis, photovoltaics and photoconductors for energy production. Despite many attractive features of TiO2, critical challenges include the innate inability of TiO2 to absorb visible light and the fast recombination of photoexcited charge carriers. In this study, we prepared ordered mesoporous TiO2 films co-modified by graphene quantum dot sensitization and nitrogen doping (GQD-N-TiO2) for hydrogen production from photoelectrochemical water splitting under visible light irradiation. First, cubic ordered mesoporous TiO2 films were prepared by a surfactant templated sol-gel method. Then, TiO2 films were treated with N2/Ar plasma for the incorporation of substitutional N atoms into the lattice of TiO2. GQDs were prepared by chemically oxidizing carbon nano-onions. The immobilization of GQDs was accomplished by reacting carboxyl groups of GQDs with amine groups of N-TiO2 developed by the prior immobilization of (3-aminopropyl)triethoxysilane (APTES). Successful immobilization of GQDs onto N-TiO2 was probed by UV-Vis, FT-IR, and scanning electron microscopy. Further, zeta potential and contact angle measurements showed enhanced surface charge and hydrophilicity, confirming the successful immobilization of GQDs. The GQD-N-TiO2, N-TiO2 and GQD-TiO2 films showed 400 times, 130 times and 8 times photocurrent enhancement, respectively, compared to TiO2 films for water splitting with a halogen bulb light source. This outstanding enhancement is attributed to the high surface area of mesoporous films and synergistic effects of nitrogen doping and GQD sensitization resulting in enhanced visible light absorption, efficient charge separation and transport.
NASA Astrophysics Data System (ADS)
Yan, Zhiming; Lv, Xuewei; Zhang, Jie; Xu, Jian
TiO2 has been approved as a viscosity-decreasing agent in blast furnace slag under inert atmosphere both by experimental and structure calculation. However, the validity of the above conclusion in a much bigger zone in CaO-SiO2-Al2O3-MgO phase diagram has not approved. The viscosity of slag dependent on the TiO2 content and basicity were measured in the present work. It was found that the viscosity and viscous activation energy decrease with increasing TiO2 content and basicity at a reasonable range, indicating TiO2 behaved as a viscosity-decreasing agent by depolymerizing the silicate network structure when its less than 50wt. %. The liquidity of the slag can be improved when TiO2 content less than 50wt. % and basicity from 0.5 to 1.1. The free running temperature increase at TiO2 content from 10wt.% to 30wt. %. The results of calculation does not agree well with the experimental values at a high basicity of 1.3 with TiO2 content from 20wt.% to 30wt.% and the lower basicity of 0.5 with TiO2 content more than 50wt.%.
Kushibiki, Jun-ichi; Arakawa, Mototaka; Ohashi, Yuji; Suzuki, Kouji
2006-09-01
Experimental procedures and standard specimens for characterizing and evaluating TiO2-SiO2 ultra-low expansion glasses with periodic striae using the line-focus-beam (LFB) ultrasonic material characterization system are discussed. Two types of specimens were prepared, with specimen surfaces parallel and perpendicular to the striae plane using two different grades of glass ingots. The inhomogeneities of each of the specimens were evaluated at 225 MHz. It was clarified that parallel specimens are useful for accurately measuring velocity variations of leaky surface acoustic waves (LSAWs) excited on a water-loaded specimen surface associated with the striae. Perpendicular specimens are useful for obtaining periodicities in the striae for LSAW propagation perpendicular to the striae plane on a surface and for precisely measuring averaged velocities for LSAW propagation parallel to the striae plane. The standard velocity of Rayleigh-type LSAWs traveling parallel to the striae plane for the perpendicular specimens was numerically calculated using the measured velocities of longitudinal and shear waves and density. Consequently, a reliable standard specimen with an LSAW velocity of 3308.18 +/- 0.35 m/s at 23 degrees C and its temperature coefficient of 0.39 (m/s)/degrees C was obtained for a TiO2-SiO2 glass with a TiO2 concentration of 7.09 wt%. A basis for the striae analysis using this ultrasonic method was established.
Using TiO2 as a conductive protective layer for photocathodic H2 evolution.
Seger, Brian; Pedersen, Thomas; Laursen, Anders B; Vesborg, Peter C K; Hansen, Ole; Chorkendorff, Ib
2013-01-23
Surface passivation is a general issue for Si-based photoelectrodes because it progressively hinders electron conduction at the semiconductor/electrolyte interface. In this work, we show that a sputtered 100 nm TiO(2) layer on top of a thin Ti metal layer may be used to protect an n(+)p Si photocathode during photocatalytic H(2) evolution. Although TiO(2) is a semiconductor, we show that it behaves like a metallic conductor would under photocathodic H(2) evolution conditions. This behavior is due to the fortunate alignment of the TiO(2) conduction band with respect to the hydrogen evolution potential, which allows it to conduct electrons from the Si while simultaneously protecting the Si from surface passivation. By using a Pt catalyst the electrode achieves an H(2) evolution onset of 520 mV vs NHE and a Tafel slope of 30 mV when illuminated by the red part (λ > 635 nm) of the AM 1.5 spectrum. The saturation photocurrent (H(2) evolution) was also significantly enhanced by the antireflective properties of the TiO(2) layer. It was shown that with proper annealing conditions these electrodes could run 72 h without significant degradation. An Fe(2+)/Fe(3+) redox couple was used to help elucidate details of the band diagram.
Optical density and photonic efficiency of silica-supported TiO2 photocatalysts.
Marugán, J; Hufschmidt, D; Sagawe, G; Selzer, V; Bahnemann, D
2006-02-01
Over the last years, many research groups have developed supported TiO2-based materials in order to improve the engineering applications of photocatalytic technologies. However, not many attempts have been made to evaluate the optical behavior of these materials. This work focuses on the study of the photonic efficiencies of silica-supported TiO2 photocatalysts following the photodegradation of dichloroacetic acid (DCA) as model compound. Catalysts with different types of silica support and titania loadings were tested and their activity was found to be in correlation with the results of the clusters size distribution of the TiO2 nanocrystals. The photonic efficiency of the supported photocatalysts depends extremely on the optical density of the solid suspensions. Influence of the textural properties of the support and the titania loading on the optical density as well as on the photonic efficiency of the materials are discussed. The dependence of the absorption of radiation by the suspension on the catalyst concentration is also analyzed.
NASA Technical Reports Server (NTRS)
Zukic, Muamer; Torr, Douglas G.; Spann, James F.; Torr, Marsha R.
1990-01-01
An iteration process matching calculated and measured reflectance and transmittance values in the 120-230 nm VUV region is presently used to ascertain the optical constants of bulk MgF2, as well as films of BaF2, CaF2, LaF3, MgF2, Al2O3, HfO2, and SiO2 deposited on MgF2 substrates. In the second part of this work, a design concept is demonstrated for two filters, employing rapidly changing extinction coefficients, centered at 135 nm for BaF2 and 141 nm for SiO2. These filters are shown to yield excellent narrowband spectral performance in combination with narrowband reflection filters.
Investigation of anodic TiO2 nanotube composition with high spatial resolution AES and ToF SIMS
NASA Astrophysics Data System (ADS)
Dronov, Alexey; Gavrilin, Ilya; Kirilenko, Elena; Dronova, Daria; Gavrilov, Sergey
2018-03-01
High resolution Scanning Auger Electron Spectroscopy (AES) and Time-of-Flight Secondary Ion Mass-Spectrometry (ToF SIMS) were used to investigate structure and elemental composition variation of both across an array of TiO2 nanotubes (NTs) and single tube of an array. The TiO2 NT array was grown by anodic oxidation of Ti foil in fluorine-containing ethylene glycol electrolyte. It was found that the studied anodic TiO2 nanotubes have a layered structure with rather sharp interfaces. The differences in AES depth profiling results of a single tube with the focused primary electron beam (point analysis) and over an area of 75 μm in diameter of a nanotube array with the defocused primary electron beam are discussed. Depth profiling by ToF SIMS was carried out over approximately the same size of a nanotube array to determine possible ionic fragments in the structure. The analysis results show that the combination of both mentioned methods is useful for a detailed analysis of nanostructures with complex morphology and multi-layered nature.
Preparation of flexible TiO2 photoelectrodes for dye-sensitized solar cells
NASA Astrophysics Data System (ADS)
Li, Wen-Ren; Wang, Hsiu-Hsuan; Lin, Chia-Feng; Su, Chaochin
2014-09-01
Dye-sensitized solar cells (DSSCs) based on nanocrystalline TiO2 photoelectrodes on indium tin oxide (ITO) coated polymer substrates have drawn great attention due to its lightweight, flexibility and advantages in commercial applications. However, the thermal instability of polymer substrates limits the process temperature to below 150 °C. In order to assure high and firm interparticle connection between TiO2 nanocrystals (TiO2-NC) and polymer substrates, the post-treatment of flexible TiO2 photoelectrodes (F-TiO2-PE) by mechanical compression was employed. In this work, Degussa P25 TiO2-NC was mixed with tert-butyl alcohol and DI-water to form TiO2 paste. F-TiO2-PE was then prepared by coating the TiO2 paste onto ITO coated polyethylene terephthalate (PET) substrate using doctor blade followed by low temperature sintering at 120 °C for 2 hours. To study the effect of mechanical compression, we applied 50 and 100 kg/cm2 pressure on TiO2/PET to complete the fabrication of F-TiO2-PE. The surface morphology of F-TiO2-PE was characterized using scanning electron microscopy. The resultant F-TiO2-PE sample exhibited a smooth, crack-free structure indicating the great improvement in the interparticle connection of TiO2-NC. Increase of compression pressure could lead to the increase of DSSC photoconversion efficiency. The best photoconversion efficiency of 4.19 % (open circuit voltage (Voc) = 0.79 V, short-circuit photocurrent density (Jsc) = 7.75 mA/cm2, fill factor (FF) = 0.68) was obtained for the F-TiO2-PE device, which showed great enhancement compared with the F-TiO2-PE cell without compression treatment. The effect of compression in DSSC performance was vindicated by the electrochemical impedance spectroscopy measurement.
NASA Astrophysics Data System (ADS)
Zheng, C. Y.; Nie, F. L.; Zheng, Y. F.; Cheng, Y.; Wei, S. C.; Ruan, Liqun; Valiev, R. Z.
2011-04-01
NiTi alloy has a unique combination of mechanical properties, shape memory effects and superelastic behavior that makes it attractive for several biomedical applications. In recent years, concerns about its biocompatibility have been aroused due to the toxic or side effect of released nickel ions, which restricts its application as an implant material. Bulk ultrafine-grained Ni50.8Ti49.2 alloy (UFG NiTi) was successfully fabricated by equal-channel angular pressing (ECAP) technique in the present study. A homogeneous and smooth SrO-SiO2-TiO2 sol-gel coating without cracks was fabricated on its surface by dip-coating method with the aim of increasing its corrosion resistance and cytocompatibility. Electrochemical tests in simulated body fluid (SBF) showed that the pitting corrosion potential of UFG NiTi was increased from 393 mV(SCE) to 1800 mV(SCE) after coated with SrO-SiO2-TiO2 film and the corrosion current density decreased from 3.41 μA/cm2 to 0.629 μA/cm2. Meanwhile, the sol-gel coating significantly decreased the release of nickel ions of UFG NiTi when soaked in SBF. UFG NiTi with SrO-SiO2-TiO2 sol-gel coating exhibited enhanced osteoblast-like cells attachment, spreading and proliferation compared with UFG NiTi without coating and CG NiTi.
Reaction of silanes in supercritical CO2 with TiO2 and Al2O3.
Gu, Wei; Tripp, Carl P
2006-06-20
Infrared spectroscopy was used to investigate the reaction of silanes with TiO2 and Al2O3 using supercritical CO2 (Sc-CO2) as a solvent. It was found that contact of Sc-CO2 with TiO2 leads to partial removal of the water layer and to the formation of carbonate, bicarbonate, and carboxylate species on the surface. Although these carbonate species are weakly bound to the TiO2 surface and can be removed by a N2 purge, they poison the surface, resulting in a lower level of reaction of silanes with TiO2. Specifically, the amount of hexamethyldisilazane adsorbed on TiO2 is about 10% of the value obtained when the reaction is performed from the gas phase. This is not unique to TiO2, as the formation of carbonate species also occurs upon contact of Al2O3 with Sc-CO2 and this leads to a lower level of reaction with hexamethyldisilazane. This is in contrast to reactions of silanes on SiO2 where Sc-CO2 has several advantages over conventional gaseous or nonaqueous methods. As a result, caution needs to be applied when using Sc-CO2 as a solvent for silanization reactions on oxides other than SiO2.
Hydroxyapatite-TiO2-SiO2-Coated 316L Stainless Steel for Biomedical Application
NASA Astrophysics Data System (ADS)
Sidane, Djahida; Khireddine, Hafit; Bir, Fatima; Yala, Sabeha; Montagne, Alex; Chicot, Didier
2017-07-01
This study investigated the effectiveness of titania (TiO2) as a reinforcing phase in the hydroxyapatite (HAP) coating and silica (SiO2) single layer as a bond coat between the TiO2-reinforced hydroxyapatite (TiO2/HAP) top layer and 316L stainless steel (316L SS) substrate on the corrosion resistance and mechanical properties of the underlying 316L SS metallic implant. Single layer of SiO2 film was first deposited on 316L SS substrate and studied separately. Water contact angle measurements, X-ray photoelectron spectroscopy, and Fourier transform infrared spectrophotometer analysis were used to evaluate the hydroxyl group reactivity at the SiO2 outer surface. The microstructural and morphological results showed that the reinforcement of HAP coating with TiO2 and SiO2 reduced the crystallite size and the roughness surface. Indeed, the deposition of 50 vol pct TiO2-reinforced hydroxyapatite layer enhanced the hardness and the elastic modulus of the HAP coating, and the introduction of SiO2 inner layer on the surface of the 316L SS allowed the improvement of the bonding strength and the corrosion resistance as confirmed by scratch studies, nanoindentation, and cyclic voltammetry tests.
Growth of ultra-thin TiO 2 films by spray pyrolysis on different substrates
NASA Astrophysics Data System (ADS)
Oja Acik, I.; Junolainen, A.; Mikli, V.; Danilson, M.; Krunks, M.
2009-12-01
In the present study TiO 2 films were deposited by spray pyrolysis method onto ITO covered glass and Si (1 0 0) substrates. The spray solution containing titanium(IV) isopropoxide, acetylacetone and ethanol was sprayed at a substrate temperature of 450 °C employing 1-125 spray pulses (1 s spray and 30 s pause). According to AFM, continuous coverage of ITO and Si substrates with TiO 2 layer is formed by 5-10 and below 5 spray pulses, respectively. XPS studies revealed that TiO 2 film growth on Si substrate using up to 4 spray pulses follows 2D or layer-by-layer-growth. Above 4 spray pulses, 3D or island growth becomes dominant irrespective of the substrate. Only 50 spray pulses result in TiO 2 layer with the thickness more than XPS measurement escape depth as any signal from the substrate could not be detected. TiO 2 grain size remains 30 nm on ITO and increases from 10-20 nm to 50-100 nm on Si substrate with the number of spray pulses from 1 to 125.
Surface roughness in XeF{sub 2} etching of a-Si/c-Si(100)
DOE Office of Scientific and Technical Information (OSTI.GOV)
Stevens, A.A.E.; Beijerinck, H.C.W.
2005-01-01
Single wavelength ellipsometry and atomic force microscopy (AFM) have been applied in a well-calibrated beam-etching experiment to characterize the dynamics of surface roughening induced by chemical etching of a {approx}12 nm amorphous silicon (a-Si) top layer and the underlying crystalline silicon (c-Si) bulk. In both the initial and final phase of etching, where either only a-Si or only c-Si is exposed to the XeF{sub 2} flux, we observe a similar evolution of the surface roughness as a function of the XeF{sub 2} dose proportional to D(XeF{sub 2}){sup {beta}} with {beta}{approx_equal}0.2. In the transition region from the pure amorphous to themore » pure crystalline silicon layer, we observe a strong anomalous increase of the surface roughness proportional to D(XeF{sub 2}){sup {beta}} with {beta}{approx_equal}1.5. Not only the growth rate of the roughness increases sharply in this phase, also the surface morphology temporarily changes to a structure that suggests a cusplike shape. Both features suggest that the remaining a-Si patches on the surface act effectively as a capping layer which causes the growth of deep trenches in the c-Si. The ellipsometry data on the roughness are corroborated by the AFM results, by equating the thickness of the rough layer to 6 {sigma}, with {sigma} the root-mean-square variation of the AFM's distribution function of height differences. In the AFM data, the anomalous behavior is reflected in a too small value of {sigma} which again suggests narrow and deep surface features that cannot be tracked by the AFM tip. The final phase morphology is characterized by an effective increase in surface area by a factor of two, as derived from a simple bilayer model of the reaction layer, using the experimental etch rate as input. We obtain a local reaction layer thickness of 1.5 monolayer consistent with the 1.7 ML value of Lo et al. [Lo et al., Phys. Rev. B 47, 648 (1993)] that is also independent of surface roughness.« less
Diatom-templated TiO2 with enhanced photocatalytic activity: biomimetics of photonic crystals
NASA Astrophysics Data System (ADS)
He, Jiao; Chen, Daomei; Li, Yongli; Shao, Junlong; Xie, Jiao; Sun, Yuejuan; Yan, Zhiying; Wang, Jiaqiang
2013-11-01
The siliceous frustules with sophisticated optical structure endow diatoms with superior solar light-harvesting abilities for effective photosynthesis. The preserved frustules of diatom ( Cocconeis placentula) cells, as biophotonic crystals, were thus employed as both hard templates and silicon resources to synthesize TiO2 photocatalyst. Characterizations by a combination of physicochemical techniques proved that the bio-inspired sample is TiO2-coated SiO2 with biogenic C self-doped in. It was found that the synthesized composites exhibited similar morphologies to the original diatom templates. In comparison with commercial Degussa P25 TiO2, the C-doped TiO2/SiO2 catalyst exhibited more light absorption in the visible region and higher photocatalytic efficiency for photodegradation of rhodamine B under visible light due to the biomorphic hierarchical structures, TiO2 coating and C-doping.
NASA Astrophysics Data System (ADS)
Mishin, Maxim V.; Vorobyev, Alexander A.; Kondrateva, Anastasia S.; Koroleva, Ekaterina Y.; Karaseov, Platon A.; Bespalova, Polina G.; Shakhmin, Alexander L.; Glukhovskoy, Anatoly V.; Wurz, Marc Christopher; Filimonov, Alexey V.
2018-07-01
Photo-induced current through nanocomposite heterojunction structures consisting of a TiO2 coating activated with embedded gold nanoparticles on top of Si, SiO2, and columnar structured SiO2 is studied. The highest photo-activity in the visible part of the spectrum is found in the composite containing pillar-like silicon dioxide nanostructures. Experimental results were qualitatively explained on the basis of Franz-Keldysh effect taking into account the effects of electrical inhomogeneities appearing at charged nanoparticles. It is established that processes at the interface between silicon and noble metal nanoparticles play an important role in charge carrier photo-generation which opens a new opportunity to tune the photo-response of a nanocomposite via changing heterostructure topology.
VO2/TiO2 Nanosponges as Binder-Free Electrodes for High-Performance Supercapacitors
NASA Astrophysics Data System (ADS)
Hu, Chenchen; Xu, Henghui; Liu, Xiaoxiao; Zou, Feng; Qie, Long; Huang, Yunhui; Hu, Xianluo
2015-11-01
VO2/TiO2 nanosponges with easily tailored nanoarchitectures and composition were synthesized by electrostatic spray deposition as binder-free electrodes for supercapacitors. Benefiting from the unique interconnected pore network of the VO2/TiO2 electrodes and the synergistic effect of high-capacity VO2 and stable TiO2, the as-formed binder-free VO2/TiO2 electrode exhibits a high capacity of 86.2 mF cm-2 (~548 F g-1) and satisfactory cyclability with 84.3% retention after 1000 cycles. This work offers an effective and facile strategy for fabricating additive-free composites as high-performance electrodes for supercapacitors.
VO2/TiO2 Nanosponges as Binder-Free Electrodes for High-Performance Supercapacitors.
Hu, Chenchen; Xu, Henghui; Liu, Xiaoxiao; Zou, Feng; Qie, Long; Huang, Yunhui; Hu, Xianluo
2015-11-04
VO2/TiO2 nanosponges with easily tailored nanoarchitectures and composition were synthesized by electrostatic spray deposition as binder-free electrodes for supercapacitors. Benefiting from the unique interconnected pore network of the VO2/TiO2 electrodes and the synergistic effect of high-capacity VO2 and stable TiO2, the as-formed binder-free VO2/TiO2 electrode exhibits a high capacity of 86.2 mF cm(-2) (~548 F g(-1)) and satisfactory cyclability with 84.3% retention after 1000 cycles. This work offers an effective and facile strategy for fabricating additive-free composites as high-performance electrodes for supercapacitors.
Rampf, Markus; Dittmer, Marc; Ritzberger, Christian; Schweiger, Marcel; Höland, Wolfram
2015-01-01
The combination of specific mechanical, esthetic, and chemical properties is decisive for the application of materials in prosthodontics. Controlled twofold crystallization provides a powerful tool to produce special property combinations for glass-ceramic materials. The present study outlines the potential of precipitating Ca5(PO4)3F as well as Sr5(PO4)3F as minor crystal phases in Li2Si2O5 glass-ceramics. Base glasses with different contents of CaO/SrO, P2O5, and F(-) were prepared within the glasses of the SiO2-Li2O-K2O-CaO/SrO-Al2O3-P2O5-F system. Preliminary studies of nucleation by means of XRD and scanning electron microscopy (SEM) of the nucleated base glasses revealed X-ray amorphous phase separation phenomena. Qualitative and quantitative crystal phase analyses after crystallization were conducted using XRD in combination with Rietveld refinement. As a main result, a direct proportional relationship between the content of apatite-forming components in the base glasses and the content of apatite in the glass-ceramics was established. The microstructures of the glass-ceramics were investigated using SEM. Microstructural and mechanical properties were found to be dominated by Li2Si2O5 crystals and quite independent of the content of the apatite present in the glass-ceramics. Biaxial strengths of up to 540 MPa were detected. Ca5(PO4)3F and Sr5(PO4)3F influence the translucency of the glass-ceramics and, hence, help to precisely tailor the properties of Li2Si2O5 glass-ceramics. The authors conclude that the twofold crystallization of Li2Si2O5-Ca5(PO4)3F or Li2Si2O5-Sr5(PO4)3F glass-ceramics involves independent solid-state reactions, which can be controlled via the chemical composition of the base glasses. The influence of the minor apatite phase on the optical properties helps to achieve new combinations of features of the glass-ceramics and, hence, displays new potential for dental applications.
Novel porous Al2O3-SiO2-TiO2 bone grafting materials: formation and characterization.
Naga, Salma M; El-Kady, Abeer M; El-Maghraby, Hesham F; Awaad, Mohamed; Detsch, Rainer; Boccaccini, Aldo R
2014-02-01
The present article deals with the development of 3D porous scaffolds for bone grafting. They were prepared based on rapid fluid infiltration of Al2O3-SiO2 sol into a polyethylene non-woven fabric template structure. Titanium dioxide in concentration equal to 5 wt% was added to the Al2O3-SiO2 mixture to produce Al2O3-SiO2-TiO2 composite scaffolds. The prepared scaffolds are characterized by means of X-ray diffraction, scanning electron microscopy and three-point bending test techniques. The bioactivity of the produced bodies is discussed, including the in vitro and in vivo assessments. The produced scaffolds exhibit mean total porosity of 66.0% and three-point bending strength of 7.1 MPa. In vitro studies showed that MG-63 osteoblast-like cells attach and spread on the scaffolds surfaces. Furthermore, cells grew through the scaffolds and start to produce extra-cellular matrix. Additionally, in vivo studies revealed the ability of the porous scaffolds to regenerate bone tissue in femur defects of albino rats 5 months post surgery. Histological analysis showed that the defect is almost entirely filled with new bone. The formed bone is characterized as a mature bone. The produced bone grafts are intended to be used as bone substitute or bone filler as their degradation products caused no inflammatory effects.
Flexible free-standing TiO2/graphene/PVdF films as anode materials for lithium-ion batteries
NASA Astrophysics Data System (ADS)
Ren, H. M.; Ding, Y. H.; Chang, F. H.; He, X.; Feng, J. Q.; Wang, C. F.; Jiang, Y.; Zhang, P.
2012-12-01
Graphene composites were prepared by hydrothermal method using titanium dioxide (TiO2) adsorbed graphene oxide (GO) sheets as precursors. Free-standing hybrid films for lithium-ion batteries were prepared by adding TiO2/graphene composites to the polyvinylidene fluoride (PVdF)/N-methyl-2-pyrrolidone (NMP) solution, followed by a solvent evaporation technique. These films were characterized by atomic force microscopy (AFM), X-ray diffraction (XRD), scanning electron microscopy (SEM) and various electrochemical techniques. Flexible films show an excellent cycling performance, which was attributed to the interconnected graphene conducting network, which depressed the increasing of electric resistance during the cycling.
NASA Astrophysics Data System (ADS)
Vickraman, P.; Gopukumar, S.
2017-07-01
The PVC/PVdF-co-HFP composite polymer electrolyte membranes (CPEMs) by varying blend ratios 1:1, 1:2, and 2:1 with fixed content of Al2O3, TiO2 and SiO2 having soaked in 0.5 M LiPF6 in EC/DEC (1:1) v/v) have been prepared by phase inversion. CPEMs of higher ionic conductivity (not dependent on electrolyte uptake as observed in our study) ASA4 (3.61×10-4 Scm-1), TSA3 (1.53×10-4 Scm-1), and SSA3 (4.81×10-4 Scm-1) have been only chosen for XRD, DSC, SEM and electrochemical studies. In XRD, crystalline peaks (phases) of PVdF have been noted with/without change in intensity as well as FWHM which correspond to type of filler interaction with host matrix. In DSC, it is observed that shifts in baseline, melting endotherms, and area under the endotherms indicating the thermal hist ory of PVC (Tg = 82 ° C) and melting of VdF crystallites varied with nature of the filler dispersoid. In SEM, the coagulated fibrils of the polymer coiled with the sponge like structure has been mapped. The charge/discharge studies are carried out on these CPEMs at C/10 rate in the voltage range 2.8 V - 4.2 V, and it is noted that the TSA3 showed better cycling performance with good capacity retention i.e., 50 cycles with 66% capacity retention than ASA4 of 28 cycles with 65% and SSA3 of 5 cycles with 56%. In the present study Coulombic efficiency is concerned only for first cycle and it is noted that the TSA3 showed 71% than 66% and 62% respectively for SSA3 and ASA4.
VO2/TiO2 Nanosponges as Binder-Free Electrodes for High-Performance Supercapacitors
Hu, Chenchen; Xu, Henghui; Liu, Xiaoxiao; Zou, Feng; Qie, Long; Huang, Yunhui; Hu, Xianluo
2015-01-01
VO2/TiO2 nanosponges with easily tailored nanoarchitectures and composition were synthesized by electrostatic spray deposition as binder-free electrodes for supercapacitors. Benefiting from the unique interconnected pore network of the VO2/TiO2 electrodes and the synergistic effect of high-capacity VO2 and stable TiO2, the as-formed binder-free VO2/TiO2 electrode exhibits a high capacity of 86.2 mF cm−2 (~548 F g−1) and satisfactory cyclability with 84.3% retention after 1000 cycles. This work offers an effective and facile strategy for fabricating additive-free composites as high-performance electrodes for supercapacitors. PMID:26531072
Thermodynamic modeling of melts in the system Na 2O-NaAlO 2-SiO 2-F 2O -1
NASA Astrophysics Data System (ADS)
Dolejš, David; Baker, Don R.
2005-12-01
Fluorine is a common volatile element in magmatic-hydrothermal systems, but its solution mechanisms and thermodynamic description in highly polymerized silicate melts are poorly known. We have developed a thermodynamic model for fluorosilicate liquids that links experimentally determined phase equilibria and spectroscopic information on melt structure. The model is applicable to crystallization of fluoride minerals, fluoride-silicate immiscibility in natural felsic melts, and metallurgical processes. Configurational properties of fluorosilicate melts are described by mixing on three site levels (sublattices): (1) alkali fluoride, polyhedral aluminofluoride and silicofluoride species and nonbridging terminations of the aluminosilicate network, (2) alkali-aluminate and silicate tetrahedra within the network and (3) bridging oxygen, nonbridging oxygen and terminal fluorine atoms on tetrahedral apices of the network. Abundances of individual chemical species are described by a homogeneous equilibrium representing melt depolymerization: F - (free) + O 0 (bridging) = F 0 (terminal) + O - (nonbridging) which corresponds to a replacement of an oxygen bridging two tetrahedra by a pair of terminations, one with F and the other with an O and a charge-balancing Na. In cryolite-bearing systems two additional interaction mechanisms occur: (1) the self-dissociation of octahedral aluminofluoride complexes: [AlF 6] = [AlF 4] + 2 [F], and (2) the short-range order between (O,F)-corners and (Si,NaAl)-centers of tetrahedra: Si-O-Si + 2 [NaAl]-F = [NaAl]-O-[NaAl] + 2 Si-F. Portrayal of these equilibria in ternary Thompson reaction space allows for the decrease in the number of interaction mechanisms by linearly combining melt depolymerization with tetrahedral short-range order. In this formulation, the nonideal thermodynamic properties are represented by reaction energies of homogeneous equilibria, thus defining directly individual chemical species concentrations and configurational
Kast, Matthew G; Enman, Lisa J; Gurnon, Nicholas J; Nadarajah, Athavan; Boettcher, Shannon W
2014-12-24
Protecting Si photocathodes from corrosion is important for developing tandem water-splitting devices operating in basic media. We show that textured commercial Si-pn(+) photovoltaics protected by solution-processed semiconducting/conducting oxides (plausibly suitable for scalable manufacturing) and coupled to thin layers of Ir yield high-performance H2-evolving photocathodes in base. They also serve as excellent test structures to understand corrosion mechanisms and optimize interfacial electrical contacts between various functional layers. Solution-deposited TiO2 protects Si-pn(+) junctions from corrosion for ∼24 h in base, whereas junctions protected by F:SnO2 fail after only 1 h of electrochemical cycling. Interface layers consisting of Ti metal and/or the highly doped F:SnO2 between the Si and TiO2 reduce Si-emitter/oxide/catalyst contact resistance and thus increase fill factor and efficiency. Controlling the oxide thickness led to record photocurrents near 35 mA cm(-2) at 0 V vs RHE and photocathode efficiencies up to 10.9% in the best cells. Degradation, however, was not completely suppressed. We demonstrate that performance degrades by two mechanisms, (1) deposition of impurities onto the thin catalyst layers, even from high-purity base, and (2) catastrophic failure via pinholes in the oxide layers after several days of operation. These results provide insight into the design of hydrogen-evolving photoelectrodes in basic conditions, and highlight challenges.
NASA Astrophysics Data System (ADS)
Chamanzadeh, Z.; Noormohammadi, M.; Zahedifar, M.
2018-05-01
Large diameter and ordered TiO2 nanotubes (NTs) were fast fabricated in an electrolyte containing lactic acid and ethylene glycol with different amount of NH4F at various high anodization voltages up to 220 V. In this work, we could optimize F‑ ionic concentration in the electrolyte at each anodization voltage and the uniform films without any oxide breakdown were successfully achieved. The optimum NH4F concentration at which NTs can be formed homogeneously, decreases with the increment of anodization voltage. As a result, the fastest mean growth rate of 2.45 μm min‑1 was attained in 0.075 M NH4F at 150 V. Growth rate of TiO2 nanotubes is explained taking into account the role of F‑ ions and their limited diffusion through TiO2 nanotubes from bulk electrolyte. The interpore distance of the nanotubes is increased with enhanced anodization voltage.
Urbanski, Wiktor; Marycz, Krzysztof; Krzak, Justyna; Pezowicz, Celina; Dragan, Szymon Feliks
2017-01-01
Material surface is a key determinant of host response on implanted biomaterial. Therefore, modification of the implant surface may optimize implant–tissue reactions. Inflammatory reaction is inevitable after biomaterial implantation, but prolonged inflammation may lead to adverse reactions and subsequent implant failure. Proinflammatory activities of cytokines like interleukin (IL)-1, IL-6, and tumor necrosis factor-alpha (TNF-α) are attractive indicators of these processes and ultimately characterize biocompatibility. The objective of the study was to evaluate local cytokine production after implantation of stainless steel 316L (SS) and titanium alloy (Ti6Al4V) biomaterials coated with titanium dioxide (TiO2) and silica (SiO2) coatings prepared by sol–gel method. Biomaterials were implanted into rat femur and after 12 weeks, bones were harvested. Bone–implant tissue interface was evaluated; immunohistochemical staining was performed to identify IL-6, TNF-α, and Caspase-1. Histomorphometry (AxioVision Rel. 4.6.3 software) of tissue samples was performed in order to quantify the cytokine levels. Both the oxide coatings on SS and Ti6Al4V significantly reduced cytokine production. However, the lowest cytokine levels were observed in TiO2 groups. Cytokine content in uncoated groups was lower in Ti6Al4V than in SS, although coating of either metal reduced cytokine production to similar levels. Sol–gel TiO2 or SiO2 coatings reduced significantly the production of proinflammatory cytokines by local tissues, irrespective of the material used as a substrate, that is, either Ti6Al4V or SS. This suggests lower inflammatory response, which directly points out improvement of materials’ biocompatibility. PMID:28280331
2013-01-01
Transition metal (TM)-doped TiO2 films (TM = Co, Ni, and Fe) were deposited on Si(100) substrates by a sol–gel method. With the same dopant content, Co dopants catalyze the anatase-to-rutile transformation (ART) more obviously than Ni and Fe doping. This is attributed to the different strain energy induced by the different dopants. The optical properties of TM-doped TiO2 films were studied with spectroscopic ellipsometry data. With increasing dopant content, the optical band gap (EOBG) shifts to lower energy. With the same dopant content, the EOBG of Co-doped TiO2 film is the smallest and that of Fe-doped TiO2 film is the largest. The results are related to electric disorder due to the ART. Ferromagnetic behaviors were clearly observed for TM-doped TiO2 films except the undoped TiO2 film which is weakly magnetic. Additionally, it is found that the magnetizations of the TM-doped TiO2 films decrease with increasing dopant content. PMID:24350904
Wang, Shao-Ting; Wang, Meng-Ya; Su, Xin; Yuan, Bi-Feng; Feng, Yu-Qi
2012-09-18
A novel SiO(2)/TiO(2) composite monolithic capillary column was prepared by sol-gel technology and successfully applied to enrich phosphopeptides as a metal oxide affinity chromatography (MOAC) material. For the monolith preparation, tetramethoxysilane (TMOS) and tetrabutoxytitanium (TBOT) were used as silica and titania source, respectively, and glycerol was introduced to attenuate the activity of titanium precursor, which provided a mild synthetic condition. The prepared monolith was characterized by energy dispersive X-ray spectroscopy (EDX) and X-ray diffraction (XRD). The results revealed an approximate 1/2 molar ratio of titanium to silica as well as an atom-scale homogeneity in the framework. The scanning electron microscopy (SEM) results demonstrated an excellent anchorage between the column and the inner capillary wall, and nitrogen adsorption-desorption experiments showed a bimodal porosity with a narrow mesopore distribution around 3.6 nm. The prepared monolith was then applied for selective enrichment of phosphopeptides from the digestion mixture of phosphoproteins and bovine serum albumin (BSA) as well as human blood serum, nonfat milk, and egg white using an in-tube solid phase microextraction (SPME) system. Our results showed that SiO(2)/TiO(2) composite monolithic capillary column could efficiently enrich the phosphopeptides from complex matrixes. To the best of our knowledge, this is the first attempt for preparing the silica-metal composite monolithic capillary column, which offers the promising application of the monolith on phosphoproteomics study.
Antireflection coatings with SiOx-TiO2 multilayer structures
NASA Astrophysics Data System (ADS)
Lu, Jong-Hong; Luo, Jen-Wei; Chuang, Shiou-Ruei; Chen, Bo-Ying
2014-11-01
In this study, we used SiOx-TiO2 multilayer antireflective coatings to achieve optical average transmittances of 94.93 and 98.07% for one-sided and double-sided coatings on a glass substrate, respectively. A SiOx film was employed as the material with a low refractive index and a TiO2 film as the material with a high refractive index. Results showed that when any layer thickness of the SiOx-TiO2 nano-multilayer (NML) structure is much less than the wavelength of visible light, the SiOx-TiO2 thickness ratio can be used to adjust the optical refractive index of the entire NML film. In this study, we produced dense antireflective coatings of three layers (SiOx, TiO2, and SiOx-TiO2 NML/glass substrate) and four layers (SiOx, TiO2, SiOx, and TiO2/glass substrate) with film thicknesses and refractive indices controlled by reactive magnetron sputtering. Thermal treatment at 600 °C in an air atmosphere was also shown to reduce the absorption of visible light, resolving the issue of degraded transparency caused by increasing sputtering speed. The microhardness of the antireflective film was 8.44 GPa, similar to that of the glass substrate. Process window analysis demonstrated the feasibility of the antireflective coating process window from an engineering standpoint. The thickness of the film deviated by less than 10% from the ideal thickness, corresponding to a 98% transmittance range, and the simulation and experimental results were relatively consistent.
Influence of interface layer on optical properties of sub-20 nm-thick TiO2 films
NASA Astrophysics Data System (ADS)
Shi, Yue-Jie; Zhang, Rong-Jun; Li, Da-Hai; Zhan, Yi-Qiang; Lu, Hong-Liang; Jiang, An-Quan; Chen, Xin; Liu, Juan; Zheng, Yu-Xiang; Wang, Song-You; Chen, Liang-Yao
2018-02-01
The sub-20 nm ultrathin titanium dioxide (TiO2) films with tunable thickness were deposited on Si substrates by atomic layer deposition (ALD). The structural and optical properties were acquired by transmission electron microscopy, atomic force microscopy and spectroscopic ellipsometry. Afterwards, a constructive and effective method of analyzing interfaces by applying two different optical models consisting of air/TiO2/Ti x Si y O2/Si and air/effective TiO2 layer/Si, respectively, was proposed to investigate the influence of interface layer (IL) on the analysis of optical constants and the determination of band gap of TiO2 ultrathin films. It was found that two factors including optical constants and changing components of the nonstoichiometric IL could contribute to the extent of the influence. Furthermore, the investigated TiO2 ultrathin films of 600 ALD cycles were selected and then annealed at the temperature range of 400-900 °C by rapid thermal annealing. Thicker IL and phase transition cause the variation of optical properties of TiO2 films after annealing and a shorter electron relaxation time reveals the strengthened electron-electron and electron-phonon interactions in the TiO2 ultrathin films at high temperature. The as-obtained results in this paper will play a role in other studies of high dielectric constants materials grown on Si substrates and in the applications of next generation metal-oxide-semiconductor devices.
TiO2@PEI-Grafted-MWCNTs Hybrids Nanocomposites Catalysts for CO2 Photoreduction
Falcicchio, Aurelia; Fracassi, Francesco; Margiotta, Valerio; Moliterni, Anna
2018-01-01
Anatase (TiO2) and multiwalled carbon nanotubes bearing polyethylenimine (PEI) anchored on their surface were hybridized in different proportions according to a sol-gel method. The resulting nanocomposites (TiO2@PEI-MWCNTs), characterized by BET, XRD, XPS, SEM, and UV techniques, were found efficient catalysts for CO2 photoreduction into formic and acetic acids in water suspension and under visible light irradiation. PEI-grafted nanotubes co-catalysts are believed to act as CO2 activators by forming a carbamate intermediate allowing to accomplish the first example in the literature of polyamines/nanotubes/TiO2 mediated CO2 photoreduction to carboxylic acids. PMID:29461484
Böttcher, T; Steinhauer, S; Neumann, B; Stammler, H-G; Röschenthaler, G-V; Hoge, B
2014-06-14
Addition of NHC→SiCl4 to the highly Lewis acidic bis(pentafluoroethyl)silane ((C2F5)2SiH2) afforded the salt [(NHC)2SiCl2H][(C2F5)2SiCl3] with pentacoordinate silicon in the cation and the anion. The anion represents the first example of a chlorosilicate structurally characterized in the solid state. In this reaction, the long sought pentacoordinate NHC-adduct of silicochloroform was identified as an intermediate and its crystal structure is presented.
Kleebusch, Enrico; Patzig, Christian; Krause, Michael; Hu, Yongfeng; Höche, Thomas; Rüssel, Christian
2018-02-13
Glass ceramics based on Li 2 O/Al 2 O 3 /SiO 2 are of high economic importance, as they often show very low coefficients of thermal expansion. This enables a number of challenging applications, such as cooktop panels, furnace windows or telescope mirror blanks. Usually, the crystallization of the desired LAS crystal phases within the glasses must be tailored by a careful choice of crystallization schedule and type of nucleation agents to be used. The present work describes the formation of nanocrystalline TiO 2 within an LAS base composition that contains solely TiO 2 as nucleating agent. Using a combination of scanning transmission electron microscopy as well as X-ray absorption spectroscopy, it is found that a mixture of four- and six-fold coordinated Ti 4+ ions exists already within the glass. Heating of the glass to 740 °C immediately changes this ratio towards a high content of six-fold coordinated Ti, which accumulates in liquid-liquid phase-separation droplets. During the course of thermal treatment, these droplets eventually evolve into nanocrystalline TiO 2 precipitations, in which Ti 4+ is six-fold coordinated. Thus, it is shown that the nucleation of nanocrystalline TiO 2 is initiated by a gradual re-arrangement of the Ti ions in the amorphous, glassy matrix, from a four-fold towards a six-fold coordination.
Structural Studies of CH_3SiF_2-X (x = Nco, Cl) by Microwave Spectroscopy
NASA Astrophysics Data System (ADS)
Guirgis, Gamil A.; Gause, Korreda K.; Seifert, Nathan A.; Zaleski, Daniel P.; Pate, Brooks H.; Palmer, Michael H.; Peebles, Rebecca A.; Peebles, Sean A.; Elmuti, Lena F.; Obenchain, Daniel A.
2012-06-01
The structures of CH_3SiF_2-NCO and CH_3SiF_2-Cl have been studied by molecular rotational spectroscopy in the 6.5-18 GHz band. The rotational spectrum was measured by cavity Fourier transform microwave (FTMW) and chirped-pulse FTMW spectroscopy. The experiment targeted the study of CH_3SiF_2-NCO, but CH_3SiF_2-Cl was also observed as an impurity. Due to the dynamic range achieved on these spectra, all isotopologs with natural abundance ≥0.2% were assigned, which includes two doubly-substituted isotopologs for the chloride (29Si/37Cl and 30Si/37Cl). Strategies for obtaining the molecular structure for these two molecules using either a Kraitchman analysis (to obtain a partial substitution structure) or r_0 analysis (with additional constraints on the structure supplied by the theoretical structure) will be discussed. Derived structural parameters for the CH_3-SiF_2-X base structure are the same for the two compounds. The hyperfine and internal rotation effects in the spectra have been analyzed for all isotopologs and the Hamiltonian parameters are in very good agreement with ab initio results. The barriers to methyl group internal rotation for the two compounds 446(50) cm-1 and 463(3) cm-1 and are independent of the isotopic structure of the heavy atom frame.
NASA Astrophysics Data System (ADS)
Popescu, Traian; Lupu, Andreea R.; Diamandescu, Lucian; Tarabasanu-Mihaila, Doina; Teodorescu, Valentin S.; Raditoiu, Valentin; Purcar, Violeta; Vlaicu, Aurel M.
2013-02-01
The Griess assay has been used to determine the possible changes in the measured NO2 - concentrations induced by TiO2 nanoparticles in three types of nitrite-containing samples: aqueous NaNO2 solutions with known concentrations, and two types of cell culture media—Roswell Park Memorial Institute medium (RPMI-1640) and Dulbecco's Modified Eagle Medium (DMEM-F12) used either as delivered or enriched in NO2 - by NaNO2 addition. We have used three types of titania with average particle sizes between 10 and 30 nm: Degussa P25 and two other samples (undoped and Fe3+-doped anatase TiO2) synthesised by a hydrothermal route in our laboratory. The structural, morphological, optical and physicochemical characteristics of the used materials have been studied by X-ray diffraction, transmission electron microscopy (EDX), Mössbauer spectroscopy, Brunauer-Emmett-Teller nitrogen adsorption, UV-Vis reflectance spectroscopy, dynamic light scattering and diffuse reflectance infrared Fourier transform spectroscopy. The opacity and sedimentation behaviour of the studied TiO2 suspensions have been investigated by photometric attenuance measurements at 540 nm. To account for the photocatalytic properties of titania in a biologically relevant context, multiple Griess tests have been performed under controlled exposure to laboratory natural daylight illumination. The results show significant variations of light attenuance (associated with NO2 - concentrations in the Griess test) depending on the opacity, sedimentation behaviour, NO2 - adsorption and photocatalytic properties of the tested TiO2 nanomaterials. These findings identify material characteristics recommended to be considered when analysing the results of Griess tests performed in biological studies involving TiO2 nanoparticles.
Rampf, Markus; Dittmer, Marc; Ritzberger, Christian; Schweiger, Marcel; Höland, Wolfram
2015-01-01
The combination of specific mechanical, esthetic, and chemical properties is decisive for the application of materials in prosthodontics. Controlled twofold crystallization provides a powerful tool to produce special property combinations for glass–ceramic materials. The present study outlines the potential of precipitating Ca5(PO4)3F as well as Sr5(PO4)3F as minor crystal phases in Li2Si2O5 glass–ceramics. Base glasses with different contents of CaO/SrO, P2O5, and F− were prepared within the glasses of the SiO2–Li2O–K2O–CaO/SrO–Al2O3–P2O5–F system. Preliminary studies of nucleation by means of XRD and scanning electron microscopy (SEM) of the nucleated base glasses revealed X-ray amorphous phase separation phenomena. Qualitative and quantitative crystal phase analyses after crystallization were conducted using XRD in combination with Rietveld refinement. As a main result, a direct proportional relationship between the content of apatite-forming components in the base glasses and the content of apatite in the glass–ceramics was established. The microstructures of the glass–ceramics were investigated using SEM. Microstructural and mechanical properties were found to be dominated by Li2Si2O5 crystals and quite independent of the content of the apatite present in the glass–ceramics. Biaxial strengths of up to 540 MPa were detected. Ca5(PO4)3F and Sr5(PO4)3F influence the translucency of the glass–ceramics and, hence, help to precisely tailor the properties of Li2Si2O5 glass–ceramics. The authors conclude that the twofold crystallization of Li2Si2O5–Ca5(PO4)3F or Li2Si2O5–Sr5(PO4)3F glass–ceramics involves independent solid-state reactions, which can be controlled via the chemical composition of the base glasses. The influence of the minor apatite phase on the optical properties helps to achieve new combinations of features of the glass–ceramics and, hence, displays new potential for dental applications. PMID:26389112
Synthesis of K2SiF6:Mn4+ phosphor for LED lamp
NASA Astrophysics Data System (ADS)
Takarkhede, M. G.; Patil, R. R.; Moharil, S. V.; Joshi, C. P.; Talewar, Rupesh
2018-05-01
Now a days red emitting Mn4+ activated dialkali fluorosilicate phosphors have found applications in solid state lighting and displays. In this paper we describe development of K2SiF6 phosphor doped with Mn synthesized by simple method using Si metal powder with addition of oxidizing agent KMnO4. The photoluminescence spectra of K2SiF6:Mn show that emission is in the red region. In addition to this we studied LED spectra by coating the LED with phosphor mixed in different proportions with epoxy.
Structural, spectroscopic and cytotoxicity studies of TbF3@CeF3 and TbF3@CeF3@SiO2 nanocrystals.
Grzyb, Tomasz; Runowski, Marcin; Dąbrowska, Krystyna; Giersig, Michael; Lis, Stefan
2013-01-01
Terbium fluoride nanocrystals, covered by a shell, composed of cerium fluoride were synthesized by a co-precipitation method. Their complex structure was formed spontaneously during the synthesis. The surface of these core/shell nanocrystals was additionally modified by silica. The properties of TbF 3 @CeF 3 and TbF 3 @CeF 3 @SiO 2 nanocrystals, formed in this way, were investigated. Spectroscopic studies showed that the differences between these two groups of products resulted from the presence of the SiO 2 shell. X-ray diffraction patterns confirmed the trigonal crystal structure of TbF 3 @CeF 3 nanocrystals. High resolution transmission electron microscopy in connection with energy-dispersive X-ray spectroscopy showed a complex structure of the formed nanocrystals. Crystallized as small discs, 'the products', with an average diameter around 10 nm, showed an increase in the concentration of Tb 3+ ions from surface to the core of nanocrystals. In addition to photo-physical analyses, cytotoxicity studies were performed on HSkMEC (Human Skin Microvascular Endothelial Cells) and B16F0 mouse melanoma cancer cells. The cytotoxicity of the nanomaterials was neutral for the investigated cells with no toxic or antiproliferative effect in the cell cultures, either for normal or for cancer cells. This fact makes the obtained nanocrystals good candidates for biological applications and further modifications of the SiO 2 shell. .
Structural, spectroscopic and cytotoxicity studies of TbF3@CeF3 and TbF3@CeF3@SiO2 nanocrystals
NASA Astrophysics Data System (ADS)
Grzyb, Tomasz; Runowski, Marcin; Dąbrowska, Krystyna; Giersig, Michael; Lis, Stefan
2013-10-01
Terbium fluoride nanocrystals, covered by a shell, composed of cerium fluoride were synthesized by a co-precipitation method. Their complex structure was formed spontaneously during the synthesis. The surface of these core/shell nanocrystals was additionally modified by silica. The properties of TbF3@CeF3 and TbF3@CeF3@SiO2 nanocrystals, formed in this way, were investigated. Spectroscopic studies showed that the differences between these two groups of products resulted from the presence of the SiO2 shell. X-ray diffraction patterns confirmed the trigonal crystal structure of TbF3@CeF3 nanocrystals. High resolution transmission electron microscopy in connection with energy-dispersive X-ray spectroscopy showed a complex structure of the formed nanocrystals. Crystallized as small discs, `the products', with an average diameter around 10 nm, showed an increase in the concentration of Tb3+ ions from surface to the core of nanocrystals. In addition to photo-physical analyses, cytotoxicity studies were performed on HSkMEC (Human Skin Microvascular Endothelial Cells) and B16F0 mouse melanoma cancer cells. The cytotoxicity of the nanomaterials was neutral for the investigated cells with no toxic or antiproliferative effect in the cell cultures, either for normal or for cancer cells. This fact makes the obtained nanocrystals good candidates for biological applications and further modifications of the SiO2 shell.
Raman study of TiO2 role in SiO2-Al2O3-MgO-TiO2-ZnO glass crystallization.
Furić, Kresimir; Stoch, Leszek; Dutkiewicz, Jan
2005-05-01
Tough glass-ceramic material of special mechanical properties with nanosize crystal phases formed by appropriately controlled crystallization was studied by Raman spectroscopy. It was obtained by TiO2 activated crystallization of Mg-aluminosilicate glass of SiO2-Al2O3-MgO-TiO2-ZnO composition. Crystallization was preceded by a change in the TiO2 structural position and state, which is manifested by a changed color of glass from yellow into blue shortly before the glass transformation (Tg) temperature. Raman spectroscopy was applied to explain the mechanism of this process and to establish the role of TiO2 in the early stage of glass crystallization that precedes a complete crystal phase formation. The starting glasses were found in almost complete disorder, since all bands were weak, broad and dominated by a Bose band at about 90 cm-1. After the sample annealing all bands turned out better resolved and the Bose band practically disappeared, both confirming the amorphous structure reorganization process. A multiplet observed in the vicinity of 150 cm-1 we assigned to the anatase and other titania structures that can be considered prime centers of crystallization. Finally, in the closest neighborhood of the Rayleigh line the low frequency mode characterizing nanoparticles was observed. According to this band theory, the mean size of initial titania crystallites is about 10nm for all samples, but the size distribution varies within factor two among them.
Raman study of TiO 2 role in SiO 2-Al 2O 3-MgO-TiO 2-ZnO glass crystallization
NASA Astrophysics Data System (ADS)
Furić, Krešimir; Stoch, Leszek; Dutkiewicz, Jan
2005-05-01
Tough glass-ceramic material of special mechanical properties with nanosize crystal phases formed by appropriately controlled crystallization was studied by Raman spectroscopy. It was obtained by TiO 2 activated crystallization of Mg-aluminosilicate glass of SiO 2-Al 2O 3-MgO-TiO 2-ZnO composition. Crystallization was preceded by a change in the TiO 2 structural position and state, which is manifested by a changed color of glass from yellow into blue shortly before the glass transformation ( Tg) temperature. Raman spectroscopy was applied to explain the mechanism of this process and to establish the role of TiO 2 in the early stage of glass crystallization that precedes a complete crystal phase formation. The starting glasses were found in almost complete disorder, since all bands were weak, broad and dominated by a Bose band at about 90 cm -1. After the sample annealing all bands turned out better resolved and the Bose band practically disappeared, both confirming the amorphous structure reorganization process. A multiplet observed in the vicinity of 150 cm -1 we assigned to the anatase and other titania structures that can be considered prime centers of crystallization. Finally, in the closest neighborhood of the Rayleigh line the low frequency mode characterizing nanoparticles was observed. According to this band theory, the mean size of initial titania crystallites is about 10 nm for all samples, but the size distribution varies within factor two among them.
Effect of band gap engineering in anionic-doped TiO2 photocatalyst
NASA Astrophysics Data System (ADS)
Samsudin, Emy Marlina; Abd Hamid, Sharifah Bee
2017-01-01
A simple yet promising strategy to modify TiO2 band gap was achieved via dopants incorporation which influences the photo-responsiveness of the photocatalyst. The mesoporous TiO2 was successfully mono-doped and co-doped with nitrogen and fluorine dopants. The results indicate that band gap engineering does not necessarily requires oxygen substitution with nitrogen or/and fluorine, but from the formation of additional mid band and Ti3+ impurities states. The formation of oxygen vacancies as a result of modified color centres and Ti3+ ions facilitates solar light absorption and influences the transfer, migration and trapping of the photo-excited charge carriers. The synergy of dopants in co-doped TiO2 shows better optical properties relative to single N and F doped TiO2 with c.a 0.95 eV band gap reduction. Evidenced from XPS, the synergy between N and F in the co-doped TiO2 uplifts the valence band towards the conduction band. However, the photoluminescence data reveals poorer electrons and holes separation as compared to F-doped TiO2. This observation suggests that efficient solar light harvesting was achievable via N and F co-doping, but excessive defects could act as charge carriers trapping sites.
NASA Astrophysics Data System (ADS)
Vladislavova, Liliya; Kracker, Michael; Zscheckel, Tilman; Thieme, Christian; Rüssel, Christian
2018-04-01
The effect of different nucleation agents such as ZrO2 and TiO2 was investigated for a first time with respect to their crystallisation behaviour in the glass system BaO-SrO-ZnO-SiO2. In all studied glasses, a Ba1-xSrxZn2Si2O7 (0.1 ≤ x ≤ 0.9) solid solution crystallized. This phase was first described in 2015 to possess a similar structure as the high temperature phase of BaZn2Si2O7 and a thermal expansion close to zero or even negative. It may find applications e.g. as cook panels, telescope mirrors, and furnace windows. Kinetic parameters of the crystallisation process were determined by supplying different heating rates in a differential scanning calorimeter (DSC). The results were evaluated using the equations of Ozawa and Kissinger with respect to the activation energies. Furthermore, the Ozawa method was used for the determination of Avrami parameters, which provides further information on the nucleation and crystallisation processes. Scanning electron microscopy including electron backscatter diffraction (EBSD) was used to characterise the microstructure, to determine the crystallite size and the crystal orientation. For the characterisation of the occurring crystalline phases, X-ray diffraction was used.
UV-driven microvalve based on a micro-nano TiO2/SiO2 composite surface for microscale flow control
NASA Astrophysics Data System (ADS)
Guo, Ting; Meng, Tao; Li, Wei; Qin, Jilong; Tong, Zhiping; Zhang, Qing; Li, Xueru
2014-03-01
This paper presents a novel ultraviolet (UV)-driven microvalve based on the concept of inserting a trimethyl chlorosilane (CTMS) modified TiO2/SiO2 composite patch of switchable wettability in a microfluidic system. A unique micro-nano hierarchical structure was designed and used to enhance the overall wetting contrast with the aim of improving the wetting-based valve performances. Field-emission scanning electron microscopy (FE-SEM) and x-ray photoelectron spectroscopy (XPS) were used to characterize the morphology and chemical composition of the surface. UV-driven wettability conversion on the patched microchannel was investigated using water column relative height tests, and the results confirmed the significant improvement of the hierarchical structure with the surface hydrophobic/hydrophilic conversion, which produced enhancements of 276% and 95% of the water-repellent and water-sucking pressures, respectively, compared with those of the single-scale TiO2 nanopatterned structure. Accordingly, a good reversible and repeated on-off performance was identified by the valve tests, highlighting the potential application of the novel microvalve in the efficient control of microscale flow.
SiO2 Solubility in Rutile at High Pressure and Temperature
NASA Astrophysics Data System (ADS)
Ren, Y.; Fei, Y.; Yang, J.; Bai, W. J.; Xu, Z. Q.
2005-12-01
Silicon-bearing rutile has been found in nature. The extent of SiO2 solubility in rutile and the nature of its origin are still not clear. At high pressure, SiO2 takes rutile structure with 6-coordinated Si. The high-pressure phase of SiO2 may enhance its solubility in rutile because possible isovalent exchange in octahedral site. In this study, we report new experimental results on SiO2 solubility in rutile up to 23 GPa and 2273 K. Starting materials are mixtures of powdered TiO2 and SiO2, with compositions of (Ti0.5Si0.5)O2, (Ti0.93Si0.07)O2, and (Ti0.75Si0.25)O2. The mixtures were loaded into either a platinum capsules (for a 10/5 assembly) or a rhenium capsules (for an 8/3 assembly). The experiments were carried out using multi-anvil high-pressure apparatus with rhenium resistance heater. Sample temperatures were measured with a type-C thermocouple. The quenched samples were recovered and prepared for electron microprobe analyses. TiO2-rich and SiO2-rich phases are produced in all the quenched samples. The analyses showed that the solubility of SiO2 in rutile increases with increasing pressure, from 1.5 wt% SiO2 at 10 GPa to 3.8 wt% SiO2 at 23 GPa for a given temperature of 2073 K. The solubility also increases with increasing temperature, from 0.5 wt% SiO2 at 1773 K to 4.5 wt% SiO2 at 2273 K for a given pressure of 18 GPa. On the other hand, the solubility of TiO2 in coesite or stishovite is very limited, with an average of 0.6 wt% TiO2 over the experimental P-T ranges. Lower oxygen fugacity decreases the solubility of SiO2 in rutile, whereas water has little effect on the solubility of SiO2 in rutile. Our experimental data are extreme useful for determine the depth of origin for the SiO2-bearing rutile in nature.
NASA Astrophysics Data System (ADS)
Hoyos, Lina J.; Rivera, Diego F.; Gualdrón-Reyes, Andrés F.; Ospina, Rogelio; Rodríguez-Pereira, Jhonatan; Ropero-Vega, Jose L.; Niño-Gómez, Martha E.
2017-11-01
Sensitization of TiO2 nanotube (TNT)-based photoanodes with narrow-band gap semiconductors is an important alternative to improving the photoelectrochemical properties of the material. However, the interaction between the sensitizer and TNT is not understood deeply enough to relate charge carrier transport into the composite photoanode with its photoactivity. In this contribution, we studied the photoelectrochemical behaviour of N-F-self codoped TiO2 nanotubes (N-F-TNTs) that were grown by anodization of titanium plates and sensitized with β-Bi2O3 by immersing the TNTs into a Bi2O3 sol solution by dip-coating. The number of immersion cycles was varied. The as-fabricated photoanodes were characterized by FESEM, GIXRD, DRS and XPS, while their photoelectrochemical and semiconducting properties were investigated by photovoltammetry, electrochemical impedance spectroscopy and Mott-Schottky analysis in 0.1 M HClO4. The photoelectrocatalytic activity of the composite photoanodes was evaluated for glycerol oxidation under acidic and alkaline conditions. The N-F-TNTs exhibit a well-oriented structure after β-Bi2O3 deposition. The presence of substitutions of both N and F, identified by XPS, indicates the self-doping of the TNTs during anodization. The visible-light harvesting of the N-F-TNT photoanode was enhanced after three -immersion cycles during β-Bi2O3 sensitization, establishing an adequate n-n heterojunction at the N-F-TNT/Bi2O3 interface. In addition, bismuth migration from the sensitizer to the TNT lattice was promoted during thermal treatment, forming Bi-N-F-tridoping of TNT (Bi-N-F-TNT). The suitable band alignment between TNT and β-Bi2O3 and incorporation of the Bi3+ energy levels into TiO2 facilitate charge carrier separation and electron transport throughout the cell. Nevertheless, increasing the number of immersion cycles over three creates an excess of Bi3+ species at the N-F-TNT/β-Bi2O3 interface, producing an energetic barrier that hinders electron
Highly Stable K2SiF6:Mn4+@K2SiF6 Composite Phosphor with Narrow Red Emission for White LEDs.
Huang, Lin; Liu, Yong; Yu, Jinbo; Zhu, Yiwen; Pan, Fengjuan; Xuan, Tongtong; Brik, Mikhail G; Wang, Chengxin; Wang, Jing
2018-05-30
Poor water resistance and nongreen synthesis remain great challenges for commercial narrow red-emitting phosphor A 2 MF 6 :Mn 4+ (A = alkali metal ion; M = Si, Ge, Ti) for solid-state lighting and display. We develop here a simple and green growth route to synthesize homogeneous red-emitting composite phosphor K 2 SiF 6 :Mn 4+ @K 2 SiF 6 (KSFM@KSF) with excellent water resistance and high efficiency without the usage of toxic and volatile hydrogen fluoride solution. After immersing into water for 6 h, the as-obtained water-resistant products maintain 76% of the original emission intensity, whereas the emission intensity of non-water-resistant ones steeply drops down to 11%. A remarkable result is that after having kept at 85% humidity and at 85 °C for 504 h (21 days), the emission intensity of the as-obtained water-resistant products is at 80-90%, from its initial value, which is 2-3 times higher than 30-40% for the non-water-resistant products. The surface deactivation-enabled growth mechanism for these phosphors was proposed and investigated in detail. We found that nontoxic H 3 PO 4 /H 2 O 2 aqueous solution promotes the releasing and decomposition of the surface [MnF 6 ] 2- ions and the transformation of the KSFM surface to KSF, which finally contributes to the homogeneous KSFM@KSF composite structure. This composite structure strategy was also successfully used to treat KSFM phosphor prepared by other methods. We believe that the results obtained in the present paper will open the pathway for the large-scale environmentally friendly synthesis of the excellent antimoisture narrow red-emitting A 2 MF 6 :Mn 4+ phosphor to be used for white light-emitting diode applications.
NASA Astrophysics Data System (ADS)
Pang, Zengyuan; Nie, Qingxin; Lv, Pengfei; Yu, Jian; Huang, Fenglin; Wei, Qufu
2017-06-01
We report a room-temperature ammonia sensor with extra high response values and ideal flexibility, including polyaniline (PANI)-coated titanium dioxide-silicon dioxide (TiO2-SiO2) or copper oxide-titanium dioxide-silicon dioxide (CuO-TiO2-SiO2) composite nanofibers. Such flexible inorganic TiO2-SiO2 and CuO-TiO2-SiO2 composite nanofibers were prepared by electrospinning, followed by calcination. Then, in situ polymerization of aniline monomers was carried out with inorganic TiO2-SiO2 and CuO-TiO2-SiO2 composite nanofibers as templates. Gas sensing tests at room temperature indicated that the obtained CuO-TiO2-SiO2/PANI composite nanofibers had much higher response values to ammonia gas (ca. 45.67-100 ppm) than most of those reported before as well as the prepared TiO2-SiO2/PANI composite nanofibers here. These excellent sensing properties may be due to the P-N, P-P heterojunctions and a structure similar to field-effect transistors formed on the interfaces between PANI, TiO2, and CuO, which is p-type, n-type, and p-type semiconductor, respectively. In addition, the prepared free-standing CuO-TiO2-SiO2/PANI composite nanofiber membrane was easy to handle and possessed ideal flexibility, which is promising for potential applications in wearable sensors in the future.
Pang, Zengyuan; Nie, Qingxin; Lv, Pengfei; Yu, Jian; Huang, Fenglin; Wei, Qufu
2017-06-02
We report a room-temperature ammonia sensor with extra high response values and ideal flexibility, including polyaniline (PANI)-coated titanium dioxide-silicon dioxide (TiO 2 -SiO 2 ) or copper oxide-titanium dioxide-silicon dioxide (CuO-TiO 2 -SiO 2 ) composite nanofibers. Such flexible inorganic TiO 2 -SiO 2 and CuO-TiO 2 -SiO 2 composite nanofibers were prepared by electrospinning, followed by calcination. Then, in situ polymerization of aniline monomers was carried out with inorganic TiO 2 -SiO 2 and CuO-TiO 2 -SiO 2 composite nanofibers as templates. Gas sensing tests at room temperature indicated that the obtained CuO-TiO 2 -SiO 2 /PANI composite nanofibers had much higher response values to ammonia gas (ca. 45.67-100 ppm) than most of those reported before as well as the prepared TiO 2 -SiO 2 /PANI composite nanofibers here. These excellent sensing properties may be due to the P-N, P-P heterojunctions and a structure similar to field-effect transistors formed on the interfaces between PANI, TiO 2 , and CuO, which is p-type, n-type, and p-type semiconductor, respectively. In addition, the prepared free-standing CuO-TiO 2 -SiO 2 /PANI composite nanofiber membrane was easy to handle and possessed ideal flexibility, which is promising for potential applications in wearable sensors in the future.
Maeda, Satoshi; Fujita, Masato; Idota, Naokazu; Matsukawa, Kimihiro; Sugahara, Yoshiyuki
2016-12-21
Transparent TiO 2 /PMMA hybrids with a thickness of 5 mm and improved refractive indices were prepared by in situ polymerization of methyl methacrylate (MMA) in the presence of TiO 2 nanoparticles bearing poly(methyl methacrylate) (PMMA) chains grown using surface-initiated atom transfer radical polymerization (SI-ATRP), and the effect of the chain length of modified PMMA on the dispersibility of modified TiO 2 nanoparticles in the bulk hybrids was investigated. The surfaces of TiO 2 nanoparticles were modified with both m-(chloromethyl)phenylmethanoyloxymethylphosphonic acid bearing a terminal ATRP initiator and isodecyl phosphate with a high affinity for common organic solvents, leading to sufficient dispersibility of the surface-modified particles in toluene. Subsequently, SI-ATRP of MMA was achieved from the modified surfaces of the TiO 2 nanoparticles without aggregation of the nanoparticles in toluene. The molecular weights of the PMMA chains cleaved from the modified TiO 2 nanoparticles increased with increases in the prolonging of the polymerization period, and these exhibited a narrow distribution, indicating chain growth controlled by SI-ATRP. The nanoparticles bearing PMMA chains were well-dispersed in MMA regardless of the polymerization period. Bulk PMMA hybrids containing modified TiO 2 nanoparticles with a thickness of 5 mm were prepared by in situ polymerization of the MMA dispersion. The transparency of the hybrids depended significantly on the chain length of the modified PMMA on the nanoparticles, because the modified PMMA of low molecular weight induced aggregation of the TiO 2 nanoparticles during the in situ polymerization process. The refractive indices of the bulk hybrids could be controlled by adjusting the TiO 2 content and could be increased up to 1.566 for 6.3 vol % TiO 2 content (1.492 for pristine PMMA).
Hydrogenated TiO2 nanotube arrays for supercapacitors.
Lu, Xihong; Wang, Gongming; Zhai, Teng; Yu, Minghao; Gan, Jiayong; Tong, Yexiang; Li, Yat
2012-03-14
We report a new and general strategy for improving the capacitive properties of TiO(2) materials for supercapacitors, involving the synthesis of hydrogenated TiO(2) nanotube arrays (NTAs). The hydrogenated TiO(2) (denoted as H-TiO(2)) were obtained by calcination of anodized TiO(2) NTAs in hydrogen atmosphere in a range of temperatures between 300 to 600 °C. The H-TiO(2) NTAs prepared at 400 °C yields the largest specific capacitance of 3.24 mF cm(-2) at a scan rate of 100 mV s(-1), which is 40 times higher than the capacitance obtained from air-annealed TiO(2) NTAs at the same conditions. Importantly, H-TiO(2) NTAs also show remarkable rate capability with 68% areal capacitance retained when the scan rate increase from 10 to 1000 mV s(-1), as well as outstanding long-term cycling stability with only 3.1% reduction of initial specific capacitance after 10,000 cycles. The prominent electrochemical capacitive properties of H-TiO(2) are attributed to the enhanced carrier density and increased density of hydroxyl group on TiO(2) surface, as a result of hydrogenation. Furthermore, we demonstrate that H-TiO(2) NTAs is a good scaffold to support MnO(2) nanoparticles. The capacitor electrodes made by electrochemical deposition of MnO(2) nanoparticles on H-TiO(2) NTAs achieve a remarkable specific capacitance of 912 F g(-1) at a scan rate of 10 mV s(-1) (based on the mass of MnO(2)). The ability to improve the capacitive properties of TiO(2) electrode materials should open up new opportunities for high-performance supercapacitors. © 2012 American Chemical Society
NASA Astrophysics Data System (ADS)
Willers, T.; Adroja, D. T.; Rainford, B. D.; Hu, Z.; Hollmann, N.; Körner, P. O.; Chin, Y.-Y.; Schmitz, D.; Hsieh, H. H.; Lin, H.-J.; Chen, C. T.; Bauer, E. D.; Sarrao, J. L.; McClellan, K. J.; Byler, D.; Geibel, C.; Steglich, F.; Aoki, H.; Lejay, P.; Tanaka, A.; Tjeng, L. H.; Severing, A.
2012-01-01
We have determined the ground-state wave functions and crystal-field-level schemes of CeRh2Si2 and CeRu2Si2 using linear polarized soft x-ray-absorption spectroscopy (XAS) and inelastic neutron scattering. We find large crystal-field splittings and ground-state wave functions which are made of mainly Jz = |±5/2> with some amount of |∓3/2> in both the compounds. The 4f0 contribution to the ground state of several members of the CeM2Si2 family with M=(Cu, Ru, Rh, Pd, and Au) has been determined with XAS, and the comparison reveals a trend concerning the delocalization of the f electrons. Absolute numbers are extracted from scaling to results from hard x-ray photoelectron spectroscopy on CeRu2Si2 by Yano [Phys. Rev. BPRBMDO1098-012110.1103/PhysRevB.77.035118 77, 035118 (2008)].
NASA Astrophysics Data System (ADS)
Li, Y.; Li, S. G.; Wang, J.; Li, Y.; Ma, C. H.; Zhang, L.
2014-12-01
Three TiO2 loaded composites, TiO2/kaolin, TiO2/diatomite, and TiO2/zeolite, were prepared in order to improve the solar-light photocatalytic activity of TiO2. The results showed that the photocatalytic activity could obviously be enhanced by loading appropriate amount of inorganic mineral materials. Meanwhile, TiO2 content, heat-treatment temperature and heat-treatment time on the photocatalytic activity were reviewed. Otherwise, the effect of solar light irradiation time and dye concentration on the photocatalytic degradation of Acid Red B was investigated. Furthermore, the degradation mechanism and adsorption process were also discussed.
[TiO2-Induced Photodegradation of Levofloxacin by Visible Light and Its Mechanism].
Guo, Hong-sheng; Liu, Ya-nan; Qiao, Qi; Wei, Hong; Dong, Cheng-xing; Xue, Jie; Li, Ke-bin
2015-05-01
Levofloxacin is an emerging pollutant. Single levofloxacin and TiO2 have no visible-light activity. However, photodegradation of levofloxacin dramatically enhanced in the presence of TiO2 under visible light irradiation. Considering this finding, he photodegradation of levofloxacin over TiO2 was investigated under visible light irradiation. Effects of TiO2 dosage, levofloxacin concentration, and solution pH on levofloxacin photodegradation were examined by monitoring its concentration decay with time. The results showed that levofloxacin photodegradation fitted the Langmuir-Hinshelwood kinetic model. Solution pH, TiO2 dose, and levofloxacin concentration had significant effects on the photodegradation rates. In addition, batch adsorption experiments revealed that adsorption of levofloxacin on TiO2 conformed to the pseudo-second-order kinetics and the Langmuir isotherm. DRS spectrum of levofloxacin-adsorbed TiO2 suggested that a surface complex was formed between levofloxacin and TiO2. Addition of radical scavengers and N2-degassing affecting levofloxacin photodegradation indicated that the superoxide ion radical was mainly active species. UV-Vis spectra of a deaerated TiO2 and levofloxacin suspensions further confirmed that the electron injection into TiO2 conduction band took place under visible light irradiation. Based on these results, a charge-transfer mechanism initiated by photoexcitation of TiO2/ levofloxacin surface complex was proposed for levofloxacin photocatalytic degradation over TiO2 under visible light. This study indicates that the charge-transfer-complex-mediated photocatalytic technique has promising applications in the removal of colorless organic pollutants.
NASA Astrophysics Data System (ADS)
Cheng, Xuemei; Gotoh, Kazuhiro; Nakagawa, Yoshihiko; Usami, Noritaka
2018-06-01
Electrical and structural properties of TiO2 thin films deposited at room temperature by reactive DC sputtering have been investigated on three different substrates: high resistivity (>1000 Ω cm) float zone Si(1 1 1), float zone Si(1 0 0) and alkali free glass. As-deposited TiO2 films on glass substrate showed extremely high resistivity of (∼5.5 × 103 Ω cm). In contrast, lower resistivities of ∼2 Ω cm and ∼5 Ω cm were obtained for films on Si(1 1 1) and Si(1 0 0), respectively. The as-deposited films were found to be oxygen-rich amorphous TiO2 for all the substrates as evidenced by X-ray photoemission spectroscopy and X-ray diffraction. Subsequent annealing led to appearance of anatase TiO2 on Si but not on glass. The surface of as-deposited TiO2 on Si was found to be rougher than that on glass. These results suggest that the big difference of electrical resistivity of TiO2 would be related with existence of more anatase nuclei forming on crystalline substrates, which is consistent with the theory of charged clusters that smaller clusters tend to adopt the substrate structure.
Bosio, Morgana; Satyro, Suéllen; Bassin, João Paulo; Saggioro, Enrico; Dezotti, Márcia
2018-05-01
Pharmaceutically active compounds are carried into aquatic bodies along with domestic sewage, industrial and agricultural wastewater discharges. Psychotropic drugs, which can be toxic to the biota, have been detected in natural waters in different parts of the world. Conventional water treatments, such as activated sludge, do not properly remove these recalcitrant substances, so the development of processes able to eliminate these compounds becomes very important. Advanced oxidation processes are considered clean technologies, capable of achieving high rates of organic compounds degradation, and can be an efficient alternative to conventional treatments. In this study, the degradation of alprazolam, clonazepam, diazepam, lorazepam, and carbamazepine was evaluated through TiO 2 /UV-A, H 2 O 2 /UV-A, and TiO 2 /H 2 O 2 /UV-A, using sunlight and artificial irradiation. While using TiO 2 in suspension, best results were found at [TiO 2 ] = 0.1 g L -1 . H 2 O 2 /UV-A displayed better results under acidic conditions, achieving from 60 to 80% of removal. When WWTP was used, degradation decreased around 50% for both processes, TiO 2 /UV-A and H 2 O 2 /UV-A, indicating a strong matrix effect. The combination of both processes was shown to be an adequate approach, since removal increased up to 90%. H 2 O 2 /UV-A was used for disinfecting the aqueous matrices, while mineralization was obtained by TiO 2 -photocatalysis.
Synthesis of TiO2 Nanoparticle and its phase Transition
NASA Astrophysics Data System (ADS)
Mangrola, M. H.; Joshi, V. G.; Parmar, B. H.
2011-12-01
Here we report the synthesis of titanium dioxide (TiO2) nanoparticles and study of its phase transition from anataze to rutile. Titanium dioxide (TiO2) nanoparticles have been prepared by hydrolysis of Titanium isopropoxide an aqueous solution with constant value of pH 2 and peptizing the resultant suspension gel(white-Blue) and calcinate gel at different temperature. Structures of synthetic samples of TiO2 have been examined by X-ray diffraction (XRD) and scanning electron microscope (SEM). The anatase-rutile transition has been a popular topic due to its interest to scientific and engineering fields. . Here we have seen that the 100 °C calcinate powder consist of anatase fine crystalline phase with a particle size 14 to 15 nm. The prepared TiO2 nanoparticles have uniform size and morphology, and the phase transformation kinetics of obtained material was studied by interpretation of the X-ray diffraction patterns peaks. The phase transform occurred from anatase to rutile at calcinate temperature up to 600 °C. A very fine network texture made from uniform nanoparticles was revealed by scanning electron microscopy (SEM) analyses.
Yang, Jianping; Wang, Yunxiao; Li, Wei; Wang, Lianjun; Fan, Yuchi; Jiang, Wan; Luo, Wei; Wang, Yang; Kong, Biao; Selomulya, Cordelia; Liu, Hua Kun; Dou, Shi Xue; Zhao, Dongyuan
2017-12-01
Smart surface coatings of silicon (Si) nanoparticles are shown to be good examples for dramatically improving the cyclability of lithium-ion batteries. Most coating materials, however, face significant challenges, including a low initial Coulombic efficiency, tedious processing, and safety assessment. In this study, a facile sol-gel strategy is demonstrated to synthesize commercial Si nanoparticles encapsulated by amorphous titanium oxide (TiO 2 ), with core-shell structures, which show greatly superior electrochemical performance and high-safety lithium storage. The amorphous TiO 2 shell (≈3 nm) shows elastic behavior during lithium discharging and charging processes, maintaining high structural integrity. Interestingly, it is found that the amorphous TiO 2 shells offer superior buffering properties compared to crystalline TiO 2 layers for unprecedented cycling stability. Moreover, accelerating rate calorimetry testing reveals that the TiO 2 -encapsulated Si nanoparticles are safer than conventional carbon-coated Si-based anodes. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
Enhanced electrochemical properties of F-doped Li2MnSiO4/C for lithium ion batteries
NASA Astrophysics Data System (ADS)
Wang, Chao; Xu, Youlong; Sun, Xiaofei; Zhang, Baofeng; Chen, Yanjun; He, Shengnan
2018-02-01
The Li2MnSiO4 as a novel cathode material for lithium ion batteries, performs high specific capacity, high thermal stability, low cost and etc. However, it suffers from relatively low electronic conductivity and lithium ion diffusion rate. Herein, we successfully introduce fluorine to Li2MnSiO4 (Li2MnSiO4-xFx, x = 0.00, 0.01, 0.03 and 0.05) to overcome these obstacles. The results show that F doping not only enlarges the lattice parameters but also decreases the particle size, synergistically improving the lithium ion diffusion of Li2MnSiO4. Moreover, F doping increase electronic conductivity of Li2MnSiO4/C by inhibiting the formation of C-O bonds in the carbon layers. Meanwhile, F doping improves the crystallinity and stabilizes the crystal structure of Li2MnSiO4. Finally, the Li2MnSiO3.97F0.03/C with the best electrochemical performances delivers the initial specific discharge capacity of 279 mA h g-1 at 25mA g-1 current density from 1.5 V to 4.8 V. Also, it maintains a higher capacity (201 mA h g-1) than F-free Li2MnSiO4 (145 mA h g-1) after 50 cycles.
NaF-assisted combustion synthesis of MoSi2 nanoparticles and their densification behavior
NASA Astrophysics Data System (ADS)
Nersisyan, Hayk H.; Lee, Tae Hyuk; Ri, Vladislav; Lee, Jong Hyeon; Suh, Hoyoung; Kim, Jin-Gyu; Son, Hyeon Taek; Kim, Yong-Ho
2017-03-01
The exothermic reduction of oxides mixture (MoO3+2SiO2) by magnesium in NaF melt enables the synthesis of nanocrystalline MoSi2 powders in near-quantitative yields. The combustion wave with temperature of about 1000-1200 °C was recorded in highly diluted by NaF starting mixtures. The by-products of combustion reaction (NaF and MgO) were subsequently removed by leaching with acid and washing with water. The as-prepared MoSi2 nanopowder composed of spherical and dendritic shape particles was consolidated using the spark plasma sintering method at 1200-1500 °C and 50 MPa for 10 min. The result was dense compacts (98.6% theoretical density) possessing submicron grains and exhibiting hardness of 8.74-12.92 GPa.
NASA Astrophysics Data System (ADS)
Ahiboz, Doğuşcan; Nasser, Hisham; Aygün, Ezgi; Bek, Alpan; Turan, Raşit
2018-04-01
Integration of oxygen deficient sub-stoichiometric titanium dioxide (TiO2‑x) thin films as the electron transporting-hole blocking layer in solar cell designs are expected to reduce fabrication costs by eliminating high temperature processes while maintaining high conversion efficiencies. In this paper, we conducted a study to reveal the electrical properties of TiO2‑x thin films grown on crystalline silicon (c-Si) substrates by atomic layer deposition (ALD) technique. Effect of ALD substrate temperature, post deposition annealing, and doping type of the c-Si substrate on the interface states and TiO2‑x bulk properties were extracted by performing admittance (C-V, G-V) and current-voltage (J-V) measurements. Moreover, the asymmetry in C-V and J-V measurements between the p-n type and n-n TiO2‑x-c-Si heterojunction types were examined and the electron transport selectivity of TiO2‑x was revealed.
Bactericidal effect of TiO2 photocatalyst on selected food-borne pathogenic bacteria.
Kim, Byunghoon; Kim, Dohwan; Cho, Donglyun; Cho, Sungyong
2003-07-01
Titanium dioxide (TiO(2)) photocatalysts have attracted great attention as a material for photocatalytic sterilization in the food and environmental industry. This research aimed to design a new photobioreactor and its application to sterilize selected food borne pathogenic bacteria, Salmonella choleraesuis subsp., Vibrio parahaemolyticus, and Listeria monocytogenes. The photocatalytic reaction was carried out with various TiO(2) concentrations and Ultraviolet (UV) illumination time. A feasible synergistic effect was found that the bactericidal effect of TiO(2) on all bacterial suspension after UV light irradiation was much higher than that of without TiO(2). As the concentration of TiO(2) increased to 1.0 mg/ml, bactericidal effect increased. However, the bactericidal effect was rapidly abbreviated at TiO(2) concentration higher than 1.25 mg/ml to all selected bacteria. UV illumination time affected drastically the viability of all bacteria with different death rate. Similar trends were obtained from S. choleraesuis subsp. and V. parahaemolyticus that their complete killing was achieved after 3 h of illumination. However, L. monocytogenes was more resistant and its death ratio was about 87% at that time.
NASA Astrophysics Data System (ADS)
Hu, G. D.
2006-11-01
Bi3.15Nd0.85Ti3O12 (BNT0.85) thin films with (100) [α(100)=87.8%], (117) [α(117)=77.1%], and (001) [α(001)=98.8%] preferred orientations were deposited on Pt(100)/TiO2/SiO2/Si substrates using a metal organic decomposition process. The remanent polarization of (100)-predominant BNT0.85 film is about 50% and three times larger than those of (117)-preferred and (001)-oriented films, respectively, suggesting that the major polarization vector of BNT0.85 is close to the a axis rather than the c axis. This result can be further demonstrated by the piezoelectric measurements using an atomic force microscope in the piezoresponse mode.
Development of a TiO2/SiO2 waveguide-mode chip for an ultraviolet near-field fluorescence sensor.
Kuroda, Chiaki; Nakai, Midori; Fujimaki, Makoto; Ohki, Yoshimichi
2018-03-19
Aimed at detecting fluorescent-labeled biological substances sensitively, a sensor that utilizes near-field light has attracted much attention. According to our calculations, a planar structure composed of two dielectric layers can enhance the electric field of UV near-field light effectively by inducing waveguide-mode (WM) resonance. The fluorescence intensity obtainable by a WM chip with an optimized structure is 5.5 times that obtainable by an optimized surface plasmon resonance chip. We confirmed the above by making a WM chip consisting of TiO 2 and SiO 2 layers on a silica glass substrate and by measuring the fluorescence intensity of a solution of quantum dots dropped on the chip.
Fabrication of TiO2 nanostructures on porous silicon for thermoelectric application
NASA Astrophysics Data System (ADS)
Fahrizal, F. N.; Ahmad, M. K.; Ramli, N. M.; Ahmad, N.; Fakhriah, R.; Mohamad, F.; Nafarizal, N.; Soon, C. F.; Ameruddin, A. S.; Faridah, A. B.; Shimomura, M.; Murakami, K.
2017-09-01
Nowadays, technology is moving by leaps and bounds over the last several decades. This has created new opportunities and challenge in the research fields. In this study, the experiment is about to investigate the potential of Titanium Dioxide (TiO2) nanostructures that have been growth onto a layer of porous silicon (pSi) for their thermoelectric application. Basically, it is divided into two parts, which is the preparation of the porous silicon (pSi) substrate by electrochemical-etching process and the growth of the Titanium Dioxide (TiO2) nanostructures by hydrothermal method. This sample have been characterize by Field Emission Scanning Electron Microscopy (FESEM) to visualize the morphology of the TiO2 nanostructures area that formed onto the porous silicon (pSi) substrate. Besides, the sample is also used to visualize their cross-section images under the FESEM microscopy. Next, the sample is characterized by the X-Ray Diffraction (XRD) machine. The XRD machine is used to get the information about the chemical composition, crystallographic structure and physical properties of materials.
Visible Light Photocatalysis via CdS/ TiO 2 Nanocomposite Materials
Srinivasan, Sesha S.; Wade, Jeremy; Stefanakos, Elias K.
2006-01-01
Nmore » anostructured colloidal semiconductors with heterogeneous photocatalytic behavior have drawn considerable attention over the past few years. This is due to their large surface area, high redox potential of the photogenerated charge carriers, and selective reduction/oxidation of different classes of organic compounds. In the present paper, we have carried out a systematic synthesis of nanostructured CdS- TiO 2 via reverse micelle process. The structural and microstructural characterizations of the as-prepared CdS- TiO 2 nanocomposites are determined using XRD and SEM-EDS techniques. The visible light assisted photocatalytic performance is monitored by means of degradation of phenol in water suspension.« less
NASA Astrophysics Data System (ADS)
Wang, Zhanjun; Sun, Yongqi; Sridrar, Seetharaman; Zhang, Mei; Zhang, Zuotai
2017-02-01
The viscous flow and crystallization behavior of CaO-SiO2-MgO-Al2O3-FetO-P2O5-TiO2 steelmaking slags have been investigated over a wide range of temperatures under Ar (High purity, >99.999 pct) atmosphere, and the relationship between viscosity and structure was determined. The results indicated that the viscosity of the slags slightly decreased with increasing TiO2 content. The constructed nonisothermal continuous cooling transformation (CCT) diagrams revealed that the addition of TiO2 lowered the crystallization temperature. This can mainly be ascribed to that addition of TiO2 promotes the formation of [TiO6]-octahedra units and, consequently, the formation of MgFe2O4-Mg2TiO4 solid solution. Moreover, the decreasing viscosity has a significant effect on enhancing the diffusion of ion units, such as Ca2+ and [TiO4]-tetrahedra, from bulk melts to the crystal-melt interface. The crystallization of CaTiO3 and CaSiTiO5 was consequently accelerated, which can improve the phosphorus content in P-enriched phase ( n2CaO·SiO2-3CaO·P2O5). Finally, the nonisothermal crystallization kinetics was characterized and the activation energy for the primary crystal growth was derived such that the activation energy increases from -265.93 to -185.41 KJ·mol-1 with the addition of TiO2 content, suggesting that TiO2 lowered the tendency for the slags to crystallize.
Synthesis of TiO2 nanoparticles by hydrolysis and peptization of titanium isopropoxide solution
NASA Astrophysics Data System (ADS)
Mahata, S.; Mahato, S. S.; Nandi, M. M.; Mondal, B.
2012-07-01
Here we report the synthesis and characterization of a stable suspension of modified titania nanoparticles. Phase-pure TiO2 nanocrystallites with narrow particle-size distributions were selectively prepared by hydrolysis-peptization of modified alkoxide followed by hydrothermal treatment. Autoclaving modified TiO2 in the presence of HNO3 as cooperative catalysts led to the formation of crystalline TiO2 with narrow-sized distribution. Following the hydrothermal treatment at 150°C, X-ray diffraction shows the particles to be exclusively anatase. Synthesized powder is characterized by FT-IR, scanning electron microscopy (FESEM) and transmission electron microscopy (HRTEM). The photocatalytic activity in the degradation of orange-II is quite comparable to good anatase and rutile nanocrystallites.
Fabricating TiO2 nanocolloids by electric spark discharge method at normal temperature and pressure.
Tseng, Kuo-Hsiung; Chang, Chaur-Yang; Chung, Meng-Yun; Cheng, Ting-Shou
2017-11-17
In this study, TiO 2 nanocolloids were successfully fabricated in deionized water without using suspending agents through using the electric spark discharge method at room temperature and under normal atmospheric pressure. This method was exceptional because it did not create nanoparticle dispersion and the produced colloids contained no derivatives. The proposed method requires only traditional electrical discharge machines (EDMs), self-made magnetic stirrers, and Ti wires (purity, 99.99%). The EDM pulse on time (T on ) and pulse off time (T off ) were respectively set at 50 and 100 μs, 100 and 100 μs, 150 and 100 μs, and 200 and 100 μs to produce four types of TiO 2 nanocolloids. Zetasizer analysis of the nanocolloids showed that a decrease in T on increased the suspension stability, but there were no significant correlations between T on and particle size. Colloids produced from the four production configurations showed a minimum particle size between 29.39 and 52.85 nm and a zeta-potential between -51.2 and -46.8 mV, confirming that the method introduced in this study can be used to produce TiO 2 nanocolloids with excellent suspension stability. Scanning electron microscopy with energy dispersive spectroscopy also indicated that the TiO 2 colloids did not contain elements other than Ti and oxygen.
NASA Astrophysics Data System (ADS)
Xia, Yue; Li, Fangfei; Jiang, Yinshan; Xia, Maosheng; Xue, Bing; Li, Yanjuan
2014-06-01
TiO2-diatomite photocatalysts were prepared by sol-gel process with various pre-modified diatomite. In order to obtain diatomite with different surface characteristics, two modification approaches including calcination and phosphoric acid treatment on the micro-structure of diatomite are introduced. The photocatalysts were characterized by XRD, XPS, nitrogen adsorption-desorption isotherms and micromorphology analysis. The results indicate that, compared with pure TiO2, the anatase-to-rutile phase transition temperature of TiO2 loaded on diatomite carrier is significantly increased to nearly 900 °C, depending on the different pretreatment method of diatomite. The photocatalytic activities of different samples were evaluated by their degradation rate of methyl orange (MO) dye under UV and visible-light irradiation. The samples prepared by phosphoric acid pretreatment method exhibit the highest photocatalytic activity. After 90 min of UV irradiation, about 90% of MO is decomposed by the best effective photocatalyst. And after 8 h visible-light irradiation, nearly 60% of MO is decomposed by the same sample. Further mechanism investigation reveals that the H3PO4 pretreatment process can obviously change the surface features of diatomite carrier, cause the formation of Si-O-Ti bond, increase the binding strength between TiO2 and diatomite, restrain crystal growth of loaded TiO2, and thus form thermal-stable mesoporous structure at the granular spaces. It helps to build micro-, meso- and macro-porous hierarchical porous structure in TiO2-diatomite, and improves the charge and mass transfer efficiency during catalyzing process, resulting in the significantly increased photocatalytic activity of TiO2-diatomite pretreated by phosphoric acid.
NASA Astrophysics Data System (ADS)
Kim, Jin Yi; Sim, Ho Hyung; Song, Sinae; Noh, Yeoung Ah; Lee, Hong Woon; Taik Kim, Hee
2018-03-01
Titanium dioxide (TiO2) is one of the representative ceramic materials containing photocatalyst, optic and antibacterial activity. The hydroxyl radical in TiO2 applies to the intensive oxidizing agent, hence TiO2 is suitable to use photocatalytic materials. Black TiO2was prepared through reduction of amorphous TiO2 conducting under H2 which leads to color changes. Its black color is proven that absorbs 100% light across the whole-visible light, drawing enhancement of photocatalytic property. In this study, we aimed to compare the photocatalytic activity of silver ion doped on TiO2(TiO2/Ag+) and silver ion doped on black TiO2(black TiO2/Ag+) under visible light range. TiO2/Ag+ was fabricated following steps. 1) TiO2 was synthesized by a sol-gel method from Titanium tetraisopropoxide (TTIP). 2) Then AgNO3 was added during an aging process step for silver ion doping on the surface of TiO2. Moreover, Black TiO2/Ag+ was obtained same as TiO2/Ag+ except for calcination under H2. The samples were characterized X-ray diffraction (XRD), UV-visible reflectance (UV-vis DRS), and Methylene Blue degradation test. XRD analysis confirmed morphology of TiO2. The band gap of black TiO2/Ag+ was confirmed (2.6 eV) through UV-vis DRS, which was lower than TiO2/Ag+ (2.9 eV). The photocatalytic effect was conducted by methylene blue degradation test. It demonstrated that black TiO2/Ag+ had a photocatalytic effect under UV light also visible light.
Quantum-dot light-emitting diodes utilizing CdSe /ZnS nanocrystals embedded in TiO2 thin film
NASA Astrophysics Data System (ADS)
Kang, Seung-Hee; Kumar, Ch. Kiran; Lee, Zonghoon; Kim, Kyung-Hyun; Huh, Chul; Kim, Eui-Tae
2008-11-01
Quantum-dot (QD) light-emitting diodes (LEDs) are demonstrated on Si wafers by embedding core-shell CdSe /ZnS nanocrystals in TiO2 thin films via plasma-enhanced metallorganic chemical vapor deposition. The n-TiO2/QDs /p-Si LED devices show typical p-n diode current-voltage and efficient electroluminescence characteristics, which are critically affected by the removal of QD surface ligands. The TiO2/QDs /Si system we presented can offer promising Si-based optoelectronic and electronic device applications utilizing numerous nanocrystals synthesized by colloidal solution chemistry.
NASA Astrophysics Data System (ADS)
Krim, Jacqueline; Acharya, Biplav; Chestnut, Melanie; Marek, Antonin; Shendarova, Olga; Smirnov, Alex
The addition of nanoparticles to conventional automotive lubricants is known in many cases to result in increased energy efficiency, but the atomic scale mechanisms leading to the increased efficiency are yet to be established. To explore this issue, we studied surface uptake and nanotribological properties of nanoparticle suspensions of diamond, Al2O3 and SiO2 dispersed in water and/or oil (PAO6) in real time by means of an in situ Quartz Crystal Microbalance (QCM) technique, with a focus on the impact of the suspension on the surface roughness and texture of the QCM electrode and how the results compared to macroscopic reductions in friction and increased energy efficiency for the same materials' combinations. The frequency and dissipative properties (mechanical resistance) of QCM's with both gold and nickel surface electrodes were first studied for immersed samples upon addition of the nanoparticles. Nanodiamonds resulted in an increased mechanical resistance while the addition of Al2O3 and SiO2 nanoparticles resulted in a decreased resistance, indicating a reduced resistance of the fluid to the motion of the QCM. Atomic Force Microscope (AFM) measurements were then performed on the QCM electrodes after exposure to the suspensions, to explore potential polishing and/or roughening effects. The results are closely linked to the macroscopic friction and wear attributes. Work supported by NSF.
NASA Astrophysics Data System (ADS)
Novita, Mega; Ogasawara, Kazuyoshi
2012-02-01
We performed first-principles configuration-interaction calculations of multiplet energies for Mn4+ in K2SiF6, K2GeF6, and K2TiF6 crystals. The results indicate that corrections based on a single-electron calculation are effective for the prediction of 4A2 → 4T2 and 4A2 → 4T1a transition energies, while such corrections are not necessary for the prediction of the 4A2 → 2E transition energy. The cluster size dependence of the multiplet energies is small. However, the 4A2 → 2E transition energy is slightly improved by using larger clusters including K ions. The theoretical multiplet energies are improved further by considering the lattice relaxation effect. As a result, the characteristic multiplet energy shifts depending on the host crystal are well reproduced without using any empirical parameters. Although K2GeF6 and K2TiF6 have lower symmetry than K2SiF6, the results indicate that the variation of the multiplet energy is mainly determined by the Mn-F bond length.
Removal of Hazardous Pollutants from Wastewaters: Applications of TiO 2 -SiO 2 Mixed Oxide Materials
DOE Office of Scientific and Technical Information (OSTI.GOV)
Rasalingam, Shivatharsiny; Peng, Rui; Koodali, Ranjit T.
The direct release of untreated wastewaters from various industries and households results in the release of toxic pollutants to the aquatic environment. Advanced oxidation processes (AOP) have gained wide attention owing to the prospect of complete mineralization of nonbiodegradable organic substances to environmentally innocuous products by chemical oxidation. In particular, heterogeneous photocatalysis has been demonstrated to have tremendous promise in water purification and treatment of several pollutant materials that include naturally occurring toxins, pesticides, and other deleterious contaminants. In this work, we have reviewed the different removal techniques that have been employed for water purification. In particular, the application ofmore » TiO 2 -SiO 2 binary mixed oxide materials for wastewater treatment is explained herein, and it is evident from the literature survey that these mixed oxide materials have enhanced abilities to remove a wide variety of pollutants.« less
Removal of Hazardous Pollutants from Wastewaters: Applications of TiO 2 -SiO 2 Mixed Oxide Materials
Rasalingam, Shivatharsiny; Peng, Rui; Koodali, Ranjit T.
2014-01-01
The direct release of untreated wastewaters from various industries and households results in the release of toxic pollutants to the aquatic environment. Advanced oxidation processes (AOP) have gained wide attention owing to the prospect of complete mineralization of nonbiodegradable organic substances to environmentally innocuous products by chemical oxidation. In particular, heterogeneous photocatalysis has been demonstrated to have tremendous promise in water purification and treatment of several pollutant materials that include naturally occurring toxins, pesticides, and other deleterious contaminants. In this work, we have reviewed the different removal techniques that have been employed for water purification. In particular, the application ofmore » TiO 2 -SiO 2 binary mixed oxide materials for wastewater treatment is explained herein, and it is evident from the literature survey that these mixed oxide materials have enhanced abilities to remove a wide variety of pollutants.« less
Enhanced photoemission from glancing angle deposited SiOx-TiO2 axial heterostructure nanowire arrays
NASA Astrophysics Data System (ADS)
Dhar, J. C.; Mondal, A.; Singh, N. K.; Chattopadhyay, K. K.
2013-05-01
The glancing angle deposition technique has been employed to synthesize SiOx-TiO2 heterostructure nanowire (NW) arrays on indium tin oxide (ITO) coated glass substrate. A field emission gun scanning electron microscopic image shows that the average diameter of the NWs is ˜50 nm. Transmission electron microscopy images show the formation of heterostructure NWs, which consist of ˜180 nm SiOx and ˜210 nm long TiO2. The selected-area electron diffraction shows the amorphous nature of the synthesized NWs, which was also confirmed by X-ray diffraction method. The main band absorption edges at 3.5 eV were found for both the SiOx-TiO2 and TiO2 NW arrays on ITO coated glass plate from optical absorption measurement. Ti3+ defect related sub-band gap transition at 2.5 eV was observed for TiO2 NWs, whereas heterostructure NWs revealed the SiOx optical band gap related transition at ˜2.2 eV. Two fold improved photon absorption as well as five times photoluminescence emission enhancement were observed for the SiOx-TiO2 multilayer NWs compared to TiO2 NWs.
Ju, Yongming; Yang, Shaogui; Ding, Youchao; Sun, Cheng; Zhang, Aiqian; Wang, Lianhong
2008-11-06
Microwave-assisted photocatalytic (MPC) degradation of malachite green (MG) in aqueous TiO2 suspensions was investigated. A 20 mg/L sample of MG was rapidly and completely decomposed in 3 min with the corresponding TOC removal efficiency of about 85%. To gain insight into the degradation mechanism, both GC-MS and LC-ESI-MS/MS techniques were employed to identify the major intermediates of MG degradation, including N-demethylation intermediates [(p-dimethylaminophenyl)(p-methylaminophenyl)phenylmethylium (DM-PM), (p-methylaminophenyl)(p-methylaminophenyl)phenylmethylium (MM-PM), (p-methylaminophenyl)(p-aminophenyl)phenylmethylium (M-PM)]; a decomposition compound of the conjugated structure (4-dimethylaminobenzophenone (DLBP)); products resulting from the adduct reaction of hydroxyl radical; products of benzene removal; and other open-ring intermediates such as phenol, terephthalic acid, adipic acid, benzoic acid, etc. The possible degradation mechanism of MG included five processes: the N-demethylation process, adduct products of the hydroxyl radical, the breakdown of chromophores such as destruction of the conjugated structure intermediate, removal of benzene, and an open-ring reaction. To the best of our knowledge, it is the first time the whole MG photodegradation processes have been reported.
NASA Astrophysics Data System (ADS)
Caricato, A. P.; Buonsanti, R.; Catalano, M.; Cesaria, M.; Cozzoli, P. D.; Luches, A.; Manera, M. G.; Martino, M.; Taurino, A.; Rella, R.
2011-09-01
Titanium dioxide (TiO2) nanorods in the brookite phase, with average dimensions of 3-4 nm × 20-50 nm, were synthesized by a wet-chemical aminolysis route and used as precursors for thin films that were deposited by the matrix-assisted pulsed laser evaporation (MAPLE) technique. A nanorod solution in toluene (0.016 wt% TiO2) was frozen at the liquid-nitrogen temperature and irradiated with a KrF excimer laser at a fluence of 350 mJ/cm2 and repetition rate of 10 Hz. Single-crystal Si wafers, silica slides, carbon-coated Cu grids and alumina interdigitated slabs were used as substrates to allow performing different characterizations. Films fabricated with 6000 laser pulses had an average thickness of ˜150 nm, and a complete coverage of the selected substrate as achieved. High-resolution scanning and transmission electron microscopy investigations evidenced the formation of quite rough films incorporating individually distinguishable TiO2 nanorods and crystalline spherical nanoparticles with an average diameter of ˜13 nm. Spectrophotometric analysis showed high transparency through the UV-Vis spectral range. Promising resistive sensing responses to 1 ppm of NO2 mixed in dry air were obtained.
Zhang, Guihua; Shinohara, Naohide; Kano, Hirokazu; Senoh, Hideki; Suzuki, Masaaki; Sasaki, Takeshi; Fukushima, Shoji; Gamo, Masashi
2016-10-01
Uneven pulmonary nanoparticle (NP) distribution has been described when using single-dose intratracheal administration tests. Multiple-dose intratracheal administrations with small quantities of NPs are expected to improve the unevenness of each dose. The differences in local pulmonary NP distribution (called microdistribution) between single- and multiple-dose administrations may cause differential pulmonary responses; however, this has not been evaluated. Here, we quantitatively evaluated the pulmonary microdistribution (per mesh: 100 μm × 100 μm) of TiO2 in lung sections from rats following one, two, three, or four doses of TiO2 NPs at a same total dosage of 10 mg kg(-1) using X-ray fluorescence microscopy. The results indicate that: (i) multiple-dose administrations show lower variations in TiO2 content (ng mesh(-1) ) for sections of each lobe; (ii) TiO2 appears to be deposited more in the right caudal and accessory lobes located downstream of the administration direction of NP suspensions, and less so in the right middle lobes, irrespective of the number of doses; (iii) there are not prominent differences in the pattern of pulmonary TiO2 microdistribution between rats following single and multiple doses of TiO2 NPs. Additionally, the estimation of pulmonary TiO2 deposition for multiple-dose administrations imply that every dose of TiO2 would be randomly deposited only in part of the fixed 30-50% of lung areas. The evidence suggests that multiple-dose administrations do not offer remarkable advantages over single-dose administration on the pulmonary NP microdistribution, although multiple-dose administrations may reduce variations in the TiO2 content for each lung lobe. Copyright © 2016 John Wiley & Sons, Ltd. Copyright © 2016 John Wiley & Sons, Ltd.
NASA Astrophysics Data System (ADS)
Balvay, A.; Thieriet, N.; Lakhdar, L.; Bencsik, A.
2013-04-01
Titanium and silicon dioxide nanoparticles (TiO2 and SiO2 NPs) are now in daily use in many commercial products of which food, sunscreens, toothpastes or cosmetics. However, their effects on human body, especially on the central nervous system, are still unclear. The aim of this study was to determine whether direct exposition of the brain to TiO2 and SiO2 NPs results in alternations in nervous system function. C57Bl6 mice were exposed to 5 and 10 μg doses of TiO2 and SiO2 NPs through intracerebroventricular administration using a stereotaxic approach. Then the neurologic effects were investigated using motor performance parameters, measured on a rotarod at 20 rpm or at an accelerating rod (from 4 to 40 rpm). Before and after injection, motor activity is registered individually for each mouse exposed, once a week, for 8 weeks. Besides, a group of 3 mice is culled at 1, 2, 3, 4 and 8 weeks after exposure in order to study the time dependant effect on the histopathology of the brain (gliosis, inflammatory process...). Both rotarod tests (accelerating and at 20 rpm) showed that TiO2 and SiO2 NPs exposure could significantly impair the motor performances, even several weeks after initial acute exposure. The first examination of the brain histopathology revealed microglial activation. As it appeared to grow throughout the brain in a time dependant manner this suggests the induction of a long lasting neuroinflammation. These primary findings indicated that exposure to TiO2 and SiO2 NPs could possibly impair the locomotor ability and this deficit may be possibly attributed at least to an inflammatory process maintained till 8 weeks after exposure in the mouse brain. To fully investigate the neurotoxicological consequences of TiO2 and SiO2 NPs exposure, brain contents in these NPs will be also investigated as well as other alterations like neurotransmitter levels. These preliminary data already underline the necessity of more in vivo studies to better characterize TiO2
Self-limited growth of the CaF nanowire on the Si(5 5 12)-2 × 1 template
NASA Astrophysics Data System (ADS)
Kim, Hidong; Duvjir, Ganbat; Dugerjav, Otgonbayar; Li, Huiting; Motlak, Moaaed; Arvisbaatar, Amarmunkh; Seo, Jae M.
2012-10-01
The atomic structure and interfacial bonding of the ordered-and-isolated CaF nanowires on Si(5 5 12)-2 × 1 have been disclosed by scanning tunneling microscopy and synchrotron photoemission spectroscopy. Initially, CaF molecules dissociated from thermally deposited CaF2 molecules are adsorbed preferentially on the chain structures of Si(5 5 12)-2 × 1 held at 500 °C. With increasing CaF2 deposition amount, one-dimensional (1D) CaF nanowires composed of (113) and (111) facets are formed. The line density of these CaF nanowires increases as a function of deposition amount. Finally, at a submonolayer coverage, the surface is saturated with these 1D nanowires except for the (225) subunit, while the original period of Si(5 5 12)-2 × 1, 5.35 nm, is preserved. It has been deduced by the present studies that, owing to these preferential adsorption of CaF and facet-dependent growth of a CaF layer within a unit periodic length of Si(5 5 12)-2 × 1, such a self-limited growth of the CaF nanowire with a high aspect ratio becomes possible.
Hawthorne, F.C.; Oberti, R.; Ungaretti, L.; Ottolini, L.; Grice, Joel D.; Czamanske, G.K.
1996-01-01
Fluor-ferro-leakeite is a new amphibole species from the Canada Pinabete pluton, Questa, New Mexico, U.S.A.; it occurs in association with quartz, alkali feldspar, acmite, ilmenite, and zircon. It forms as anhedral bluish black crystals elongated along c and up to 1 mm long. It is brittle, H = 6, Dmeas = 3.37 g/cm3, Dcalc = 3.34 g/cm3. In plane-polarized light, it is strongly pleochroic, X = very dark indigo blue, Y = gray blue, Z = yellow green; X ??? c = 10?? (in ??obtuse), Y = b, Z ??? a = 4?? (in ?? obtuse), with absorption X > Y > Z. Fluor-ferro-leakeite is biaxial positive, ?? = 1.675(2), ??= 1.683(2), ?? = 1.694(1); 2V = 87(2)??; dispersion is not visible because of the strong absorption. Fluor-ferro-leakeite is monoclinic, space group C2/m, a = 9.792(1), b = 17.938(1), c = 5.3133(4) A??, ??= 103.87(7)??, V = 906.0(1) A??3, Z = 2. The ten strongest X-ray diffraction lines in the powder pattern are [d(I,hkl)]: 2.710(100,151), 2.536(92,202), 3.404(57,131), 4.481(54,040), 8.426(45,110), 2.985(38,241), 2.585(38,061), 3.122(29,310), 2.165(26,261), and 1.586(25,403). Analysis by a combination of electron microprobe, ion microprobe, and crystal-structure refinement (Hawthorne et al. 1993) gives SiO2 51.12, Al2O3 1.13, TiO2 0.68, Fe2O3 16.73, FeO 8.87, MgO 2.02, MnO 4.51, ZnO 0.57, CaO 0.15, Na2O 9.22, K2O 1.19, Li2O 0.99, F 2.87, H2Ocalc 0.60, sum 99.44 wt%. The formula unit, calculated on the basis of 23 O atoms, is (K0.23Na0.76)(Na1.97Ca0.03)(Mg 0.46Fe2+1.4Mn2+0.59Zn0.07Fe3+1.93-Ti 0.08Al0.02Li0.61])(Si7.81Al 0.19)O22(F1.39OH0.61). A previous crystal-structure refinement (Hawthorne et al. 1993) shows Li to be completely ordered at the M3 site. Fluor-ferro-leakeite, ideally NaNa2(Fe2+2Fe3+2Li)Si8O22F2, is related to leakeite, NaNa2(Mg2Fe3+3Li)Si 8O22(OH)2, by the substitutions Fe2+ ??? Mg and F ??? OH.
Peng, Guotao; Fan, Zhengqiu; Wang, Xiangrong; Sui, Xin; Chen, Chen
2015-01-01
Microcystins (MCs) are a group of monocyclic heptapeptide toxins produced by species of cyanobacteria. Since MCs exhibit acute and chronic effects on humans and wildlife by damaging the liver, they are of increasing concern worldwide. In this study, we investigated the ability of the phthalocyanine compound (ZnPc-TiO2-SiO2) to degrade microcystin-LR (MC-LR) in the presence of visible light. X-ray diffraction (XRD) and UV-Visible diffuse reflectance spectra (UV-Vis DRS) were utilized to characterize the crystalline phase and the absorption behavior of this catalyst. According to the results, XRD spectra of ZnPc-TiO2-SiO2 powders taken in the 2θ configuration exhibited the peaks characteristic of the anatase phase. UV-Vis DRS showed that the absorption band wavelength shifted to the visible range when ZnPc was supported on the surface of TiO2-SiO2. Subsequently, several parameters including catalyst dose, MC-LR concentrations and pH were investigated. The MC-LR was quantified in each sample through high-performance liquid chromatography (HPLC). The maximum MC-LR degradation rate of 80.2% can be obtained within 300 minutes under the following conditions: catalyst dose of 7.50 g/L, initial MC-LR concentration of 17.35 mg/L, pH 6.76 and the first cycling run of the photocatalytic reaction. Moreover, the degradation process fitted well with the pseudo-first-order kinetic model.
Photocatalytic decomposition of N2O over TiO2/g-C3N4 photocatalysts heterojunction
NASA Astrophysics Data System (ADS)
Kočí, K.; Reli, M.; Troppová, I.; Šihor, M.; Kupková, J.; Kustrowski, P.; Praus, P.
2017-02-01
TiO2/g-C3N4 photocatalysts with the various TiO2/g-C3N4 weight ratios from 1:2 to 1:6 were fabricated by mechanical mixing in water suspension followed by calcination. Pure TiO2 was prepared by thermal hydrolysis and pure g-C3N4 was prepared from commercial melamine by thermal annealing at 620 °C. All the nanocomposites were characterized by X-ray powder diffraction, UV-vis diffuse reflectance spectroscopy, Raman spectroscopy, infrared spectroscopy, scanning electron microscopy, transmission electron microscopy, photoelectrochemical measurements and nitrogen physisorption. The prepared mixtures along with pure TiO2 and g-C3N4 were tested for the photocatalytic decomposition of nitrous oxide under UVC (λ = 254 nm), UVA (λ = 365 nm) and Vis (λ > 400 nm) irradiation. The TiO2/g-C3N4 nanocomposites showed moderate improvement compared to pure g-C3N4 but pure TiO2 proved to be a better photocatalyst under UVC irradiation. However, under UVA irradiation conditions, the photocatalytic activity of TiO2/g-C3N4 (1:2) nanocomposite exhibited an increase compared to pure TiO2. Nevertheless, further increase of g-C3N4 amount leads/led to a decrease in reactivity. These results are suggesting the nanocomposite with the optimal weight ratio of TiO2 and g-C3N4 have shifted absorption edge energy towards longer wavelengths and decreased the recombination rate of charge carriers compared to pure g-C3N4. This is probably due to the generation of heterojunction on the TiO2/g-C3N4 interface.
Oliveira, Haroldo G; Ferreira, Leticia H; Bertazzoli, Rodnei; Longo, Claudia
2015-04-01
TiO2 and TiO2/WO3 electrodes, irradiated by a solar simulator in configurations for heterogeneous photocatalysis (HP) and electrochemically-assisted HP (EHP), were used to remediate aqueous solutions containing 10 mg L(-1) (34 μmol L(-1)) of 17-α-ethinylestradiol (EE2), active component of most oral contraceptives. The photocatalysts consisted of 4.5 μm thick porous films of TiO2 and TiO2/WO3 (molar ratio W/Ti of 12%) deposited on transparent electrodes from aqueous suspensions of TiO2 particles and WO3 precursors, followed by thermal treatment at 450 (°)C. First, an energy diagram was organized with photoelectrochemical and UV-Vis absorption spectroscopy data and revealed that EE2 could be directly oxidized by the photogenerated holes at the semiconductor surfaces, considering the relative HOMO level for EE2 and the semiconductor valence band edges. Also, for the irradiated hybrid photocatalyst, electrons in TiO2 should be transferred to WO3 conduction band, while holes move toward TiO2 valence band, improving charge separation. The remediated EE2 solutions were analyzed by fluorescence, HPLC and total organic carbon measurements. As expected from the energy diagram, both photocatalysts promoted the EE2 oxidation in HP configuration; after 4 h, the EE2 concentration decayed to 6.2 mg L(-1) (35% of EE2 removal) with irradiated TiO2 while TiO2/WO3 electrode resulted in 45% EE2 removal. A higher performance was achieved in EHP systems, when a Pt wire was introduced as a counter-electrode and the photoelectrodes were biased at +0.7 V; then, the EE2 removal corresponded to 48 and 54% for the TiO2 and TiO2/WO3, respectively. The hybrid TiO2/WO3, when compared to TiO2 electrode, exhibited enhanced sunlight harvesting and improved separation of photogenerated charge carriers, resulting in higher performance for removing this contaminant of emerging concern from aqueous solution. Copyright © 2014 Elsevier Ltd. All rights reserved.
Polarization-Dependent Raman Spectroscopy of Epitaxial TiO 2 (B) Thin Films
DOE Office of Scientific and Technical Information (OSTI.GOV)
Jokisaari, Jacob R.; Bayerl, Dylan; Zhang, Kui
2015-12-08
The bronze polymorph of titanium dioxide, known as TiO 2(B), has promising photochemical and electronic properties for potential applications in Li-ion batteries, photocatalysis, chemical sensing, and solar cells. In contrast to previous studies performed with powder samples, which often suffer from impurities and lattice water, here we report Raman spectra from highly crystalline TiO 2(B) films epitaxially grown on Si substrates with a thin SrTiO 3 buffer layer. The reduced background from the Si substrate significantly benefits acquisition of polarization-dependent Raman spectra collected from the high-quality thin films, which are compared to nanopowder results reported in the literature. The experimentalmore » spectra were compared with density functional theory calculations to analyze the atomic displacements associated with each Raman-active vibrational mode. These results provide a standard reference for further investigation of the crystallinity, structure, composition, and properties of TiO 2(B) materials with Raman spectroscopy.« less
The Heat of Formation of Na2SiF6.
ERIC Educational Resources Information Center
DeVore, T. C.; Gallaher, T. N.
1986-01-01
Describes a physical chemistry experiment which uses spectroscopy to measure the heat of formation of Na2SiF6. Discusses the opportunities for students to see the use of a familiar instrument in an unfamiliar application, emphasizing that there are often many ways to attack problems in science. (TW)
An innovative approach to synthesize highly-ordered TiO2 nanotubes.
Isimjan, Tayirjan T; Yang, D Q; Rohani, Sohrab; Ray, Ajay K
2011-02-01
An innovative route to prepare highly-ordered and dimensionally controlled TiO2 nanotubes has been proposed using a mild sonication method. The nanotube arrays were prepared by the anodization of titanium in an electrolyte containing 3% NH4F and 5% H2O in glycerol. It is demonstrated that the TiO2 nanostructures has two layers: the top layer is TiO2 nanowire and underneath is well-ordered TiO2 nanotubes. The top layer can easily fall off and form nanowires bundles by implementing a mild sonication after a short annealing time. We found that the dimensions of the TiO2 nanotubes were only dependent on the anodizing condition. The proposed technique may be extended to fabricate reproducible well-ordered TiO2 nanotubes with large area on other metals.
Processing and optical properties of Nd3+-doped SiO2-TiO2-Al2O3 planar waveguides
NASA Astrophysics Data System (ADS)
Xiang, Qing; Zhou, Yan; Ooi, Boon Siew; Lam, Yee Loy; Chan, Yuen Chuen; Kam, Chan Hin
2000-05-01
We report here the processing and optical characterization of Nd3+-doped SiO2-TiO2-Al2O3 planar waveguides deposited on SOS substrates by the sol-gel route combined with spin-coating and rapid thermal annealing. The recipes used for preparing the solutions by sol-gel route are in mole ratio of 93SiO2:20AlO1.5: x ErO1.5. In order to verify the residual OH content in the films, FTIR spectra were measured and the morphology of the material by the XRD analysis. Five 2-layer films annealed at a maximum temperature of 500 degrees C, 700 degrees C, 900 degrees, 1000 degrees C, 1100 degrees C respectively were fabricated on silicon. The FTIR and XRD curves show that annealing at 1050 degrees C for 15s effectively removes the OH in the materia and keeps the material amorphous. The propagation loss of the planar waveguides was measured by using the method based on scattering in measurements and the result was obtained to be 1.54dB/cm. The fluorescence spectra were measured with 514nm wavelength of Ar+ laser by directly shining the pump beam on the film instead of prism coupling. The results show that the 1 mole Nd3+ content recipe has the strongest emission efficiency among the four samples investigated.
Guillén, María G.; Gámez, Francisco; Suárez, Belén; Queirós, Carla; Silva, Ana M. G.; Barranco, Ángel; Sánchez-Valencia, Juan Ramón; Pedrosa, José María; Lopes-Costa, Tânia
2017-01-01
The incorporation of a prototypical rosamine fluorescent dye from organic solutions into transparent and microstructured columnar TiO2 and SiO2 (MO2) thin films, prepared by evaporation at glancing angles (GAPVD), was evaluated. The aggregation of the adsorbed molecules, the infiltration efficiency and the adsorption kinetics were studied by means of UV-Vis absorption and fluorescence spectroscopies. Specifically, the infiltration equilibrium as well as the kinetic of adsorption of the emitting dye has been described by a Langmuir type adsorption isotherm and a pseudosecond order kinetic model, respectively. The anchoring mechanism of the rosamine to the MO2 matrix has been revealed by specular reflectance Fourier transform infrared spectroscopy and infiltration from aqueous solutions at different pH values. Finally, the sensing performance towards NO2 gas of optimized films has been assessed by following the changes of its fluorescence intensity revealing that the so-selected device exhibited improved sensing response compared to similar hybrid films reported in the literature. PMID:28772484
Engineering of highly ordered TiO2 nanopore arrays by anodization
NASA Astrophysics Data System (ADS)
Wang, Huijie; Huang, Zhennan; Zhang, Li; Ding, Jie; Ma, Zhaoxia; Liu, Yong; Kou, Shengzhong; Yang, Hangsheng
2016-07-01
Finite element analysis was used to simulate the current density distributions in the TiO2 barrier layer formed at the initial stage of Ti anodization. The morphology modification of the barrier layer was found to induce current density distribution change. By starting the anodization with proper TiO2 barrier layer morphology, the current density distribution can be adjusted to favor the formation of either nanotube arrays or nanopore arrays of anodic TiO2. We also found that the addition of sodium acetate into the electrolyte suppressed both the field-assisted chemical dissolution of TiO2 and the TiF62- hydrolysis induced TiO2 deposition during anodization, and thus further favored the nanopore formation. Accordingly, highly ordered anodic TiO2 nanopore arrays, similar to anodic aluminum oxide nanopore arrays, were successfully prepared.
NASA Astrophysics Data System (ADS)
Shougaijam, B.; Swain, R.; Ngangbam, C.; Lenka, T. R.
2017-06-01
The effect of annealing on vertically aligned TiO2 NWs deposited by glancing angle deposition (GLAD) method on Si substrate using pressed and sintered TiO2 pellets as source material is studied. The FE-SEM images reveal the retention of vertically aligned NWs on Si substrate after annealing process. The EDS analysis of TiO2 NWs sample annealed at 600 °C in air for 1 h shows the higher weight percentage ratio of ˜2.6 (i.e., 72.27% oxygen and 27.73% titanium). The XRD pattern reveals that the polycrystalline nature of anatase TiO2 dominates the annealed NWs sample. The electrical characteristics of Al/TiO2-NWs/TiO2-TF/p-Si (NW device) and Al/TiO2-TF/p-Si (TF device) based on annealed samples are compared. It is riveting to observe a lower leakage current of ˜1.32 × 10-7 A/cm2 at +1 V with interface trap density of ˜6.71 × 1011 eV-1 cm-2 in NW device compared to ˜2.23 × 10-7 A/cm2 in TF device. The dominant leakage mechanism is investigated to be generally Schottky emission; however Poole-Frenkel emission also takes place during high reverse bias beyond 4 V for NWs and 3 V for TF device.
Dominguez, S; Huebra, M; Han, C; Campo, P; Nadagouda, M N; Rivero, M J; Ortiz, I; Dionysiou, D D
2017-05-01
A novel magnetically recoverable, visible light active TiO 2 -WO 3 composite (Fe 3 O 4 @SiO 2 @TiO 2 -WO 3 ) was prepared to enable the photocatalyst recovery after the degradation of bisphenol A (BPA) under simulated solar light. For comparison, the photocatalytic activity of other materials such as non-magnetic TiO 2 -WO 3 , Fe 3 O 4 @SiO 2 @TiO 2 , TiO 2 , and the commercial TiO 2 P25 was also evaluated under the studied experimental conditions. The structure and morphology of the synthesized materials were characterized by X-ray diffraction (XRD), scanning electron microscopy (SEM), high-resolution transmission electron microscopy (HR-TEM), and electron dispersion spectroscopy (EDS). Moreover, Brunauer-Emmett-Teller (BET) surface area and magnetic properties of the samples were determined. The Fe 3 O 4 @SiO 2 @TiO 2 -WO 3 and TiO 2 -WO 3 led to a BPA degradation of 17.50 and 27.92 %, respectively, after 2 h of the simulated solar light irradiation. Even though their activity was lower than that of P25, which degraded completely BPA after 1 h, our catalysts were magnetically separable for their further reuse in the treatment. Furthermore, the influence of the water matrix in the photocatalytic activity of the samples was studied in municipal wastewater. Finally, the identification of reaction intermediates was performed and a possible BPA degradation pathway was proposed to provide a better understanding of the degradation process. Graphical abstract ᅟ.
LPE growth of crack-free PbSe layers on Si(100) using MBE-Grown PbSe/BaF2CaF2 buffer layers
NASA Astrophysics Data System (ADS)
Strecker, B. N.; McCann, P. J.; Fang, X. M.; Hauenstein, R. J.; O'Steen, M.; Johnson, M. B.
1997-05-01
Crack-free PbSe on (100)-oriented Si has been obtained by a combination of liquid phase epitaxy (LPE) and molecular beam epitaxy (MBE) techniques. MBE is employed first to grow a PbSe/BaF2/CaF2 buffer structure on the (100)-oriented Si. A 2.5 μm thick PbSe layer is then grown by LPE. The LPE-grown PbSe displays excellent surface morphology and is continuous over the entire 8×8 mm2 area of growth. This result is surprising because of the large mismatch in thermal expansion coefficients between PbSe and Si. Previous attempts to grow crack-free PbSe by MBE alone using similar buffer structures on (100)-oriented Si have been unsuccessful. It is speculated that the large concentration of Se vacancies in the LPE-grown PbSe layer may allow dislocation climb along higher order slip planes, providing strain relaxation.
Atomic layer deposition of TiO2 on surface modified nanoporous low-k films.
Levrau, Elisabeth; Devloo-Casier, Kilian; Dendooven, Jolien; Ludwig, Karl F; Verdonck, Patrick; Meersschaut, Johan; Baklanov, Mikhail R; Detavernier, Christophe
2013-10-01
This paper explores the effects of different plasma treatments on low dielectric constant (low-k) materials and the consequences for the growth behavior of atomic layer deposition (ALD) on these modified substrates. An O2 and a He/H2 plasma treatment were performed on SiCOH low-k films to modify their chemical surface groups. Transmission FTIR and water contact angle (WCA) analysis showed that the O2 plasma changed the hydrophobic surface completely into a hydrophilic surface, while the He/H2 plasma changed it only partially. In a next step, in situ X-ray fluorescence (XRF), ellipsometric porosimetry (EP), and Rutherford backscattering spectroscopy (RBS) were used to characterize ALD growth of TiO2 on these substrates. The initial growth of TiO2 was found to be inhibited in the original low-k film containing only Si-CH3 surface groups, while immediate growth was observed in the hydrophilic O2 plasma treated film. The latter film was uniformly filled with TiO2 after 8 ALD cycles, while pore filling was delayed to 17 ALD cycles in the hydrophobic film. For the He/H2 plasma treated film, containing both Si-OH and Si-CH3 groups, the in situ XRF data showed that TiO2 could no longer be deposited in the He/H2 plasma treated film after 8 ALD cycles, while EP measurements revealed a remaining porosity. This can be explained by the faster deposition of TiO2 in the hydrophilic top part of the film than in the hydrophobic bulk which leaves the bulk porous, as confirmed by RBS depth profiling. The outcome of this research is not only of interest for the development of advanced interconnects in ULSI technology, but also demonstrates that ALD combined with RBS analysis is a handy approach to analyze the modifications induced by a plasma treatment on a nanoporous thin film.
Manganese Recovery by Silicothermic Reduction of MnO in BaO-MnO-MgO-CaF2 (-SiO2) Slags
NASA Astrophysics Data System (ADS)
Heo, Jung Ho; Park, Joo Hyun
2018-04-01
The effects of reducing agent, CaF2 content, and reaction temperature upon the silicothermic reduction of MnO in the BaO-MnO-MgO-CaF2 (-SiO2) slags were investigated. Mn recovery was proportional to Si activity in the molten alloy. Moreover, 90 pct yield of Mn recovery was obtained under 5 mass pct CaF2 content and 1873 K (1600 °C) reaction temperature. Increasing CaF2 content above 5 pct yielded little or no further increase in Mn recovery, because it was accompanied by increased slag viscosity owing to the precipitation of high melting point compounds such as Ba2SiO4.
Synthesis and characterization of nano TiO2-SiO2: PVA composite - a novel route
NASA Astrophysics Data System (ADS)
Venckatesh, Rajendran; Balachandaran, Kartha; Sivaraj, Rajeshwari
2012-07-01
A novel, simple, less time consuming and cost-effective sol-gel method has been developed to synthesize nano titania-silica with polyvinyl alcohol (PVA) composite relatively at low temperature in acidic pH. Titania sol is prepared by hydrolysis of titanium tetrachloride and was mixed with silicic acid and tetrahydrofuran mixture. The reaction was carried out under vigorous stirring for 6 h and dried at room temperature with the addition of PVA solution. The resulting powders were characterized by X-ray diffraction, scanning electron microscopy, transmission electron microscopy, Fourier transform infrared (FT-IR), UV-visible spectroscopy and thermal techniques. The grain size of the particles was calculated by X-ray diffraction; surface morphology and chemical composition were determined from scanning electron microscopy-energy dispersive spectroscopy; metal oxide stretching was confirmed from FT-IR spectroscopy; bandgap was calculated using UV-visible spectroscopy, and thermal stability of the prepared composite was determined by thermogravimetric/differential thermal analysis. Since TiO2 got agglomerated on the surface of SiO2, effective absorptive sites increase which in turn increase the photocatalytic efficiency of the resulting composite.
Thermodynamic Model of the Na-Al-Si-O-F Melts
NASA Astrophysics Data System (ADS)
Dolejs, D.; Baker, D. R.
2004-05-01
Fluorine is a common volatile element in magmatic-hydrothermal systems, but its solution mechanisms in highly polymerized silicate melts are poorly known. We have developed a thermodynamic model for fluorosilicate liquids which links experimentally determined phase equilibria and spectroscopic information on melt structure. The model is applicable to crystallization of fluoride minerals or fluoride-silicate immiscibility in natural felsic melts. Configurational properties of the liquid are defined by mixing of alkali fluoride, polyhedral aluminofluoride and silicofluoride species and non-bridging terminations of the silicate network. Abundances of individual structural species are described by a homogeneous equilibrium, representing melt depolymerization: F- (free) + O0 (bridging) = F0 (terminal) + O- (non-bridging), which is a replacement of one oxygen bridge, Si-O-Si, by two terminations, Si-F | Na-O-Si. In cryolite-bearing systems, the self-dissociation of octahedral aluminofluoride complexes: Na3[AlF6] = Na[AlF4] + 2 NaF, and the short-range order between (O,F)-corners and (Si,NaAl)-centers of tetrahedra: Si-O-Si + 2 [NaAl]-F = [NaAl]-O-[NaAl] + 2 Si-F, represent two additional interaction mechanisms. Portrayal of these equilibria in ternary Thompson reaction space allows to decrease the number of interaction mechanisms by linearly combining melt depolymerization with tetrahedral short-range order. In this formulation, the interaction parameters are incorporated directly in configurational properties, thus the complete melt speciation can be calculated, and the activities of any macroscopic species are readily derived. The model has been applied to subsystems of the Na2O-NaAlO2-SiO2-F2O-1 compositional space. Activity-composition relationships in the villiaumite-sodium silicate binaries require clustering of silicate tetrahedra in fluoride solvent. Phase-equilibria in cryolite-nepheline and cryolite-albite systems illustrate an overall increase of Na3AlF6 self
NASA Astrophysics Data System (ADS)
Chang, Caiyun; Huang, Zhipeng; Tian, Runsai; Jiang, Xinyu; Li, Chunsheng; Feng, Jijun
2017-10-01
Tuning whole/partial surface modification on cathode material with oxide material is a sought-after method to enhance the electrochemical performance in power storage field. Herein, nano-SiO2 targeted partial surface modified high voltage cathode material Li2CoPO4F has been successfully fabricated via a facile self-assembly process in silica dispersion at ambient temperature. With the aid of polar -OH groups attracted on the surface of SiO2 micelles, the nano-SiO2 preferentially nestle up along the borders and boundaries of Li2CoPO4F particles, where protection should be deployed with emphasis against the undesirable interactions between materials and electrolytes. Compared with pristine Li2CoPO4F, the SiO2 selectively modified Li2CoPO4F cathode materials, especially LCPF-3S, exhibit desirable electrochemical performances with higher discharge capacity, more outstanding cycle stability and favorable rate capability without any additional carbon involved. The greatly enhanced electrochemical properties can be attributed to the improved lithium-ion diffusion kinetics and structure tolerance during repeated lithiation/delithiation process. Such findings reveal a great potential of nano-SiO2 modified Li2CoPO4F as high energy cathode material for lithium ion batteries.
The sol-gel template synthesis of porous TiO2 for a high performance humidity sensor
NASA Astrophysics Data System (ADS)
Wang, Zhuyi; Shi, Liyi; Wu, Fengqing; Yuan, Shuai; Zhao, Yin; Zhang, Meihong
2011-07-01
This research develops a simple template assisted sol-gel process for preparing porous TiO2 for a high performance humidity sensor. Tetraethyl orthosilicate (TEOS) as a template was directly introduced into TiO2 sol formed by the hydrolysis and condensation of titanium alkoxide; the following calcination led to the formation of TiO2-SiO2 composite, and the selective removal of SiO2 by dilute HF solution led to the formation of porous structure in TiO2. The resulting porous TiO2-based sensor exhibits high sensitivity and linear response in the wide relative humidity (RH) range of 11%-95%, with an impedance variation of four orders of magnitude to humidity change. Moreover, it exhibits a rapid and highly reversible response characterized by a very small hysteresis of < 1% RH and a short response-recovery time (5 s for adsorption and 8 s for desorption), and a 30-day stability test also confirms its long-term stability. Compared with pure TiO2 prepared by the conventional sol-gel method, our product shows remarkably improved performance and good prospect for a high performance humidity sensor. The complex impedance spectra were used to elucidate its humidity sensing mechanism in detail.
NASA Astrophysics Data System (ADS)
Sun, Jianchao; Fan, Hai; Wang, Nan; Ai, Shiyun
2014-09-01
Vancomycin (Van)- and terephthalate (TA)-comodified europium-doped layered double hydroxides (Van-TA-Eu-LDHs) nanoparticles were successfully prepared by a two-step method, in which, TA acted as a sensitizer to enhance the fluorescent property and Van was modified on the surface of LDH to act as an affinity reagent to bacteria. The obtained products were characterized by X-ray diffraction, transmission electron microscope and fluorescent spectroscopy. The results demonstrated that the prepared Van- and TA-comodified europium-doped layered double hydroxides (Van-TA-Eu-LDHs) nanoparticles with diameter of 50 nm in size showed highly efficient fluorescent property. Furthermore, due to the high affinity of Van to bacteria, the prepared Van-TA-Eu-LDHs nanoparticles showed efficient bacteria labelling by fluorescent property. The prepared nanoparticles may have wide applications in the biological fields, such as biomolecular labelling and cell imaging.
Sarkar, Santanu; Chakraborty, Sudip; Bhattacharjee, Chiranjib
2015-11-01
In recent years deposal of pharmaceutical wastes has become a major problem globally. Therefore, it is necessary to removes pharmaceutical waste from the municipal as well as industrial effluents before its discharge. The convectional wastewater and biological treatments are generally failed to separate different drugs from wastewater streams. Thus, heterogeneous photocatalysis process becomes lucrative method for reduction of detrimental effects of pharmaceutical compounds. The main disadvantage of the process is the reuse or recycle of photocatalysis is a tedious job. In this work, the degradation of aqueous solution of chlorhexidine digluconate (CHD), an antibiotic drug, by heterogeneous photocatalysis was study using supported TiO2 nanoparticle. The major concern of this study is to bring down the limitations of suspension mode heterogeneous photocatalysis by implementation of immobilized TiO2 with help of calcium alginate beads. The alginate supported catalyst beads was characterized by scanning electron microscopy coupled with energy dispersive X-ray spectroscopy (SEM/EDAX) as well as the characteristic crystalline forms of TiO2 nanoparticle was confirmed by XRD. The degradation efficiency of TiO2 impregnated alginate beads (TIAB) was compared with the performance of free TiO2 suspension. Although, the degradation efficiency was reduced considerably using TIAB but the recycle and reuse of catalyst was increased quite appreciably. The kinetic parameters related to this work have also been measure. Moreover, to study the susceptibility of the present system photocatalysis of other three drugs ibuprofen (IBP), atenolol (ATL) and carbamazepine (CBZ) has been carried out using immobilized TiO2. The continuous mode operation in PBPR has ensured the applicability of alginate beads along with TiO2 in wastewater treatment. The variation of residence time has significant impact on the performance of PBPR. Copyright © 2015 Elsevier Inc. All rights reserved.
Booth, Corwin H.; Medling, S. A.; Tobin, J. G.; ...
2016-07-15
Resonant x-ray emission spectroscopy (RXES) was employed at the U LIII absorption edge and the L α1 emission line to explore the 5f occupancy, nf, and the degree of 5f-orbital delocalization in the hidden-order compound URu 2Si 2. By comparing to suitable reference materials such as UF 4, UCd 11, and α-U, we conclude that the 5f orbital in URu 2Si 2 is at least partially delocalized with n f=2.87±0.08, and does not change with temperature down to 10 K within the estimated error. These results place further constraints on theoretical explanations of the hidden order, especially those requiring amore » localized f 2 ground state.« less
Internal motion and its pressure dependence in FeSiF6+6/H2O/.
NASA Technical Reports Server (NTRS)
Vaughan, R. W.; Nicolaides, G. L.; Elleman, D. D.
1972-01-01
Both wideline and pulsed NMR techniques were used to examine the internal motion in FeSiF6+6(H2O). Corrections of second moments for bulk paramagnetic effects were essential. At room temperature and pressure, the fluorine-fluorine contribution to the 19F second moment is 0.38 (plus or minus 0.06) G2, and indicates rapid orientation of the SiF6(--) group. Analysis of the second moment within the transition region allows calculation of an activation volume which is 2.4 (plus or minus 0.4) % of the molar volume. The application of pressure slows the internal motion such that the rigid lattice values of the 19F second moment are obtained above 50 kbar.
Li, Guixin; Nan, Hongyan; Zheng, Xingwang
2009-07-01
A novel space- and time-resolved photo-induced chemiluminescence (PICL) analytical method was developed based on the photocatalysis of the Co2+-doped TiO2 nanoparticles. The PICL reaction procedure under the photocatalysis of Co2+-doped TiO2 nanoparticles was investigated using cyclic voltammetry and potentiometry. Meanwhile, the effect of the electrical double layer outside the Co2+-doped TiO2 nanoparticles on the PICL was investigated by contrasting with the Co2+-doped TiO2-SiO2 core-shell nanoparticles. Significantly, the CL intensity increased apparently and the time of the CL was prolonged in the presence of procaterol hydrochloride because the mechanism of the enhanced PICL reaction may be modified. The route of the PICL was changed due to the participation of the procaterol hydrochloride enriched at the surface of the Co2+-doped TiO2-SiO2 in the PICL reaction, which prolonged the time of the CL reaction and resulted in the long-term PICL. The analytical characteristics of the proposed in-situ PICL method were investigated using the procaterol hydrochloride as the model analyte. The investigation results showed that this new PICL analytical method offered higher sensitivity to the analysis of the procaterol hydrochloride and the PICL intensity was linear with the concentration of the procaterol hydrochloride in the range from ca. 2.0 x 10(-10) to 1.0 x 10(-8) g mL(-1).
Calcination Conditions on the Properties of Porous TiO2 Film
NASA Astrophysics Data System (ADS)
Zhang, Wenjie; Pei, Xiaobei; Bai, Jiawei; He, Hongbo
2014-03-01
Porous TiO2 films were deposited on SiO2 precoated glass-slides by sol-gel method using PEG1000 as template. The strongest XRD diffraction peak at 2θ = 25.3° is attributed to [101] plane of anatase TiO2 in the film. The increases of calcination temperature and time lead to stronger diffraction peak intensity. High transmittance and blue shift of light absorption edge are the properties of the film prepared at high calcination temperature. The average pore size of the films increases with the increasing calcination temperature as the result of TiO2 crystalline particles growing up and aggregation, accompanied with higher specific surface area. Photocatalytic activity of porous TiO2 films increases with the increasing calcination temperature. The light absorption edge of the films slightly moves to longer wavelength region along with the increasing calcination time. The mesoporous film calcinated at 500 °C for 2 h has the highest transmittance, the maximum surface area, and the maximum total pore volume. Consequently, the optimum degradation activity is achieved on the porous TiO2 film calcinated at 500 °C for 2 h.
Surface Properties and Catalytic Performance of Activated Carbon Fibers Supported TiO2 Photocatalyst
NASA Astrophysics Data System (ADS)
Yang, Huifen; Fu, Pingfeng
Activated carbon fibers supported TiO2 photocatalyst (TiO2/ACF) in felt-form was successfully prepared with a dip-coating process using organic silicon modified acrylate copolymer as a binder followed by calcination at 500°C in a stream of Ar gas. The photocatalyst was characterized by SEM, XRD, XPS, FTIR, and BET surface area. Most of carbon fibers were coated with uniformly distributed TiO2 clusters of nearly 100 nm. The loaded TiO2 layer was particulate for the organic binder in the compact film was carbonized. According to XPS and FTIR analysis, amorphous silica in carbon grains was synthesized after carbonizing organic silicon groups, and the Ti-O-Si bond was formed between the interface of loaded TiO2 and silica. Additionally, the space between adjacent carbon fibers still remained unfilled after TiO2 coating, into which both UV light and polluted solutions could penetrate to form a three-dimensional environment for photocatalytic reactions. While loaded TiO2 amount increased to 456 mg TiO2/1 g ACF, the TiO2/ACF catalyst showed its highest photocatalytic activity, and this activity only dropped about 10% after 12 successive runs, exhibiting its high fixing stability of coated TiO2.
NASA Astrophysics Data System (ADS)
Kupa, I.; Unal, Y.; Cetin, S. S.; Durna, L.; Topalli, K.; Okyay, A. K.; Ates, H.
2018-05-01
TiO2 thin films have been deposited on glass and Si(100) by atomic layer deposition (ALD) technique using tetrakis(diethylamido)titanium(IV) and water vapor as reactants. Thorough investigation of the properties of the TiO2/glass and TiO2/Si thin films was carried out, varying the deposition temperature in the range from 100°C to 250°C while keeping the number of reaction cycles fixed at 1000. Physical and material property analyses were performed to investigate optical and electrical properties, composition, structure, and morphology. TiO2 films grown by ALD may represent promising materials for future applications in optoelectronic devices.
Dadash, Mohammad Saleh; Karbasi, Saeed; Esfahani, Mojtaba Nasr; Ebrahimi, Mohammad Reza; Vali, Hojatollah
2011-04-01
Thick films of calcinated and non calcinated nanobioglass (NBG)-titania composite coatings were prepared on stainless steel substrates by alkoxide sol-gel process. Dip-coating method was used for the films preparation. The morphology, structure and composition of the nano composite films were evaluated using environmental scanning electron microscope, X-ray diffraction and Fourier transform infrared spectroscope. The SEM investigation results showed that prepared thick NBG-titania films are smooth and free of macrocracking, fracture or flaking. The grain size of these films was uniform and nano scale (50-60 nm) which confirmed with TEM. Also FTIR confirmed the presence of Si-O-Si bands on the calcinated NBG-titania films. The hardness of the prepared films (TiO(2)-calcinated NBG and TiO(2)-Non calcinated NBG) was compared by using micro hardness test method. The results verified that the presence of calcinated NBG particles in NBG-titania composite enhanced gradually the mechanical data of the prepared films. The in vitro bioactivity of these films was discussed based on the analysis of the variations of Ca and P concentrations in the simulated body fluid (SBF) and their surface morphologies against immersion time. Surface morphology and Si-O-Si bands were found to be of great importance with respect to the bioactivity of the studied films. The results showed that calcinated NBG-titania films have better bioactivity than non calcinated NBG-titania films.
Electrospinning processed nanofibrous TiO2 membranes for photovoltaic applications
NASA Astrophysics Data System (ADS)
Onozuka, Katsuhiro; Ding, Bin; Tsuge, Yosuke; Naka, Takayuki; Yamazaki, Michiyo; Sugi, Shinichiro; Ohno, Shingo; Yoshikawa, Masato; Shiratori, Seimei
2006-02-01
We have recently fabricated dye-sensitized solar cells (DSSCs) comprising nanofibrous TiO2 membranes as electrode materials. A thin TiO2 film was pre-deposited on fluorine doped tin oxide (FTO) coated conducting glass substrate by immersion in TiF4 aqueous solution to reduce the electron back-transfer from FTO to the electrolyte. The composite polyvinyl acetate (PVac)/titania nanofibrous membranes can be deposited on the pre-deposited thin TiO2 film coated FTO by electrospinning of a mixture of PVac and titanium isopropoxide in N,N-dimethylformamide (DMF). The nanofibrous TiO2 membranes were obtained by calcining the electrospun composite nanofibres of PVac/titania as the precursor. Spectral sensitization of the nanofibrous TiO2 membranes was carried out with a ruthenium (II) complex, cis-dithiocyanate-N,N'-bis(2,2'-bipyridyl-4,4'-dicarboxylic acid) ruthenium (II) dihydrate. The results indicated that the photocurrent and conversion efficiency of electrodes can be increased with the addition of the pre-deposited TiO2 film and the adhesion treatment using DMF. Additionally, the dye loading, photocurrent, and efficiency of the electrodes were gradually increased by increasing the average thickness of the nanofibrous TiO2 membranes. The efficiency of the fibrous TiO2 photoelectrode with the average membrane thickness of 3.9 µm has a maximum value of 4.14%.
NASA Astrophysics Data System (ADS)
Li, Ping; Wang, Yuan; Wang, Ai-Jun; Chen, Sheng-Li
2017-02-01
In this work, the enhancement of TiO2 photocatalytic activity was studied through synergistic effect of the photons localization of photonic crystals and the sensitization of CdS quantum dots (CdS QDs). CdS QDs sensitized TiO2 membrane (denoted as CdS QDs/TiO2) was synthesized through doping the TiO2 membrane with CdS QDs by chemical bath deposition method (CBD). After TiO2 was sensitized with CdS QDs, the edge of light absorption of TiO2 was red-shifted to 470 nm and the light absorption in the range of 400 600 nm was higher than that of plain TiO2 membrane. Another type of composite membrane, CdS QDs/TiO2/SiO2 opal composite membrane was prepared by coupling SiO2 opal (a kind of photonic crystal) layer onto the CdS QDs/TiO2 membrane, and the photonic band gap of the SiO2 opal photonic crystal layer was deliberately planned at the electronic band gap of the CdS QDs. The photodegradation of gaseous CH3CHO (acetaldehyde) was used as probe reaction to test the photocatalytic activity of the as-prepared membranes, and the results showed that the CdS QDs sensitization can significantly improve the photocatalytic activity of TiO2 membrane under visible light irradiation, with the acetaldehyde degradation rate constant (k) on CdS QDs/TiO2 membranes being 1.59 times of that on plain TiO2 membranes. The acetaldehyde degradation rate constant on CdS QDs/TiO2/SiO2 opal composite membrane reached 4 times of that on plain TiO2 membrane. The photocatalytic activity of TiO2 membrane can be improved through synergistic effect of the photons localization of photonic crystals and the sensitization of CdS QDs.
Nanostructured bilayer anodic TiO2/Al2O3 metal-insulator-metal capacitor.
Karthik, R; Kannadassan, D; Baghini, Maryam Shojaei; Mallick, P S
2013-10-01
This paper presents the fabrication of high performance bilayer TiO2/Al2O3 Metal-Insulator-Metal capacitor using anodization technique. A high capacitance density of 7 fF/microm2, low quadratic voltage coefficient of capacitance of 150 ppm/V2 and a low leakage current density of 9.1 nA/cm2 at 3 V are achieved which are suitable for Analog and Mixed signal applications. The influence of anodization voltage on structural and electrical properties of dielectric stack is studied in detail. At higher anodization voltages, we have observed the transformation of amorphous to crystalline state of TiO2/Al2O3 and improvement of electrical properties.
Liu, Dong; Tian, Renwen; Wang, Jianqiao; Nie, Er; Piao, Xianqing; Li, Xin; Sun, Zhuo
2017-10-01
Photoelectrocatalysis (PEC) has attracted great interest due to cost effectiveness and high efficiency in water treatment. In this study, F doped TiO 2 (F-TiO 2 ) photoelectrodes with honeycomb like morphology were prepared, and the PEC performance was investigated. F-TiO 2 particles that showed enhanced absorption of visible light were synthesized via a sol-gel method. F-TiO 2 particles were anchored onto the surface of F-doped SnO 2 glass by a screen-printing method to prepare the F-TiO 2 photoelectrodes. The PEC performance of the F-TiO 2 photoelectrodes was investigated via the degradation of methylene blue (MB) under visible light irradiation. The results show that the F-TiO 2 photoelectrodes exhibited an excellent PEC performance that was affected by the F doping content, applied bias and solution pH. A maximum decolorization percentage of 97.8% was achieved by the FT-15 photoelectrode, with a 1.4 V bias at pH 9.94 after 4.0 h of visible light irradiation. The high PEC performance of the F-TiO 2 photoelectrodes is mainly ascribed to the efficient separation of electron-hole (e - -h + ) pairs and the creation of active radicals such as hydroxyl radicals (OH). The PEC decolorization kinetic data were analyzed using the first-order kinetic model and the Langmuir-Hinshelwood (L-H) model. The data indicates that the PEC degradation of MB molecules mainly occurred on the surface of the F-TiO 2 photoelectrodes, and the MB molecules were discolored mainly by h + (41.5%) and OH (46.5%). In addition, 8.2% of the MB molecules were discolored by other oxidative species, and 3.8% of the MB molecules were discolored by self-sensitized oxidation. Copyright © 2017 Elsevier Ltd. All rights reserved.
TiO2-graphene oxide nanocomposite as advanced photocatalytic materials.
Stengl, Václav; Bakardjieva, Snejana; Grygar, Tomáš Matys; Bludská, Jana; Kormunda, Martin
2013-02-27
Graphene oxide composites with photocatalysts may exhibit better properties than pure photocatalysts via improvement of their textural and electronic properties. TiO2-Graphene Oxide (TiO2 - GO) nanocomposite was prepared by thermal hydrolysis of suspension with graphene oxide (GO) nanosheets and titania peroxo-complex. The characterization of graphene oxide nanosheets was provided by using an atomic force microscope and Raman spectroscopy. The prepared nanocomposites samples were characterized by Brunauer-Emmett-Teller surface area and Barrett-Joiner-Halenda porosity, X-ray Diffraction, Infrared Spectroscopy, Raman Spectroscopy and Transmission Electron Microscopy. UV/VIS diffuse reflectance spectroscopy was employed to estimate band-gap energies. From the TiO2 - GO samples, a 300 μm thin layer on a piece of glass 10×15 cm was created. The photocatalytic activity of the prepared layers was assessed from the kinetics of the photocatalytic degradation of butane in the gas phase. The best photocatalytic activity under UV was observed for sample denoted TiGO_100 (k = 0.03012 h-1), while sample labeled TiGO_075 (k = 0.00774 h-1) demonstrated the best activity under visible light.
TiO2-graphene oxide nanocomposite as advanced photocatalytic materials
2013-01-01
Background Graphene oxide composites with photocatalysts may exhibit better properties than pure photocatalysts via improvement of their textural and electronic properties. Results TiO2-Graphene Oxide (TiO2 - GO) nanocomposite was prepared by thermal hydrolysis of suspension with graphene oxide (GO) nanosheets and titania peroxo-complex. The characterization of graphene oxide nanosheets was provided by using an atomic force microscope and Raman spectroscopy. The prepared nanocomposites samples were characterized by Brunauer–Emmett–Teller surface area and Barrett–Joiner–Halenda porosity, X-ray Diffraction, Infrared Spectroscopy, Raman Spectroscopy and Transmission Electron Microscopy. UV/VIS diffuse reflectance spectroscopy was employed to estimate band-gap energies. From the TiO2 - GO samples, a 300 μm thin layer on a piece of glass 10×15 cm was created. The photocatalytic activity of the prepared layers was assessed from the kinetics of the photocatalytic degradation of butane in the gas phase. Conclusions The best photocatalytic activity under UV was observed for sample denoted TiGO_100 (k = 0.03012 h-1), while sample labeled TiGO_075 (k = 0.00774 h-1) demonstrated the best activity under visible light. PMID:23445868
Synthesis, characterization, and thermal stability of SiO2/TiO2/CR-Ag multilayered nanostructures
NASA Astrophysics Data System (ADS)
Díaz, Gabriela; Chang, Yao-Jen; Philipossian, Ara
2018-06-01
The controllable synthesis and characterization of novel thermally stable silver-based particles are described. The experimental approach involves the design of thermally stable nanostructures by the deposition of an interfacial thick, active titania layer between the primary substrate (SiO2 particles) and the metal nanoparticles (Ag NPs), as well as the doping of Ag nanoparticles with an organic molecule (Congo Red, CR). The nanostructured particles were composed of a 330-nm silica core capped by a granular titania layer (10 to 13 nm in thickness), along with monodisperse 5 to 30 nm CR-Ag NPs deposited on top. The titania-coated support (SiO2/TiO2 particles) was shown to be chemically and thermally stable and promoted the nucleation and anchoring of CR-Ag NPs, which prevented the sintering of CR-Ag NPs when the structure was exposed to high temperatures. The thermal stability of the silver composites was examined by scanning electron microscopy (SEM) and high-resolution transmission electron microscopy (HRTEM). Larger than 10 nm CR-Ag NPs were thermally stable up to 300 °C. Such temperature was high enough to destabilize the CR-Ag NPs due to the melting point of the CR. On the other hand, smaller than 10 nm Ag NPs were stable at temperatures up to 500 °C because of the strong metal-metal oxide binding energy. Energy dispersion X-ray spectroscopy (EDS) was carried out to qualitatively analyze the chemical stability of the structure at different temperatures which confirmed the stability of the structure and the existence of silver NPs at temperatures up to 500 °C.
Field, Ella Suzanne; Bellum, John Curtis; Kletecka, Damon E.
2016-09-21
Broad bandwidth coatings allow angle of incidence flexibility and accommodate spectral shifts due to aging and water absorption. Higher refractive index materials in optical coatings, such as TiO 2, Nb 2O 5, and Ta 2O 5, can be used to achieve broader bandwidths compared to coatings that contain HfO 2 high index layers. We have identified the deposition settings that lead to the highest index, lowest absorption layers of TiO 2, Nb 2O 5, and Ta 2O 5, via e-beam evaporation using ion-assisted deposition. We paired these high index materials with SiO 2 as the low index material to createmore » broad bandwidth high reflection coatings centered at 1054 nm for 45 deg angle of incidence and P polarization. Furthermore, high reflection bandwidths as large as 231 nm were realized. Laser damage tests of these coatings using the ISO 11254 and NIF-MEL protocols are presented, which revealed that the Ta 2O 5/SiO 2 coating exhibits the highest resistance to laser damage, at the expense of lower bandwidth compared to the TiO 2/SiO 2 and Nb 2O 5/SiO 2 coatings.« less
DOE Office of Scientific and Technical Information (OSTI.GOV)
Kumar, Kundan, E-mail: kundanemails@gmail.com; Centre for Nanotechnology, Central University of Jharkhand, Ratu-Lohardaga Road, Brambe, Ranchi-835205 INDIA; Jariwala, C., E-mail: chetan@ipr.res.in
Carbon fibres (C{sub f}) are one of the most important reinforced materials for ceramic matrix composites such as C{sub f} - SiC composites and they are generally sought for high temperature applications in as space application, nuclear reactor and automobile industries. But the major problem arise when C{sub f} reinforced composites exposed to high temperature in an oxidizing environment, C{sub f} react with oxygen and burnt away. In present work, we have studied the effect of silica (SiO{sub 2}) coating as a protective coating on C{sub f} for the C{sub f} / SiC composites. The silica solution prepared by themore » sol-gel process and coating on C{sub f} is done by dip coating technique with varying the withdrawing speed i.e. 2, 5, 8 mm/s with fixed dipping cycle (3 Nos.). The uniform silica coating on the C{sub f} is shown by the Scanning Electron Microscope (SEM) analysis. The tensile test shows the increase in tensile strength with respect to increase in withdrawing speed. The isothermal oxidation analysis confirmed enhancement of oxidation resistance of silica coated C{sub f} as compared tothe uncoated C{sub f}.« less
Chinthaparthi, Radha Rani; Bhatnagar, Ira; Gangireddy, Chandra Sekhar Reddy; Syama, Sundar Chereddy; Cirandur, Suresh Reddy
2013-09-01
Syntheses of a new series of biologically potent α-aminophosphonates were accomplished by one-pot Kabachnik-Fields reaction using TiO2-SiO2 as solid supported catalyst under microwave irradiation conditions. The chemical structures of all the newly synthesized compounds were confirmed by analytical and spectral (IR, 1H, 13C, 31P NMR, and mass) data. Their anticancer nature was evaluated by screening the in vitro activity on two human cancer cell lines, HeLa and SK-BR-3. Compounds 4i and 4o showed the best activity on these cancer cells even though the majority of the compounds, and particularly 4l and 4p, have good cytotoxic activity against them. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
Enrichment of Sc2O3 and TiO2 from bauxite ore residues.
Deng, Bona; Li, Guanghui; Luo, Jun; Ye, Qing; Liu, Mingxia; Peng, Zhiwei; Jiang, Tao
2017-06-05
As a major byproduct generated in the alumina industry, bauxite ore residue is an important reserve of scandium and titanium. In this study, the feasibility and mechanism of enriching Sc 2 O 3 and TiO 2 from a non-magnetic material, which was obtained from carbothermal reductive roasting and magnetic separation of bauxite ore residue, were investigated based on a two-step (acidic and alkali) leaching process. It was revealed that approximately 78% SiO 2 and 30-40% of CaO, FeO and Al 2 O 3 were removed from a non-magnetic material with 0.0134wt.% Sc 2 O 3 and 7.64wt.% TiO 2 by phosphoric acidic leaching, while about 95% Al 2 O 3 and P 2 O 5 were further leached by subsequent sodium hydroxide leaching of the upper-stream leach residue. A Sc 2 O 3 -, TiO 2 - rich material containing 0.044wt.% Sc 2 O 3 and 25.5wt.% TiO 2 was obtained, the recovery and the enrichment factor of Sc 2 O 3 and TiO 2 were about 85% and 5, respectively. The enrichment of Sc 2 O 3 was attributed to higher pH (>3.3) of phosphoric acid solution than its dissolution pH 0 , and the enrichment of TiO 2 was mainly associated with the insoluble perovskite (CaTiO 3 ) in the acidic solution at ambient temperature. As Sc 2 O 3 and TiO 2 cannot be dissolved in the alkali solution, they were further enriched in the leach residue. Copyright © 2017 Elsevier B.V. All rights reserved.
Yang, Shi-ying; Chen, You-yuan; Zheng, Jian-guo; Cui, Ying-jie
2007-01-01
Experiments were carried out to investigate the influence of TiO2 surface fluorination on the photodegradation of a representative organic cationic compound, Methylene Blue (MB). The electropositive MB shows poor adsorption on TiO2 surface; its degradation performs a HO-radical-mediated mechanism. In the F-modified system, the kinetic reaction rate enlarged more than 2.5 fold that was attributed mainly to the accumulating adsorption of MB and the increased photogenerated hole available on the F-modified TiO2 surface.
Hartman, Thomas; Weckhuysen, Bert M
2018-03-12
Raman spectroscopy is known as a powerful technique for solid catalyst characterization as it provides vibrational fingerprints of (metal) oxides, reactants, and products. It can even become a strong surface-sensitive technique by implementing shell-isolated surface-enhanced Raman spectroscopy (SHINERS). Au@TiO 2 and Au@SiO 2 shell-isolated nanoparticles (SHINs) of various sizes were therefore prepared for the purpose of studying heterogeneous catalysis and the effect of metal oxide coating. Both SiO 2 - and TiO 2 -SHINs are effective SHINERS substrates and thermally stable up to 400 °C. Nano-sized Ru and Rh hydrogenation catalysts were assembled over the SHINs by wet impregnation of aqueous RuCl 3 and RhCl 3 . The substrates were implemented to study CO adsorption and hydrogenation under in situ conditions at various temperatures to illustrate the differences between catalysts and shell materials with SHINERS. This work demonstrates the potential of SHINS for in situ characterization studies in a wide range of catalytic reactions. © 2018 The Authors. Published by Wiley-VCH Verlag GmbH & Co. KGaA.
Thermal degradation of TiO2 nanotubes on titanium
NASA Astrophysics Data System (ADS)
Shivaram, Anish; Bose, Susmita; Bandyopadhyay, Amit
2014-10-01
The objective of this research was to study thermal degradation behavior of TiO2 nanotubes on titanium (Ti). TiO2 nanotubes were grown via anodization method on commercially pure Ti (Cp-Ti) discs using two different electrolytes, 1 vol. % HF in deionized (DI) water and 1 vol. % HF + 0.5 wt. % NH4F + 10 vol. % DI water in ethylene glycol, to obtain nanotubes with two different lengths, 300 nm and 950 nm keeping the nanotube diameter constant at 100 ± 20 nm. As grown TiO2 nanotubes were subjected to heat treatment to understand thermal degradation as a function of both temperature and hold time. The signs of degradation were observed mainly when amorphous nanotubes started to crystallize, however the crystallization temperature varied based on TiO2 nanotubes length and anodizing condition. Overall, 300 nm nanotubes were thermally stable at least up to 400 °C for 12 h, while the 950 nm long nanotubes show signs of degradation from 400 °C for 6 h only. Clearly, length of nanotubes, heat treatment temperature as well as hold times show influence toward degradation kinetics of TiO2 nanotubes on titanium.
Ni, Min; Zhang, Hua; Li, Fan Chi; Wang, Bin Bin; Xu, Kai Zun; Shen, Wei De; Li, Bing
2015-06-01
Bombyx mori orphan G protein-coupled receptor, BNGR-A4, is the specific receptor of B. mori neuropeptide F (BmNPFR, neuropeptide F designated NPF). BmNPFR binds specifically and efficiently to B. mori neuropeptides BmNPF1a and BmNPF1b, which activates the ERK1/2 signaling pathway to regulate B. mori food intake and growth. Titanium dioxide nanoparticles (TiO2 NPs) can promote B. mori growth. However, whether the mechanisms of TiO2 NPs' effects are correlated with BmNPFR remains unknown. In this study, the effects of TiO2 NPs (5mg/L) feeding and BmNPFR-dsRNA injection on B. mori food intake and growth were investigated; after TiO2 NPs treatments, B. mori food intake, body weight, and cocoon shell weight were 5.82%, 4.64%, and 9.30% higher, respectively, than those of controls. The food intake, body weight, and cocoon shell weight of the BmNPFR-dsRNA injection group were reduced by 8.05%, 6.28%, and 6.98%, respectively, compared to the control. After TiO2 NPs treatment for 72h, the transcriptional levels of BmNPFR, BmNPF1a, and BmNPF1b in the midgut were 1.58, 1.43, and 1.34-folds, respectively, of those of the control, but 1.99, 2.26, and 2.19-folds, respectively, of the BmNPFR-dsRNA injection group; the phosphorylation level of MAPK was 24.03% higher than the control, while the phosphorylation level of BmNPFR-dsRNA injection group was 71.00% of control. The results indicated that TiO2 NPs affect B. mori feeding and growth through increasing the expression of BmNPFR. This study helps clarify the roles of BmNPF/BmNPFR system in TiO2 NPs' effects on B. mori feeding, growth, and development. Copyright © 2015 Elsevier Inc. All rights reserved.
Dispersion of nano-silicon carbide (SiC) powder in aqueous suspensions
NASA Astrophysics Data System (ADS)
Singh, Bimal P.; Jena, Jayadev; Besra, Laxmidhar; Bhattacharjee, Sarama
2007-10-01
The dispersion characteristics of nanosize silicon carbide (SiC) suspension were investigated in terms of surface charge, particle size, rheological measurement and adsorption study. Ammonium polycarboxylate has been used as dispersant to stabilize the suspension. It was found that the isoelectric point (iep) of SiC powder was pHiep (4.9). The surface charge of powder changed significantly in presence of the ammonium polycarboxylate dispersant and iep shifted significantly towards lower acidic pH (3.6). The shift in iep has been quantified in terms of Δ G 0 SP, the specific free energy of adsorption between the surface sites and the adsorbing polyelectrolyte (APC). The values of Δ G 0 SP (-10.85 RT unit) estimated by the electro kinetic data compare well with those obtained from adsorption isotherms (-9.521 RT unit). The experimentally determined optimum concentration of dispersant required for maximizing the dispersion was found to be 2.4 mg/g of SiC (corresponding to an adsorbed amount of 1.10 mg/g), at pH 7.5. This is much below the full monolayer coverage (corresponding to adsorbed amount of 1.75 mg/g) of the particles surface by the dispersant. The surface charge quantity, rheological, pH, electro kinetic and adsorption isotherm results were used to explain and correlate the stability of the nanosize silicon carbide in aqueous media. At pH 7.5, where both SiC surface and APC are negatively charged, the adsorption of APC was low because of limited availability of favourable adsorption sites. In addition, the brush-like configuration of the adsorbed polymer prevented close approach of any additional dispersant; hence stabilization of the slurry happens at a comparatively lower concentration than the monolayer coverage.
Phanikrishna Sharma, M V; Durga Kumari, V; Subrahmanyam, M
2008-06-01
The present investigation covers immobilization of TiO2 using a simple solid state dispersion technique over mesoporous Al-MCM-41 support for the treatment of isoproturon herbicide. Catalysts are characterized by XRD, X-ray photo electron spectroscopy (XPS), surface area, UV-Vis diffused reflectance spectra (DRS), SEM and TEM. A detailed photocatalytic degradation study of isoproturon under solar light in aqueous suspensions is reported. The 10 wt% TiO2/Al-MCM-41 composite system found to be optimum with high degradation activity. The reaction follows pseudo-first order kinetics. The parameters like TiO2 loading over Al-MCM-41, amount of catalyst, concentration of substrate, pH effect, durability of the catalyst, activity comparison of TiO2 and Al-MCM-41 supported system are studied. The mineralization of isoproturon is monitored by TOC. Based on the degradation products detected through LC-MS, a plausible degradation mechanism is proposed. The data indicates that TiO2/Al-MCM-41 composite system is an effective photocatalyst for treatment of isoproturon in contaminated water.
The effect of heat treatment on superhydrophilicity of TiO2 nano thin films
NASA Astrophysics Data System (ADS)
Ashkarran, A. A.; Mohammadizadeh, M. R.
2007-11-01
TiO2 thin films were synthesized by the sol-gel method and spin coating process. The calcination temperature was changed from 100 to 550°C. XRD patterns show increasing the content of polycrystalline anatase phase with increasing the calcination temperature. The AFM results indicate granular morphology of the films, which particle size changes from 22 to 166nm by increasing the calcination temperature. The RBS, EDX and Raman spectroscopy of the films show the ratio of Ti:O ~0.5, and diffusion of sodium ions from substrate into the layer, by increasing the calcination temperature. The UV-vis spectroscopy of the films indicates a red shift by increasing the calcination temperature. The contact angle meter experiment shows that superhydrophilicity of the films depends on the formation of anatase crystal structure and diffused sodium content from substrate to the layer. The best hydrophilicity property was observed at 450°C calcination temperature, where the film is converted to a superhydrophilic surface after 10min under 2mW/cm2 UV irradiation. Water droplet on TiO2 thin film on Si(111), Si(100), and quartz substrates is spread to smaller angles rather than glass and polycrystalline Si substrates under UV irradiation.
Comparison of antibacterial activities of Ag@TiO2 and Ag@SiO2 core-shell nanoparticles
NASA Astrophysics Data System (ADS)
Dhanalekshmi, K. I.; Meena, K. S.
2014-07-01
Core-shell type Ag@TiO2 nanoparticles were prepared by one pot simultaneous reduction of AgNO3 and hydrolysis of Ti (IV) isopropoxide and Ag@SiO2 core-shell nanoparticles were prepared by Stober's method. They were characterized by absorption, XRD, and HR-TEM techniques. XRD patterns show the presence of anatase form of TiO2 and amorphous form of SiO2 and the noble metal (Ag). High resolution transmission electron microscopy measurements revealed that their size is below 50 nm. The antibacterial properties of Ag@TiO2 and Ag@SiO2 core-shell nanoparticles against Escherichia coli (E. coli) and Staphylococcus aureus (S. aureus) were examined by the agar diffusion method. As a result E. coli and S. aureus were shown to be substantially inhibited by Ag@TiO2 and Ag@SiO2 core-shell nanoparticles. These results demonstrated that TiO2 and SiO2 supported on the surface of Ag NPs without aggregation was proved to have enhanced antibacterial activity.
Comparison of antibacterial activities of Ag@TiO2 and Ag@SiO2 core-shell nanoparticles.
Dhanalekshmi, K I; Meena, K S
2014-07-15
Core-shell type Ag@TiO2 nanoparticles were prepared by one pot simultaneous reduction of AgNO3 and hydrolysis of Ti (IV) isopropoxide and Ag@SiO2 core-shell nanoparticles were prepared by Stober's method. They were characterized by absorption, XRD, and HR-TEM techniques. XRD patterns show the presence of anatase form of TiO2 and amorphous form of SiO2 and the noble metal (Ag). High resolution transmission electron microscopy measurements revealed that their size is below 50 nm. The antibacterial properties of Ag@TiO2 and Ag@SiO2 core-shell nanoparticles against Escherichia coli (E. coli) and Staphylococcus aureus (S. aureus) were examined by the agar diffusion method. As a result E. coli and S. aureus were shown to be substantially inhibited by Ag@TiO2 and Ag@SiO2 core-shell nanoparticles. These results demonstrated that TiO2 and SiO2 supported on the surface of Ag NPs without aggregation was proved to have enhanced antibacterial activity. Copyright © 2014 Elsevier B.V. All rights reserved.
Peterson, Jonathan W.; Gu, Baohua; Seymour, Michael D.
2015-06-15
Fluoroquinolone antibiotics (FQs) are important drugs used in human and veterinary medicine. Their detection in natural waters and waste water treatment plants, along with increased resistance to FQs among some bacteria, have generated an increased interest in the fate of these drugs in the environment. Partitioning of FQs between an aqueous solution and attendant substrates depends, in part, on the surface reactivity of the adsorbent, commonly a function of particle size, surface charge, and functional groups. In this paper, this study investigated the surface interactions between the FQ drug ofloxacin (OFL) and titanium oxide (TiO 2), a common catalyst andmore » widely-observed constituent in many consumer products. Raman and fluorescence spectroscopic techniques, as well as LC/MS, were used to determine the OFL moieties present on TiO 2 surfaces and in attendant solutions. Raman spectra indicate that the C==O (ketone) group of the quinolone core, the NH + of the piperazinyl ring, and CH 3 of benzoxazine core are the most active in sorption onto the TiO 2 surface. Raman spectra also show that the sorbed benzoxazine–quinolone core and piperazinyl moieties are readily desorbed from the surface by re-suspending samples in water. Importantly, we found that OFL could be degraded by reacting with TiO 2 even in the dark. Complementary LC/MS analysis of the attendant supernatants indicates the presence of de-piperazinylated and de-carboxylated OFL breakdown products in supernatant solutions. Together, both Raman and LC/MS analyses indicate that TiO 2 breaks the compound into piperazinyl and carboxylate groups which attach to the surface, whereas de-carboxylated and hydroxylated quinolone moieties remain in solution. Finally, the present study thus identifies the sorption mechanisms and breakdown products of OFL during dark reactions with TiO 2, which is critically important for understanding the fate and transport of OFL as it enters the soil and aquatic environment.« less
Peterson, Jonathan W; Gu, Baohua; Seymour, Michael D
2015-11-01
Fluoroquinolone antibiotics (FQs) are important drugs used in human and veterinary medicine. Their detection in natural waters and waste water treatment plants, along with increased resistance to FQs among some bacteria, have generated an increased interest in the fate of these drugs in the environment. Partitioning of FQs between an aqueous solution and attendant substrates depends, in part, on the surface reactivity of the adsorbent, commonly a function of particle size, surface charge, and functional groups. This study investigated the surface interactions between the FQ drug ofloxacin (OFL) and titanium oxide (TiO2), a common catalyst and widely-observed constituent in many consumer products. Raman and fluorescence spectroscopic techniques, as well as LC/MS, were used to determine the OFL moieties present on TiO2 surfaces and in attendant solutions. Raman spectra indicate that the CO (ketone) group of the quinolone core, the NH(+) of the piperazinyl ring, and CH3 of benzoxazine core are the most active in sorption onto the TiO2 surface. Raman spectra also show that the sorbed benzoxazine-quinolone core and piperazinyl moieties are readily desorbed from the surface by re-suspending samples in water. Importantly, we found that OFL could be degraded by reacting with TiO2 even in the dark. Complementary LC/MS analysis of the attendant supernatants indicates the presence of de-piperazinylated and de-carboxylated OFL breakdown products in supernatant solutions. Together, both Raman and LC/MS analyses indicate that TiO2 breaks the compound into piperazinyl and carboxylate groups which attach to the surface, whereas de-carboxylated and hydroxylated quinolone moieties remain in solution. The present study thus identifies the sorption mechanisms and breakdown products of OFL during dark reactions with TiO2, which is critically important for understanding the fate and transport of OFL as it enters the soil and aquatic environment. Copyright © 2015 Elsevier B.V. All
Synthesis of highly ordered TiO2 nanotube in malonic acid solution by anodization.
Ryu, Won Hee; Park, Chan Jin; Kwon, Hyuk Sang
2008-10-01
We synthesized TiO2 nanotube array by anodizing in a solution of malonic acid (HOOCCH2COOH) and NH4F, and analyzed the morphology of the nanotube using scanning electron microscopy (SEM). The morphology of TiO2 nanotube was largely affected by anodizing time, anodizing voltage, and malonic acid concentration. With increasing the anodizing voltage from 5 V to 20 V, the diameter of TiO2 nanotube was increased from about 20 nm to 110 nm and its length from about 10 nm to 700 nm. In addition, the length of TiO2 nanotube was increased with increasing anodizing time up to 6 h at 20 V. We obtained the longest and the most highly ordered nanotube structure when anodizing Ti in a solution of 0.5 wt% NH4F and 1 M malonic acid at 20 V for 6 h.
The Heterogeneous Photocatalytic Oxidation of Hydrocarbons on Platinized TiO2 Powders.
1980-08-28
V W DUNN, K 0 WILBOURN NOO1-78-C-OS92 UCLASSIFIE D T - 10 ML I.’ fflfllfl~fflfllflfEEEEEEEEEl IIIII 1.1 . ILL 111L25 .4~I~ ( .6III MICROCOPY...Photocatalytic Oxidation of Hydrocarbons on Platinized TiO 2 Powders O by Ikuichiro Izumi, Wendell W. Dunn, Keith 0. Wilbourn , Fu-Ren F. Fan, and Allen J. Bard...Hydrocarbons on Platinized TiO 2 Powders. Ikuichiro Izumi, Wendell W. Dunn, Keith 0. Wilbourn , Fu-Ren F. Fan, and Allen J. Bard* Department of Chemistry, The
Hu, Chun-Chih; Wu, Gong-Her; Hua, Tzu-En; Wagner, Oliver I; Yen, Ta-Jen
2018-03-14
We employ model organism Caenorhabditis elegans to effectively study the toxicology of anatase and rutile phase titanium dioxide (TiO 2 ) nanoparticles (NPs). The experimental results show that nematode C. elegans can take up fluorescein isothiocyanate-labeled TiO 2 NPs and that both anatase and rutile TiO 2 NPs can be detected in the cytoplasm of cultured primary neurons imaged by transmission electron microscopy. After TiO 2 NP exposure, these neurons also grow shorter axons, which may be related to the detected impeded worm locomotion behavior. Furthermore, anatase TiO 2 NPs did not affect the worm's body length; however, we determined that a concentration of 500 μg/mL of anatase TiO 2 NPs reduced the worm population by 50% within 72 h. Notably, rutile TiO 2 NPs negatively affect both the body size and worm population. Worms unable to enter the L4 larval stage explain a severe reduction in the worm population at TiO 2 NPs LC 50 /3d. To obtain a better understanding of the cellular mechanisms involved in TiO 2 NP intoxication, DNA microarray assays were employed to determine changes in gene expression in the presence or absence of TiO 2 NP exposure. Our data reveal that three genes (with significant changes in expression levels) were related to metal binding or metal detoxification (mtl-2, C45B2.2, and nhr-247), six genes were involved in fertility and reproduction (mtl-2, F26F2.3, ZK970.7, clec-70, K08C9.7, and C38C3.7), four genes were involved in worm growth and body morphogenesis (mtl-2, F26F2.3, C38C3.7, and nhr-247), and five genes were involved in neuronal function (C41G6.13, C45B2.2, srr-6, K08C9.7, and C38C3.7).
Uranium 5f shell in UPd2Al3 and URu2Si2 studied by x-ray magnetic circular dichroism
NASA Astrophysics Data System (ADS)
Yaouanc, A.; Dalmas de Réotier, P.; van der Laan, G.; Hiess, A.; Goulon, J.; Neumann, C.; Lejay, P.; Sato, N.
1998-10-01
We report x-ray magnetic circular dichroism (XMCD) measurements performed at the uranium M4,5 edges in the paramagnetic phase of the heavy fermion superconductors UPd2Al3 and URu2Si2. The analysis of the spectra with the first sum rule yields the orbital moment of the 5f shell for both compounds. The shape of the dichroic spectrum at the M5 edge for the two compounds is qualitatively different: a single lobe is observed for URu2Si2 and two lobes are detected for UPd2Al3. This two lobe structure reflects the strong effect of the interaction of the uranium 5f electrons with their environment in the latter compound.
Effects of TiO2 and ZrO2 nanofillers in LiBOB based PVdF/PVC composite polymer electrolytes (CPE)
NASA Astrophysics Data System (ADS)
Aravindan, V.; Vickraman, P.
2007-11-01
A novel type of lithium bis(oxalato)borate (LiBOB) synthesized by the solid-state reaction method has been presented. LiBOB composite polymer electrolytes (CPE) prepared with dispersions of TIO2/ZrO2with various concentrations into the host blend matrices of poly(vinylidenefluoride) (PVdF)-poly(vinylchloride) (PVC) are investigated by scanning electron microscopy, x-ray diffraction (XRD) and ac impedance measurements. The plasticizing agent selected for the present study is a mixture of ethylene carbonate (EC) and diethyl carbonate (DEC) for the phase separated morphology of the studied polymers. The impedance studies on CPE membranes identify that membranes, with 2.5 wt% of fillers, have enhanced conductivities of 5.43 × 10-4 S cm-1 and 4.38 × 10-4 S cm-1, respectively, for TiO2 and ZrO2 at ambient temperature. The XRD investigations confirm that the membranes with filler levels exceeding the limit of 2.5 wt% show a gradual increase in the degree of crystallinity, rendering them less conducting. The activation energy calculations also highlight variations in conductivities of all the membranes.
Preparation of MgF2-SiO2 thin films with a low refractive index by a solgel process.
Ishizawa, Hitoshi; Niisaka, Shunsuke; Murata, Tsuyoshi; Tanaka, Akira
2008-05-01
Porous MgF(2)-SiO(2) thin films consisting of MgF(2) particles connected by an amorphous SiO(2) binder are prepared by a solgel process. The films have a low refractive index of 1.26, sufficient strength to withstand wiping by a cloth, and a high environmental resistance. The refractive index of the film can be controlled by changing the processing conditions. Films can be uniformly formed on curved substrates and at relatively low temperatures, such as 100 degrees C. The low refractive index of the film, which cannot be achieved by conventional dry processes, is effective in improving the performance of antireflective coatings.
NASA Astrophysics Data System (ADS)
Choi, Jaesuk; Song, Jun Tae; Jang, Ho Seong; Choi, Min-Jae; Sim, Dong Min; Yim, Soonmin; Lim, Hunhee; Jung, Yeon Sik; Oh, Jihun
2017-01-01
Photoelectrochemical (PEC) water splitting has emerged as a potential pathway to produce sustainable and renewable chemical fuels. Here, we present a highly active Cu2O/TiO2 photocathode for H2 production by enhancing the interfacial band-edge energetics of the TiO2 layer, which is realized by controlling the fixed charge density of the TiO2 protection layer. The band-edge engineered Cu2O/TiO2 (where TiO2 was grown at 80 °C via atomic layer deposition) enhances the photocurrent density up to -2.04 mA/cm2 at 0 V vs. RHE under 1 sun illumination, corresponding to about a 1,200% enhancement compared to the photocurrent density of the photocathode protected with TiO2 grown at 150 °C. Moreover, band-edge engineering of the TiO2 protection layer prevents electron accumulation at the TiO2 layer and enhances both the Faraday efficiency and the stability for hydrogen production during the PEC water reduction reaction. This facile control over the TiO2/electrolyte interface will also provide new insight for designing highly efficient and stable protection layers for various other photoelectrodes such as Si, InP, and GaAs. [Figure not available: see fulltext.
Optimization of Al2O3/TiO2/Al 2O3 Multilayer Antireflection Coating With X-Ray Scattering Techniques
NASA Astrophysics Data System (ADS)
Li, Chao
Broadband multilayer antireflection coatings (ARCs) are keys to improving solar cell efficiencies. The goal of this dissertation is to optimize the multilayer Al2O3/TiO2/Al2O 3 ARC designed for a III-V space multi-junction solar cell with understanding influences of post-annealing and varying deposition parameters on the optical properties. Accurately measuring optical properties is important in accessing optical performances of ARCs. The multilayer Al2O3/TiO 2/Al2O3 ARC and individual Al2O 3 and TiO2 layers were characterized by a novel X-ray reflectivity (XRR) method and a combined method of grazing-incidence small angle X-ray scattering (GISAXS), atomic force microscopy (AFM), and XRR developed in this study. The novel XRR method combining an enhanced Fourier analysis with specular XRR simulation effectively determines layer thicknesses and surface and interface roughnesses and/or grading with sub-nanometer precision, and densities less than three percent uncertainty. Also, the combined method of GISAXS, AFM, and XRR characterizes the distribution of pore size with one-nanometer uncertainty. Unique to this method, the diffuse scattering from surface and interface roughnesses is estimated with surface parameters (root mean square roughness sigma, lateral correlation length ξ, and Hurst parameter h) obtained from AFM, and layer densities, surface grading and interface roughness/grading obtained from specular XRR. It is then separated from pore scattering. These X-ray scattering techniques obtained consistent results and were validated by other techniques including optical reflectance, spectroscopic ellipsometry (SE), glancing incidence X-ray diffraction, transmission electron microscopy and energy dispersive X-ray spectroscopy. The ARCs were deposited by atomic layer deposition with standard parameters at 200 °C. The as-deposited individual Al2O3 layer on Si is porous and amorphous as indicated by the combined methods of GISAXS, AFM, and XRR. Both post
DOE Office of Scientific and Technical Information (OSTI.GOV)
Dornstetter, Jean-Christophe; LPICM-CNRS, Ecole Polytechnique, 91128 Palaiseau; Bruneau, Bastien
2014-06-21
We report on the growth of microcrystalline silicon films from the dissociation of SiF{sub 4}/H{sub 2}/Ar gas mixtures. For this growth chemistry, the formation of HF molecules provides a clear signature of the amorphous to microcrystalline growth transition. Depositing films from silicon tetrafluoride requires the removal of F produced by SiF{sub 4} dissociation, and this removal is promoted by the addition of H{sub 2} which strongly reacts with F to form HF molecules. At low H{sub 2} flow rates, the films grow amorphous as all the available hydrogen is consumed to form HF. Above a critical flow rate, corresponding tomore » the full removal of F, microcrystalline films are produced as there is an excess of atomic hydrogen in the plasma. A simple yet accurate phenomenological model is proposed to explain the SiF{sub 4}/H{sub 2} plasma chemistry in accordance with experimental data. This model provides some rules of thumb to achieve high deposition rates for microcrystalline silicon, namely, that increased RF power must be balanced by an increased H{sub 2} flow rate.« less
Padermshoke, Adchara; Konishi, Shouta; Ara, Masato; Tada, Hirokazu; Ishibashi, Taka-Aki
2012-06-01
A novel SiO(2)-deposited CaF(2) (SiO(2)/CaF(2)) substrate for measuring vibrational sum-frequency generation (SFG) spectra of silane-based chemisorbed monolayers in aqueous media has been developed. The substrate is suitable for silanization and transparent over a broad range of the infrared (IR) probe. The present work demonstrates the practical application of the SiO(2)/CaF(2) substrate and, to our knowledge, the first SFG spectrum at the solid/water interface of a silanized monolayer observed over the IR fingerprint region (1780-1400 cm(-1)) using a back-side probing geometry. This new substrate can be very useful for SFG studies of various chemisorbed organic molecules, particularly biological compounds, in aqueous environments.
The effect of TiO2 concentration on properties of apatite-mullite glass-ceramics for dental use.
Fathi, Hawa M; Johnson, Anthony
2016-02-01
The aim of this study was to evaluate the effect of TiO2 concentration on the properties of apatite-mullite glass-ceramics namely strength and the chemical solubility to comply with the ISO standard recommendations for dental ceramics (BS EN ISO 6872-2008). Ten novel glass-ceramic materials were produced based on the general formula (4.5SiO2-3Al2O3-1.5P2O5-3CaO-CaF2-xTiO2) where x varied from 0.5 to 5 wt%. Glass with no TiO2 added (HG1T0.0) was used as a reference. Discs of 12 mm diameter and 1.6 mm (±0.2 mm) thickness were prepared for both biaxial flexural strength (BFS) and chemical solubility testing, in accordance with the BS EN ISO 6872-2008 for dental ceramics. All produced materials were investigated using differential thermal analysis (DTA), X-ray diffraction (XRD), and scanning electron microscopy (SEM). Energy dispersive X-ray analysis (EDS) was also carried out on some samples to identify the element composition of samples. Increasing the concentration of TiO2 from 0.5 wt% to 2 wt% significantly (P<0.05) increased the chemical solubility of the material. With the material containing 2.5 wt% of TiO2, the solubility significantly reduced (P<0.05) and resulted in a solubility value of 228.3 μm/cm(2) and BFS value of 197.9 MPa. Increasing the TiO2 concentration more than 2.5 wt%, led to a significant (P<0.05) increase in solubility and a reduction in BFS. TiO2 is an effective agent for improving the durability and the mechanical properties of an apatite-mullite glass-ceramic only up to 2.5 wt% concentration. Copyright © 2015 Academy of Dental Materials. Published by Elsevier Ltd. All rights reserved.
AN INVESTIGATION OF CFC12 (CCI2F2) DECOMPOSITION ON TIO2 CATALYST
The catalytic oxidation of CFC12 was studied over a titania (TiO2) catalyst in a fixed-bed reactor at temperatures ranging from 200 to 400 degrees C and space velocity of 10,500 h-1. Results showed substantially complete conversion of CFC12 (>90%) to CO2 and halogen acids at and...
Zhu, Shan; Pang, Fufei; Huang, Sujuan; Zou, Fang; Guo, Qiang; Wen, Jianxiang; Wang, Tingyun
2016-01-01
Atomic layer deposition (ALD) technology is introduced to fabricate a high sensitivity refractometer based on an adiabatic tapered optical fiber. Different thicknesses of titanium dioxide (TiO2) nanofilm were coated around the tapered fiber precisely and uniformly under different deposition cycles. Attributed to the higher refractive index of the TiO2 nanofilm compared to that of silica, an asymmetric Fabry–Perot (F-P) resonator could be constructed along the fiber taper. The central wavelength of the F-P resonator could be controlled by adjusting the thickness of the TiO2 nanofilm. Such a F-P resonator is sensitive to changes in the surrounding refractive index (SRI), which is utilized to realize a high sensitivity refractometer. The refractometer developed by depositing 50.9-nm-thickness TiO2 on the tapered fiber shows SRI sensitivity as high as 7096 nm/RIU in the SRI range of 1.3373–1.3500. Due to TiO2’s advantages of high refractive index, lack of toxicity, and good biocompatibility, this refractometer is expected to have wide applications in the biochemical sensing field. PMID:27537885
Low-k SiOCH Film Etching Process and Its Diagnostics Employing Ar/C5F10O/N2 Plasma
NASA Astrophysics Data System (ADS)
Nagai, Mikio; Hayashi, Takayuki; Hori, Masaru; Okamoto, Hidekazu
2006-09-01
We proposed an environmental harmonic etching gas of C5F10O (CF3CF2CF2OCFCF2), and demonstrated the etching of low-k SiOCH films employing a dual-frequency capacitively coupled etching system. Dissociative ionization cross sections for the electron impact ionizations of C5F10O and c-C4F8 gases have been measured by quadrupole mass spectroscopy (QMS). The dissociative ionization cross section of CF3+ from C5F10O gas was much higher than those of other ionic species, and 10 times higher than that of CF3+ from C4F8 gas. CF3+ is effective for increasing the etching rate of SiO2. As a result, the etching rate of SiOCH films using Ar/C5F10O/N2 plasma was about 1000 nm/min, which is much higher than that using Ar/C4F8/N2 plasma. The behaviours of fluorocarbon radicals in Ar/C5F10O/N2 plasma, which were measured by infrared diode laser absorption spectroscopy, were similar to those in Ar/C4F8/N2 plasma. The densities of CF and CF3 radicals were markedly decreased with increasing N2 flow rate. Etching rate was controlled by N2 flow rate. A vertical profile of SiOCH with a high etching rate and less microloading was realized using Ar/C5F10O/N2 plasma chemistry.
Zhiyong, Yu; Mielczarski, E; Mielczarski, J; Laub, D; Buffat, Ph; Klehm, U; Albers, P; Lee, K; Kulik, A; Kiwi-Minsker, L; Renken, A; Kiwi, J
2007-02-01
An innovative way to fix preformed nanocrystalline TiO(2) on low-density polyethylene film (LDPE-TiO(2)) is presented. The LDPE-TiO(2) film was able to mediate the complete photodiscoloration of Orange II using about seven times less catalyst than a TiO(2) suspension and proceeded with a photonic efficiency of approximately 0.02. The catalyst shows photostability over long operational periods during the photodiscoloration of the azo dye Orange II. The LDPE-TiO(2) catalyst leads to full dye discoloration under simulated solar light but only to a 30% TOC reduction since long-lived intermediates generated in solution seem to preclude full mineralization of the dye. Physical insight is provided into the mechanism of stabilization of the LDPE-TiO(2) composite during the photocatalytic process by X-ray photoelectron spectroscopy (XPS). The adherence of TiO(2) on LDPE is investigated by electron microscopy (EM) and atomic force microscopy (AFM). The thickness of the TiO(2) film is seen to vary between 1.25 and 1.69 microm for an unused LDPE-TiO(2) film and between 1.31 and 1.50 microm for a sample irradiated 10h during Orange II discoloration pointing out to a higher compactness of the TiO(2) film after the photocatalysis.
NASA Astrophysics Data System (ADS)
Halimi, S. U.; Hashib, S. Abd; Abu Bakar, N. F.; Ismail, S. N.; Nazli Naim, M.; Rahman, N. Abd; Krishnan, J.
2018-05-01
The high band gap energy of TiO2 and inconsistency in particles size has imposed a significant drawback on TiO2 applications. Dried droplets of carbon-doped TiO2 fine particles were produced by using electrospraying technique. The C-doped TiO2 particles were prepared by hydrolysis of titanium isopropoxide with the addition of carbon precursor followed by electrospraying the suspension in stable Taylor cone-jet mode. Coulomb fission of charged droplets from the electrospraying technique successfully transformed dispersed liquid C-doped TiO2 particles into solid. The deposited C-doped TiO2 droplets were collected on aluminium substrates placed at working distances of 10 to 20 cm from the tip of the electrospray needle. The collected C-doped TiO2 droplets were characterized by using FESEM, UV-Vis, FTIR and XRD. By increasing the working distance, the average droplets size of the deposited C-doped TiO2 was reduced from ±163.2 nm to ±147.56 nm. UV-Vis analysis showed a strong absorption in the visible-light region and about 93 nm red shift of the onset spectrum for C-doped TiO2. The red shift indicates an increase in photocatalytic efficiency by reducing the TiO2 band gap energy from 3.0 eV to 2.46 eV and shifting its activity to the visible-light region. FTIR analysis indicated the presence of Ti-C and C-O chemical bonding in the C-doped TiO2.
Tunability of morphological properties of Nd-doped TiO2 thin films
NASA Astrophysics Data System (ADS)
Rehan, Imran; Sultana, Sabiha; Khan, Nauman; Qamar, Zahid; Rehan, Kamran
2016-11-01
In this work, an endeavor is made toward structural assessment and morphological variation of titanium dioxide (TiO2) thin films when doped with neodymium (Nd). The electron beam deposition technique was employed to fabricate Nd-based TiO2 thin films on n-Type Si substrates. Nd concentration was varied from 0.0 to 2.0 atomic percent (at.%) under identical growth environments. The films were deposited in an oxygen-deficient environment to cause the growth of rutile phases. Energy dispersive x-ray spectroscopy confirmed the presence and variation of Nd dopant in TiO2. X-ray diffraction analysis showed the transformation of amorphous structures of the as-grown samples to anatase polycrystalline after annealing at 500 °C, while atomic force microscopy exposed linearity in grain density in as-grown samples with doping until 1 at.%. Raman spectrums of as-grown and annealed samples revealed the growth of the anatase phase in the annealed samples. Based on these results it can be proposed that Nd doping has pronounced effects on the structural characteristics of TiO2 thin films.
NASA Astrophysics Data System (ADS)
Sekhar, M. Chandra; Uthanna, S.; Martins, R.; Jagadeesh Chandra, S. V.; Elangovan, E.
2012-04-01
Thin films of (Ta2O5)0.85(TiO2)0.15 were deposited on quartz and p-Si substrates by DC reactive magnetron sputtering at different substrate temperatures (Ts) in the range 303 - 873 K. The films deposited at 303 0K were in the amorphous and it transformed to crystalline at substrate temperatures >= 573 0K. The crystallite size was increased from 50 nm to 72 nm with the increase of substrate temperature. The surface morphology was significantly influenced with the substrate temperature. After deposition of the (Ta2O5)0.85(TiO2)0.15 films on Si, aluminium (Al) electrode was deposited to fabricate metal/oxide/semiconductor (MOS) capacitors with a configuration of Al/(Ta2O5)0.85(TiO2)0.15/Si. A low leakage current of 7.7 × 10-5 A/cm2 was obtained from the films deposited at 303 K. The leakage current was decreased to 9.3 × 10-8 A/cm2 with the increase of substrate temperature owing to structural changes. The conduction mechanism of the Al/(Ta2O5)0.85(TiO2)0.15/Si capacitors was analyzed and compared with mechanisms of Poole-Frenkel and Schottky emissions. The optical band gap (Eg) was decreased from 4.45 eV to 4.38 eV with the increase in substrate temperature.
F-actin and microtubule suspensions as indeterminate fluids.
Buxbaum, R E; Dennerll, T; Weiss, S; Heidemann, S R
1987-03-20
The viscosity of F-actin and microtubule suspensions has been measured as a function of shear rate with a Weissenberg rheogoniometer. At shear rates of less than 1.0 per second the viscosity of suspensions of these two structural proteins is inversely proportional to shear rate. These results are consistent with previous in vivo measurements of the viscosity of cytoplasm. This power law implies that shear stress is independent of shear rate; that is, shear stress is a constant at all shear rates less than 1.0 per second. Thus the flow profile of these fluids is indeterminate, or nearly so. This flow property may explain several aspects of intracellular motility in living cells. Possible explanations for this flow property are based on a recent model for semidilute suspensions of rigid rods or a classical friction model for liquid crystals.
Chemical reactivity of SiC fibre-reinforced SiC with beryllium and lithium ceramic breeder materials
NASA Astrophysics Data System (ADS)
Kleykamp, H.
2000-12-01
SiC fibre-reinforced SiC fabrics (f-SiC/SiC) are considered for structural materials of advanced fusion blanket concepts. Priority tasks are compatibility studies of SiC with Li breeder ceramics and the Be neutron multiplier. Isothermal and anisothermal powder reactions by DTA up to 1220°C were examined between Li 4SiO 4, Li 2ZrO 3 and Li 2TiO 3, respectively, and SiC and SiC/SiO 2 mixtures, respectively. The SiC/SiO 2 mixture simulated the chemical state of Nicalon fibres. Solid state reactions between SiC and Be pellets were studied by capsule experiments. The reaction products Be 2C and Si were observed between the initial phases after annealing at 800°C and 900°C. A parabolic time law with a chemical diffusion coefficient D˜=2.6×10 -15 m 2/s of Be in the products was deduced at 900°C. Additional oxygen released from SiO 2 as a component of the simulated fibres oxidised the reaction products via the gas phase by formation of a Be 2SiO 4 layer. All reactions are kinetically hindered below 700°C.
NASA Technical Reports Server (NTRS)
Finklea, H. O.; Vithanage, R.
1982-01-01
Infrared absorption spectra of methylsilanes bonded to a TiO2 powder were obtained. The reacting silanes include Me sub (4-n)SiX sub n (n=1-4; X=Cl, OMe) and hexamethyldisilazane (HMDS). Reactions were performed on hydroxylated-but-anhydrous TiO2 surfaces in the gas phase. IR spectra confirm the presence of a bonded silane layer. Terminal surface OH groups are found to react more readily than bridging OH groups. By-products of the modification adsorp tenaciously to the surface. The various silanes show only small differences in their ability to sequester surface OH groups. Following hydrolysis in moist air, Si-OH groups are observed only for the tetrafunctional silanes.
Influence of SiO2 Addition on Properties of PTFE/TiO2 Microwave Composites
NASA Astrophysics Data System (ADS)
Yuan, Ying; Wang, Jie; Yao, Minghao; Tang, Bin; Li, Enzhu; Zhang, Shuren
2018-01-01
Composite substrates for microwave circuit applications have been fabricated by filling polytetrafluoroethylene (PTFE) polymer matrix with ceramic powder consisting of rutile TiO2 ( D 50 ≈ 5 μm) partially substituted with fused amorphous SiO2 ( D 50 ≈ 8 μm) with composition x vol.% SiO2 + (50 - x) vol.% TiO2 ( x = 0, 3, 6, 9, 12), and the effects of SiO2 addition on characteristics such as the density, moisture absorption, microwave dielectric properties, and thermal properties systematically investigated. The results show that the filler was well distributed throughout the matrix. High dielectric constant ( ɛ r > 7.19) and extremely low moisture absorption (<0.02%) were obtained, resulting from the relatively high density of the composites. The ceramic particles served as barriers and improved the thermal stability of the PTFE polymer, retarding its decomposition. The temperature coefficient of dielectric constant ( τ ɛ ) of the composites shifted toward the positive direction (from - 309 ppm/°C to - 179 ppm/°C) as the SiO2 content was increased, while the coefficient of thermal expansion remained almost unchanged (˜ 35 ppm/°C).
TiO2-TiO2 composite resistive humidity sensor: ethanol crosssensitivity
NASA Astrophysics Data System (ADS)
Ghalamboran, Milad; Saedi, Yasin
2016-03-01
The fabrication method and characterization results of a TiO2-TiO2 composite bead used for humidity sensing along with its negative cross-sensitivity to ethanol vapor are reported. The bead shaped resistive sample sensors are fabricated by the drop-casting of a TiO2 slurry on two Pt wire segments. The dried bead is pre-fired at 750°C and subsequently impregnated with a Ti-based sol. The sample is ready for characterization after a thermal annealing at 600°C in air. Structurally, the bead is a composite of the micron-sized TiO2 crystallites embedded in a matrix of nanometric TiO2 particle aggregates. The performance of the beads as resistive humidity sensors is recorded at room temperature in standard humidity level chambers. Results evince the wide dynamic range of the sensors fabricated in the low relative humidity range. While the sensor conductance is not sensitive to ethanol vapor in dry air, in humid air, sensor's responses are negatively affected by the contaminant.
Marycz, Krzysztof; Krzak-Roś, Justyna; Donesz-Sikorska, Anna; Śmieszek, Agnieszka
2014-11-01
In recent years, much attention has been paid to the development of tissue engineering and regenerative medicine, especially when stem cells of various sources are concerned. In addition to the interest in mesenchymal stem cells isolated from bone marrow, recently more consideration has been given to stem cells isolated from adipose tissue (AdMSCs), due to their less invasive method of collection as well as their ease of isolation and culture. However, the development of regenerative medicine requires both the application of biocompatible material and the stem cells to accelerate the regeneration. In this study, we investigated the morphology, proliferation rate index (PRi), and population doubling time factor of adipose-derived mesenchymal stem cells cultured on non-aqueous sol-gel-derived SiO2, TiO2, and SiO2/TiO2 oxide coatings. The results indicated an increase in PRi of AdMSCs when cultured on to titanium dioxide, suggesting its high attractiveness for AdMSCs. In addition, the proper morphology and the shortest doubling time of AdMSCs were observed when cultured on titanium dioxide coating. © 2014 Wiley Periodicals, Inc.
Wiedmer, David; Petersen, Fernanda Cristina; Lönn-Stensrud, Jessica; Tiainen, Hanna
2017-07-01
The chemical decontamination of infected dental implants is essential for the successful treatment of peri-implantitis. The aim of this study was to assess the antibacterial effect of a hydrogen peroxide-titanium dioxide (H 2 O 2 -TiO 2 ) suspension against Staphylococcus epidermidis biofilms. Titanium (Ti) coins were inoculated with a bioluminescent S. epidermidis strain for 8 h and subsequently exposed to H 2 O 2 with and without TiO 2 nanoparticles or chlorhexidine (CHX). Bacterial regrowth, bacterial load and viability after decontamination were analyzed by continuous luminescence monitoring, live/dead staining and scanning electron microscopy. Bacterial regrowth was delayed on surfaces treated with H 2 O 2 -TiO 2 compared to H 2 O 2 . H 2 O 2 -based treatments resulted in a lower bacterial load compared to CHX. Few viable bacteria were found on surfaces treated with H 2 O 2 and H 2 O 2 -TiO 2 , which contrasted with a uniform layer of dead bacteria for surfaces treated with CHX. H 2 O 2 -TiO 2 suspensions could therefore be considered an alternative approach in the decontamination of dental implants.
Sequence of phase transitions in (NH4)3SiF7.
Mel'nikova, S V; Molokeev, M S; Laptash, N M; Pogoreltsev, E I; Misyul, S V; Flerov, I N
2017-02-21
Single crystals of silicon double salt (NH 4 ) 3 SiF 7 = (NH 4 ) 2 SiF 6 ·NH 4 F = (NH 4 ) 3 [SiF 6 ]F were grown and studied by the methods of polarization optics, X-ray diffraction and calorimetry. A sequence of symmetry transformations with the temperature change was established: P4/mbm (Z = 2) (G 1 ) ↔ Pbam (Z = 4) (G 2 ) ↔ P2 1 /c (Z = 4) (G 3 ) ↔ P1[combining macron] (Z = 4) (G 4 ) ↔ P2 1 /c (Z = 8) (G 5 ). Crystal structures of different phases were determined. The experimental data were also interpreted by a group-theoretical analysis of the complete condensate of order parameters taking into account critical and noncritical atomic displacements. Strengthening of the N-HF hydrogen bonds can be a driving force of the observed phase transitions.
Photoelectrocatalytic degradation of atrazine by boron-fluorine co-doped TiO2 nanotube arrays.
Wang, He-Xuan; Zhu, Li-Nan; Guo, Fu-Qiao
2018-06-23
Atrazine, one of the most widespread herbicides in the world, is considered as an environmental estrogen and has potential carcinogenicity. In this study, atrazine was degraded on boron-fluorine co-doped TiO 2 nanotube arrays (B, F-TiO 2 NTAs), which had similar morphology with the pristine TiO 2 NTAs. The structure and morphology of TiO 2 nanotube samples were characterized by scanning electron microscopy (SEM), X-ray diffraction (XRD), and UV-visible diffuse reflectance spectroscopy (DRS). It showed that the decoration of fluorine and boron made both the absorption in the visible region enhanced and the band edge absorption shifted. The efficiency of atrazine degradation by B, F-TiO 2 NTAs through photoelectrocatalysis was investigated by current, solution pH, and electrolyte concentration, respectively. The atrazine removal rate reached 76% through photoelectrocatalytic reaction by B, F-TiO 2 NTAs, which was 46% higher than that under the photocatalysis process. Moreover, the maximum degradation rate was achieved at pH of 6 in 0.01 M of Na 2 SO 4 electrolyte solution under a current of 0.02 A and visible light for 2 h in the presence of B, F-TiO 2 NTAs. These results showed that B, F-TiO 2 NTAs exhibit remarkable photoelectrocatalytic activity in degradation of atrazine.
NASA Astrophysics Data System (ADS)
Fujimura, Nobuyuki; Ohta, Akio; Ikeda, Mitsuhisa; Makihara, Katsunori; Miyazaki, Seiichi
2018-04-01
The electrical dipole moment at an ultrathin high-k (HfO2, Al2O3, TiO2, Y2O3, and SrO)/SiO2 interface and its correlation with the oxygen density ratio at the interface have been directly evaluated by X-ray photoelectron spectroscopy (XPS) under monochromatized Al Kα radiation. The electrical dipole moment at the high-k/SiO2 interface has been measured from the change in the cut-off energy of secondary photoelectrons. Moreover, the oxygen density ratio at the interface between high-k and SiO2 has been estimated from cation core-line signals, such as Hf 4f, Al 2p, Y 3d, Ti 2p, Sr 3d, and Si 2p. We have experimentally clarified the relationship between the measured electrical dipole moment and the oxygen density ratio at the high-k/SiO2 interface.
Influence of Au and TiO2 structures on hydrogen dissociation over TiO2/Au(100)
NASA Astrophysics Data System (ADS)
Nakamura, I.; Mantoku, H.; Furukawa, T.; Takahashi, A.; Fujitani, T.
2012-11-01
We performed H2-D2 exchange reactions over TiOx/Au(100) and compared the observed reaction kinetics with those reported for TiOx/Au(111) in order to clarify the influence of the Au and TiO2 structures on dissociation of H2 molecules. Low energy electron diffraction observations showed that the TiO2 produced on Au(100) was disordered, in contrast to the comparatively ordered TiO2 structure formed on Au(111). The activation energies and the turnover frequencies for HD formation over TiO2/Au(100) agreed well with those for TiO2/Au(111), clearly indicating that the hydrogen dissociation sites created over TiO2/Au(100) were the perimeter interface between stoichiometric TiO2 and Au, as was previously concluded for TiO2/Au(111). We concluded that the creation of active sites for hydrogen dissociation was independent of the Au and TiO2 structures consisting perimeter interface, and that local bonds that formed between Au and O atoms of stoichiometric TiO2 were essential for the creation of active sites.
NASA Astrophysics Data System (ADS)
Liga, Michael Vincent
Photocatalytic oxidation is an alternative water treatment method under consideration for disinfecting water. Chlorine disinfection can form harmful byproducts, and some viruses (e.g. adenoviruses) are resistant to other alternative disinfection methods. Photocatalytic oxidation using nano-sized photocatalytic particles (e.g. TiO2, fullerene) holds promise; however, it is limited by its low efficiency and long required treatment times. This research focuses on improving virus inactivation by photocatalytic oxidation by modifying catalysts for improved activity, by analyzing virus inactivation kinetics, and by elucidating the inactivation mechanisms of adenovirus serotype 2 (AdV2) and bacteriophage MS2. Modifying TiO2 with silver (nAg/TiO2) or silica (SiO2-TiO2) improves the inactivation kinetics of bacteriophage MS2 by a factor of 3-10. nAg/ TiO2 increases hydroxyl radical (HO·) production while SiO2 increases the adsorption of MS2 to TiO 2. These results suggest that modifying the photocatalyst surface to increase contaminant adsorption is an important improvement strategy along with increasing HO· production. The inactivation kinetics of AdV2 by P25 TiO2 is much slower than the MS2 inactivation kinetics and displays a strong shoulder, which is not present in the MS2 kinetics. nAg/TiO2 initially improves the inactivation rate of AdV2. SiO2-TiO2 reduces the AdV2 inactivation kinetics since adsorption is not significantly enhanced, as it is with MS2. Amino-C60 is highly effective for AdV2 inactivation under visible light irradiation, making it a good material for use in solar disinfection systems. The efficacy of amino-fullerene also demonstrates that singlet oxygen is effective for AdV2 inactivation. When exposed to irradiated TiO2, AdV2 hexon proteins are heavily damaged resulting in the release of DNA. DNA damage is also present but may occur after capsids break. With MS2, the host interaction protein is rapidly damaged, but not the coat protein. The kinetics
Pimenta, S.; Cardoso, S.; Miranda, A.; De Beule, P.; Castanheira, E.M.S.; Minas, G.
2015-01-01
This paper presents the design, optimization and fabrication of 16 MgO/TiO2 and SiO2/TiO2 based high selective narrow bandpass optical filters. Their performance to extract diffuse reflectance and fluorescence signals from gastrointestinal tissue phantoms was successfully evaluated. The obtained results prove their feasibility to correctly extract those spectroscopic signals, through a Spearman’s rank correlation test (Spearman’s correlation coefficient higher than 0.981) performed between the original spectra and the ones obtained using those 16 fabricated optical filters. These results are an important step for the implementation of a miniaturized, low-cost and minimal invasive microsystem that could help in the detection of gastrointestinal dysplasia. PMID:26309769
Pimenta, S; Cardoso, S; Miranda, A; De Beule, P; Castanheira, E M S; Minas, G
2015-08-01
This paper presents the design, optimization and fabrication of 16 MgO/TiO2 and SiO2/TiO2 based high selective narrow bandpass optical filters. Their performance to extract diffuse reflectance and fluorescence signals from gastrointestinal tissue phantoms was successfully evaluated. The obtained results prove their feasibility to correctly extract those spectroscopic signals, through a Spearman's rank correlation test (Spearman's correlation coefficient higher than 0.981) performed between the original spectra and the ones obtained using those 16 fabricated optical filters. These results are an important step for the implementation of a miniaturized, low-cost and minimal invasive microsystem that could help in the detection of gastrointestinal dysplasia.
Hong, Yayun; Zhan, Qiliang; Pu, Chenlu; Sheng, Qianying; Zhao, Hongli; Lan, Minbo
2018-09-01
In this work, hollow magnetic macro/mesoporous TiO 2 nanoparticles (denoted as Fe 3 O 4 @H-fTiO 2 ) were synthesized by a facile "hydrothermal etching assisted crystallization" route to improve the phosphopeptide enrichment efficiency. The porous nanostructure of TiO 2 shell and large hollow space endowed the Fe 3 O 4 @H-fTiO 2 with a high surface area (144.71 m 2 g -1 ) and a large pore volume (0.52 cm 3 g -1 ), which could provide more affinity sites for phosphopeptide enrichment. Besides, the large pore size of TiO 2 nanosheets and large hollow space could effectively prevent the "shadow effect", thereby facilitating the diffusion and release of phosphopeptides. Compared with the hollow magnetic mesoporous TiO 2 with small and deep pores (denoted as Fe 3 O 4 @H-mTiO 2 ) and solid magnetic macro/mesoporous TiO 2 , the Fe 3 O 4 @H-fTiO 2 nanoparticles showed a better selectivity (molar ratio of α-casein/BSA up to 1:10000) and a higher sensitivity (0.2 fmol/μL α-casein) for phosphopeptide enrichment. Furthermore, 1485 unique phosphopeptides derived from 660 phosphoproteins were identified from HeLa cell extracts after enrichment with Fe 3 O 4 @H-fTiO 2 nanoparticles, further demonstrating that the Fe 3 O 4 @H-fTiO 2 nanoparticles had a high-efficiency performance for phosphopeptide enrichment. Taken together, the Fe 3 O 4 @H-fTiO 2 nanoparticles will have unique advantages in phosphoproteomics analysis. Copyright © 2018 Elsevier B.V. All rights reserved.
Synthesis of SiCN@TiO2 core-shell ceramic microspheres via PDCs method
NASA Astrophysics Data System (ADS)
Liu, Hongli; Wei, Ning; Li, Jing; Zhang, Haiyuan; Chu, Peng
2018-02-01
A facile and effective polymer-derived ceramics (PDCs) emulsification-crosslinking-pyrolysis method was developed to fabricate SiCN@TiO2 core-shell ceramic microspheres with polyvinylsilazane (PVSZ) and tetrabutyl titanate (TBT) as precursors. The TBT: PVSZ mass ratios, emulsifier concentrations and the pyrolysis temperature were examined as control parameters to tune the size and morphology of microspheres. Scanning electron microscopy (SEM) and transmission electron microscopy (TEM) confirmed the synthesized SiCN@TiO2 microspheres to be comprised of SiCN core coated with TiO2 crystals, with an average size of 0.88 μm when pyrolyzed at 1400 °C. The analysis of Fourier transform infrared spectroscopy (FT-IR), energy dispersive spectroscopy (EDS) and X-ray diffraction (XRD) ensured that SiCN@TiO2 core-shell ceramic microspheres composed of rutile TiO2, β-SiC and Si3N4 crystalline phases, The thermal properties were characterized by thermogravimetric analysis (TGA). The obtained SiCN@TiO2 core-shell ceramic microspheres were the promising candidate of the infrared opacifier in silica aerogels and this technique can be extended to other preceramic polymers.
Band-engineering of TiO2 as a wide-band gap semiconductor using organic chromophore dyes
NASA Astrophysics Data System (ADS)
Wahyuningsih, S.; Kartini, I.; Ramelan, A. H.; Saputri, L. N. M. Z.; Munawaroh, H.
2017-07-01
Bond-engineering as applied to semiconductor materials refers to the manipulation of the energy bands in order to control charge transfer processes in a device. When the device in question is a photoelectrochemical cell, the charges affected by drift become the focus of the study. The ideal band gap of semiconductors for enhancement of photocatalyst activity can be lowered to match with visible light absorption and the location of conduction Band (CB) should be raised to meet the reducing capacity. Otherwise, by the addition of the chromofor organic dyes, the wide-band gab can be influences by interacation resulting between TiO2 surface and the dyes. We have done the impruvisation wide-band gap of TiO2 by the addition of organic chromophore dye, and the addition of transition metal dopand. The TiO2 morphology influence the light absorption as well as the surface modification. The organic chromophore dye was syntesized by formation complexes compound of Co(PAR)(SiPA)(PAR)= 4-(2-piridylazoresorcinol), SiPA = Silyl propil amine). The result showed that the chromophore groups adsorbed onto TiO2 surface can increase the visible light absorption of wide-band gab semiconductor. Initial absorption of a chromophore will affect light penetration into the material surfaces. The use of photonic material as a solar cell shows this phenomenon clearly from the IPCE (incident photon to current conversion efficiency) measurement data. Organic chromophore dyes of Co(PAR)(SiPA) exhibited the long wavelength absorption character compared to the N719 dye (from Dyesol).
Enhanced photoelectrochemical DNA sensor based on TiO2/Au hybrid structure.
Liu, Xing-Pei; Chen, Jing-Shuai; Mao, Chang-Jie; Niu, He-Lin; Song, Ji-Ming; Jin, Bao-Kang
2018-05-23
A novel enhanced photoelectrochemical DNA sensor, based on a TiO 2 /Au hybrid electrode structure, was developed to detect target DNA. The sensor was developed by successively modifying fluorine-tin oxide (FTO) electrodes with TiO 2 nanoparticles, gold (Au) nanoparticles, hairpin DNA (DNA1), and CdSe-COOH quantum dots (QDs), which acted as signal amplification factors. In the absence of target DNA, the incubated DNA1 hairpin and the CdSe-COOH QDs were in close contact with the TiO 2 /Au electrode surface, leading to an enhanced photocurrent intensity due to the sensitization effect. After incubation of the modified electrode with the target DNA, the hairpin DNA changed into a double helix structure, and the CdSe QDs moved away from the TiO 2 /Au electrode surface, leading to a decreased sensitization effect and photoelectrochemical signal intensity. This novel DNA sensor exhibited stable, sensitive and reproducible detection of DNA from 0.1 μM to 10 fM, with a lower detection limit of 3 fM. It provided good specificity, reproducibility, stability and is a promising strategy for the detection of a variety of other DNA targets, for early clinical diagnosis of various diseases. Copyright © 2018 Elsevier B.V. All rights reserved.
NASA Astrophysics Data System (ADS)
Tong, Huifen; Zhou, Yingying; Chang, Gang; Li, Pai; Zhu, Ruizhi; He, Yunbin
2018-06-01
Anatase TiO2 micro-crystals with 51% surface exposing highly active {0 0 1} facets are prepared by hydrothermal synthesis using TiF4 as Ti resource and HF as morphology control agent. In addition, anatase TiO2 single crystals exposing large {0 0 1} crystal facets are facilely synthesized with "green" NaF plus HCl replacing HF for the morphology control. A series of comparative experiments are carried out for separately studying the effects of F- and H+ concentrations on the growth of TiO2 crystals, which have not been understood very much in depth so far. The results indicate that both F- and H+ synergistically affect the synthesis of truncated anatase octahedrons, where F- is preferentially adsorbed on the {0 0 1} facets resulting in lateral growth of these facets and H+ adjusts the growth rate of anatase TiO2 along different orientations by tuning the hydrolysis rate. Based on this information, anatase TiO2 single crystals with small size (1.3 μm) and large exposure of {0 0 1} facets (45%) are successfully prepared under optimal conditions ([H+]/[F-] = 20:1). Photocatalytic activities of the as-prepared products toward methylene blue photo-degradation are further tested. It is revealed that both crystal size and percentage of {0 0 1} facets are decisive for the photocatalytic performance, and the crystals with a small size (1.3 μm) and large exposure of {0 0 1} facets (45%) are catalytically most active. This work has clarified the main factors that control the growth process and morphology of anatase TiO2 single crystals for achieving superior photocatalytic properties.
Ze, Yuguan; Liu, Chao; Wang, Ling; Hong, Mengmeng; Hong, Fashui
2011-11-01
Recent studies demonstrated that titanium dioxide nanoparticles (TiO2 NPs) could significantly promote photosynthesis and plant growth, but its mechanism is still unclear. In this article, we studied the mechanism of light absorption and transfer of chloroplasts of Arabidopsis thaliana caused by TiO2 NPs treated. The results showed that TiO2 NPs could induce significant increases of light-harvesting complex II (LHCII) b gene expression and LHCII II content on the thylakoid membrane in A. thaliana, and the increases in LHCII were higher than the non-nano TiO2 (bulk-TiO2) treatment. Meanwhile, spectroscopy assays indicated that TiO2 NPs obviously increased the absorption peak intensity of the chloroplast in red and blue region, the fluorescence quantum yield near 680 nm, the excitation peak intensity near 440 and 480 nm and/or near 650 and 680 nm of the chloroplast. TiO2 NPs treatment could reduce F480/F440 ratio and increase F650/F680 ratio and accelerate the rate of whole chain electron transport and oxygen evolution of the chloroplast. However, the photosynthesis improvement of the non-nanoTiO2 treatment was far less effective than TiO2 NPs treatment. Taken together, TiO2 NPs could promote the light absorption of chloroplast, regulate the distribution of light energy from PS I to PS II by increasing LHCII and accelerate the transformation from light energy to electronic energy, water photolysis, and oxygen evolution.
TiO2-SiO2 Coatings with a Low Content of AuNPs for Producing Self-Cleaning Building Materials
Gil, M. L. Almoraima; Mosquera, María J.
2018-01-01
The high pollution levels in our cities are producing a significant increase of dust on buildings. An application of photoactive coatings on building materials can produce buildings with self-cleaning surfaces. In this study, we have developed a simple sol-gel route for producing Au-TiO2/SiO2 photocatalysts with application on buildings. The gold nanoparticles (AuNPs) improved the TiO2 photoactivity under solar radiation because they promoted absorption in the visible range. We varied the content of AuNPs in the sols under study, in order to investigate their effect on self-cleaning properties. The sols obtained were sprayed on a common building stone, producing coatings which adhere firmly to the stone and preserve their aesthetic qualities. We studied the decolourization efficiency of the photocatalysts under study against methylene blue and against soot (a real staining agent for buildings). Finally, we established that the coating with an intermediate Au content presented the best self-cleaning performance, due to the role played by its structure and texture on its photoactivity. PMID:29558437
NASA Astrophysics Data System (ADS)
Loan, Trinh Thi; Bang, Ngac An; Huong, Vu Hoang; Long, Nguyen Ngoc
2017-07-01
TiO2 powders doped with different amounts of Cr3+ions (from 0 to 10 mol%) have been prepared by hydrothermal technique. TiO2:Cr3+ powders were characterized by X-ray diffraction, Raman spectroscopy, transmission electron microscopy, diffuse reflection, absorption, photoluminescence and photoluminescence excitation spectra. The results showed that the Cr3+ dopant concentrations did not affect on the lattice constants of TiO2 crystal, but affected on shift and broadening of the Raman modes for both anatase and rutile phases. The band gap of both the anatase and rutile TiO2 host lattice was strongly decreased with increasing Cr3+ dopant concentration. The photoluminescence spectra of TiO2:Cr3+ anatase phase exhibited a weak narrow peak (the so-called R-line) at 698 nm, meanwhile those of TiO2:Cr3+ rutile phase consisted of a very intense narrow zero-phonon R-line at 695 nm assigned to the 2E(2G) → 4A2(4F) transition of Cr3+ ions in strong octahedral field and its phonon-sidebands. In particular, in the PL spectrum of TiO2:Cr3+ rutile phase is also observed an abroad emission band centered at 813 nm assigned to the 4T2(4F) → 4A2(4F) transition of ions Cr3+ in weak octahedral field.
Hierarchical heterostructure of MoS2 flake anchored on TiO2 sphere for supercapacitor application
NASA Astrophysics Data System (ADS)
Chanda, K.; Thakur, S.; Maiti, S.; Acharya, A.; Paul, T.; Besra, N.; Sarkar, S.; Das, A.; Sardar, K.; Chattopadhyay, K. K.
2018-05-01
Hierarchical architectures realized via rational coupling of several components not only boast synergy driven raised functionality compared to their structural constituents also exhibit noble interface phenomena, thus made them significantly pertinent from research and technological point of view. Here in, geometrically intricate hierarchical nanoform constituting MoS2 nanoflakes anchored on TiO2 sphere was realized via two steps hydrothermal protocol. Initially TiO2 sphere was synthesized using titanium isopropoxide assisted hydrothermal route followed by which the sphere was used as scaffold for secondary growth of MoS2. As synthesized hybrid sample displayed much improved electrochemical behavior than pristine TiO2 sphere. Assessed value of specific capacitance for the hybrid is found to 152.22 F/g at current density of 0.1A/g which is 30 fold than TiO2 sphere. This electrochemical performance enhancement can be accredited to high surface area of the hybrid sample.
Ananpattarachai, Jirapat; Seraphin, Supapan; Kajitvichyanukul, Puangrat
2016-02-01
This work reports on synthesis, characterization, adsorption ability, formation rate of hydroxyl radicals (OH(•)), photocatalytic oxidation kinetics, and mineralization ability of C-doped titanium dioxide (TiO2), N-doped TiO2, and C,N co-doped TiO2 prepared by the sol-gel method. X-ray diffraction (XRD), scanning electron microscopy (SEM), transmission electron microscopy (TEM), X-ray photoelectron spectroscopy (XPS), and UV-visible spectroscopy were used to analyze the titania. The rate of formation of OH(•) for each type of titania was determined, and the OH-index was calculated. The kinetics of as-synthesized TiO2 catalysts in photocatalytic oxidation of 2-chlorophenol (2-CP) under visible light irradiation were evaluated. Results revealed that nitrogen was incorporated into the lattice of titania with the structure of O-Ti-N linkages in N-doped TiO2 and C,N co-doped TiO2. Carbon was joined to the Ti-O-C bond in the C-doped TiO2 and C,N co-doped TiO2. The 2-CP adsorption ability of C,N co-doped TiO2 and C-doped TiO2 originated from a layer composed of a complex carbonaceous mixture at the surface of TiO2. C,N co-doped TiO2 had highest formation rate of OH(•) and photocatalytic activity due to a synergistic effect of carbon and nitrogen co-doping. The order of photocatalytic activity per unit surface area was the same as that of the formation rate of OH(•) unit surface area in the following order: C,N co-doped TiO2 > C-doped TiO2 > N-doped TiO2 > undoped TiO2.
Ates, Mehmet; Daniels, James; Arslan, Zikri; Farah, Ibrahim O.
2012-01-01
Aquatic stability and impact of titanium dioxide nanoparticles (TiO2 NPs, 10-30 nm) was investigated using Artemia salina. Acute exposure was conducted on nauplii (larvae) and adults in seawater in a concentration range from 10 to 100 mg/L TiO2 NPs for 24 h and 96 h. Rapid aggregation occurred in all suspensions of TiO2 NPs to form micrometer size particles. Yet, both nauplii and adults accumulated the aggregates significantly. Average TiO2 content in nauplii ranged from 0.47 to 3.19 mg/g and from 1.29 to 4.43 mg/g in 24 h and 96 h, respectively. Accumulation in adults was higher ranging from 2.30 to 4.19 mg/g and from 4.38 to 6.20 mg/g in 24 h and 96 h, respectively. Phase contrast microscopy images revealed that Artemia were unable to excrete the particles. Thus, the TiO2 aggregates filled inside the guts. No significant mortality or toxicity occurred within 24 h at any dose. Lipid peroxidation levels characterized with malondialdehyde (MDA) concentrations were not statistically different from those of the controls (p>0.05). These results suggested that suspensions of the TiO2 NPs were nontoxic to Artemia, most likely due to the formation of benign TiO2 aggregates in water. In contrast, both mortality and lipid peroxidation increased in extended exposure to 96 h. Highest mortality occurred in 100 mg/L TiO2 NP suspensions; 18% for nauplii and 14% for adults (LC50 > 100 mg/L). These effects were attributed to the particle loading inside the guts leading to oxidative stress as a result of impaired food uptake for a long period of time. PMID:22810381
Wu, Liangzhuan; Yu, Yuan; Zhang, Yuan; Li, Yuzhen; Zhang, Yang; Zhi, Jinfang
2012-03-01
Architected nanostructures with interior space have attracted enormous attention due to both their esthetic beauty and their potential applications. It is a current dream to develop a template-free, one-pot and low-temperature synthetic routes for hetero-architecture in liquid media. In this manuscript, we develop a kind of template-free, low-temperature, and one-pot total synthetic strategy for synthesis of inorganic multi-component hetero-architecture. This synthetic strategy analogous to standard organic reactions used in total synthesis is an important breakthrough in inorganic chemical synthesis. We can achieve 1 kilogram (kg) yield of the TiO(2)@void@SiO(2) core-shell sphere one time by using this synthetic strategy, which may lead to practical applications of the sample. By embodying the new reaction and concept into future investigation, a more mature research field in synthetic architecture of nanomaterials can be anticipated. Copyright © 2011 Elsevier Inc. All rights reserved.
Multivalent Mn-doped TiO2 thin films
NASA Astrophysics Data System (ADS)
Lin, C. Y. W.; Channei, D.; Koshy, P.; Nakaruk, A.; Sorrell, C. C.
2012-07-01
Thin films of TiO2 doped with Mn were deposited on F-doped SnO2-coated glass using spin coating. The concentration of the dopant was in the range 0-7 wt% Mn (metal basis). The films were examined in terms of the structural, chemical, and optical properties. Glancing angle X-ray diffraction data show that the films consisted of the anatase polymorph of TiO2, without any contaminant phases. The X-ray photoelectron spectroscopy data indicate the presence of Mn3+ and Mn4+ in the doped films as well as atomic disorder and associated structural distortion. Ultraviolet-visible spectrophotometry data show that the optical indirect band gap of the films decreased significantly with increasing manganese doping, from 3.32 eV for the undoped composition to 2.90 eV for that doped with 7 wt% Mn.
Fox, Austin J; Drawl, Bill; Fox, Glen R; Gibbons, Brady J; Trolier-McKinstry, Susan
2015-01-01
Optimized processing conditions for Pt/TiO2/SiO2/Si templating electrodes were investigated. These electrodes are used to obtain [111] textured thin film lead zirconate titanate (Pb[ZrxTi1-x ]O3 0 ≤ x ≤ 1) (PZT). Titanium deposited by dc magnetron sputtering yields [0001] texture on a thermally oxidized Si wafer. It was found that by optimizing deposition time, pressure, power, and the chamber pre-conditioning, the Ti texture could be maximized while maintaining low surface roughness. When oxidized, titanium yields [100]-oriented rutile. This seed layer has as low as a 4.6% lattice mismatch with [111] Pt; thus, it is possible to achieve strongly oriented [111] Pt. The quality of the orientation and surface roughness of the TiO2 and the Ti directly affect the achievable Pt texture and surface morphology. A transition between optimal crystallographic texture and the smoothest templating surface occurs at approximately 30 nm of original Ti thickness (45 nm TiO2). This corresponds to 0.5 nm (2 nm for TiO2) rms roughness as determined by atomic force microscopy and a full-width at half-maximum (FWHM) of the rocking curve 0002 (200) peak of 5.5/spl degrees/ (3.1/spl degrees/ for TiO2). A Pb[Zr0.52Ti 0.48]O3 layer was deposited and shown to template from the textured Pt electrode, with a maximum [111] Lotgering factor of 87% and a minimum 111 FWHM of 2.4/spl degrees/ at approximately 30 nm of original Ti.
Detection of SiO2 nanoparticles in lung tissue by ToF-SIMS imaging and fluorescence microscopy.
Veith, Lothar; Vennemann, Antje; Breitenstein, Daniel; Engelhard, Carsten; Wiemann, Martin; Hagenhoff, Birgit
2017-07-10
The direct detection of nanoparticles in tissues at high spatial resolution is a current goal in nanotoxicology. Time-of-Flight Secondary Ion Mass Spectrometry (ToF-SIMS) is widely used for the direct detection of inorganic and organic substances with high spatial resolution but its capability to detect nanoparticles in tissue sections is still insufficiently explored. To estimate the applicability of this technique for nanotoxicological questions, comparative studies with established techniques on the detection of nanoparticles can offer additional insights. Here, we compare ToF-SIMS imaging data with sub-micrometer spatial resolution to fluorescence microscopy imaging data to explore the usefulness of ToF-SIMS for the detection of nanoparticles in tissues. SiO 2 nanoparticles with a mean diameter of 25 nm, core-labelled with fluorescein isothiocyanate, were intratracheally instilled into rat lungs. Subsequently, imaging of lung cryosections was performed with ToF-SIMS and fluorescence microscopy. Nanoparticles were successfully detected with ToF-SIMS in 3D microanalysis mode based on the lateral distribution of SiO 3 - (m/z 75.96), which was co-localized with the distribution pattern that was obtained from nanoparticle fluorescence. In addition, the lateral distribution of protein (CN - , m/z 26.00) and phosphate based signals (PO 3 - , m/z 78.96) originating from the tissue material could be related to the SiO 3 - lateral distribution. In conclusion, ToF-SIMS is suitable to directly detect and laterally resolve SiO 2 nanomaterials in biological tissue at sufficient intensity levels. At the same time, information about the chemical environment of the nanoparticles in the lung tissue sections is obtained.
Chen, Guihua; Wang, Yong; Zhang, Juihui; Wu, Chenglin; Liang, Huading; Yang, Hui
2012-05-01
A series of nitrogen and erbium co-doped TiO2 photocatalyst was prepared by sol-hydrothermal method. The structure and properties of the photocatalyst were characterized by X-ray diffraction (XRD), Brunauer-Emmett-Teller (BET) method, X-ray photoelectron spectroscopy (XPS), and UV-vis diffuse reflectance spectra (DRS). The XRD and BET results showed that co-doping inhibited the increase of crystallite size and enlarged specific surface areas. XPS spectroscopy indicated nitrogen atoms were incorporated into TiO2 lattice, and erbium atoms mostly existed in the forms of Er2O3. A shift of the absorption edge to the lower energy and four absorption bands located at 654, 544, 524 and 489 nm attributed to the 4f transitions of 4I15/2 --> 4F2/9, 4I15/2 --> 4S3/2, 4I15/2 --> 2H11/2, 4I15/2 --> 4F7/2 of Er3+ were observed using DRS spectroscopy. The catalytic efficency was evaluated by the photocatalytic degradation of methyl orange (MO) under visible light irradiation. The results showed that the photocatalytic performance of the co-doped TiO2 was related with the hydrothermal temperature and the molar ratio of N/Ti, and they showed higher acitivites than pure TiO2. Results determined by fluorescence technique revealed that irradiation (lambda > 400 nm) of TiO2 photocatalyst dispersed in MO solution induces the generation of the highly active hydroxyl radicals (OH). It indicated the photocatalytic activities of TiO2 photocatalyst were correlation with the formation rate of hydroxyl radicals (OH) and other active oxygen species.
NASA Astrophysics Data System (ADS)
Duan, Sheng-chao; Li, Chuang; Guo, Han-jie; Guo, Jing; Han, Shao-wei; Yang, Wen-sheng
2018-04-01
The demanganization reaction kinetics of carbon-saturated liquid iron with an eight-component slag consisting of CaO-SiO2-MgO-FeO-MnO-Al2O3-TiO2-CaF2 was investigated at 1553, 1623, and 1673 K in this study. The rate-controlling step (RCS) for the demanganization reaction with regard to the hot metal pretreatment conditions was studied via kinetics analysis based on the fundamental equation of heterogeneous reaction kinetics. From the temperature dependence of the mass transfer coefficient of a transition-metal oxide (MnO), the apparent activation energy of the demanganization reaction was estimated to be 189.46 kJ·mol-1 in the current study, which indicated that the mass transfer of MnO in the molten slag controlled the overall rate of the demanganization reaction. The calculated apparent activation energy was slightly lower than the values reported in the literature for mass transfer in a slag phase. This difference was attributed to an increase in the "specific reaction interface" (SRI) value, either as a result of turbulence at the reaction interface or a decrease of the absolute amount of slag phase during sampling, and to the addition of calcium fluoride to the slag.
Devarapalli, Rami Reddy; Debgupta, Joyashish; Pillai, Vijayamohanan K.; Shelke, Manjusha V.
2014-01-01
One-dimensional heterostructure nanoarrays are efficiently promising as high performance electrodes for photo electrochemical (PEC) water splitting applications, wherein it is highly desirable for the electrode to have a broad light absorption, efficient charge separation and redox properties as well as defect free surface with high area suitable for fast interfacial charge transfer. We present highly active and unique photoelectrode for solar H2 production, consisting of silicon nanowires (SiNWs)/TiO2 core-shell structures. SiNWs are passivated to reduce defect sites and protected against oxidation in air or water by forming very thin carbon layer sandwiched between SiNW and TiO2 surfaces. This carbon layer decreases recombination rates and also enhances the interfacial charge transfer between the silicon and TiO2. A systematic investigation of the role of SiNW length and TiO2 thickness on photocurrent reveals enhanced photocurrent density up to 5.97 mA/cm2 at 1.0 V vs.NHE by using C@SiNW/TiO2 nanoarrays with photo electrochemical efficiency of 1.17%. PMID:24810865
NASA Astrophysics Data System (ADS)
Wang, Chuan; Liu, Hong; Liu, Yuan; He, Guang'an; Jiang, Chengchun
2014-11-01
TiO2 microspheres have been employed as a promisingly new photocatalyst for water and wastewater treatment. P25 TiO2 is commonly employed and its properties are well established as photocatalyst. In this study, photocatalytic activities of the two TiO2 samples are compared by degrading sulfosalicylic acid (SSA), phenol, and 2,4-Dichlorophenoxyacetic acid (2,4-D) under 365 nm UV illumination in a suspension system at neutral pH and associated optimized TiO2 dosages. The results showed that the three organic compounds unexceptionally degraded more rapidly on P25 than on TiO2 microspheres in terms of the concentration-time curves and total organic carbon removals at 120 min. This might me attributed the presence of oxygen vacancies and Ti(III) defects already present on P25 as determined by electron paramagnetic resonance, implying that the defects played an important role for the enhancement of the charge transfer step as rate-determining step. The degradations of three organic compounds on P25 and TiO2 microspheres could be well described by the first-order rate equation, while the degradation kinetics of SSA on TiO2 microspheres was quite different. The difference was ascribed to the medium adsorption ability of SSA on the TiO2 surface.
Wang, Xin; Xue, Jianyue; Wang, Xinyun; Liu, Xiaoheng
2017-01-01
TiO2-SiO2 composites were synthesized using cetyl trimethyl ammonium bromide (CTAB) as the structure directing template. Self-assembly hexadecyltrimethyl- ammonium bromide TiO2-SiO2/(CTAB) were soaked into silver nitrate (AgNO3) aqueous solution. The Ag-TiO2-SiO2(Ag-TS) composite were prepared via a precipitation of AgBr in soaking process and its decomposition at calcination stage. Structural characterization of the materials was carried out by various techniques including X-ray diffraction (XRD), scanning electron microscopy (SEM), transmission electron microscopy (TEM), N2 adsorption-desorption and ultraviolet visible spectroscopy (UV-Vis). Characterization results revealed that Ag particles were incorporated into hierarchical TiO2-SiO2 without significantly affecting the structures of the supports. Further heating-treatment at 723 K was more favorable for enhancing the stability of the Ag-TS composite. The cyclohexene oxide was the major product in the epoxidation using H2O2 as the oxidant over the Ag-TS catalysts. Besides, the optimum catalytic activity and stability of Ag-TS catalysts were obtained under operational conditions of calcined at 723 K for 2 h, reaction time of 120 min, reaction temperature of 353 K, catalyst amount of 80 mg, aqueous H2O2 (30 wt.%) as oxidant and chloroform as solvent. High catalytic activity with conversion rate up to 99.2% of cyclohexene oxide could be obtainable in water-bathing. The catalyst was found to be stable and could be reused three times without significant loss of catalytic activity under the optimized reaction conditions. PMID:28493879
NASA Astrophysics Data System (ADS)
Szkoda, Mariusz; Siuzdak, Katarzyna; Lisowska-Oleksiak, Anna
2016-10-01
Titanium dioxide is a well-known photoactive semiconductor with a variety of possible applications. The procedure of pollutant degradation is mainly performed using TiO2 powder suspension. It can also be exploited an immobilized catalyst on a solid support. Morphology and chemical doping have a great influence on TiO2 activity under illumination. Here we compare photoactivity of titania nanotube arrays doped with non-metal atoms: nitrogen, iodine and boron applied for photodegradation of organic dye - methylene blue and terephtalic acid. The doped samples act as a much better photocatalyst in the degradation process of methylene blue and lead to the formation of much higher amount of hydroxyl radicals (•OH) than undoped TiO2 nanotube arrays. The use of a catalyst active under solar light illumination in the form of thin films on a stable substrate can be scaled up for an industrial application.
NASA Astrophysics Data System (ADS)
Gyanan; Mondal, Sandip; Kumar, Arvind
2016-12-01
Post-deposition annealing (PDA) is an inherent part of a sol-gel fabrication process to achieve the optimum device performance, especially in CMOS applications. Annealing removes the oxygen vacancies and improves the structural order of the dielectric films. The process also reduces the interface related defects and improves the interfacial properties. Here, we applied a sol-gel spin-coating technique to prepare high-k TiO2 films on the p-Si substrate. These films were fired at 400 °C for the duration of 20, 40, 60 and 80 min to know the effects of annealing time on the device characteristics. The current-voltage (I-V) and capacitance-voltage (C-V) characteristics of annealed TiO2 films were examined in Al/TiO2/p-Si device configuration at room temperature. The 60 min annealed film gives the optimum performance and contained 69.5% anatase and 39.5% rutile phase with refractive index 2.40 at 550 nm. The C-V and I-V characteristic showed a significant dependence on annealing time such as variation in dielectric constant and leakage current. This allows us to tune the various electrical properties of MOS systems. The accumulation capacitance (Cox), dielectric constant (κ) and the equivalent oxide thickness (EOT) of the film fired for 60 min were found to be 458 pF, 33, and 4.25 nm, respectively with a low leakage current density (3.13 × 10-7 A/cm2) fired for 80 min at -1 V. The current conduction mechanisms at high bias voltage were dominated by trap-charge limited current (TCLC), while at small voltages, space charge limited current (SCLC) was more prominent.
TiO2 Nanostructure Synthesized by Sol-Gel for Dye Sensitized Solar Cells as Renewable Energy Source
NASA Astrophysics Data System (ADS)
Ramelan, A. H.; Wahyuningsih, S.; Saputro, S.; Supriyanto, E.; Hanif, Q. A.
2017-02-01
The use of renewable materials as a constituent of a smart alternative energy such as the use of natural dyes for light harvesting needs to be developed. Synthesis of anatase titanium dioxide (TiO2) and fabrication Dye-Sensitized Solar Cell (DSSC) using dye-based of anthocyanin from purple sweet potato (Ipomoea batatas L.) as a photosensitizer had been done. Synthesis TiO2 through sol-gel process with the addition of triblock copolymer Pluronic F127 template was controlled at pH 3 whereas calcination was carried out at a temperature of 500 °C, 550 °C and 600 °C. The obtained TiO2 were analyzed by XRD, SAA, and SEM. The conclusion is anatase TiO2 obtained until annealing up to 600 °C. Self-assembly Pluronic F127 triblock copolymer capable of restraining the growth of TiO2 crystals. Retention growth of TiO2 mesoporous produces material character that can be used as builders photoanode DSSC with natural sensitizer anthocyanin from purple sweet potatoes. Based on the analysis of X-ray diffraction patterns and surface area analyser, the higher the calcination temperature the greater the size of the anatase crystals is obtained, however, the smaller its surface area. Purple sweet potato anthocyanin’s dyed on to TiO2 was obtained a good enough performance for DSSC’s and gain the optimum performance from DSSC’s system built with mesoporous TiO2 annealed 550 °C using flavylium form anthocyanin.
Kumar, Ashutosh; Khan, Musharib; Fang, Liping; Lo, Irene M C
2017-07-24
TiO 2 -based photocatalysis offers certain advantages like rapid degradation and mineralization of organic compounds. However, the practical applicability of photocatalysts in degradation of pharmaceuticals and personal care products (PPCPs) is still restricted by challenges including their limited photocatalytic activity under visible light and difficulty in their separation from suspension. To overcome these challenges, a visible-light-driven magnetic N-TiO 2 @SiO 2 @Fe 3 O 4 nanophotocatalyst was developed through fine-tuning the pertinent factors (calcination temperature, Fe 3 O 4 loading, and nitrogen doping) involved during synthesis process, on the basis of degradation of ibuprofen (a typical PPCP). The TEM-EDX, XRD and XPS analyses confirmed the successful synthesis of nanophotocatalyst. By comparing nanophotocatalyst's performance on ibuprofen under two visible light sources, i.e., compact fluorescent lamps (CFLs) and light emitting diodes (LEDs) of similar irradiance, CFLs of irradiance 320μWcm -2 and peak emissive wavelength 543nm served as a better source, resulting in 94% degradation. Furthermore, 93% of benzophenone-3 within 5h and 71% of carbamazepine within 9h was degraded under visible light emitted by CFLs. The superparamagnetic behavior of the nanophotocatalyst enabled its successful magnetic separation (95% efficiency) from the suspension within 20-25min under an electromagnetic field of ∼200mT. Copyright © 2017 Elsevier B.V. All rights reserved.
Low Temperature Deposition of PECVD Polycrystalline Silicon Thin Films using SiF4 / SiH4 mixture
NASA Astrophysics Data System (ADS)
Syed, Moniruzzaman; Inokuma, Takao; Kurata, Yoshihiro; Hasegawa, Seiichi
2016-03-01
Polycrystalline silicon films with a strong (110) texture were prepared at 400°C by a plasma-enhanced chemical vapor deposition using different SiF4 flow rates ([SiF4] = 0-0.5 sccm) under a fixed SiH4 flow rate ([SiH4] = 1 or 0.15 sccm). The effects of the addition of SiF4 to SiH4 on the structural properties of the films were studied by Raman scattering, X-ray diffraction (XRD), Atomic force microscopy and stress measurements. For [SiH4] = 1 sccm, the crystallinity and the (110) XRD grain size monotonically increased with increasing [SiF4] and their respective maxima reach 90% and 900 Å. However, for [SiH4] = 0.15 sccm, both the crystallinity and the grain size decreased with [SiF4]. Mechanisms causing the change in crystallinity are discussed, and it was suggested that an improvement in the crystallinity, due to the addition of SiF4, is likely to be caused by the effect of a change in the surface morphology of the substrates along with the effect of in situ chemical cleaning.
Band edge engineering of TiO2@DNA nanohybrids and implications for capacitive energy storage devices
NASA Astrophysics Data System (ADS)
Imani, Roghayeh; Pazoki, Meysam; Tiwari, Ashutosh; Boschloo, G.; Turner, Anthony P. F.; Kralj-Iglič, V.; Iglič, Aleš
2015-06-01
Novel mesoporous TiO2@DNA nanohybrid electrodes, combining covalently encoded DNA with mesoporous TiO2 microbeads using dopamine as a linker, were prepared and characterised for application in supercapacitors. Detailed information about donor density, charge transfer resistance and chemical capacitance, which have an important role in the performance of an electrochemical device, were studied by electrochemical methods. The results indicated the improvement of electrochemical performance of the TiO2 nanohybrid electrode by DNA surface functionalisation. A supercapacitor was constructed from TiO2@DNA nanohybrids with PBS as the electrolyte. From the supercapacitor experiment, it was found that the addition of DNA played an important role in improving the specific capacitance (Cs) of the TiO2 supercapacitor. The highest Cs value of 8 F g-1 was observed for TiO2@DNA nanohybrids. The nanohybrid electrodes were shown to be stable over long-term cycling, retaining 95% of their initial specific capacitance after 1500 cycles.Novel mesoporous TiO2@DNA nanohybrid electrodes, combining covalently encoded DNA with mesoporous TiO2 microbeads using dopamine as a linker, were prepared and characterised for application in supercapacitors. Detailed information about donor density, charge transfer resistance and chemical capacitance, which have an important role in the performance of an electrochemical device, were studied by electrochemical methods. The results indicated the improvement of electrochemical performance of the TiO2 nanohybrid electrode by DNA surface functionalisation. A supercapacitor was constructed from TiO2@DNA nanohybrids with PBS as the electrolyte. From the supercapacitor experiment, it was found that the addition of DNA played an important role in improving the specific capacitance (Cs) of the TiO2 supercapacitor. The highest Cs value of 8 F g-1 was observed for TiO2@DNA nanohybrids. The nanohybrid electrodes were shown to be stable over long-term cycling
Effect of particle size on band gap and DC electrical conductivity of TiO2 nanomaterial
NASA Astrophysics Data System (ADS)
Avinash, B. S.; Chaturmukha, V. S.; Jayanna, H. S.; Naveen, C. S.; Rajeeva, M. P.; Harish, B. M.; Suresh, S.; Lamani, Ashok R.
2016-05-01
Materials reduced to the Nano scale can exhibit different properties compared to what they exhibit on a micro scale, enabling unique applications. When TiO2 is reduced to Nano scale it shows unique properties, of which the electrical aspect is highly important. This paper presents increase in the energy gap and decrease in conductivity with decrease in particle size of pure Nano TiO2 synthesized by hydrolysis and peptization of titanium isopropoxide. Aqueous solution with various pH and peptizing the resultant suspension will form Nano TiO2 at different particle sizes. As the pH of the solution is made acidic reduction in the particle size is observed. And it is confirmed from XRD using Scherer formula and SEM, as prepared samples are studied for UV absorbance, and DC conductivity from room temperature to 400°C. From the tauc plot it was observed, and calculated the energy band gap increases as the particle size decreases and shown TiO2 is direct band gap. From Arrhenius plot clearly we encountered, decrease in the conductivity for the decrease in particle size due to hopping of charge carriers and it is evident that, we can tailor the band gap by varying particle size.
Ferrate(VI) enhanced photocatalytic oxidation of pollutants in aqueous TiO2 suspensions.
Sharma, Virender K; Graham, Nigel J D; Li, Xiang-Zhong; Yuan, Bao-Ling
2010-02-01
Photocatalytic oxidation using UV irradiation of TiO(2) has been studied extensively and has many potential industrial applications, including the degradation of recalcitrant contaminants in water and wastewater treatment. A limiting factor in the oxidation process is the recombination of conduction band electrons (e(-)(cb)) with electron holes (h(vb)(+)) on the irradiated TiO(2) surface; thus, in aqueous conditions, the presence of an effective electron scavenger will be beneficial to the efficiency of the oxidation process. Ferrate (FeO(4)(2-)) has received much recent attention as a water treatment chemical since it behaves simultaneously as an oxidant and coagulant. The combination of ferrate [Fe(VI)] with UV/TiO(2) photocatalysis offers an oxidation synergism arising from the Fe(VI) scavenging of e(-)(cb) and the corresponding beneficial formation of Fe(V) from the Fe(VI) reduction. This paper reviews recent studies concerning the photocatalytic oxidation of problematic pollutants with and without ferrate. The paper reviews the published results of laboratory experiments designed to follow the photocatalytic degradation of selected contaminants of environmental significance and the influence of the experimental conditions (e.g. pH, reactant concentrations and dissolved oxygen). The specific compounds are as follows: ammonia, cyanate, formic acid, bisphenol-A, dibutyl- and dimethyl-phthalate and microcystin-LR. The principal focus in these studies has been on the rates of reaction rather than on reaction pathways and products. The presence of UV/TiO(2) accelerates the chemical reduction of ferrate, and the reduction rate decreases with pH owing to deprotonation of ferrate ion. For all the selected contaminant substances, the photocatalytic oxidation rate was greater in the presence of ferrate, and this was believed to be synergistic rather than additive. The presence of dissolved oxygen in solution reduced the degradation rate of dimethyl phthalate in the
Xing, Mingyang; Zhang, Jinlong; Qiu, Bocheng; Tian, Baozhu; Anpo, Masakazu; Che, Michel
2015-04-24
A brown mesoporous TiO2-x /MCF composite with a high fluorine dopant concentration (8.01 at%) is synthesized by a vacuum activation method. It exhibits an excellent solar absorption and a record-breaking quantum yield (Φ = 46%) and a high photon-hydrogen energy conversion efficiency (η = 34%,) for solar photocatalytic H2 production, which are all higher than that of the black hydrogen-doped TiO2 (Φ = 35%, η = 24%). The MCFs serve to improve the adsorption of F atoms onto the TiO2 /MCF composite surface, which after the formation of oxygen vacancies by vacuum activation, facilitate the abundant substitution of these vacancies with F atoms. The decrease of recombination sites induced by high-concentration F doping and the synergistic effect between lattice Ti(3+)-F and surface Ti(3+)-F are responsible for the enhanced lifetime of electrons, the observed excellent absorption of solar light, and the photocatalytic production of H2 for these catalysts. The as-prepared F-doped composite is an ideal solar light-driven photocatalyst with great potential for applications ranging from the remediation of environmental pollution to the harnessing of solar energy for H2 production. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
Dehybridization of f and d states in the heavy-fermion system YbRh 2 Si 2
Leuenberger, D.; Sobota, J. A.; Yang, S. -L.; ...
2018-04-06
Here, we report an optically induced reduction of the f-d hybridization in the prototypical heavy-fermion compound YbRh 2Si 2. We use femtosecond time- and angle-resolved photoemission spectroscopy to monitor changes of spectral weight and binding energies of the Yb 4f and Rh 4d states before the lattice temperature increases after pumping. Overall, the f-d hybridization decreases smoothly with increasing electronic temperature up to ~ 250 K but changes slope at ~ 100 K. This temperature scale coincides with the onset of coherent Kondo scattering and with thermally populating the first excited crystal electrical field level. Extending previous photoemission studies, wemore » observe a persistent f-d hybridization up to at least ~ 250 K, which is far larger than the coherence temperature defined by transport but in agreement with the temperature dependence of the noninteger Yb valence. Our data underlines the distinction of probes accessing spin and charge degrees of freedom in strongly correlated systems.« less
Dehybridization of f and d states in the heavy-fermion system YbRh 2 Si 2
DOE Office of Scientific and Technical Information (OSTI.GOV)
Leuenberger, D.; Sobota, J. A.; Yang, S. -L.
Here, we report an optically induced reduction of the f-d hybridization in the prototypical heavy-fermion compound YbRh 2Si 2. We use femtosecond time- and angle-resolved photoemission spectroscopy to monitor changes of spectral weight and binding energies of the Yb 4f and Rh 4d states before the lattice temperature increases after pumping. Overall, the f-d hybridization decreases smoothly with increasing electronic temperature up to ~ 250 K but changes slope at ~ 100 K. This temperature scale coincides with the onset of coherent Kondo scattering and with thermally populating the first excited crystal electrical field level. Extending previous photoemission studies, wemore » observe a persistent f-d hybridization up to at least ~ 250 K, which is far larger than the coherence temperature defined by transport but in agreement with the temperature dependence of the noninteger Yb valence. Our data underlines the distinction of probes accessing spin and charge degrees of freedom in strongly correlated systems.« less
Dehybridization of f and d states in the heavy-fermion system YbRh2Si2
NASA Astrophysics Data System (ADS)
Leuenberger, D.; Sobota, J. A.; Yang, S.-L.; Pfau, H.; Kim, D.-J.; Mo, S.-K.; Fisk, Z.; Kirchmann, P. S.; Shen, Z.-X.
2018-04-01
We report an optically induced reduction of the f -d hybridization in the prototypical heavy-fermion compound YbRh2Si2 . We use femtosecond time- and angle-resolved photoemission spectroscopy to monitor changes of spectral weight and binding energies of the Yb 4 f and Rh 4 d states before the lattice temperature increases after pumping. Overall, the f -d hybridization decreases smoothly with increasing electronic temperature up to ˜250 K but changes slope at ˜100 K . This temperature scale coincides with the onset of coherent Kondo scattering and with thermally populating the first excited crystal electrical field level. Extending previous photoemission studies, we observe a persistent f -d hybridization up to at least ˜250 K , which is far larger than the coherence temperature defined by transport but in agreement with the temperature dependence of the noninteger Yb valence. Our data underlines the distinction of probes accessing spin and charge degrees of freedom in strongly correlated systems.
Zhang, Zewu; Zhou, Yuming; Zhang, Yiwei; Zhou, Shijian; Shi, Junjun; Kong, Jie; Zhang, Sicheng
2013-04-14
Mesoporous anatase-phase TiO2 hollow shells were successfully fabricated by the solvothermal and calcination process. This method involves preparation of SiO2@TiO2 core-shell colloidal templates, sequential deposition of carbon and then silica layers through solvothermal and sol-gel processes, crystallization of TiO2 by calcination and finally removal of the inner and outer silica to produce hollow anatase TiO2 shells. The prepared samples were characterized by transmission electron microscopy, X-ray diffraction, N2 adsorption-desorption isotherms and UV-vis absorption spectroscopy. The results show that a uniform carbon layer is coated on the core-shell particles through the solvothermal process. The combustion of carbon offers the space for the TiO2 to further grow into large crystal grains, and the outer silica layer serves as a barrier against the excessive growth of anatase TiO2 nanocrystals. Furthermore, the initial crystallization of TiO2 generated in the carbon coating step and the heat generated by the combustion of the carbon layer allow the crystallization of TiO2 at a relatively low temperature without changing the uniform structure. When used as photocatalysts for the oxidation decomposition of Rhodamine B in aqueous solution under UV irradiation, the hollow TiO2 shells showed enhanced catalytic activity. Moreover, the TiO2 hollow shells prepared with optimal crystallinity by this method showed a higher performance than commercial P25 TiO2.
NASA Astrophysics Data System (ADS)
Wang, Chenglin; Wang, Mengye; Xie, Kunpeng; Wu, Qi; Sun, Lan; Lin, Zhiqun; Lin, Changjian
2011-07-01
Microarrays of N-doped flower-like TiO2 composed of well-defined multilayer nanoflakes were synthesized at room temperature by electrochemical anodization of Ti in NH4F aqueous solution. The TiO2 flowers were of good anatase crystallinity. The effects of anodizing time, applied voltage and NH4F concentration on the flower-like morphology were systematically examined. It was found that the morphologies of the anodized Ti were related to the anodizing time and NH4F concentration. The size and density of the TiO2 flowers could be tuned by changing the applied voltage. The obtained N-doped flower-like TiO2 microarrays exhibited intense absorption in wavelengths ranging from 320 to 800 nm. Under both UV and visible light irradiation, the photocatalytic activity of the N-doped flower-like TiO2 microarrays in the oxidation of methyl orange showed a significant increase compared with that of commercial P25 TiO2 film.
Wang, Chenglin; Wang, Mengye; Xie, Kunpeng; Wu, Qi; Sun, Lan; Lin, Zhiqun; Lin, Changjian
2011-07-29
Microarrays of N-doped flower-like TiO(2) composed of well-defined multilayer nanoflakes were synthesized at room temperature by electrochemical anodization of Ti in NH(4)F aqueous solution. The TiO(2) flowers were of good anatase crystallinity. The effects of anodizing time, applied voltage and NH(4)F concentration on the flower-like morphology were systematically examined. It was found that the morphologies of the anodized Ti were related to the anodizing time and NH(4)F concentration. The size and density of the TiO(2) flowers could be tuned by changing the applied voltage. The obtained N-doped flower-like TiO(2) microarrays exhibited intense absorption in wavelengths ranging from 320 to 800 nm. Under both UV and visible light irradiation, the photocatalytic activity of the N-doped flower-like TiO(2) microarrays in the oxidation of methyl orange showed a significant increase compared with that of commercial P25 TiO(2) film.
NASA Astrophysics Data System (ADS)
Kawamura, Kinya; Suzuki, Naoya; Tsuchiya, Takashi; Shimazu, Yuichi; Minohara, Makoto; Kobayashi, Masaki; Horiba, Koji; Kumigashira, Hiroshi; Higuchi, Tohru
2016-06-01
Anatase TiO2-δ thin film was prepared by RF magnetron sputtering using oxygen radical and Ti-metal target. Degrees of the TiO2-δ crystal orientation in the thin film depends of the oxygen gas pressure (P\\text{O2}) in the radical gun. The (004)- and (112)-oriented TiO2-δ thin films crystallized without postannealing have the mixed valence Ti4+/Ti3+ state. The electrical conductivities, which corresponds to n-type oxide semiconductor, is higher in the case of (004)-oriented TiO2-δ thin film containing with high concentration of oxygen vacancy. The donor band of TiO2-δ thin film is observed at ˜1.0 eV from the Fermi level (E F). The density-of-state at E F is higher in (004)-oriented TiO2-δ thin film. The above results indicate that the oxygen vacancies can control by changing the P\\text{O2} of the oxygen radical.
NASA Astrophysics Data System (ADS)
Zhang, Weiping; Xiao, Xinyan; Zheng, Lili; Wan, Caixia
2015-12-01
TiO2/MoS2@zeolite composite photocatalysts with visible-light activity were fabricated via a simple ultrasonic-hydrothermal synthesis method, using TiCl4 as Ti source, MoS2 as a direct sensitizer, glycerol water solution with certain dispersion agent as hydrolytic agent, and zeolite as carrier. The structure, morphology, composition, optical properties, and specific surface area of the as-prepared photocatalysts were characterized by using XRD, FTIR, SEM-EDS, TEM, XPS, UV-vis, PL and BET analyzer, respectively. And the photocatalytic degradation of methyl orange (MO) in aqueous suspension has been employed to evaluate the photocatalytic activity and degradation kinetics of as-prepared photocatalysts with xenon lamp as irradiation source. The results indicate that: (1) TiO2/MoS2@zeolite composite photocatalysts exhibit enhanced photocatalytic activities for methyl orange (MO) degradation compared to Degussa P25; (2) photocatalytic degradation of MO obeys Langmuir-Hinshelwood kinetic model (pseudo-first order reaction), and its degradation rate constant (kapp) (2.304 h-1) is higher than that of Degussa P25 (0.768 h-1); (3) the heterostructure consisted of zeolite, MoS2 and TiO2 nanostructure could provide synergistic effect for degradation of MO due to the efficient electron transfer process and better absorption property of TiO2/MoS2@zeolite composite photocatalyst.
Simonin, Marie; Martins, Jean M F; Le Roux, Xavier; Uzu, Gaëlle; Calas, Aude; Richaume, Agnès
2017-03-01
Titanium-dioxide nanoparticles (TiO 2 -NPs) are increasingly released in agricultural soils through, e.g. biosolids, irrigation or nanoagrochemicals. Soils are submitted to a wide range of concentrations of TiO 2 -NPs depending on the type of exposure. However, most studies have assessed the effects of unrealistically high concentrations, and the dose-response relationships are not well characterized for soil microbial communities. Here, using soil microcosms, we assessed the impact of TiO 2 -NPs at concentrations ranging from 0.05 to 500 mg kg -1 dry-soil, on the activity and abundance of ammonia-oxidizing archaea (AOA) and bacteria (AOB), and nitrite-oxidizing bacteria (Nitrobacter and Nitrospira). In addition, aggregation and oxidative potential of TiO 2 -NPs were measured in the spiking suspensions, as they can be important drivers of TiO 2 -NPs toxicity. After 90 days of exposure, non-classical dose-response relationships were observed for nitrifier abundance or activity, making threshold concentrations impossible to compute. Indeed, AOA abundance was reduced by 40% by TiO 2 -NPs whatever the concentration, while Nitrospira was never affected. Moreover, AOB and Nitrobacter abundances were decreased mainly at intermediate concentrations nitrification was reduced by 25% at the lowest (0.05 mg kg -1 ) and the highest (100 and 500 mg kg -1 ) TiO 2 -NPs concentrations. Path analyses indicated that TiO 2 -NPs affected nitrification through an effect on the specific activity of nitrifiers, in addition to indirect effects on nitrifier abundances. Altogether these results point out the need to include very low concentrations of NPs in soil toxicological studies, and the lack of relevance of classical dose-response tests and ecotoxicological dose metrics (EC50, IC50…) for TiO 2 -NPs impact on soil microorganisms.
NASA Astrophysics Data System (ADS)
Ray, Apurba; Roy, Atanu; Sadhukhan, Priyabrata; Chowdhury, Sreya Roy; Maji, Prasenjit; Bhattachrya, Swapan Kumar; Das, Sachindranath
2018-06-01
The individual components being ample, inexpensive and non-toxic material, TiO2-V2O5 has drawn more attention compared to other metal oxides. The cost-effective, non-toxic TiO2-V2O5 nanocomposites with various molar ratios of Ti and V have been synthesized through wet chemical method. Microstructure studies have been performed using X-ray diffraction (XRD), FESEM, HRTTEM and other spectroscopic (XPS, FTIR) techniques. The synthesized TiO2-V2O5 nanocomposite with molar ratio 10:20 exhibits 3D, mesoporous interlinked tube-like structure with excellent electrochemical properties by delivering highest specific capacitance of 310 F g-1 at 2 mV s-1 scan rate compared to individual TiO2 and V2O5 material. Increase in vanadium ratio plays a leading role to the chemical properties. The synergistic effects between TiO2 and V2O5 have also been observed in this work. Due to the excellent electrochemical as well as other acceptable performance, the porous interconnected tube like nanocomposite can be used for energy storage application mainly for pseudocapacitor electrode material.
NASA Astrophysics Data System (ADS)
Ahmad, M. S.; Pandey, AK; Rahim, N. A.
2018-05-01
Anatase titanium-germanium (TiO2-Ge) nanocomposite has been prepared by using colloidal suspension process and investigated for photoanode to be used in dye sensitized solar cell. Ge possesses lower band gap energy compared to TiO2 and has the capability to absorb infrared region of solar spectrum. Its remarkable absorption and good electron transfer ability due to lower band gap energy makes it a potential candidate material in the field of DSSCs to counter important disadvantages such as high probability of electron recombination reactions and absorption of small region (UV region) of solar spectrum. Another advantage is its low sintering temperature which proved to be an added advantage to increase inter-particle contact which in turn leads to improved electron transfer. Scanning electron microscopy (SEM), uv-vis spectroscopy and electron impedance spectroscopy (EIS) have been employed to evaluate the effect of Ge on TiO2photoanode.
Effect of fluorine doped TiO2 on the property of perovskite solar cell
NASA Astrophysics Data System (ADS)
Zhang, X. Q.; Wu, Y. P.; Huang, Y.; Zhou, Z. H.; Shen, S.
2017-03-01
Anatase TiO2 nanoparticles with different amounts of fluorine doping were synthesized by a hydrothermal method using hydrogen titanate nanotubes as a precursor and applied as mesoporous layer for preparing perovskite solar cell. The morphology and structures were characterized by scanning electron microscope (SEM) and X-ray diffraction (XRD), meanwhile, the properties and performances were tested by photoluminescence spectrum (PL) and current density and voltage (J-V) curve. It was found that doping fluorine into TiO2 made the photoelectric conversion efficiency (PCE) of perovskite solar cell (PSC) to be improved. The best PCE of PSC based on a F-doped TiO2 was 13.06% and increased by 51% compared to an un-doped TiO2. The study provided a direction for the exploration of high performance electron transport layer of perovskite solar cell.
Epitaxial Stabilization of a-PbO2 Structure in MnF2 Layers on Si and GaP
2001-06-01
Before the epitaxy, the substrates were dipped in a HF solution and fixed on Si platelets with InGa eutectic . The crystalline quality of the substrates...15 keV. We used a recrystallization annealing (RA) in the 550-700’C range to improve the MnF2 film quality of some epitaxial structures grown at... recrystallization annealing. The inset in Fig. 1(a) shows the RHEED pattern of a 30 nm MnF2 film grown at 100°C and annealed at 550’C. Well-pronounced
NASA Astrophysics Data System (ADS)
Thakur, S.; Maiti, S.; Acharya, A.; Paul, T.; Besra, N.; Sarkar, S.; Chattopadhyay, K. K.
2018-04-01
Possibility of integration of manifold functionalities coupled with novel interface phenomenon generation in geometrically intricate hierarchical nanoform has made them greatly pertinent from both research and technological point of view. Here, oxide based hybrid has been realized by integrating 1D TiO2 nanorod with 2D MnO2 nanoflake via low temperature chemical route. Meticulous tunability over the hierarchical morphology was achieved by subtle variation of reaction parameter which in turn created difference in MnO2 growth over TiO2. Morphological features of the samples were examined by FESEM and TEM. Hybrid samples exhibited high electrochemical performance than pristine TiO2 nanorods. Registered electrochemical performance from TiO2-MnO2 hybrid was found to be ˜1024F/g at a current density of 0.66A/g which is ˜100 fold than TiO2 at same current density. Such enhanced performance is accounted from higher surface area and electrical conductivity of the hybrid.
NASA Astrophysics Data System (ADS)
Grebenyuk, G. S.; Gomoyunova, M. V.; Pronin, I. I.; Vyalikh, D. V.; Molodtsov, S. L.
2016-03-01
Ultrathin (∼2 nm) films of Co2FeSi ferromagnetic alloy were formed on silicon by solid-phase epitaxy and studied in situ. Experiments were carried out in an ultrahigh vacuum (UHV) using substrates of Si(1 1 1) single crystals covered with a 5 nm thick CaF2 barrier layer. The elemental and phase composition as well as the magnetic properties of the synthesized films were analyzed by photoelectron spectroscopy using synchrotron radiation and by magnetic linear dichroism in photoemission of Fe 3p and Co 3p electrons. The study shows that the synthesis of the Co2FeSi ferromagnetic alloy occurs in the temperature range of 200-400 °C. At higher temperatures, the films become island-like and lose their ferromagnetic properties, as the CaF2 barrier layer is unable to prevent a mass transfer between the film and the Si substrate, which violates the stoichiometry of the alloy.
NASA Astrophysics Data System (ADS)
Nurlela; Wibowo, R.; Gunlazuardi, J.
2017-04-01
Interaction between TiO2 and dyes sensitizer have been studied. The chlorophyll presents in the crude leave extract (CLE-dye) from cassava (Manihot utilissima) was immobilized on to the photo-anode, consists of TiO2 supported by fluor doped Tin oxide (SnO2-F) Glass. The TiO2 was prepared by Rapid Breakdown Anodization (RBA) method then immobilized on to glass coated by SnO2-F using doctor blade technique, to give CLE-dye/TiO2/SnO2-F/Glass photo-anode. The prepared photo-anode was characterized by UV-Vis-DRS, FTIR, XRD, SEM, electrochemical and spectro-electrochemical systems. In this study, the HOMO (highest occupied molecular orbital) and LUMO (lowest unoccupied molecular orbital) energy level of the CLE-dye were empirically determined by cyclic voltammetry method, while spectro-electro-chemistry method was used to determine the coefficient of degradation and formation of the dyes, and diffusion coefficient of the hole recombination as well. Good anchoring between TiO2 with dye extracts (CLE-dye) can be seen from value of dye LUMO energy level (-4.26 eV), which is approaching the conduction band of TiO2 (-4.3 eV). The coefficient of degradation and formation of the CLE-dye showed a quasi reversible and diffusion coefficient hole recombination values were small, indicated that it is quite suitable as a sensitizer in a dyes sensitized solar cell.
NASA Astrophysics Data System (ADS)
Gao, Bifen; Yuan, Xia; Lu, Penghui; Lin, Bizhou; Chen, Yilin
2015-12-01
CdS-loaded TiO2 microspheres with highly exposed (001) facets were prepared by hydrothermal treatment of a TiF4-HCl-H2O mixed solution followed by a chemical bath deposition of CdS onto TiO2 microspheres. The crystal structure, surficial micro-structure and photo-absorption property of the samples were characterized by XRD, FE-SEM, TEM and UV-vis diffuse reflectance spectroscopy, etc. The as-prepared samples exhibited superior visible-light-driven photocatalytic H2-production activity from lactic acid aqueous solution in comparison with CdS-sensitized TiO2 nanoparticles, whose surface was dominated by (101) facets. Photoelectrochemical measurement confirmed that (001) facet is beneficial for the transfer of photo-generated electron from CdS to TiO2 microsphere, which led to the unexpected high photocatalytic activity of CdS-loaded TiO2 microspheres.
Wu, Chung-Hsin; Kuo, Chao-Yin; Chen, Shih-Ting
2013-01-01
This study synthesized a TiO2/carbon nanotubes (CNTs) composite via the sol-gel method. The surface characteristics of the TiO2/CNTs composite were determined by X-ray diffraction, transmission electron microscopy, specific surface area analyser, ultraviolent (UV)-vis spectroscopy, X-ray photoelectron spectroscopy and Raman spectrometer. The photocatalytic activity ofthe TiO2/CNTs composite was evaluated by decolourizing C.I. Reactive Red 2 (RR2) under visible light irradiation. Furthermore, the effects of calcination temperature, pH, RR2 concentration, and the TiO2/CNTs composite dosage on RR2 decolourization were determined simultaneously. The optimal calcination temperature to generate TiO2 and the TiO2/CNTs composite was 673 K, as the percentage of anatase crystallization at this temperature was highest. The specific surface area of the TiO2/CNTs composite and TiO2 were 45 and 42 m2/g, respectively. The band gap of TiO2 and the TiO2/CNTs composite was 2.97 and 2.71 eV by UV-vis measurements, respectively. Experimental data indicate that the Ti-O-C bond formed in the TiO2/CNTs composite. The RR2 decolourization rates can be approximated by pseudo-first-order kinetics; moreover, only the TiO2/CNTs composite had photocatalytic activity under visible light irradiation. At pH 7, the RR2 decolourization rate constant of 0.5, 1 and 2 g/L TiO2/CNTs addition was 0.005, 0.0015, and 0.0047 min(-1), respectively. Decolourization rate increased as pH and the RR2 concentration decreased. The CNTs functioned as electron acceptors, promoting separation of photoinduced electron-hole pairs to retard their recombination; thus, photocatalytic activity of the TiO2/CNTs composite exceeded that of TiO2.
Bulk magnetic terahertz metamaterial based on TiO2 microresonators(Conference Presentation)
NASA Astrophysics Data System (ADS)
Kadlec, Christelle; Sindler, Michal; Dominec, Filip; Němec, Hynek; Elissalde, Catherine; Mounaix, Patrick; Kuzel, Petr
2017-05-01
Dielectric spheres with high permittivity represent a Mie resonance-based metamaterial. Owing to its high far-infrared permittivity and low dielectric losses, TiO2 is a suitable material for the realization of magnetic metamaterials based on micro-resonators for the terahertz (THz) range. In a previous work, we experimentally demonstrated the magnetic effective response of TiO 2 microspheres dispersed in air, forming nearly a single-layer sample enclosed between two sapphire wafers [1]. Here we embedded the polycrystalline TiO2 microparticles into a polyethylene matrix, which enabled us to prepare a rigid bulk metamaterial with a controllable concentration of micro- resonators. TiO2 microspheres with a diameter of a few tens of micrometers were prepared by a bottom up approach. A liquid suspension of TiO2 nanoparticles was first spray-dried producing fragile TiO2 microspheres. These were subsequently sintered in a furnace at 1200° C for two hours, in order to consolidate individually each sphere. The particles show polycrystalline rutile structure with a porosity of 15%. The microspheres were finally sieved and sorted along their diameters in order to obtain a narrow size distribution. They were mixed with polyethylene powder and a pressure of 14 MPa was used to prepare rigid pellets with random spatial distribution of the TiO2 microspheres. Using finite-difference time-domain simulations, we investigated how the filling fraction and the ratio between the permittivities of the microspheres and the host matrix affect the position and the strength of the magnetic response associated with the lowest Mie mode. We found that a range of negative effective magnetic permeability can be achieved for sufficiently high filling factors and contrasts between the permittivities of the resonators and the embedding medium. Using time-domain THz spectroscopy we experimentally characterized the response of the realized structures and confirmed the magnetic character of their
Silicon nitride and silicon etching by CH{sub 3}F/O{sub 2} and CH{sub 3}F/CO{sub 2} plasma beams
DOE Office of Scientific and Technical Information (OSTI.GOV)
Kaler, Sanbir S.; Lou, Qiaowei; Donnelly, Vincent M., E-mail: vmdonnelly@uh.edu
2016-07-15
Silicon nitride (SiN, where Si:N ≠ 1:1) films low pressure-chemical vapor deposited on Si substrates, Si films on Ge on Si substrates, and p-Si samples were exposed to plasma beams emanating from CH{sub 3}F/O{sub 2} or CH{sub 3}F/CO{sub 2} inductively coupled plasmas. Conditions within the plasma beam source were maintained at power of 300 W (1.9 W/cm{sup 3}), pressure of 10 mTorr, and total gas flow rate of 10 sccm. X-ray photoelectron spectroscopy was used to determine the thicknesses of Si/Ge in addition to hydrofluorocarbon polymer films formed at low %O{sub 2} or %CO{sub 2} addition on p-Si and SiN. Polymer film thickness decreasedmore » sharply as a function of increasing %O{sub 2} or %CO{sub 2} addition and dropped to monolayer thickness above the transition point (∼48% O{sub 2} or ∼75% CO{sub 2}) at which the polymer etchants (O and F) number densities in the plasma increased abruptly. The C(1s) spectra for the polymer films deposited on p-Si substrates appeared similar to those on SiN. Spectroscopic ellipsometry was used to measure the thickness of SiN films etched using the CH{sub 3}F/O{sub 2} and CH{sub 3}F/CO{sub 2} plasma beams. SiN etching rates peaked near 50% O{sub 2} addition and 73% CO{sub 2} addition. Faster etching rates were measured in CH{sub 3}F/CO{sub 2} than CH{sub 3}F/O{sub 2} plasmas above 70% O{sub 2} or CO{sub 2} addition. The etching of Si stopped after a loss of ∼3 nm, regardless of beam exposure time and %O{sub 2} or %CO{sub 2} addition, apparently due to plasma assisted oxidation of Si. An additional GeO{sub x}F{sub y} peak was observed at 32.5 eV in the Ge(3d) region, suggesting deep penetration of F into Si, under the conditions investigated.« less
17 CFR 240.12f-3 - Termination or suspension of unlisted trading privileges.
Code of Federal Regulations, 2011 CFR
2011-04-01
... unlisted trading privileges. 240.12f-3 Section 240.12f-3 Commodity and Securities Exchanges SECURITIES AND... Regulations Under the Securities Exchange Act of 1934 Unlisted Trading § 240.12f-3 Termination or suspension of unlisted trading privileges. (a) The issuer of any security for which unlisted trading privileges...
17 CFR 240.12f-3 - Termination or suspension of unlisted trading privileges.
Code of Federal Regulations, 2013 CFR
2013-04-01
... unlisted trading privileges. 240.12f-3 Section 240.12f-3 Commodity and Securities Exchanges SECURITIES AND... Regulations Under the Securities Exchange Act of 1934 Unlisted Trading § 240.12f-3 Termination or suspension of unlisted trading privileges. (a) The issuer of any security for which unlisted trading privileges...
17 CFR 240.12f-3 - Termination or suspension of unlisted trading privileges.
Code of Federal Regulations, 2012 CFR
2012-04-01
... unlisted trading privileges. 240.12f-3 Section 240.12f-3 Commodity and Securities Exchanges SECURITIES AND... Regulations Under the Securities Exchange Act of 1934 Unlisted Trading § 240.12f-3 Termination or suspension of unlisted trading privileges. (a) The issuer of any security for which unlisted trading privileges...
17 CFR 240.12f-3 - Termination or suspension of unlisted trading privileges.
Code of Federal Regulations, 2014 CFR
2014-04-01
... unlisted trading privileges. 240.12f-3 Section 240.12f-3 Commodity and Securities Exchanges SECURITIES AND... Regulations Under the Securities Exchange Act of 1934 Unlisted Trading § 240.12f-3 Termination or suspension of unlisted trading privileges. (a) The issuer of any security for which unlisted trading privileges...
17 CFR 240.12f-3 - Termination or suspension of unlisted trading privileges.
Code of Federal Regulations, 2010 CFR
2010-04-01
... unlisted trading privileges. 240.12f-3 Section 240.12f-3 Commodity and Securities Exchanges SECURITIES AND... Regulations Under the Securities Exchange Act of 1934 Unlisted Trading § 240.12f-3 Termination or suspension of unlisted trading privileges. (a) The issuer of any security for which unlisted trading privileges...
NASA Astrophysics Data System (ADS)
Hu, Yan; Wang, Yuanhao; An, Zhenguo; Zhang, Jingjie; Yang, Hongxing
2016-11-01
The super-hydrophobic and IR-reflectivity hollow glass microspheres (HGM) was synthesized by being coated with anatase TiO2 and a super-hydrophobic material. The super-hydrophobic self-cleaning property prolong the life time of the IR reflectivity. TBT and PFOTES were firstly applied and hydrolyzed on HGM and then underwent hydrothermal reaction to synthesis anatase TiO2 film. For comparison, the PFOTES/TiO2 mutual-coated HGM (MCHGM), PFOTES single-coated HGM (F-SCHGM) and TiO2 single-coated HGM (Ti-SCHGM) were synthesized as well. The MCHGM had bigger contact angle (153°) but smaller sliding angle (16°) than F-SCHGM (contact angle: 141.2°; sliding angle: 67°). Ti-SCHGM and MCHGM both showed similar IR reflectivity with ca. 5.8% increase compared with original HGM and F-SCHGM. For the thermal conductivity, coefficients of F-SCHGM (0.0479 W/(m K)) was basically equal to that of the original HGM (0.0475 W/(m K)). Negligible difference was found between the thermal conductivity coefficients of MCHGM-coated HGM (0.0543 W/(m K)) and Ti-SCHGM (0.0546 W/(m K)).
Zhang, Li; Wang, Yang; Gao, Hui-le; He, Qin
2015-06-01
The purpose of the study is to construct R8 peptide (RRRRRRRR) and pH sensitive polyethylene glycols (PEG) co-modified liposomes (Cl-Lip) and utilize them in breast cancer treatment. The co-modified liposomes were prepared with soybean phospholipid, cholesterol, DSPE-PEG2K-R8 and PEG5K-Hz-PE (pH sensitive PEG). The size and zeta potential of Cl-Lip were also characterized. The in vitro experiment demonstrated that the Cl-Lip had high serum stability in 50% fetal bovine serum. The cellular uptake of Cl-Lip under different pre-incubated conditions was evaluated on 4T1 cells. And the endocytosis pathway, lysosome escape ability and tumor spheroid penetration ability were also evaluated. The results showed the particle size of the Cl-Lip was (110.4 ± 5.2) nm, PDI of the Cl-Lip was 0.207 ± 0.039 and zeta potential of the Cl-Lip was (-3.46 ± 0.05) mV. The cellular uptake of Cl-Lip on 4T1 cells was pH sensitive, as the cellular uptake of Cl-Lip pre-incubated in pH 6.0 was higher than that of pH 7.4 under each time point. The main endocytosis pathways of Cl-Lip under pH 6.0 were micropinocytosis and energy-dependent pathway. At the same time, the Cl-Lip with pre-incubation in pH 6.0 had high lysosome escape ability and high tumor spheroid penetration ability. All the above results demonstrated that the Cl-Lip we constructed had high pH sensitivity and is a promising drug delivery system.
Maroni, Fabio; Carbonari, Gilberto; Croce, Fausto; Tossici, Roberto; Nobili, Francesco
2017-12-08
The design of effective supporting matrices to efficiently cycle Si nanoparticles is often difficult to achieve and requires complex preparation strategies. In this work, we present a simple synthesis of low-cost and environmentally benign aAnatase TiO 2 nanoparticles as buffering filler for Si nanoparticles (Si@TiO 2 ). The average anatase TiO 2 crystallite size was approximately 5 nm. A complete structural, morphological, and electrochemical characterization was performed. Electrochemical test results show very good specific capacity values of up to 1000 mAh g -1 and cycling at several specific currents, ranging from 500 to 2000 mA g -1 , demonstrating a very good tolerance to high cycling rates. Postmortem morphological analysis shows very good electrode integrity after 100 cycles at 500 mA g -1 specific current. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.
TiO2 nanofibers resembling 'yellow bristle grass' in morphology by a soft chemical transformation.
Nandan, Sandeep; Deepak, T G; Nair, Shantikumar V; Nair, A Sreekumaran
2015-05-28
We synthesized a uniquely shaped one-dimensional (1-D) TiO2 nanostructure having the morphology of yellow bristle grass with high surface area by the titanate route under mild reaction conditions. The electrospun TiO2-SiO2 composite nanofibers upon treatment with concentrated NaOH at 80 °C under ambient pressure for 24 h resulted in sodium titanate (Na2Ti3O7) nanostructures. The Na2Ti3O7 nanostructures have an overall 1-D fibrous morphology but the highly porous fiber surfaces were decorated with layered thorn-like features (a morphology resembling that of yellow bristle grass) resulting in high surface area (113 m(2) g(-1)) and porosity. The Na2Ti3O7 nanostructures were converted into TiO2 nanostructures of the same morphology by acidification (0.1 N HCl) followed by low temperature sintering (110 °C) processes. Dye-sensitized solar cells (DSCs) constructed out of the material (cells of area 0.20 cm(2) and thickness 12 μm) showed a power conversion efficiency (η) of 8.02% in comparison with commercial P-25 TiO2 (η = 6.1%).
Michael, I; Hapeshi, E; Michael, C; Fatta-Kassinos, D
2013-01-01
The overall aim of this work was to examine the degradation of trimethoprim (TMP), which is an antibacterial agent, during the application of two advanced oxidation process (AOP) systems in secondary treated domestic effluents. The homogeneous solar Fenton process (hv/Fe(2+)/H2O2) and heterogeneous photocatalysis with titanium dioxide (TiO2) suspensions were tested. It was found that the degradation of TMP depends on several parameters such as the amount of iron salt and H2O2, concentration of TiO2, pH of solution, solar irradiation, temperature and initial substrate concentration. The optimum dosages of Fe(2+) and H2O2 for homogeneous ([Fe(2+)] = 5 mg L(-1), [H2O2] = 3.062 mmol L(-1)) and TiO2 ([TiO2] = 3 g L(-1)) for heterogeneous photocatalysis were established. The study indicated that the degradation of TMP during the solar Fenton process is described by a pseudo-first-order reaction and the substrate degradation during the heterogeneous photocatalysis by the Langmuir-Hinshelwood kinetics. The toxicity of the treated samples was evaluated using a Daphnia magna bioassay and was finally decreased by both processes. The results indicated that solar Fenton is more effective than the solar TiO2 process, yielding complete degradation of the examined substrate within 30 min of illumination and dissolved organic carbon (DOC) reduction of about 44% whereas the respective values for the TiO2 process were ∼70% degradation of TMP within 120 min of treatment and 13% DOC removal.
Biocorrosion of TiO2 nanoparticle coating of Ti-6Al-4V in DMEM under specific in vitro conditions
NASA Astrophysics Data System (ADS)
Höhn, Sarah; Virtanen, Sannakaisa
2015-02-01
A TiO2 nanoparticle coating was prepared on a biomedical Ti-6Al-4V alloy using "spin-coating" technique with a colloidal suspension of TiO2 nanopowders with the aim to optimize the surface morphology (e.g., roughness) for improved biocompatibility. The influence of a TiO2 nanoparticle (NP) coating on the corrosion behavior, metal ion release, and biomimetic apatite formation was studied in DMEM, at 37.5 °C with a continuous supply of 5% CO2. Electrochemical impedance spectroscopy measurements indicate a formation of a new layer on the surface of the NP-coated sample upon 28 days immersion in DMEM. Scanning electron microscopy (SEM) and X-ray spectroscopy confirm that the surface of the NP-coated Ti-6Al-4V shows a complete coverage by a Ca-phosphate layer in contrast to the non-coated Ti-6Al-4V alloy. Hence, the TiO2-NP coating strongly enhances biomimetic apatite formation on the alloy surface. In addition, the TiO2-NP coating can efficiently reduce Al-release from the alloy, for which the bare Ti-6Al-4V alloy is significant for at least 28 days of immersion in DMEM.
Wu, Wu-Qiang; Rao, Hua-Shang; Xu, Yang-Fan; Wang, Yu-Fen; Su, Cheng-Yong; Kuang, Dai-Bin
2013-01-01
The vertically oriented anatase single crystalline TiO2 nanostructure arrays (TNAs) consisting of TiO2 truncated octahedrons with exposed {001} facets or hierarchical TiO2 nanotubes (HNTs) consisting of numerous nanocrystals on Ti-foil substrate were synthesized via a two-step hydrothermal growth process. The first step hydrothermal reaction of Ti foil and NaOH leads to the formation of H-titanate nanowire arrays, which is further performed the second step hydrothermal reaction to obtain the oriented anatase single crystalline TiO2 nanostructures such as TiO2 nanoarrays assembly with truncated octahedral TiO2 nanocrystals in the presence of NH4F aqueous or hierarchical TiO2 nanotubes with walls made of nanocrystals in the presence of pure water. Subsequently, these TiO2 nanostructures were utilized to produce dye-sensitized solar cells in a backside illumination pattern, yielding a significant high power conversion efficiency (PCE) of 4.66% (TNAs, JSC = 7.46 mA cm−2, VOC = 839 mV, FF = 0.75) and 5.84% (HNTs, JSC = 10.02 mA cm−2, VOC = 817 mV, FF = 0.72), respectively. PMID:23715529
NASA Astrophysics Data System (ADS)
Mantareva, Vanya; Eneva, Ivelina; Kussovski, Vesselin; Borisova, Ekaterina; Angelov, Ivan
2015-01-01
The light exposure on a daily basis has been well accepted as a competitive method for decontamination of wastewater. The catalytic properties of TiO2 offer a great potential to reduce the transmission of pathogens in the environment. Although the titanium dioxide shows high activity against pathogens, its general usage in water cleaning is limited due to the insufficient excitation natural light (about 3% of the solar spectrum). A hydrophobic dodecylpyridyloxy Zn(II)-phthalocyanine with four peripheral hydrocarbon chains of C12 (ZnPcDo) was immobilized on a photocatalyst TiO2 anatase (P25). The resulted greenish colored nanoparticles of phthalocyanine were characterized by the means of absorption, fluorescence and infrared spectroscopy. The laser scanning confocal fluorescence microscopy was used to visualize the phthalocyanine dye by the red fluorescence emission (650 - 740 nm). The intensive Q-band in the far red visible spectral region (~ 690 nm) suggested a monomeric state of phthalocyanine on TiO2 nanoparticles. Two pathogenic bacterial strains (methicillin-resistant Staphylococcus aureus - MRSA and Salmonella enteritidis) associated with wastewater were photoinactivated with the suspension of the particles. The effective photoinactivation was observed with 1 g.L-1 TiO2 anatase at irradiation with UVA 364 nm as with UVA 364 nm and LED 643 nm. The gram-negative Salmonella enteritidis was fully photoinactivated with ZnPcDo-TiO2 and TiO2 alone at UVA 346 nm and at irradiation with two light sources (364 nm + 643 nm). The proposed conjugate appears as an useful composite material for antibacterial disinfection.
Abeledo-Lameiro, María Jesús; Ares-Mazás, Elvira; Gómez-Couso, Hipólito
2016-10-01
Cryptosporidium is a genus of enteric protozoan parasites of medical and veterinary importance, whose oocysts have been reported to occur in different types of water worldwide, offering a great resistant to the water treatment processes. Heterogeneous solar photocatalysis using titanium dioxide (TiO2) slurry was evaluated on inactivation of Cryptosporidium parvum oocysts in water. Suspensions of TiO2 (0, 63, 100 and 200mg/L) in distilled water (DW) or simulated municipal wastewater treatment plant (MWTP) effluent spiked with C. parvum oocysts were exposed to simulated solar radiation. The use of TiO2 slurry at concentrations of 100 and 200mg/L in DW yielded a high level of oocyst inactivation after 5h of exposure (4.16±2.35% and 15.03±4.54%, respectively, vs 99.33±0.58%, initial value), representing a good improvement relative to the results obtained in the samples exposed without TiO2 (51.06±9.35%). However, in the assays carried out using simulated MWTP effluent, addition of the photocatalyst did not offer better results. Examination of the samples under bright field and epifluorescence microscopy revealed the existence of aggregates comprising TiO2 particles and parasitic forms, which size increased as the concentration of catalyst and the exposure time increased, while the intensity of fluorescence of the oocyst walls decreased. After photocatalytic disinfection process, the recovery of TiO2 slurry by sedimentation provided a substantial reduction in the parasitic load in treated water samples (57.81±1.10% and 82.10±2.64% for 200mg/L of TiO2 in DW and in simulated MWTP effluent, respectively). Although further studies are need to optimize TiO2 photocatalytic disinfection against Cryptosporidium, the results obtained in the present study show the effectiveness of solar photocatalysis using TiO2 slurry in the inactivation of C. parvum oocysts in distilled water. Copyright © 2016 Elsevier B.V. All rights reserved.
Calorimetry of heterogeneous systems: H+ binding to TiO2 in NaCl
Mehr, S.R.; Eatough, D.J.; Hansen, L.D.; Lewis, E.A.; Davis, J.A.
1989-01-01
A simultaneous calorimetric and potentiometric technique has been developed for measuring the thermodynamics of proton binding to mineral oxides in the presence of a supporting electrolyte. Modifications made to a commercial titration calorimeter to add a combination pH electrode and maintain an inert atmosphere in the calorimeter reaction vessel are described. A procedure to calibrate potentiometric measurements in heterogeneous systems to correct for the suspension effect on pH is given. The enthalpy change for proton dissociation from TiO2 in aqueous suspension as a function of pH is reported for 0.01, 0.1, and 0.5 M NaCl. The enthalpy change for proton dissociation is endothermic, ranging from 10.5 ?? 3.8 to 45.0 ?? 3.8 kJ mol-1 over the pH range from 4 to 10. ?? 1989.
NASA Astrophysics Data System (ADS)
KInacI, BarIş; Özçelik, Süleyman
2013-06-01
The capacitance-voltage-temperature ( C- V- T) and the conductance/angular frequency-voltage-temperature ( G/ω- V- T) characteristics of Au/TiO2(rutile)/ n-Si Schottky barrier diodes (SBDs) were investigated over the temperature range from 200 K to 380 K by considering the series resistance effect. Titanium dioxide (TiO2) was deposited on n-type silicon (Si) substrate using a direct-current (DC) magnetron sputtering system at 200°C. To improve the crystal quality, the deposited film was annealed at 900°C to promote a phase transition from the amorphous to rutile phase. The C -2 versus V plots gave a straight line in the reverse-bias region. The main electrical parameters, such as the doping concentration ( N D), Fermi energy level ( E F), depletion layer width ( W D), barrier height ( ф CV), and series resistance ( R S), of Au/TiO2(rutile)/ n-Si SBDs were calculated from the C- V- T and the G/ω- V- T characteristics. The obtained results show that ф CV, R S, and W D values decrease, while E F and N D values increase, with increasing temperature.
Effect of TiO2 on the Gas Sensing Features of TiO2/PANi Nanocomposites
Huyen, Duong Ngoc; Tung, Nguyen Trong; Thien, Nguyen Duc; Thanh, Le Hai
2011-01-01
A nanocomposite of titanium dioxide (TiO2) and polyaniline (PANi) was synthesized by in-situ chemical polymerization using aniline (ANi) monomer and TiCl4 as precursors. SEM pictures show that the nanocomposite was created in the form of long PANi chains decorated with TiO2 nanoparticles. FTIR, Raman and UV-Vis spectra reveal that the PANi component undergoes an electronic structure modification as a result of the TiO2 and PANi interaction. The electrical resistor of the nanocomposite is highly sensitive to oxygen and NH3 gas, accounting for the physical adsorption of these gases. A nanocomposite with around 55% TiO2 shows an oxygen sensitivity of 600–700%, 20–25 times higher than that of neat PANi. The n-p contacts between TiO2 nanoparticles and PANi matrix give rise to variety of shallow donors and acceptor levels in the PANi band gap which enhance the physical adsorption of gas molecules. PMID:22319389
NASA Astrophysics Data System (ADS)
Kim, Hyun-Suk; Hyun, Tae-Seon; Kim, Ho-Gi; Kim, Il-Doo; Yun, Tae-Soon; Lee, Jong-Chul
2006-07-01
The effect of texture with (100) and (110) preferred orientations on dielectric properties of Ba0.6Sr0.4TiO3 (BST) thin films grown on SrO (9nm) and CeO2 (70nm ) buffered Si substrates, respectively, was investigated. The coplanar waveguide (CPW) phase shifter using (100) oriented BST films on SrO buffered Si exhibited a much-enhanced figure of merit of 24.7°/dB, as compared to that (10.2°/dB) of a CPW phase shifter using (110) oriented BST films on CeO2 buffered Si at 12GHz. This work demonstrates that the microwave properties of the Si-integrated BST thin films are highly correlated with crystal orientation.
Effect of nanostructured titanium on anodization growth of self-organized TiO2 nanotubes
NASA Astrophysics Data System (ADS)
Zhang, Lan; Han, Yong
2010-02-01
To understand the effect of substrate microstructure on the formation of TiO2 nanotubes, anodic oxidizations of commercially pure titanium subjected to surface mechanical attrition treatment (SMATed-Ti) and unSMATed-Ti in a glycol solution containing NH4F and small amounts of water were investigated. The SMATed-Ti exhibit a nanocrystallized surface layer containing a high density of grain boundaries compared with unSMATed-Ti. The anodization results show that the formed TiO2 nanotube layer on the SMATed-Ti is much thicker than that on the unSMATed-Ti. It is indicated that nanocrystallized Ti is propitious to the growth of TiO2 nanotubes; grain boundaries and dislocations play the leading role in accelerating the reaction rate and ion diffusion coefficient during anodization. In addition, nanocrystallization of Ti does not change surface morphologies and phase components of the TiO2 nanotubes.
Ding, Yangbin; Bai, Wei; Sun, Jinhua; Wu, Yu; Memon, Mushtaque A; Wang, Chao; Liu, Chengbin; Huang, Yong; Geng, Jianxin
2016-05-18
The morphologies of transition metal oxides have decisive impact on the performance of their applications. Here, we report a new and facile strategy for in situ preparation of anatase TiO2 nanospindles in three-dimensional reduced graphene oxide (RGO) structure (3D TiO2@RGO) using cellulose as both an intermediate agent eliminating the negative effect of graphene oxide (GO) on the growth of TiO2 crystals and as a structure-directing agent for the shape-controlled synthesis of TiO2 crystals. High-resolution transmission electron microscopy and X-ray diffractometer analysis indicated that the spindle shape of TiO2 crystals was formed through the restriction of the growth of high energy {010} facets due to preferential adsorption of cellulose on these facets. Because of the 3D structure of the composite, the large aspect ratio of the TiO2 nanospindles, and the exposed high-energy {010} facets of the TiO2 crystals, the 3D TiO2@RGO(Ce 1.7) exhibited excellent capacitive performance as an electrode material for supercapacitors, with a high specific capacitance (ca. 397 F g(-1)), a high energy density (55.7 Wh kg(-1)), and a high power density (1327 W kg(-1)) on the basis of the masses of RGO and TiO2. These levels of capacitive performance far exceed those of previously reported TiO2-based composites.
Thermal and ion-induced surface reactions of 1,1-difluoroethylene on Si(111)7 x 7 and vitreous SiO2.
He, Zhenhua; Leung, K T
2005-08-11
Thermal and ion-induced reactions of 1,1-difluoroethylene (1,1-C2H2F2 or iso-DFE) on Si(111)7 x 7 and vitreous SiO2 surfaces have been investigated by vibrational electron energy loss spectroscopy and thermal desorption spectrometry. Like ethylene, iso-DFE predominantly chemisorbs via a [2 + 2] cycloaddition mechanism onto the 7 x 7 surface as a di-sigma-bonded difluoroethane-1,2-diyl adstructure, which undergoes H abstraction and defluorination, producing hydrocarbon fragments and SiF(x) (x = 1-3) upon annealing to >700 K. Ion irradiation of Si(111)7 x 7 in iso-DFE at 50 eV impact energy appears to substantially enhance the production of hydrocarbon fragments and SiF(x)(), leading to stronger SiF4 desorption products over an extended temperature range (400-900 K). The observed SiC and SiF(x) produced on the 7 x 7 surface by ion irradiation in iso-DFE are found to be similar to those obtained by ion irradiation in the fluoromethane homologues, CF4 and CH2F2. The production of higher relative concentrations for the larger SiF(x) and C2-containing fragments is evidently favored on the 7 x 7 surface. On a vitreous SiO2 surface, ion irradiation in iso-DFE, unlike that in CF4 and CH2F2, appears to produce less SiF(x) than that on the 7 x 7 surface, which indicates that surface O does not interact strongly with the C2-containing fragments. The presence or absence of a C=C bond and the relative F-to-C ratio of the sputtering gas could therefore produce important effects on the resulting surface products obtained by low-energy ion irradiation.
Effect of Impedance Relaxation in Conductance Mechanisms in TiO2/ITO/ZnO:Al/p-Si Heterostructure
NASA Astrophysics Data System (ADS)
Nouiri, M.; El Mir, L.
2018-03-01
The electrical conduction of a TiO2/ITO/ZnO:Al/p-Si structure under alternating-current excitation was investigated in the temperature range of 80 K to 300 K. The frequency dependence of the capacitance and conductance revealed the response of a thermally activated trap characterized by activation energy of about 140 meV. The frequency dependence of the conductance obeyed the universal dynamic response according to the common relation G = Aωs . The temperature dependence of the frequency exponent s illustrates that, in the low frequency range, conduction is governed by the correlated barrier hopping (CBH) mechanism involving two distinct energy levels for all investigated temperatures. For the high frequency region, conduction takes place according to the overlapping large-polaron tunneling mechanism at low temperatures but the CBH mechanism becomes dominant in the high temperature region. This difference in electrical behavior between low and high temperatures can be attributed to the dominance of dielectric relaxation at low compared with high temperatures.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Shao, Jun, E-mail: jun-shao@snnu.edu.cn; Wang, Zhaojin; Wang, Ruibo
LaF{sub 3}:Eu{sup 3+}, LaF{sub 3}:Eu{sup 3+}@LaF{sub 3}, LaF{sub 3}:Eu{sup 3+}@CeF{sub 3} and LaF{sub 3}:Eu{sup 3+}@SiO{sub 2} nanoparticles were successfully synthesized via hydrothermal route and modified Stöber method. The surface property of LaF{sub 3}:Eu{sup 3+} particle was successfully modified by coating LaF{sub 3} and SiO{sub 2} shell onto the particle, which resulted in the change of the surface property and luminescence emission of LaF{sub 3}:Eu{sup 3+}. It was found that the surface quenchers were decreased and thus the nonradiative pathways were reduced with core/shell structure, which not only enhanced the yellow emission of the sample, but also changed the intensity ratiomore » of the yellow to orange emission. The dependence of the shell property and shell thickness on the luminescence emission spectra were investigated systematically. The current investigation can provide useful information for developing applications in biological imaging, detection, and sensing and other aspects.« less
The role of poly(methacrylic acid) conformation on dispersion behavior of nano TiO2 powder
NASA Astrophysics Data System (ADS)
Singh, Bimal P.; Nayak, Sasmita; Samal, Samata; Bhattacharjee, Sarama; Besra, Laxmidhar
2012-02-01
To exploit the advantages of nanoparticles for various applications, controlling the dispersion and agglomeration is of paramount importance. Agglomeration and dispersion behavior of titanium dioxide (TiO2) nanoparticles was investigated using electrokinetic and surface chemical properties. Nanoparticles are generally stabilized by the adsorption of a dispersant (polyelectrolyte) layer around the particle surface and in this connection ammonium salt of polymethacrylic acid (Darvan C) was used as dispersant to stabilize the suspension. The dosages of polyelectrolyte were optimized to get best dispersion stability by techniques namely particle charge detector (13.75 mg/g) and adsorption (14.57 mg/g). The surface charge of TiO2 particles changed significantly in presence of dispersant Darvan C and isoelectric point (iep) shifted significantly towards lower pH from 5.99 to 3.37. The shift in iep has been quantified in terms of free energy of interaction between the surface sites of TiO2 and the adsorbing dispersant Darvan C. Free energies of adsorption were calculated by electrokinetic data (-9.8 RT unit) and adsorption isotherms (-10.56 RT unit), which corroborated well. The adsorption isotherms are of typical Langmuir type and employed for calculation of free energy. The results indicated that adsorption occurs mainly through electrostatic interactions between the dispersant molecule and the TiO2 surface apart from hydrophobic interactions.
Degradation of methylene blue using pillared TiO2 on de-oiled spent bleaching clay
NASA Astrophysics Data System (ADS)
Hindryawati, N.; Panggabean, A. S.; Fadillah, N. D.; Erwin; Daniel
2018-04-01
Degradation of methylene blue (MB) using pillared TiO2 onto spent bleaching clay has been conducted. Activation of deoiled spent bleaching clay (DSBC) has been done using acid, followed by pillarization with TiO2 using rarasaponin from Klerak fruit as surfactant. From the X-ray diffraction results show the mineral on DSBC is rectorite with dioctahedral mica layer and dioctahedral smectite with ratio 2:1. This molecule have formula Na.Al4(Si, Al)8.O20.(OH)4. H2O and after calcinations the pattern TiO2 was appearance at 2θ: 27.4460°, 36.0850°, 54.3216° and 56.6403°. In order to test the catalytic performance of Ti-DSBC for photodegradation of MB under UV light was conducted under several reaction conditions. The highest degradation of MB was 90 % within 50 minutes and Ti-DSBC can be reused until 5 cycles with percent degradation MB was 84 %.
Production of Solar-Grade Silicon by the SiF4 and Mg Reaction
NASA Astrophysics Data System (ADS)
Xie, Xiaobing; Bao, Jianer; Sanjurjo, Angel
2016-12-01
Over 90 pct of the solar cells currently produced and installed are Si based, and this industrial dominance is expected to persist for the foreseeable future. The crystalline Si substrate accounts for a significant portion of the total cost of solar cells. In order to further reduce the cost of solar panels, there has been significant effort in producing inexpensive solar-grade Si, mainly through three paths: (1) modification of the Siemens process to lower production costs, (2) upgrading metallurgical-grade Si to reach solar-grade purity, and (3) by means of new metallurgical processes such as the reduction of a silicon halide, e.g., SiF4 or SiCl4, by a reactive metal such as Na or Zn. In this paper, we describe an alternative path that uses Mg to react with SiF4 to produce low-cost solar grade Si. Experimental conditions for complete reaction and separation of the products, Si and MgF2, as well as aspects of the reaction mechanism are described. The reaction involves both a heterogeneous liquid-gas phase reaction and a homogeneous gas-gas phase reaction. When pure Mg was used, the Si product obtained had sub-ppm levels of B and P impurities and is expected to be suitable for solar cell applications.
NASA Astrophysics Data System (ADS)
Muaz, A. K. M.; Hashim, U.; Arshad, M. K. Md.; Ruslinda, A. R.; Ayub, R. M.; Gopinath, Subash C. B.; Voon, C. H.; Liu, Wei-Wen; Foo, K. L.
2016-07-01
In this paper, sol-gel method spin coating technique is adopted to prepare nanoparticles titanium dioxide (TiO2) thin films. The prepared TiO2 sol was synthesized using titanium butoxide act as a precursor and subjected to deposited on the p-type silicon oxide (p-SiO2) and glass slide substrates under room temperature. The effect of different alcoholic solvents of methanol and ethanol on the structural, morphological, optical and electrical properties were systematically investigated. The coated TiO2 thin films were annealed in furnace at 773 K for 1 h. The structural properties of the TiO2 films were examined with X-ray Diffraction (XRD). From the XRD analysis, both solvents showing good crystallinity with anatase phase were the predominant structure. Atomic Force Microscopy (AFM) was employed to study the morphological of the thin films. The optical properties were investigated by Ultraviolet-visible (UV-Vis) spectroscopy were found that ethanol as a solvent give a higher optical transmittance if compare to the methanol solvent. The electrical properties of the nanoparticles TiO2 thin films were measured using two-point-probe technique.
Antimicrobial effect of TiO2 doped with Ag and Cu on Escherichia coli and Pseudomonas putida
NASA Astrophysics Data System (ADS)
Angelov, O.; Stoyanova, D.; Ivanova, I.
2016-10-01
Antimicrobial effect of TiO2 doped with Ag and Cu on Gram-negative bacteria Escherichia coli and Pseudomonas putida is studied. The thin films are deposited on glass substrates without heating during the deposition by r.f. magnetron co-sputtering of TiO2 target and pieces of Ag and Cu. The studied films, thickness about 65 nm, were as deposited and annealed (5200C, 4h, N2+5%H2, 4Pa). The as deposited thin films TiO2:Ag:Cu have band gap energy of 3.56 eV little higher than the band gap of crystalline anatase TiO2 which can be explained with the quantum effect of the granular structure of r.f. magnetron sputtered films. The annealed samples have band gap of 2.52 eV due to formation of donor levels from Ag and Cu atoms near the bottom of the conduction band. The toxic effect was determined through the classical Koch's method and the optical density measurements at λ=610 nm. The as deposited TiO2:Ag:Cu thin films demonstrate stronger inhibition effect - bactericidal for P. putida and bacteriostatic for E. coli (up to the 6th hour) in comparison with the annealed samples. The both methods of study show the same trends of the bacterial growth independently of their different sensitivity which confirms the observed effect.
Zarrin, Saviz; Heshmatpour, Felora
2018-06-05
In this study, highly active titanium dioxide modified by niobium oxide (Nb 2 O 5 ), polymer (PANI) and reduced graphene oxide (RGO) were successfully prepared. The morphology, structure, surface area and light absorption properties of the present nanocomposites for removal of methylene blue (MB) and methyl orange (MO) were investigated and compared with those of TiO 2 /Nb 2 O 5 and TiO 2 nanoparticles. The characterization techniques such as XRD, FT-IR, UV-vis, SEM, EDX, BET and TEM were employed in order to identify the nanocomposites. Also, photocatalytic properties of TiO 2 /Nb 2 O 5 /PANI and TiO 2 /Nb 2 O 5 /RGO nanocomposites under visible light irradiation were studied. In this way, the obtained results were compared to each other and also compared to TiO 2 /Nb 2 O 5 and TiO 2 nanoparticles. In this context, the chemical oxygen demand (COD) removal follows the photodegradation in observed performance. The results indicate that reduced TiO 2 /Nb 2 O 5 nanocomposite is effectively modified by graphene oxide to give TiO 2 /Nb 2 O 5 /RGO composite. The TiO 2 /Nb 2 O 5 /RGO exhibits significantly higher photocatalytic activity in degradation of organic dyes under visible light rather than that of TiO 2 /Nb 2 O 5 /PANI, TiO 2 /Nb 2 O 5 and pure TiO 2 . Copyright © 2018 Elsevier B.V. All rights reserved.
Reaction of (carbonylimido)sulfur(IV) derivatives with TAS-fluoride, (Me2N)3S+Me3SiF2-.
Lork, E; Viets, D; Mews, R; Oberhammer, H
2000-10-16
In the reaction of TAS-fluoride, (Me2N)3S+Me3SiF2-, with carbonyl sulfur difluoride imides RC(O)NSF2 (R = F, CF3), C-N bond, cleavage is observed, and TAS+RC(O)F2- and NSF are the final products. From TASF and RC(O)NS(CF3)F, the salts TAS+RC(O)NS(CF3)F2- (R = F (14), CF3 (15)), with psi-pentacoordinate sulfur centers in the anions, are formed. An X-ray structure investigation of 14 shows that the fluorine atoms occupy axial positions and CF3, NC(O)F, and the sulfur lone pair occupy equatorial positions of the trigonal bipyramid. The -C(O)F group lies in the equatorial plane with the CO bond synperiplanar to the SN bond. According to B3LYP calculations, this structure corresponds to a global minimum and the expected axial orientation of the -C(O)F group represents a transition state. Calculations for the unstable FC(O)NSF3- anion show a different geometry. The -C(O)F group deviates 40 degrees from axial orientation, and the equatorially bonded fluorine is, in contrast to the -CF3 group in 14, syn positioned.
Forming free and ultralow-power erase operation in atomically crystal TiO2 resistive switching
NASA Astrophysics Data System (ADS)
Dai, Yawei; Bao, Wenzhong; Hu, Linfeng; Liu, Chunsen; Yan, Xiao; Chen, Lin; Sun, Qingqing; Ding, Shijin; Zhou, Peng; Zhang, David Wei
2017-06-01
Two-dimensional layered materials (2DLMs) have attracted broad interest from fundamental sciences to industrial applications. Their applications in memory devices have been demonstrated, yet much still remains to explore optimal materials and device structure for practical application. In this work, a forming-free, bipolar resistive switching behavior are demonstrated in 2D TiO2-based resistive random access memory (RRAM). Physical adsorption method is adopted to achieve high quality, continuous 2D TiO2 network efficiently. The 2D TiO2 RRAM devices exhibit superior properties such as fast switching capability (20 ns of erase operation) and extremely low erase energy consumption (0.16 fJ). Furthermore, the resistive switching mechanism is attributed to the formation and rupture of oxygen vacancies-based percolation path in 2D TiO2 crystals. Our results pave the way for the implementation of high performance 2DLMs-based RRAM in the next generation non-volatile memory (NVM) application.
Study of concentration-dependent cobalt ion doping of TiO2 and TiO(2-x)Nx at the nanoscale.
Gole, James L; Prokes, Sharka M; Glembocki, O J; Wang, Junwei; Qiu, Xiaofeng; Burda, Clemens
2010-07-01
Experiments with a porous sol-gel generated TiO(2) nanocolloid and its corresponding oxynitride TiO(2-x)N(x) are carried out to evaluate those transformations which accompany additional doping with transition metals. In this study, doping with cobalt (Co(ii)) ions is evaluated using a combination of core level and VB-photoelectron and optical spectroscopy, complementing data obtained from Raman spectroscopy. Raman spectroscopy suggests that cobalt doping of porous sol-gel generated anatase TiO(2) and nitridated TiO(2-x)N(x) introduces a spinel-like structure into the TiO(2) and TiO(2-x)N(x) lattices. TEM and XPS data complemented by valence band-photoelectron spectra demonstrate that metallic cobalt clusters are not formed even at high doping levels. As evidenced by Raman spectroscopy, the creation of a spinel-like structure is commensurate with the room temperature conversion of the oxide and its oxynitride from the anatase to the rutile form. The onset of this kinetically driven process correlates with the formation of spinel sites within the TiO(2) and TiO(2-x)N(x) particles. Despite their visible light absorption, the photocatalytic activity of these cobalt seeded systems is diminished relative to the oxynitride TiO(2-x)N(x).
NASA Astrophysics Data System (ADS)
Anderson, Ian Mark
Quantum dot-sensitized solar cells (QDSSCs) are a popular target for research due to their potential for highly efficient, easily tuned absorption. Typically, light is absorbed by quantum dots attached to a semiconductor substrate, such as TiO2, via bifunctional linker molecules. This research aims to create a patterned monolayer of linker molecules on a TiO2 film, which would in turn allow the attachment of a patterned layer of quantum dots. One method for the creation of a patterned monolayer is the functionalization of a TiO2 film with a linker molecule, followed by illumination with a laser at 355 nm. This initiates a TiO 2-catalyzed oxidation reaction, causing loss of surface coverage. A second linker molecule can then be adsorbed onto the TiO2 surface in the illuminated area. Towards that end, the behaviors of carboxylic and phosphonic acids adsorbed on TiO2 have been studied. TiO2 films were functionalized by immersion in solutions a single adsorbate and surface coverage was determined by IR spectroscopy. It is shown that phosphonic acids attain higher surface coverage than carboxylic acids, and will displace them from TiO2 when in a polar solvent. Alkyl chain lengths, which can influence stabilities of monolayers, are shown not to have an effect on this relationship. Equilibrium binding data for the adsorption of n-hexadecanoic acid to TiO2 from a THF solution are presented. It is shown that solvent polarity can affect monolayer stability; carboxylates and phosphonates undergo more desorption into polar solvents than nonpolar. Through illumination, it was possible to remove nearly all adsorbed linkers from TiO2. However, the illuminated areas were found not to be receptive to attachment by a second adsorbate. A possible reason for this behavior is presented. I also report on the synthesis and characterization of a straight-chain, thiol-terminated phosphonic acid. Initial experiments involving monolayer formation and quantum dot attachment are presented
Diffusion reaction of oxygen in HfO2/SiO2/Si stacks.
Ferrari, S; Fanciulli, M
2006-08-03
We study the oxidation mechanism of silicon in the presence of a thin HfO2 layer. We performed a set of annealing in 18O2 atmosphere on HfO2/SiO2/Si stacks observing the 18O distribution in the SiO2 layer with time-of-flight secondary ion mass spectrometry (ToF-SIMS). The 18O distribution in HfO2/SiO2/Si stacks upon 18O2 annealing suggests that what is responsible for SiO2 growth is the molecular O2, whereas no contribution is found of the atomic oxygen to the oxidation. By studying the dependence of the oxidation velocity from oxygen partial pressure and annealing temperature, we demonstrate that the rate-determining step of the oxidation is the oxygen exchange at the HfO2/SiO2 interface. When moisture is chemisorbed in HfO2 films, the oxidation of the underlying silicon substrate becomes extremely fast and its kinetics can be described as a wet silicon oxidation process. The silicon oxidation during O2 annealing of the atomic layer deposited HfO2/Si is fast in its early stage due to chemisorbed moisture and becomes slow after the first 10 s.
Le Campion, L; Giannotti, C; Ouazzani, J
1999-03-01
5-nitro-1,2,4-triazol-3-one (NTO) is a powerful insensitive explosive, present in industrial waste waters. A remediation method based on photochemical decomposition and Fenton oxidation of NTO has been evaluated by monitoring the mineralization of 14C-labelled NTO. The TiO2-catalyzed photodegradation (lambda > 290 nm, TiO2 0.4 g/l, NTO 150 mg/l)) leads to the complete mineralization of NTO in 3 hours. This degradation involves a simultaneous denitrification and ring scission of NTO leading to nitrites, nitrates and carbon dioxide. No significant photo-degradation of NTO was detected in the absence of the catalyst. Long term irradiation over one week, leads to a complete degradation of concentrated NTO (5 g/l), suggesting that this method could be useful to clean-up NTO wastes. Fenton oxidation offers an efficient cost-effective method for NTO remediation. This reaction is faster that the TiO2 catalyzed photolysis and find application on the mineralization of high concentrations of NTO (15 g/l). Fenton oxidation provokes ring cleavage and subsequent elimination of the two carbon atoms of NTO as CO2. During this reaction, the nitro group is completely transformed into nitrates.
Crystalline silicon photovoltaics via low-temperature TiO 2/Si and PEDOT/Si heterojunctions
NASA Astrophysics Data System (ADS)
Nagamatsu, Ken Alfred
The most important goals in developing solar cell technology are to achieve high power conversion efficiencies and lower costs of manufacturing. Solar cells based on crystalline silicon currently dominate the market because they can achieve high efficiency. However, conventional p-n junction solar cells require high-temperature diffusions of dopants, and conventional heterojunction cells based on amorphous silicon require plasma-enhanced deposition, both of which can add manufacturing costs. This dissertation investigates an alternative approach, which is to form crystalline-silicon-based solar cells using heterojunctions with materials that are easily deposited at low temperatures and without plasma enhancement, such as organic semiconductors and metal oxides. We demonstrate a heterojunction between the organic polymer, poly(3,4-ethylenedioxythiophene) poly(styrenesulfonate) (PEDOT), and crystalline silicon, which acts as a hole-selective contact and an alternative to a diffused p-n junction. We also present the use of a heterojunction between titanium dioxide and crystalline silicon as a passivating electron-selective contact. The Si/TiO2 heterojunction is demonstrated for the first time as a back-surface field in a crystalline silicon solar cell, and is incorporated into a PEDOT/Si device. The resulting PEDOT/Si/TiO2 solar cell represents an alternative to conventional silicon solar cells that rely on thermally-diffused junctions or plasma-deposited heterojunctions. Finally, we investigate the merits of using conductive networks of silver nanowires to enhance the photovoltaic performance of PEDOT/Si solar cells. The investigation of these materials and devices contributes to the growing body of work regarding crystalline silicon solar cells made with selective contacts.
Radiation-induced synthesis of Fe-doped TiO 2: Characterization and catalytic properties
NASA Astrophysics Data System (ADS)
Bzdon, Sylwia; Góralski, Jacek; Maniukiewicz, Waldemar; Perkowski, Jan; Rogowski, Jacek; Szadkowska-Nicze, Magdalena
2012-03-01
Fe-doped TiO 2 catalyst was prepared by wet impregnation, using TiO 2 P25 Degussa as a precursor and Fe(NO 3) 3 as a dopant, followed by irradiation with an electron beam or γ-rays. Surface properties of Fe/TiO 2 samples were examined by BET, XRD, ToF-SIMS, and TPR methods. The photocatalytic activity towards destruction of the anionic surfactant, sodium dodecylbenzenesulfonate (SDBS), in aqueous solutions was higher for the irradiated Fe/TiO 2 catalysts than for bare TiO 2 P25 or that calcined at 500 °C. The results show that irradiated catalysts exhibit a more uniform texture with high dispersion of iron species. An enhancement of the activity of irradiated Fe/TiO 2 systems can be attributed to the synergetic effects of small crystallite size and homogenous distribution of iron species including FeTiO 3 phase.
Study of the highly ordered TiO2 nanotubes physical properties prepared with two-step anodization
NASA Astrophysics Data System (ADS)
Pishkar, Negin; Ghoranneviss, Mahmood; Ghorannevis, Zohreh; Akbari, Hossein
2018-06-01
Highly ordered hexagonal closely packed titanium dioxide nanotubes (TiO2 NTs) were successfully grown by a two-step anodization process. The TiO2 NTs were synthesized by electrochemical anodization of titanium foils in an ethylene glycol based electrolyte solution containing 0.3 wt% NH4F and 2 vol% deionized (DI) water at constant potential (50 V) for 1 h at room temperature. Physical properties of the TiO2 NTs, which were prepared via one and two-step anodization, were investigated. Atomic Force Microscopy (AFM) analysis revealed that anodization and subsequently peeled off the TiO2 NTs caused to the periodic pattern on the Ti surface. In order To study the nanotubes morphology, Field Emission Scanning Electron Microscopy (FESEM) was used, which was revealed that the two-step anodization resulted highly ordered hexagonal TiO2 NTs. Crystal structures of the TiO2 NTs were mainly anatase, determined by X-ray diffraction analysis. Optical studies were performed by Diffuse Reflection Spectra (DRS) and Photoluminescence (PL) analysis showed that the band gap of TiO2 NTs prepared via two-step anodization was lower than the band gap of samples prepared by one-step anodization process.
Lee, JungEun; Zoh, KyungDuk; Ko, GwangPyo
2008-01-01
We studied inactivation and UV disinfection of murine norovirus (MNV) as a surrogate for human norovirus. We investigated the effects of different surface characteristics, temperatures, and NaCl concentrations on MNV survival using both a plaque assay and a real-time TaqMan reverse transcription (RT)-PCR assay. MNV survived more than 40 days on diaper material, on gauze, and in a stool suspension. Compared to inactivation at lower temperatures (−20 and 4°C), inactivation of MNV was greater at higher temperatures (18 and 30°C). On the surface of both gauze and diaper material, there was a <2-log10 reduction in the amount of infectious MNV in 40 days after incubation at both −20 and 4°C, compared to a >5-log10 reduction after incubation at 30°C in 24 days. MNV survived better in a stool suspension than on the surface of gauze or diaper material. A higher salt concentration increased the rate of inactivation of MNV. In 72 h, <0.3-, 1.5-, and 2.5-log10 reductions in the amount of infectious MNV occurred in distilled water and 0.5 and 1 M NaCl, respectively. We observed only minor reductions in the numbers of viral RNA copies as quantified by real-time TaqMan RT-PCR regardless of the temperature, the salt concentration, or the suspending medium. We also evaluated UV disinfection of infectious MNV with and without TiO2. The amount of MNV was significantly reduced by 254-nm UV with and without TiO2. When 25 mJ/cm2 UV was used, 3.3- and 3.6-log10 reductions in the amounts of infectious MNV occurred with and without TiO2, respectively. Our results demonstrate that MNV can persist in various environmental conditions and can be efficiently controlled by UV disinfection. PMID:18245239
DOE Office of Scientific and Technical Information (OSTI.GOV)
Freeman, Blake M.; Schoenfield, Joshua S.; Jiang, HongWen
We investigate and compare the charge noise in Si/SiO{sub 2} and Si/SiGe gate defined quantum dots with identically patterned gates by measuring the low frequency 1/f current noise through the biased quantum dots in the coulomb blockade regime. The current noise is normalized and used to extract a measurement of the potential energy noise in the system. Additionally, the temperature dependence of this noise is investigated. The measured charge noise in Si/SiO{sub 2} compares favorably with that of the SiGe device as well as previous measurements made on other substrates suggesting Si/SiO{sub 2} is a potential candidate for spin basedmore » quantum computing.« less
Wang, Peifang; Guo, Xiang; Rao, Lei; Wang, Chao; Guo, Yong; Zhang, Lixin
2018-05-10
A TiO 2 /g-C 3 N 4 composite photocatalytic film was prepared by in situ synthesis method and its photocatalytic capability under weak-visible-light condition was studied. The co-precursor with different ratio of melamine and TiO 2 sol-gel precursor were treated using ultrasonic mixing, physical deposition, and co-sintering method to form the smooth, white-yellow, and compact TiO 2 /g-C 3 N 4 composite films. The prepared TiO 2 /g-C 3 N 4 materials were characterized by SEM, TEM, EDS, XRD, BET, VBXPS, and UV-vis diffuse reflectance spectra. The results of composite showed that TiO 2 and g-C 3 N 4 have close interfacial connections which are favorable to charge transfer between these two semiconductors with suitable band structure, g-C 3 N 4 retard the anatase-to-rutile phase transition of TiO 2 significantly, the specific surface area were increased with g-C 3 N 4 ratio raised. Under weak-light irradiation, composite films photocatalytic experiments exhibited RhB removal efficiency approaching 90% after three recycles. Powders suspension degradation experiments revealed the removal efficiency of TiO 2 /g-C 3 N 4 (90.8%) was higher than pure TiO 2 (52.1%) and slightly lower than pure g-C 3 N 4 (96.6%). By control experiment, the enhanced photocatalysis is ascribed to the combination of TiO 2 and g-C 3 N 4 , which not only produced thin films with greater stability but also formed heterojunctions that can be favorable to charge transfer between these two semiconductors with suitable band structure. This study presents the potential application of photocatalytic film in the wastewater treatment under weak-light situation.
Metzler, Dominik; Li, Chen; Engelmann, Sebastian; ...
2015-11-11
The need for atomic layer etching (ALE) is steadily increasing as smaller critical dimensions and pitches are required in device patterning. A flux-control based cyclic Ar/C 4F 8 ALE based on steady-state Ar plasma in conjunction with periodic, precise C 4F 8 injection and synchronized plasma-based low energy Ar + ion bombardment has been established for SiO 2. 1 In this work, the cyclic process is further characterized and extended to ALE of silicon under similar process conditions. The use of CHF 3 as a precursor is examined and compared to C 4F 8. CHF 3 is shown to enablemore » selective SiO 2/Si etching using a fluorocarbon (FC) film build up. Other critical process parameters investigated are the FC film thickness deposited per cycle, the ion energy, and the etch step length. Etching behavior and mechanisms are studied using in situ real time ellipsometry and X-ray photoelectron spectroscopy. Silicon ALE shows less self-limitation than silicon oxide due to higher physical sputtering rates for the maximum ion energies used in this work, ranged from 20 to 30 eV. The surface chemistry is found to contain fluorinated silicon oxide during the etching of silicon. As a result, plasma parameters during ALE are studied using a Langmuir probe and establish the impact of precursor addition on plasma properties.« less
USDA-ARS?s Scientific Manuscript database
Bacterial spoilage is a major cause of reduced shelf life of fresh poultry; therefore, decreasing contamination by spoilage bacteria could increase the shelf life of these products. Titanium dioxide (TiO2) nanoparticles in the presence of UVA light possess antibacterial activities towards several ba...
Preparation and Characterization of TiO2-Based Photocatalysts by Chemical Vapour Deposition
NASA Astrophysics Data System (ADS)
Nacevski, Goran; Marinkovski, Mirko; Tomovska, Radmila; Fajgar, Radek
In the present work, a novel technique for the preparation of TiO2-based photocatalysts modified with SiO2 is presented, using a pulsed ArF laser to induce a chemical vapor deposition process. The irradiated gas mixture was composed of TiCl4/SiCl4 precursors in excess of oxygen. Laser irradiation at 193 nm with a repetition frequency of 10 Hz induced the deposition of thin nano-sized mixed oxide films. In order to improve the photocatalytic activity of the catalysts and to expand the activity from the UV to the visible part of the spectrum, doping of the catalysts with chromium oxides was performed. For that aim, the same technique of catalyst preparation was used, irradiating the same gas mixture with the addition of chromyl chloride as Cr precursor. The thin films prepared were annealed up to 500°C in order to remove crystal defects, which could be responsible for poor photocatalytic activity. The dependence of structure and properties on reaction process and irradiation conditions (laser energy and fluence, precursors pressure) were examined. The main aim was to find the best conditions for the production of highly photoactive catalysts and to decrease deactivation processes during the photo-oxidation. The composition, structure and morphology of the oxide catalysts prepared were studied by various spectroscopies, electron microscopy and diffraction techniques.
Hamzezadeh-Nakhjavani, Sahar; Tavakoli, Omid; Akhlaghi, Seyed Parham; Salehi, Zeinab; Esmailnejad-Ahranjani, Parvaneh; Arpanaei, Ayyoob
2015-12-01
Preparation of novel nanocomposite particles (NCPs) with high visible-light-driven photocatalytic activity and possessing recovery potential after advanced oxidation process (AOP) is much desired. In this study, pure anatase phase titania (TiO2) nanoparticles (NPs) as well as three types of NCPs including nitrogen-doped titania (TiO2-N), titania-coated magnetic silica (Fe3O4 cluster@SiO2@TiO2 (FST)), and a novel magnetically recoverable TiO2 nanocomposite photocatalyst containing nitrogen element (Fe3O4 cluster@SiO2@TiO2-N (FST-N)) were successfully synthesized via a sol-gel process. The photocatalysts were characterized by X-ray diffraction (XRD), Fourier transform infrared (FT-IR) spectroscopy, field emission scanning electron microscopy (FE-SEM) with an energy-dispersive X-ray (EDX) spectroscopy analysis, X-ray photoelectron spectroscopy (XPS), UV-vis diffuse reflectance spectroscopy (DRS), and vibrating sample magnetometer (VSM). The photocatalytic activity of as-prepared samples was further investigated and compared with each other by degradation of phenol, as a model for the organic pollutants, in deionized (DI) water under visible light irradiation. The TiO2-N (55 ± 1.5%) and FST-N (46 ± 1.5%) samples exhibited efficient photocatalytic activity in terms of phenol degradation under visible light irradiation, while undoped samples were almost inactive under same operating conditions. Moreover, the effects of key operational parameters, the optimum sample calcination temperature, and reusability of FST-N NCPs were evaluated. Under optimum conditions (calcination temperature of 400 °C and near-neutral reaction medium), the obtained results revealed efficient degradation of phenol for FST-N NCPs under visible light irradiation (46 ± 1.5%), high yield magnetic separation and efficient reusability of FST-N NCPs (88.88% of its initial value) over 10 times reuse.
Kongkanand, Anusorn; Kamat, Prashant V
2007-08-01
The use of single wall carbon nanotubes (SWCNTs) as conduits for transporting electrons in a photoelectrochemical solar cell and electronic devices requires better understanding of their electron-accepting properties. When in contact with photoirradiated TiO(2) nanoparticles, SWCNTs accept and store electrons. The Fermi level equilibration with photoirradiated TiO(2) particles indicates storage of up to 1 electron per 32 carbon atoms in the SWCNT. The stored electrons are readily discharged on demand upon addition of electron acceptors such as thiazine and oxazine dyes (reduction potential less negative than that of the SWCNT conduction band) to the TiO(2)-SWCNT suspension. The stepwise electron transfer from photoirradiated TiO(2) nanoparticles --> SWCNT --> redox couple has enabled us to probe the electron equilibration process and determine the apparent Fermi level of the TiO(2)-SWCNT system. A positive shift in apparent Fermi level (20-30 mV) indicates the ability of SWCNTs to undergo charge equilibration with photoirradiated TiO(2) particles. The dependence of discharge capacity on the reduction potential of the dye redox couple is compared for TiO(2) and TiO(2)-SWCNT systems under equilibration conditions.
NASA Astrophysics Data System (ADS)
Park, J. M.; Kim, H. J.; Karki, Sujita; Kaewkhao, J.; Damdee, B.; Kothan, S.; Kaewjaeng, S.
2017-12-01
CeF3-doped silicaborate-calcium-gadolinium glass scintillators, with the formula 10SiO2:(55-x)B2O3:10CaO:25GdF3:xCeF3, were fabricated by the melt-quenching technique. The doping concentration of the CeF3 was from 0.00 mol% to 0.20 mol%. The optical properties of the CeF3 doped glass scintillators were studied by using various radiation sources. The transition state of the CeF3-doped glass scintillators studied by using the absorption and photo-luminescence spectrum results. The X-ray, photo, proton and laser-induced luminescence spectra were also studied to understand the luminescence mechanism under various conditions. To understand the temperature dependence, the laser-induced luminescence and the decay component of the CeF3-doped glass scintillator were studied while the temperature was varied from 300 K to 10 K. The emission wavelength spectrum showed from 350 nm to 55 nm under various radiation sources. Also the CeF3-doped glass scintillator have one decay component as 34 ns at room temperature.
Surface transformation can affect the stability, reactivity, and toxicity of titanium dioxide (TiO2) nanoparticles (NPs) when released to water environments. Herein, we investigated the release kinetics of Si impurity frequently introduced during NP synthesis and the resulting ef...
NASA Astrophysics Data System (ADS)
Ok, Seon-Yeong; Cho, Kwon-Koo; Kim, Ki-Won; Ryu, Kwang-Sun
2010-05-01
Well-ordered TiO2 nanotube arrays were fabricated by the potentiostatic anodic oxidation method using pure Ti foil as a working electrode and ethylene glycol solution as an electrolyte with the small addition of NH4F and H2O. The influence of anodization temperature and time on the morphology and formation of TiO2 nanotube arrays was examined. The TiO2 nanotube arrays were applied as a photoelectrode to dye-sensitized solar cells. Regardless of anodizing temperature and time, the average diameter and wall thickness of TiO2 nanotube arrays show a similar value, whereas the length increases with decreasing reaction temperature. The conversion efficiency is very low, which is due to a morphology breaking of the TiO2 nanotube arrays in the manufacturing process of a photoelectrode.
NASA Astrophysics Data System (ADS)
Marszalek, K.; Jaglarz, J.; Sahraoui, B.; Winkowski, P.; Kanak, J.
2015-01-01
The aim of this paper is to study antireflective properties of the tree-layer systems LaF3/HfO2/SiO2 and LaF3/HfO2/MgF2 deposited on heated optical glass substrates. The films were evaporated by the use two deposition techniques. In first method oxide films were prepared by means of e-gun evaporation in vacuum of 5 × 10-5 mbar in the presence of oxygen. The second was used for the deposition of fluoride films. They were obtained by means of thermal source evaporation. Simulation of reflectance was performed for 1M2H1L (Quarter Wavelength Optical Thickness) film stack on an optical quartz glass with the refractive index n = 1.46. The layer thickness was optimized to achieve the lowest light scattering from glass surface covered with dioxide and fluoride films. The values of the interface roughness were determined through atomic force microscopy measurements. The essence of performed calculation was to find minimum reflectance of light in wide ultraviolet region. The spectral dispersion of the refractive index needed for calculations was determined from ellipsometric measurements using the spectroscopic ellipsometer M2000. Additionally, the total reflectance measurements in integrating sphere coupled with Perkin Elmer 900 spectrophotometer were performed. These investigations allowed to determine the influence of such film features like surface and interface roughness on light scattering.
Rheological and volumetric properties of TiO2-ethylene glycol nanofluids
2013-01-01
Homogeneous stable suspensions obtained by dispersing dry TiO2 nanoparticles in pure ethylene glycol were prepared and studied. Two types of nanocrystalline structure were analyzed, namely anatase and rutile phases, which have been characterized by scanning electron microscopy. The rheological behavior was determined for both nanofluids at nanoparticle mass concentrations up to 25%, including flow curves and frequency-dependent storage and loss moduli, using a cone-plate rotational rheometer. The effect of temperature over these flow curve tests at the highest concentration was also analyzed from 283.15 to 323.15 K. Furthermore, the influence of temperature, pressure, nanocrystalline structure, and concentration on the volumetric properties, including densities and isobaric thermal expansivities, were also analyzed. PMID:23763850
Pilarization TiO2 onto De-oiled spent bleaching clay using Rarasaponin as surfactant
NASA Astrophysics Data System (ADS)
Hindryawati, N.; Daniel; Erwin; Fadillah, N. D.
2018-03-01
Synthesis and characterization TiO2 pillared deoiled spent bleaching clay (DSBC) with rarasaponin as surfactant had been done. Activation DSBC have been done with H2SO4 1N, followed by pillarization with TiO2 using rarasaponin as surfactant. Characterization has done with Fourier transform infrared spectroscopy showed the rarasaponin as surfactant was successfully carried out in DSBC with the presence of absorption peak C=O stretching group in a sharp 1720.50 cm-1 wavelength range. As well as the C-CH2 stretching uptake peak is represented on wave number 1462.04 cm-1 and 1033,85 cm-1 for aromatic functional group C=C stretching. After pillared by TiO2, the XRD pattern on DSBC showed new peak appears on 2θ = 27,4460° 36,0850° and 55,3216° and the mineral contain on DSBC is rectorite with dioctahedral mica layer and dioctahedral smectite with ratio 2:1. This molecule have formula Na.Al4(Si, Al)8.O20.(OH)4. H2O. Crystallinty of pillared clay showed 72,5014 % after calcination and there is some Ti suspected on the layer based on SEM.
NASA Astrophysics Data System (ADS)
Zhong, Wenjie; Sang, Shangbin; Liu, Yingying; Wu, Qiumei; Liu, Kaiyu; Liu, Hongtao
2015-10-01
Highly ordered TiO2 nanotube arrays (NTAs) with excellent stability and large specific surface area make them competitive using as supercapacitor materials. Improving the conductivity of TiO2 is of great concern for the construction of high-performance supercapacitors. In this work, we developed a novel approach to improve the performance of TiO2 materials, involving the fabrication of Al-doped TiO2 NTAs by a simple electrochemical cathodic polarization treatment in AlCl3 aqueous solution. The prepared Al-doped TiO2 NTAs exhibited excellent electrochemical performances, attributing to the remarkably improved electrical conductivity (i.e., from approx. 10 kΩ to 20 Ω). Further analysis showed that Al3+ ions rather than H+ protons doped into TiO2 lattice cause this high conductivity. A MnO2/Al-TiO2 composite was evaluated by cyclic voltammetry, and achieved the specific capacitance of 544 F g-1, and the Ragone plot of the sample showed a high power density but less reduction of energy density. These results indicate that the MnO2/Al-TiO2 NTAs sample could be served as a promising electrode material for high -performance supercapacitors.
Pure rotational spectra of TiO and TiO2 in VY Canis Majoris
NASA Astrophysics Data System (ADS)
Kamiński, T.; Gottlieb, C. A.; Menten, K. M.; Patel, N. A.; Young, K. H.; Brünken, S.; Müller, H. S. P.; McCarthy, M. C.; Winters, J. M.; Decin, L.
2013-03-01
We report the first detection of pure rotational transitions of TiO and TiO2 at (sub-)millimeter wavelengths towards the red supergiant VY CMa. A rotational temperature, Trot, of about 250 K was derived for TiO2. Although Trot was not well constrained for TiO, it is likely somewhat higher than that of TiO2. The detection of the Ti oxides confirms that they are formed in the circumstellar envelopes of cool oxygen-rich stars and may be the "seeds" of inorganic-dust formation, but alternative explanations for our observation of TiO and TiO2 in the cooler regions of the envelope cannot be ruled out at this time. The observations suggest that a significant fraction of the oxides is not converted to dust, but instead remains in the gas phase throughout the outflow. Based on observations carried out with the Submillimeter Array and IRAM Plateau de Bure Interferometer.Plateau de Bure data (FITS file) is only available at the CDS via anonymous ftp to cdsarc.u-strasbg.fr (130.79.128.5) or via http://cdsarc.u-strasbg.fr/viz-bin/qcat?J/A+A/551/A113
Park, Sang Wook; Choi, Jong Youn; Siddiqui, Shariq; Sahu, Bhagawan; Galatage, Rohit; Yoshida, Naomi; Kachian, Jessica; Kummel, Andrew C
2017-02-07
Si 0.5 Ge 0.5 (110) surfaces were passivated and functionalized using atomic H, hydrogen peroxide (H 2 O 2 ), and either tetrakis(dimethylamino)titanium (TDMAT) or titanium tetrachloride (TiCl 4 ) and studied in situ with multiple spectroscopic techniques. To passivate the dangling bonds, atomic H and H 2 O 2 (g) were utilized and scanning tunneling spectroscopy (STS) demonstrated unpinning of the surface Fermi level. The H 2 O 2 (g) could also be used to functionalize the surface for metal atomic layer deposition. After subsequent TDMAT or TiCl 4 dosing followed by a post-deposition annealing, scanning tunneling microscopy demonstrated that a thermally stable and well-ordered monolayer of TiO x was deposited on Si 0.5 Ge 0.5 (110), and X-ray photoelectron spectroscopy verified that the interfaces only contained Si-O-Ti bonds and a complete absence of GeO x . STS measurements confirmed a TiO x monolayer without mid-gap and conduction band edge states, which should be an ideal ultrathin insulating layer in a metal-insulator-semiconductor structure. Regardless of the Ti precursors, the final Ti density and electronic structure were identical since the Ti bonding is limited by the high coordination of Ti to O.
High-resolution photoelectron spectroscopy of TiO3H2-: Probing the TiO2- + H2O dissociative adduct
NASA Astrophysics Data System (ADS)
DeVine, Jessalyn A.; Abou Taka, Ali; Babin, Mark C.; Weichman, Marissa L.; Hratchian, Hrant P.; Neumark, Daniel M.
2018-06-01
Slow electron velocity-map imaging spectroscopy of cryogenically cooled TiO3H2- anions is used to probe the simplest titania/water reaction, TiO20/- + H2O. The resultant spectra show vibrationally resolved structure assigned to detachment from the cis-dihydroxide TiO(OH)2- geometry based on density functional theory calculations, demonstrating that for the reaction of the anionic TiO2- monomer with a single water molecule, the dissociative adduct (where the water is split) is energetically preferred over a molecularly adsorbed geometry. This work represents a significant improvement in resolution over previous measurements, yielding an electron affinity of 1.2529(4) eV as well as several vibrational frequencies for neutral TiO(OH)2. The energy resolution of the current results combined with photoelectron angular distributions reveals Herzberg-Teller coupling-induced transitions to Franck-Condon forbidden vibrational levels of the neutral ground state. A comparison to the previously measured spectrum of bare TiO2- indicates that reaction with water stabilizes neutral TiO2 more than the anion, providing insight into the fundamental chemical interactions between titania and water.
NASA Astrophysics Data System (ADS)
Zhang, Yi; Creatore, Mariadriana; Ma, Quan-Bao; El Boukili, Aishah; Gao, Lu; Verheijen, Marcel A.; Verhoeven, M. W. G. M. (Tiny); Hensen, Emiel. J. M.
2015-03-01
Plasma-assisted atomic layer deposition (PA-ALD) was adopted to deposit TiO2-xNx ultrathin layers on Si wafers, calcined Ti foils and nanotubular TiO2 arrays. A range of N content and chemical bond configurations were obtained by varying the background gas (O2 or N2) during the Ti precursor exposure, while the N2/H2-fed inductively coupled plasma exposure time was varied between 2 and 20 s. On calcined Ti foils, a positive effect from N doping on photocurrent density was observed when O2 was the background gas with a short plasma exposure time (5 and 10 s). This correlates with the presence of interstitial N states in the TiO2 with a binding energy of 400 eV (Ninterst) as measured by X-ray photoelectron spectroscopy. A longer plasma time or the use of N2 as background gas results in formation of N state with a binding energy of 396 eV (Nsubst) and very low photocurrents. These Nsubst are linked to the presence of Ti3+, which act as detrimental recombination center for photo-generated electron-hole pairs. On contrary, PA-ALD treated nanotubular TiO2 arrays show no variation of photocurrent density (with respect to the pristine nanotubes) upon different plasma exposure times and when the O2 recipe was adopted. This is attributed to constant N content in the PA-ALD TiO2-xNx, regardless of the adopted recipe.
Improved Treatment of Photothermal Cancer by Coating TiO2 on Porous Silicon.
Na, Kil Ju; Park, Gye-Choon
2016-02-01
In present society, the technology in various field has been sharply developed and advanced. In medical technology, especially, photothermal therapy and photodynamic therapy have had limelight for curing cancers and diseases. The study investigates the photothermal therapy that reduces side effects of existing cancer treatment, is applied to only cancer cells, and dose not harm any other normal cells. The photothermal properties of porous silicon for therapy are analyzed in order to destroy cancer cells that are more weak at heat than normal ones. For improving performance of porous silicon, it also analyzes the properties when irradiating the near infrared by heterologously junction TiO2 and TiO2NW, photocatalysts that are very stable and harmless to the environment and the human body, to porous silicon. Each sample of Si, PSi, TiO2/Psi, and TiO2NW/PSi was irradiated with 808 nm near-IR of 300, 500, and 700 mW/cm2 light intensity, where the maximum heating temperature was 43.8, 61.6, 67.9, and 61.9 degrees C at 300 mW/cm2; 54.1, 64.3, 78.8, and 68.9 degrees C at 500 mW/cm2; and 97.3, 102.8, 102.5, and 95 0C at 700 mW/cm2. The time required to reach the maximum temperature was less than 10 min for every case. The results indicate that TiO2/PSi thin film irradiated with a single near-infrared wavelength of 808 nm, which is known to have the best human permeability, offers the potential of being the most successful photothermal cancer therapy agent. It maximizes the photo-thermal characteristics within the shortest time, and minimizes the adverse effects on the human body.
Spinel and post-spinel phase assemblages in Zn 2TiO 4: an experimental and theoretical study
DOE Office of Scientific and Technical Information (OSTI.GOV)
Zhang, Yanyao; Liu, Xi; Shieh, Sean R.
Zn2TiO4 spinel (Zn2TiO4-Sp) was synthesized by a solid-state reaction method (1573 K, room P and 72 h) and quasi-hydrostatically compressed to ~24 GPa using a DAC coupled with a synchrotron X-ray radiation (ambient T). We found that the Zn2TiO4-Sp was stable up to ~21 GPa and transformed to another phase at higher P. With some theoretical simulations, we revealed that this high-P phase adopted the CaTi2O4-type structure (Zn2TiO4-CT). Additionally, the isothermal bulk modulus (KT) of the Zn2TiO4-Sp was experimentally obtained as 156.0(44) GPa and theoretically obtained as 159.1(4) GPa, with its first pressure derivative K'TKT' as 3.8(6) and 4.37(4), respectively.more » The volumetric and axial isothermal bulk moduli of the Zn2TiO4-CT were theoretically obtained as KT = 150(2) GPa (K'TKT' = 5.4(2); for the volume), KT-a = 173(2) GPa (K'T-aKT-a' = 3.9(1); for the a-axis), KT-b = 74(2) GPa (K'T-bKT-b' = 7.0(2); for the b-axis), and KT-c = 365(8) GPa (K'T-cKT-c' = 1.5(4); for the c-axis), indicating a strong elastic anisotropy. The Zn2TiO4-CT was found as ~10.0 % denser than the Zn2TiO4-Sp at ambient conditions. The spinel and post-spinel phase assemblages for the Zn2TiO4 composition at high T have been deduced as Zn2TiO4-Sp, ZnTiO3-ilmenite + ZnO-wurtzite, ZnTiO3-ilmenite + ZnO-rock salt, ZnTiO3-perovskite + ZnO-rock salt, and Zn2TiO4-CT as P increases, which presumably implies a potential stability field for a CT-type Mg2SiO4 at very high P.« less
NASA Astrophysics Data System (ADS)
Kwak, Seungmin; Shim, Young-Seok; Yoo, Yong Kyoung; Lee, Jin-Hyung; Kim, Inho; Kim, Jinseok; Lee, Kyu Hyoung; Lee, Jeong Hoon
2018-03-01
We report a micromachined H2 sensor that is composed of a Pt micro-heater, low-stress insulating layer (SiO2/SiNx/SiO2), Pt-interdigitated electrodes, and gas sensing materials. Three types of Pt micro-heater are designed as function of electrode width, and their thermal properties are systematically analyzed by finite element modeling FEM with infrared camera. The power consumptions when the surface temperature reached 150, 200, 250, and 300 °C are calculated to approximately 33, 48, 67 and 85 mW, respectively. The response of the PdO nanoparticles-decorated TiO2 thin films to H2 is much higher than those of other gases such as CH4 and CO at 200 °C (48 mW). Further, the response time is reduced to approximately 3 s. The enhancement of gas sensing properties is related to well-designed micro-heater and catalytic effects of PdO nanoparticles such as electronic and chemical sensitization. These results suggest that the PdO nanoparticles-decorated TiO2 thin film, namely MEMS-based H2 sensors are very promising for use in IoT application to improve the quality of human's life.
NASA Astrophysics Data System (ADS)
Kwak, Seungmin; Shim, Young-Seok; Yoo, Yong Kyoung; Lee, Jin-Hyung; Kim, Inho; Kim, Jinseok; Lee, Kyu Hyoung; Lee, Jeong Hoon
2018-05-01
We report a micromachined H2 sensor that is composed of a Pt micro-heater, low-stress insulating layer (SiO2/SiNx/SiO2), Pt-interdigitated electrodes, and gas sensing materials. Three types of Pt micro-heater are designed as function of electrode width, and their thermal properties are systematically analyzed by finite element modeling FEM with infrared camera. The power consumptions when the surface temperature reached 150, 200, 250, and 300 °C are calculated to approximately 33, 48, 67 and 85 mW, respectively. The response of the PdO nanoparticles-decorated TiO2 thin films to H2 is much higher than those of other gases such as CH4 and CO at 200 °C (48 mW). Further, the response time is reduced to approximately 3 s. The enhancement of gas sensing properties is related to well-designed micro-heater and catalytic effects of PdO nanoparticles such as electronic and chemical sensitization. These results suggest that the PdO nanoparticles-decorated TiO2 thin film, namely MEMS-based H2 sensors are very promising for use in IoT application to improve the quality of human's life.
The luminescence of nanoscale Y2Si2O7:Eu3+ materials.
Lu, Shaozhe; Zhang, Jishen; Zhang, Jiahua
2010-03-01
The Y2Si2O7:Eu3+ sample was prepared with the sol-gel method. The Y2O3:EU3 was dispersed in SiO2, and the complex Y2Si2O7:Eu3+ particles were synthesized at high annealing temperature. The sample consisted of nearly spherical particles with an average size about 60 nm. The ultraviolet excitation spectra and emission spectra were measured. The sample excited by short ultraviolet light showed strongly red luminescence and fine monochromaticity. The luminescence was strongest from the 5D0 --> 7F2 electric dipole transition located at 611 nm. The excitation spectra of Y2Si2O7:Eu3+ excited with ultraviolet lights showed that the peak of the Eu(3+)-O2- charge transition band located at about 240 nm. During monitoring of different emission peaks of 5D0 --> 7F2, the charge transition band in the excitation spectra shifted, and the relative intensity of emission spectra changed obviously under the excitation of different ultraviolet wavelengths. These results confirmed that the Eu3+ could be excited with ultraviolet radiation of different wavelengths. At low temperature, using Eu3+ ions as fluorescence probes, we monitored the emission peaks of 5D0 --> 7F1 and 5D0 --> 7F2 transitions and measured the excitation spectra of 7F0 --> 5D0, 5D0 --> 7F1, and 5D0 --> 7F2 site-selective excitation spectra. These results indicated that Eu3+ ions are located in different local environments in the Y2Si2O7 host.
Fulle, Kyle; Sanjeewa, Liurukara D; McMillen, Colin D; Kolis, Joseph W
2017-10-01
Structural variations across a series of barium rare earth (RE) tetrasilicates are studied. Two different formulas are observed, namely those of a new cyclo-silicate fluoride, BaRE 2 Si 4 O 12 F 2 (RE = Er 3+ -Lu 3+ ) and new compounds in the Ba 2 RE 2 Si 4 O 13 (RE = La 3+ -Ho 3+ ) family, covering the whole range of ionic radii for the rare earth ions. The Ba 2 RE 2 Si 4 O 13 series is further subdivided into two polymorphs, also showing a dependence on rare earth ionic radius (space group P{\\overline 1} for La 3+ -Nd 3+ , and space group C2/c for Sm 3+ -Ho 3+ ). Two of the structure types identified are based on dinuclear rare earth units that differ in their crystal chemistries, particularly with respect to the role of fluorine as a structural director. The broad study of rare earth ions provides greater insight into understanding structural variations within silicate frameworks and the nature of f-block incorporation in oxyanion frameworks. The single crystals are grown from high-temperature (ca 953 K) hydrothermal fluids, demonstrating the versatility of the technique to access new phases containing recalcitrant rare earth oxides, enabling the study of structural trends.
TiO2 Nanoparticles Are Phototoxic to Marine Phytoplankton
Miller, Robert J.; Bennett, Samuel; Keller, Arturo A.; Pease, Scott; Lenihan, Hunter S.
2012-01-01
Nanoparticulate titanium dioxide (TiO2) is highly photoactive, and its function as a photocatalyst drives much of the application demand for TiO2. Because TiO2 generates reactive oxygen species (ROS) when exposed to ultraviolet radiation (UVR), nanoparticulate TiO2 has been used in antibacterial coatings and wastewater disinfection, and has been investigated as an anti-cancer agent. Oxidative stress mediated by photoactive TiO2 is the likely mechanism of its toxicity, and experiments demonstrating cytotoxicity of TiO2 have used exposure to strong artificial sources of ultraviolet radiation (UVR). In vivo tests of TiO2 toxicity with aquatic organisms have typically shown low toxicity, and results across studies have been variable. No work has demonstrated that photoactivity causes environmental toxicity of TiO2 under natural levels of UVR. Here we show that relatively low levels of ultraviolet light, consistent with those found in nature, can induce toxicity of TiO2 nanoparticles to marine phytoplankton, the most important primary producers on Earth. No effect of TiO2 on phytoplankton was found in treatments where UV light was blocked. Under low intensity UVR, ROS in seawater increased with increasing nano-TiO2 concentration. These increases may lead to increased overall oxidative stress in seawater contaminated by TiO2, and cause decreased resiliency of marine ecosystems. Phototoxicity must be considered when evaluating environmental impacts of nanomaterials, many of which are photoactive. PMID:22276179
Li, Shaolin; Qi, Lehua; Zhang, Ting; Ju, Luyan; Li, Hejun
2017-10-01
In spite of the effectiveness of the fiber coatings on interface modification of carbon fiber reinforced magnesium matrix composites, the cost and exclusive equipment for the coatings preparation are usually ignored during research work. In this paper, pyrolytic carbon (PyC) and TiO 2 were coated on carbon fiber surface to study the effects of fiber coatings on interfacial microstructure and mechanical properties of carbon fiber reinforced AZ91D composites (C f /AZ91D composites). It was indicated that both the two coatings could modify the interface and improve the mechanical properties of the composites. The ultimate tensile strength of the TiO 2 -C f /AZ91D and the PyC-C f /AZ91D composite were 333MPa and 400MPa, which were improved by 41.7% and 70.2% respectively, compared with the untreated-C f /AZ91D composite. The microstructure observation revealed that the strengthening of the composites relied on fiber integrity and moderate interfacial bonding. MgO nano-particles were generated at the interface due to the reaction of TiO 2 with Mg in the TiO 2 -C f /AZ91D composite. The volume expansion resulting from the reaction let to disordered intergranular films and crystal defects at the interface. The fibers were protected and the interfacial reaction was restrained by PyC coating in the PyC-C f /AZ91D composite. The principle to select the coating of fiber was proposed by comparing the effectiveness and cost of the coatings. Copyright © 2017 Elsevier Ltd. All rights reserved.
de Boer, F Y; Kok, R N U; Imhof, A; Velikov, K P
2018-04-18
Growing interest in using natural, biodegradable ingredients for food products leads to an increase in research for alternative sources of functional ingredients. One alternative is zein, a water-insoluble protein from corn. Here, a method to investigate the optical properties of white zein colloidal particles is presented in both diluted and concentrated suspensions. The particles are synthesized, after purification of zein, by anti-solvent precipitation. Mean particle diameters ranged from 35 to 135 nm based on dynamic light scattering. The value of these particles as white colorant is examined by measuring their optical properties. Dilute suspensions are prepared to measure the extinction cross section of individual particles and this was combined with Mie theory to determine a refractive index (RI) of 1.49 ± 0.01 for zein particles dispersed in water. This value is used to further model the optical properties of concentrated suspensions. To obtain full opacity of the suspension, comparable to 0.1-0.2 wt% suspensions of TiO2, concentrations of 2 to 3.3 wt% of zein particles are sufficient. The optimal size for maximal scattering efficiency is explored by modeling dilute and concentrated samples with RI's matching those of zein and TiO2 particles in water. The transport mean free path of light was determined experimentally and theoretically and the agreement between the transport mean free path calculated from the model and the measured value is better than 30%. Such particles have the potential to be an all-natural edible alternative for TiO2 as white colorant in wet food products.
NASA Astrophysics Data System (ADS)
Liu, Yazi; Sun, Dan; Askari, Sadegh; Patel, Jenish; Macias-Montero, Manuel; Mitra, Somak; Zhang, Richao; Lin, Wen-Feng; Mariotti, Davide; Maguire, Paul
2015-10-01
A facile method to synthesize a TiO2/PEDOT:PSS hybrid nanocomposite material in aqueous solution through direct current (DC) plasma processing at atmospheric pressure and room temperature has been demonstrated. The dispersion of the TiO2 nanoparticles is enhanced and TiO2/polymer hybrid nanoparticles with a distinct core shell structure have been obtained. Increased electrical conductivity was observed for the plasma treated TiO2/PEDOT:PSS nanocomposite. The improvement in nanocomposite properties is due to the enhanced dispersion and stability in liquid polymer of microplasma treated TiO2 nanoparticles. Both plasma induced surface charge and nanoparticle surface termination with specific plasma chemical species are proposed to provide an enhanced barrier to nanoparticle agglomeration and promote nanoparticle-polymer binding.
NASA Astrophysics Data System (ADS)
Rundqvist, Pär; Liljenfors, Tomas; Vorobiev, Andrei; Olsson, Eva; Gevorgian, Spartak
2006-12-01
Ba0.25Sr0.75TiO3 (BSTO) and SrTiO3 (STO) ferroelectric thin films were grown on templates of SiO2/Si, Pt /TiO2/SiO2/Si, and Pt /Au/Pt/TiO2/SiO2/Si using pulsed laser deposition. The microstructure and surface morphology of the multilayer stacks were studied using x-ray diffraction, atomic force microscopy, and transmission electron microscopy. The microstructural analysis shows that the ferroelectric films are polycrystalline textured with a columnar structure where the grain size is 50-100nm. The BSTO films deposited at 800°C on an amorphous SiO2/Si template reveal a textured structure with a dominant (110) orientation, which is explained by a dominant growth of BSTO (110) grains due to the lower surface energy of the (110) phase. The STO and BSTO films deposited at 650°C on the Pt /TiO2/SiO2/Si and Pt /Au/Pt/TiO2/SiO2/Si templates, respectively, reveal a structure with a dominant (111) orientation, which is explained by the dominant growth of BSTO (STO) (111) grains imposed by the underlying Pt (111) texture. In all cases the ferroelectric films are subject to compressive in-plane strain which is different for different grain orientations. Strain modified permittivities of ferroelectric films grown on different templates are calculated from first principles for different orientations and compared with measured results. The correlations between grain orientations, grain sizes, grain boundaries, strain, and dielectric permittivity of ferroelectric films on different templates are discussed.
Maijenburg, A. Wouter; Rodijk, Eddy J.B.; Maas, Michiel G.; ten Elshof, Johan E.
2014-01-01
Photocatalytically active nanostructures require a large specific surface area with the presence of many catalytically active sites for the oxidation and reduction half reactions, and fast electron (hole) diffusion and charge separation. Nanowires present suitable architectures to meet these requirements. Axially segmented Ag|ZnO and radially segmented (coaxial) TiO2-Ag nanowires with a diameter of 200 nm and a length of 6-20 µm were made by templated electrodeposition within the pores of polycarbonate track-etched (PCTE) or anodized aluminum oxide (AAO) membranes, respectively. In the photocatalytic experiments, the ZnO and TiO2 phases acted as photoanodes, and Ag as cathode. No external circuit is needed to connect both electrodes, which is a key advantage over conventional photo-electrochemical cells. For making segmented Ag|ZnO nanowires, the Ag salt electrolyte was replaced after formation of the Ag segment to form a ZnO segment attached to the Ag segment. For making coaxial TiO2-Ag nanowires, a TiO2 gel was first formed by the electrochemically induced sol-gel method. Drying and thermal annealing of the as-formed TiO2 gel resulted in the formation of crystalline TiO2 nanotubes. A subsequent Ag electrodeposition step inside the TiO2 nanotubes resulted in formation of coaxial TiO2-Ag nanowires. Due to the combination of an n-type semiconductor (ZnO or TiO2) and a metal (Ag) within the same nanowire, a Schottky barrier was created at the interface between the phases. To demonstrate the photocatalytic activity of these nanowires, the Ag|ZnO nanowires were used in a photocatalytic experiment in which H2 gas was detected upon UV illumination of the nanowires dispersed in a methanol/water mixture. After 17 min of illumination, approximately 0.2 vol% H2 gas was detected from a suspension of ~0.1 g of Ag|ZnO nanowires in a 50 ml 80 vol% aqueous methanol solution. PMID:24837535
Leakage current and capacitance characteristics of Si/SiO2/Si single-barrier varactor
NASA Astrophysics Data System (ADS)
Mamor, M.; Fu, Y.; Nur, O.; Willander, M.; Bengtsson, S.
We investigate, both experimentally and theoretically, current and capacitance (I-V/C-V) characteristics and the device performance of Si/SiO2/Si single-barrier varactor diodes (SBVs). Two diodes were fabricated with different SiO2 layer thicknesses using the state-of-the-art wafer bonding technique. The devices have very low leakage currents (about 5×10-2 and 1.8×10-2 mA/mm2) and intrinsic capacitance levels of typically 1.5 and 50 nF/mm2 for diodes with 5-nm and 20-nm oxide layers, respectively. With the present device physical parameters (25-mm2 device area, 760-μm modulation layer thickness and 1015-cm-3 doping level), the estimated cut-off frequency is about 5×107 Hz. With the physical parameters of the present existing III-V triplers, the cut-off frequency of our Si-based SBV can be as high as 0.5 THz.
Sugar apple-shaped TiO2 hierarchical spheres for highly efficient dye-sensitized solar cells
NASA Astrophysics Data System (ADS)
Lei, Bing-Xin; Zeng, Li-Li; Zhang, Ping; Qiao, He-Kang; Sun, Zhen-Fan
2014-05-01
The sugar apple-shaped TiO2 hierarchical spheres are prepared by a facile hydrothermal method using polyethylene glycol 600 as stabilized reagent, (NH4)2TiF6 and urea as starting materials at 180 °C. The characterizations show that the TiO2 hierarchical sphere has well-defined pyramid-shaped crystal facets. The as-prepared TiO2 hierarchical spheres are crystalline of the anatase phase, with a diameter of about 2-4 μm and a surface area of 36.846 m2 g-1. The optical investigation evidences that the sugar apple-shaped TiO2 hierarchical sphere film exhibits a prominent light scattering effect at a wavelength range of 600-800 nm due to the unique hierarchical morphology. Furthermore, the sugar apple-shaped TiO2 hierarchical spheres are deposited as the scattering layer to balance the dye adsorption and light scattering effect in DSSCs and a 7.20% solar energy conversion efficiency is demonstrated, indicating an improvement compared with the P25 cell (6.68%). Based on the optical and electrochemical investigations, the high conversion efficiency is mainly due to the effective suppression of the back reaction of the injected electron with the I3- in the electrolyte and excellent light scattering ability.
Hu, Shan; Shi, Qiantao; Jing, Chuanyong
2015-08-18
A pressing challenge in arsenic (As) adsorptive filtration is to decipher how the As atomic surface structure obtained in the laboratory can be used to accurately predict the field filtration cycle. The motivation of this study was therefore to integrate molecular level As adsorption mechanisms and capacities to predict effluent As from granular TiO2 columns in the field as well as its health impacts. Approximately 2,955 bed volumes of groundwater with an average of 542 μg/L As were filtered before the effluent As concentration exceeded 10 μg/L, corresponding to an adsorption capacity of 1.53 mg As/g TiO2. After regeneration, the TiO2 column could treat 2,563 bed volumes of groundwater, resulting in an As load of 1.36 mg/g TiO2. Column filtration and EXAFS results showed that among coexisting ions present in groundwater, only Ca(2+), Si(OH)4, and HCO3(-) would interfere with As adsorption. The compound effects of coexisting ions and molecular level structural information were incorporated in the PHREEQC program to satisfactorily predict the As breakthrough curves. The total urinary As concentration from four volunteers of local residences, ranging from 972 to 2,080 μg/L before groundwater treatment, decreased to the range 31.7-73.3 μg/L at the end of the experimental cycle (15-33 days).
UV-visible light photocatalytic properties of NaYF4:(Gd, Si)/TiO2 composites
NASA Astrophysics Data System (ADS)
Mavengere, Shielah; Kim, Jung-Sik
2018-06-01
In this study, a new novel composite photocatalyst of NaYF4:(Gd, Si)/TiO2 phosphor has been synthesized by two step method of solution combustion and sol-gel. The photocatalyst powders were characterized by X-ray diffraction (XRD), Raman spectroscopy, scanning electron microscopy (SEM), UV-vis spectroscopy and photoluminescence (PL) spectroscopy. Raman spectroscopy confirmed the anatase TiO2 phase which remarkably increased with existence of yttrium silicate compounds between 800 cm-1 and 900 cm-1. Double-addition of Gd3+-Si4+ ions in NaYF4 host introduced sub-energy band levels with intense absorption in the ultraviolet (UV) light region. Photocatalytic activity was examined by exposing methylene blue (MB) solutions mixed with photocatalyst powders to 254 nm UV-C fluorescent lamp and 200 W visible lights. The UV and visible photocatalytic reactivity of the NaYF4:(Gd, 1% Si)/TiO2 phosphor composites showed enhanced MB degradation efficiency. The coating of NaYF4:(Gd, 1% Si) phosphor with TiO2 nanoparticles creates energy band bending at the phosphor/TiO2 interfaces. Thus, these composites exhibited enhanced absorption of UV/visible light and the separation of electron and hole pairs for efficient photocatalysis.
Hetero-Orientation Epitaxial Growth of TiO2 Splats on Polycrystalline TiO2 Substrate
NASA Astrophysics Data System (ADS)
Chen, Lin; Yang, Guan-Jun
2018-05-01
In the present study, the effect of titania (TiO2) substrate grain size and orientation on the epitaxial growth of TiO2 splat was investigated. Interestingly, the splat presented comparable grain size with that of substrate, indicating the hereditary feature of grain size. In addition, hetero- and homo-orientation epitaxial growth was observed at deposition temperatures below 400 °C and above 500 °C, respectively. The preferential growth of high-energy (001) face was also observed at low deposition temperatures (≤ 400 °C), which was found to result from dynamic nonequilibrium effect during the thermal spray deposition. Moreover, thermal spray deposition paves the way for a new approach to prepare high-energy (001) facets of TiO2 crystals.
NASA Astrophysics Data System (ADS)
Richter, J. H.; Karlsson, P. G.; Sandell, A.
2008-05-01
A TiO2-ZrO2 film with laterally graded stoichiometry has been prepared by metal-organic chemical vapor deposition in ultrahigh vacuum. The film was characterized in situ using synchrotron radiation photoelectron spectroscopy (PES) and x-ray absorption spectroscopy. PES depth profiling clearly shows that Ti ions segregate toward the surface region when mixed with ZrO2. The binding energy of the ZrO2 electronic levels is constant with respect to the local vacuum level. The binding energy of the TiO2 electronic levels is aligned to the Fermi level down to a Ti /Zr ratio of about 0.5. At a Ti /Zr ratio between 0.1 and 0.5, the TiO2 related electronic levels become aligned to the local vacuum level. The addition of small amounts of TiO2 to ZrO2 results in a ZrO2 band alignment relative to the Fermi level that is less asymmetric than for pure ZrO2. The band edge positions shift by -0.6eV for a Ti /Zr ratio of 0.03. This is explained in terms of an increase in the work function when adding TiO2, an effect that becomes emphasized by Ti surface segregation.
The Temperature and Structure Dependence of Surface Tension of CaO-SiO2-Na2O-CaF2 Mold Fluxes
NASA Astrophysics Data System (ADS)
Gao, Qiang; Min, Yi; Jiang, Maofa
2018-06-01
The surface tension of mold flux is one of the most important properties and varies with the temperature from the top to the bottom of the mold, which influences the adhesion and lubrication between the liquid mold flux and the solidified shell, further influencing the quality of the continuous billet. In the present paper, the effect of temperature on the surface tension of CaO-SiO2-Na2O-CaF2 mold-flux melts with different CaO/SiO2 mass ratios was investigated using the maximum-pull method. Furthermore, the microstructure of mold fluxes was analyzed using FT-IR and Raman spectra to discuss the change mechanism of surface tension. The results indicated that the temperature dependence of surface tension was different with different CaO/SiO2 mass ratios, and agreed with the modification of melt structure. When the CaO/SiO2 mass ratio was 0.67 and 0.85, the change of surface tension with temperature was relatively stable, and the influence of temperature on the structure was small. When the CaO/SiO2 mass ratio was 1.03 and 1.16, with an increase of temperature, the surface tension decreased linearly and the changing amplitude was large; the degree of polymerization of melts and average radii of silicon-oxygen anions also decreased, which intensified the molecular thermal motion and weakened the intermolecular interaction, resulting in a decrease of surface tension of melts.
The Temperature and Structure Dependence of Surface Tension of CaO-SiO2-Na2O-CaF2 Mold Fluxes
NASA Astrophysics Data System (ADS)
Gao, Qiang; Min, Yi; Jiang, Maofa
2018-02-01
The surface tension of mold flux is one of the most important properties and varies with the temperature from the top to the bottom of the mold, which influences the adhesion and lubrication between the liquid mold flux and the solidified shell, further influencing the quality of the continuous billet. In the present paper, the effect of temperature on the surface tension of CaO-SiO2-Na2O-CaF2 mold-flux melts with different CaO/SiO2 mass ratios was investigated using the maximum-pull method. Furthermore, the microstructure of mold fluxes was analyzed using FT-IR and Raman spectra to discuss the change mechanism of surface tension. The results indicated that the temperature dependence of surface tension was different with different CaO/SiO2 mass ratios, and agreed with the modification of melt structure. When the CaO/SiO2 mass ratio was 0.67 and 0.85, the change of surface tension with temperature was relatively stable, and the influence of temperature on the structure was small. When the CaO/SiO2 mass ratio was 1.03 and 1.16, with an increase of temperature, the surface tension decreased linearly and the changing amplitude was large; the degree of polymerization of melts and average radii of silicon-oxygen anions also decreased, which intensified the molecular thermal motion and weakened the intermolecular interaction, resulting in a decrease of surface tension of melts.
Lin, Lu; Wang, Huiyao; Jiang, Wenbin; Mkaouar, Ahmed Radhi; Xu, Pei
2017-07-05
Incorporating reduced graphene oxide (rGO) or Fe 3+ ions in TiO 2 photocatalyst could enhance photocatalytic degradation of organic contaminants in aqueous solutions. This study characterized the photocatalytic activities of TiO 2 -Fe and TiO 2 -rGO nanocomposites immobilized on optical fibers synthesized by polymer assisted hydrothermal deposition method. The photocatalysts presented a mixture phase of anatase and rutile in the TiO 2 -rGO and TiO 2 -Fe nanocomposites. Doping Fe into TiO 2 particles (2.40eV) could reduce more band gap energy than incorporating rGO (2.85eV), thereby enhancing utilization efficiency of visible light. Incorporating Fe and rGO in TiO 2 decreased significantly the intensity of TiO 2 photoluminescence signals and enhanced the separation rate of photo-induced charge carriers. Photocatalytic performance of the synthesized nanocomposites was measured by the degradation of three pharmaceuticals under UV and visible light irradiation, including carbamazepine, ibuprofen, and sulfamethoxazole. TiO 2 -rGO exhibited higher photocatalytic activity for the degradation of pharmaceuticals under UV irradiation, while TiO 2 -Fe demonstrated more suitable for visible light oxidation. The results suggested that the enhanced photocatalytic performance of TiO 2 -rGO could be attributed to reduced recombination rate of photoexcited electrons-hole pairs, but for TiO 2 -Fe nanocomposite, narrower band gap would contribute to increased photocatalytic activity. Copyright © 2017 Elsevier B.V. All rights reserved.
Li, Y; Placek, L M; Coughlan, A; Laffir, F R; Pradhan, D; Mellott, N P; Wren, A W
2015-02-01
This study was conducted to determine the influence that network modifiers, sodium (Na+) and strontium (Sr2+), have on the solubility of a SiO2-TiO2-CaO-Na2O/SrO bioactive glass. Glass characterization determined each composition had a similar structure, i.e. bridging to non-bridging oxygen ratio determined by X-ray photoelectron spectroscopy. Magic angle spinning nuclear magnetic resonance (MAS-NMR) confirmed structural similarities as each glass presented spectral shifts between -84 and -85 ppm. Differential thermal analysis and hardness testing revealed higher glass transition temperatures (Tg 591-760 °C) and hardness values (2.4-6.1 GPa) for the Sr2+ containing glasses. Additionally the Sr2+ (~250 mg/L) containing glasses displayed much lower ion release rates than the Na+ (~1,200 mg/L) containing glass analogues. With the reduction in ion release there was an associated reduction in solution pH. Cytotoxicity and cell adhesion studies were conducted using MC3T3 Osteoblasts. Each glass did not significantly reduce cell numbers and osteoblasts were found to adhere to each glass surface.
NASA Astrophysics Data System (ADS)
Dong, Xingchen; Zhang, Xiaoxing; Cui, Hao; Zhang, Jun
2017-11-01
Gas insulated switchgear has been widely used in modern electric systems due to its significantly excellent performances such as compact structure and low land occupation as well as the security stability. However, inside defects caused during manufacture process can lead to partial discharge which might develop into serious insulation failure. Online monitoring method on basis of gas sensors is considered a promising way of detecting partial discharge for alarm ahead of time. Research has found that TiO2 nanotubes sensors show good response to SO2, SOF2, SO2F2, the decomposition components as a result of partial discharge. In order to investigate the gas-sensing mechanism of nitrogen-doped TiO2 prepared via plasma treatment methods to SO2, SOF2, and SO2F2, the adsorption structures of both three gas molecules and anatase TiO2 (101) surface were built, and DFT calculations were then carried out for calculation and analysis of adsorption parameters. Adsorption property comparison of anatase TiO2 (101) surface after nitrogen doping with Au doping and without doping shows that nitrogen doping can obviously enhance the adsorption energy for SO2 and SOF2 adsorption and no charge transfer for SO2F2 adsorption, further explaining the adsorption mechanism and doping influence of different doping elements.
Titanium Dioxide Coating Prepared by Use of a Suspension-Solution Plasma-Spray Process
NASA Astrophysics Data System (ADS)
Du, Lingzhong; Coyle, Thomas W.; Chien, Ken; Pershin, Larry; Li, Tiegang; Golozar, Mehdi
2015-08-01
Titanium dioxide coatings were prepared from titanium isopropoxide solution containing nano TiO2 particles by use of a plasma-spray process. The effects of stand-off distance on coating composition and microstructure were investigated and compared with those for pure solution precursor and a water-based suspension of TiO2. The results showed that the anatase content of the coating increased with increasing stand-off distance and the rate of deposition decreased with increasing spray distance. Anatase nanoparticles in solution were incorporated into the coatings without phase transformation whereas most of the TiO2 in the precursor solution was transformed into rutile. The microstructure of preserved anatase particles bound by rutile improved the efficiency of deposition of the coating. The amount of anatase phase can be adjusted by variation of the ratio of solution to added anatase TiO2 nanoparticles.
Conical islands of TiO2 nanotube arrays in the photoelectrode of dye-sensitized solar cells.
Kim, Woong-Rae; Park, Hun; Choi, Won-Youl
2015-01-01
Ti conical island structures were fabricated using photolithography and the reactive ion etching method. The resulting conical island structures were anodized in ethylene glycol solution containing 0.25 wt% NH4F and 2 vol% H2O, and conical islands composed of TiO2 nanotubes were successfully formed on the Ti foils. The conical islands composed of TiO2 nanotubes were employed in photoelectrodes for dye-sensitized solar cells (DSCs). DSC photoelectrodes based on planar Ti structures covered with TiO2 nanotubes were also fabricated as a reference. The short-circuit current (J sc) and efficiency of DSCs based on the conical island structures were higher than those of the reference samples. The efficiency of DSCs based on the conical island structures reached up to 1.866%. From electrochemical impedance spectroscopy and open-circuit voltage (V oc) decay measurements, DSCs based on the conical island structures exhibited a lower charge transfer resistance at the counter cathode and a longer electron lifetime at the interface of the photoelectrode and electrolyte compared to the reference samples. The conical island structure was very effective at improving performances of DSCs based on TiO2 nanotubes. Graphical AbstractConical islands of TiO2 nanotube arrays are fabricated by an anodizing process with Ti protruding dots which have a conical shape. The conical islands are applied for use in DSC photoelectrodes. DSCs based on the conical islands of TiO2 nanotube arrays have the potential to achieve higher efficiency levels compared to DSCs based on normal TiO2 nanotubes and TiO2 nanoparticles because the conical islands of TiO2 nanotube arrays enlarge the surface area for dye adsorption.
Exchange of TiO2 nanoparticles between streams and streambeds.
Boncagni, Natalia Ticiana; Otaegui, Justo Manuel; Warner, Evelyn; Curran, Trisha; Ren, Jianhong; de Cortalezzi, Maria Marta Fidalgo
2009-10-15
The expanding use of manufactured nanoparticles has increased the potential for their release into the natural environment. Particularly, TiO2 nanoparticles pose significant exposure risk to humans and other living species due to their extensive use in a wide range of fields. To better understand the environmental and health risks associated with the release of TiO2 nanoparticles, knowledge on their fate and transport is needed. This study evaluates the transport of two different TiO2 nanoparticles: one commercially available (P25 TiO2 and the other synthesized at a lab scale (synthesized TiO2). Laboratory flume, column, and batch experiments were conducted to investigate the processes dominating the transport of TiO2 nanoparticles between streams and streambeds and to characterize the properties of these nanoparticles under different physicochemical conditions. Results show that the synthesized TiO2 was more stable compared to the P25 TiO2, which underwent significant aggregation under the same experimental conditions. As a result, P25 TiO2 deposited at a faster rate than the synthesized TiO2 in the streambed. Both types of TiO2 nanoparticles deposited in the streambed were easily released when the stream velocity was increased. The aggregation and deposition of P25 TiO2 were highly dependent on pH. A process-based colloid exchange model was applied to interpret the observed transport behavior of the TiO2 nanoparticles.
Fenoll, José; Flores, Pilar; Hellín, Pilar; Hernández, Joaquín; Navarro, Simon
2014-04-01
In the present work, potential groundwater pollution by methabenzthiazuron (MTBU) and the effect of three different amendments (composted sheep manure, composted pine bark and spent coffee grounds) on its mobility were investigated under laboratory conditions. The efficiency of ZnO and TiO2 suspensions in the photocatalytic degradation of MTBU in leaching water was also investigated. The relative and cumulative breakthrough curves were obtained from disturbed soil columns. The presence and/or addition of organic matter drastically reduced the movement of the herbicide. On other hand, photocatalytic experiments showed that the addition of ZnO and TiO2 strongly enhances the degradation rate of this herbicide compared with the results of photolytic experiments under artificial light. ZnO appeared to be more effective in MTBU oxidation than TiO2. The results obtained point to the interest of using organic wastes and heterogeneous photocatalysis for reducing the pollution of groundwater by pesticide drainage. Copyright © 2014 The Research Centre for Eco-Environmental Sciences, Chinese Academy of Sciences. Published by Elsevier B.V. All rights reserved.
NASA Astrophysics Data System (ADS)
Sirotkina, Ekaterina; Bobrov, Andrey; Bindi, Luca; Irifune, Tetsuo
2017-04-01
Introduction Despite significant interest of experimentalists to the study of geophysically important phase equilibria in the Earth's mantle and a huge experimental database on a number of the model and multicomponent systems, incorporation of minor elements in mantle phases was mostly studied on a qualitative level. The influence of such elements on structural peculiarities of high-pressure phases is poorly investigated, although incorporation of even small portions of them may have a certain impact on the PT-parameters of phase transformations. Titanium is one of such elements with the low bulk concentrations in the Earth's mantle (0.2 wt % TiO2) [1]; however, Ti-rich lithologies may occur in the mantle as a result of oceanic crust subduction. Thus, the titanium content is 0.6 wt% in Global Oceanic Subducted Sediments (GLOSS) [2], and 1.5 wt% TiO2, in MORB [3]. In this regard, accumulation of titanium in the Earth's mantle is related to crust-mantle interaction during the subduction of crustal material at different depths of the mantle. Experimental methods At 10-24 GPa and 1600°C, we studied the full range of the starting materials in the MgSiO3 (En) - MgTiO3 (Gkl) system in increments of 10-20 mol% Gkl and 1-3 GPa, which allowed us to plot the phase PX diagram for the system MgSiO3-MgTiO3 and synthesize titanium-bearing phases with a wide compositional range. The experiments were performed using a 2000-t Kawai-type multi-anvil high-pressure apparatus at the Geodynamics Research Center, Ehime University (Japan). The quenched samples were examined by single-crystal X-ray diffractometer, and the composition of phases was analyzed using SEM-EDS. Results The main phases obtained in experiments were rutile, wadsleyite, MgSiO3-enstatite, MgTiO3-ilmenite, MgTiSi2O7 with the weberite structure type (Web), Mg(Si,Ti)O3 and MgSiO3 with perovskite-type structure. At a pressure of 13 GPa for Ti-poor bulk compositions, an association of En+Wad+Rt is replaced by the
Electrolytic Production of Ti5Si3/TiC Composites by Solid Oxide Membrane Technology
NASA Astrophysics Data System (ADS)
Zheng, Kai; Zou, Xingli; Xie, Xueliang; Lu, Changyuan; Chen, Chaoyi; Xu, Qian; Lu, Xionggang
2017-12-01
This paper investigated the electrolytic production of Ti5Si3/TiC composites from TiO2/SiO2/C in molten CaCl2. The solid-oxide oxygen-ion-conducting membrane tube filled with carbon-saturated liquid tin was served as the anode, and the pressed spherical TiO2/SiO2/C pellet was used as the cathode. The electrochemical reduction process was carried out at 1273 K and 3.8 V. The characteristics of the obtained cathode products and the reaction mechanism of the electroreduction process were studied by a series of time-dependent electroreduction experiments. It was found that the electroreduction process generally proceeds through the following steps: TiO2/SiO2/C → Ti2O3, CaTiO3, Ca2SiO4, SiC → Ti5Si3, TiC. The morphology observation and the elemental distribution analysis indicate that the reaction routes for Ti5Si3 and TiC products are independent during the electroreduction process.
Electrolytic Production of Ti5Si3/TiC Composites by Solid Oxide Membrane Technology
NASA Astrophysics Data System (ADS)
Zheng, Kai; Zou, Xingli; Xie, Xueliang; Lu, Changyuan; Chen, Chaoyi; Xu, Qian; Lu, Xionggang
2018-02-01
This paper investigated the electrolytic production of Ti5Si3/TiC composites from TiO2/SiO2/C in molten CaCl2. The solid-oxide oxygen-ion-conducting membrane tube filled with carbon-saturated liquid tin was served as the anode, and the pressed spherical TiO2/SiO2/C pellet was used as the cathode. The electrochemical reduction process was carried out at 1273 K and 3.8 V. The characteristics of the obtained cathode products and the reaction mechanism of the electroreduction process were studied by a series of time-dependent electroreduction experiments. It was found that the electroreduction process generally proceeds through the following steps: TiO2/SiO2/C → Ti2O3, CaTiO3, Ca2SiO4, SiC → Ti5Si3, TiC. The morphology observation and the elemental distribution analysis indicate that the reaction routes for Ti5Si3 and TiC products are independent during the electroreduction process.
MoSe2 modified TiO2 nanotube arrays with superior photoelectrochemical performance
NASA Astrophysics Data System (ADS)
Zhang, Yaping; Zhu, Haifeng; Yu, Lianqing; He, Jiandong; Huang, Chengxing
2018-04-01
TiO2 nanotube arrays (TNTs) are first prepared by anodization Ti foils in ethylene glycol electrolyte. Then, MoSe2 deposites electrochemically on TNTs. The as-synthesized MoSe2/TiO2 composite has a much higher photocurrent density of 1.07 mA cm‑2 at 0 V than pure TNTs of 0.38 mA cm‑2, which suggests that the MoSe2/TiO2 composite film has optimum photoelectrocatalysis properties. The electron transport resistances of the MoSe2/TiO2 decreases to half of pure TiO2, at 295.6 ohm/cm2. Both photocurrent-time and Mott-Schottky plots indicate MoSe2 a p-type semiconductor characteristics. MoSe2/TiO2 composite can achieve a maximum 5 orders of magnitude enhancement in carrier density (4.650 × 1027 cm‑3) than that of pure TiO2 arrays. It can be attributed to p-n heterojunction formed between MoSe2 and TiO2, and the composite can be potentially applied in photoelectrochemical, photocatalysis fields.
NASA Astrophysics Data System (ADS)
Gelija, Devarajulu; Borelli, Deva Prasad Raju
2018-02-01
The concentration variation of Ho3+ ion-doped SiO2-Al2O3-Na2CO3-SrF2-CaF2 glasses has been prepared by conventional melt quenching method. The thermal stability of 1 mol % of Ho3+-doped oxyfluorosilicate glass has been calculated using the differential thermal analysis (DTA) spectra. The phenomenological Judd-Ofelt intensity parameters Ωλ ( λ = 2, 4 and 6) were calculated for all concentrations of Ho3+ ions. The luminescence spectra in visible region of Ho3+ ion-doped glasses were recorded under the excitation wavelength of 452 nm. The spectra consists of several intense emission bands (5F4, 5S2) → 5I8 (547 nm), 5F3 → 5I8 (647 nm), 5F5 → 5I7 (660 nm) and (5F4, 5S2) → 5I7 (750 nm) in the range 500-780 nm. The fluorescence emission at ˜2.0 µm (5I7 → 5I8) was observed under the excitation of 488 nm Ar-ion laser. The stimulated emission cross section for 5I7 → 5I8 transition (˜2.0 µm) varies from 8.46 to 9.52 × 10-21 cm2, as calculated by the Fuchtbauer-Ladenburg (FL) theory. However, Mc-Cumber theory was used to calculate emission cross section values about 4.24-5.75 × 10-21 cm2 for the 5I7 → 5I8 transition in all concentrations of Ho3+-doped oxyfluorosilicate glasses. Therefore, these results reveal that the 0.5 mol % of Ho3+-doped oxyfluorosilicate glasses, exhibiting higher emission cross section, has potentially been used for laser applications at ˜ 2.0 µm.
Yang, Chia Cheng; Chang, Shu Hao; Hong, Bao Zhen; Chi, Kai Hsien; Chang, Moo Been
2008-10-01
Development of effective PCDD/F (polychlorinated dibenzo-p-dioxin and dibenzofuran) control technologies is essential for environmental engineers and researchers. In this study, a PCDD/F-containing gas stream generating system was developed to investigate the efficiency and effectiveness of innovative PCDD/F control technologies. The system designed and constructed can stably generate the gas stream with the PCDD/F concentration ranging from 1.0 to 100ng TEQ Nm(-3) while reproducibility test indicates that the PCDD/F recovery efficiencies are between 93% and 112%. This new PCDD/F-containing gas stream generating device is first applied in the investigation of the catalytic PCDD/F control technology. The catalytic decomposition of PCDD/Fs was evaluated with two types of commercial V(2)O(5)-WO(3)/TiO(2)-based catalysts (catalyst A and catalyst B) at controlled temperature, water vapor content, and space velocity. 84% and 91% PCDD/F destruction efficiencies are achieved with catalysts A and B, respectively, at 280 degrees C with the space velocity of 5000h(-1). The results also indicate that the presence of water vapor inhibits PCDD/F decomposition due to its competition with PCDD/F molecules for adsorption on the active vanadia sites for both catalysts. In addition, this study combined integral reaction and Mars-Van Krevelen model to calculate the activation energies of OCDD and OCDF decomposition. The activation energies of OCDD and OCDF decomposition via catalysis are calculated as 24.8kJmol(-1) and 25.2kJmol(-1), respectively.
Thurn, Kenneth T; Paunesku, Tatjana; Wu, Aiguo; Brown, Eric M B; Lai, Barry; Vogt, Stefan; Maser, Jörg; Aslam, Mohammed; Dravid, Vinayak; Bergan, Raymond; Woloschak, Gayle E
2009-06-01
Visualization of nanoparticles without intrinsic optical fluorescence properties is a significant problem when performing intracellular studies. Such is the case with titanium dioxide (TiO2) nanoparticles. These nanoparticles, when electronically linked to single-stranded DNA oligonucleotides, have been proposed to be used both as gene knockout devices and as possible tumor imaging agents. By interacting with complementary target sequences in living cells, these photoinducible TiO2-DNA nanoconjugates have the potential to cleave intracellular genomic DNA in a sequence specific and inducible manner. The nanoconjugates also become detectable by magnetic resonance imaging with the addition of gadolinium Gd(III) contrast agents. Herein two approaches for labeling TiO2 nanoparticles and TiO2-DNA nanoconjugates with optically fluorescent agents are described. This permits direct quantification of fluorescently labeled TiO2 nanoparticle uptake in a large population of living cells (>10(4) cells). X-ray fluorescence microscopy (XFM) is combined with fluorescent microscopy to determine the relative intracellular stability of the nanoconjugates and used to quantify intracellular nanoparticles. Imaging the DNA component of the TiO2-DNA nanoconjugate by fluorescent confocal microscopy within the same cell shows an overlap with the titanium signal as mapped by XFM. This strongly implies the intracellular integrity of the TiO2-DNA nanoconjugates in malignant cells.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Xiao, Jing; Wang, Xiaoxing; Chen, Yongsheng
2014-02-13
This study investigates ultra-deep adsorptive desulfurization (ADS) from light-irradiated diesel fuel over supported TiO 2–CeO 2 adsorbents. A 30-fold higher desulfurization capacity of 95 mL of fuel per gram of adsorbent (mL-F/g-sorb) or 1.143 mg of sulfur per gram of adsorbent (mg-S/g-sorb) was achieved from light-irradiated fuel over the original low-sulfur fuel containing about 15 ppm by weight (ppmw) of sulfur. The sulfur species on spent TiO 2–CeO 2/MCM-48 adsorbent was identified by sulfur K-edge XANES as sulfones and the adsorption selectivity to different compounds tested in a model fuel decreases in the order of indole > dibenzothiophenesulfone → dibenzothiophenemore » > 4-methyldibenzothiophene > benzothiophene > 4,6-dimethyldibenzothiophene > phenanthrene > 2-methylnaphthalene ~ fluorene > naphthalene. The results suggest that during ADS of light-irradiated fuel, the original sulfur species were chemically transformed to sulfones, resulting in the significant increase in desulfurization capacity. For different supports for TiO2–CeO2 oxides, the ADS capacity increases with a decrease in the point of zero charge (PZC) value; for silica-supported TiO 2–CeO 2 oxides (the lowest PZC value of 2–4) with different surface areas, the ADS capacity increases monotonically with increasing surface area. The supported TiO 2–CeO 2/MCM-48 adsorbent can be regenerated using oxidative air treatment. The present study provides an attractive new path to achieve ultraclean fuel more effectively.« less
DOE Office of Scientific and Technical Information (OSTI.GOV)
Bocker, Christian, E-mail: christian.bocker@uni-jena.d; Munoz, Francisco; Duran, Alicia
2011-02-15
The transparent glass-ceramics obtained in the silicate system Na{sub 2}O/K{sub 2}O/SiO{sub 2}/BaF{sub 2} show homogeneously dispersed BaF{sub 2} nano crystals with a narrow size distribution. The X-ray diffraction and the nuclear magnetic resonance spectroscopy were applied to glasses and the respective glass-ceramics in order to clarify the crystallization mechanism and the role of fluorine during crystallization. With an increasing annealing time, the concentration and also the number of crystals remain approximately constant. With an increasing annealing temperature, the crystalline fraction increases until a saturation limit is reached, while the number of crystals decreases and the size of the crystals increases.more » Fluoride in the glassy network occurs as Al-F-Ba, Al-F-Na and also as Ba-F structures. The latter are transformed into crystalline BaF{sub 2} and fluoride is removed from the Al-F-Ba/Na bonds. However, some fluorine is still present in the glassy phase after the crystallization. -- Graphical abstract: The X-ray diffraction and the nuclear magnetic resonance spectroscopy were applied to glasses in the silicate system Na{sub 2}O/K{sub 2}O/SiO{sub 2}/BaF{sub 2} and the respective glass-ceramics with BaF{sub 2} nano crystals in order to clarify the crystallization mechanism and the role of fluorine during crystallization. Display Omitted Research highlights: {yields} BaF{sub 2} nano crystals are precipitated from a silicate glass system. {yields} Ostwald ripening during the late stage of crystallization does not occur. {yields} Fluorine in the glass is coordinated with Ba as well as Al together with Ba or Na.{yields} In the glass-ceramics, the residual fluorine is coordinated as Al-F-Ba/Na.« less
Chowdhury, Mahabubur; Shoko, Sipiwe; Cummings, Fransciuos; Fester, Veruscha; Ojumu, Tunde Victor
2017-04-01
In this work, we have shown that mining waste derived Fe 3+ can be used to enhance the photocatalytic activity of TiO 2 . This will allow us to harness a waste product from the mines, and utilize it to enhance TiO 2 photocatalytic waste water treatment efficiency. An organic linker mediated route was utilized to create a composite of TiO 2 and biogenic jarosite. Evidence of FeOTi bonding in the TiO 2 /jarosite composite was apparent from the FTIR, EFTEM, EELS and ELNEFS analysis. The as prepared material showed enhanced photocatalytic activity compared to pristine TiO 2 , biogenic jarosite and mechanically mixed sample of jarosite and TiO 2 under both simulated and natural solar irradiation. The prepared material can reduce the electrical energy consumption by 4 times compared to pristine P25 for degradation of organic pollutant in water. The material also showed good recyclability. Results obtained from sedimentation experiments showed that the larger sized jarosite material provided the surface to TiO 2 nanoparticles, which increases the settling rate of the materials. This allowed simple and efficient recovery of the catalyst from the reaction system after completion of photocatalysis. Enhanced photocatalytic activity of the composite material was due to effective charge transfer between TiO 2 and jarosite derived Fe 3+ as was shown from the EELS and ELNEFS. Generation of OH was supported by photoluminesence (PL) experiments. Copyright © 2016. Published by Elsevier B.V.
Properties of TiO2 thin films and a study of the TiO2-GaAs interface
NASA Technical Reports Server (NTRS)
Chen, C. Y.; Littlejohn, M. A.
1977-01-01
Titanium dioxide (TiO2) films prepared by chemical vapor deposition were investigated in this study for the purpose of the application in the GaAs metal-insulator-semiconductor field-effect transistor. The degree of crystallization increases with the deposition temperature. The current-voltage study, utilizing an Al-TiO2-Al MIM structure, reveals that the d-c conduction through the TiO2 film is dominated by the bulk-limited Poole-Frenkel emission mechanism. The dependence of the resistivity of the TiO2 films on the deposition environment is also shown. The results of the capacitance-voltage study indicate that an inversion layer in an n-type substrate can be achieved in the MIS capacitor if the TiO2 films are deposited at a temperature higher than 275 C. A process of low temperature deposition followed by the pattern definition and a higher temperature annealing is suggested for device fabrications. A model, based on the assumption that the surface state densities are continuously distributed in energy within the forbidden band gap, is proposed to interpret the lack of an inversion layer in the Al-TiO2-GaAs MIS structure with the TiO2 films deposited at 200 C.
NASA Astrophysics Data System (ADS)
Guo, Yiping; Akai, Daisuke; Sawada, Kazauki; Ishida, Makoto
2008-07-01
A (Na 0.5Bi 0.5) 0.94Ba 0.06TiO 3 chemical solution was prepared by using barium acetate, nitrate of sodium, nitrate of bismuth, and Ti-isopropoxide as raw materials. A white precipitation appeared during the preparation was analyzed to be Ba(NO 3) 2. We found that ethanolamine is a very effective coordinating ligand of Ba 2+. A transparent and stable (Na 0.5Bi 0.5) 0.94Ba 0.06TiO 3 precursor chemical solution has been achieved by using ethanolamine as a ligand of Ba 2+. (Na 0.5Bi 0.5) 0.94Ba 0.06TiO 3 films were grown on LaNiO 3/γ-Al 2O 3/Si substrates. Highly (100)-oriented (Na 0.5Bi 0.5) 0.94Ba 0.06TiO 3 films were obtained in this work due to lattice match growth. The dielectric, ferroelectric and insulative characteristics against applied field were studied. The conduction current shows an Ohmic conduction behavior at lower voltages and space-charge-limited behavior at higher voltages, respectively. These results indicate that, the (Na 0.5Bi 0.5) 0.94Ba 0.06TiO 3 film is a promising lead-free ferroelectric film.
Li, Jianlin; Sailor, Michael J
2014-05-15
A nanoscale layer of TiO2 is coated on the inner pore walls of a porous silicon (PSi) film by room-temperature infiltration of a TiO2 sol-gel precursor and firing at 500 °C. The PSi:TiO2 composite films are characterized by Fourier transform infrared (FTIR), X-ray diffraction (XRD), energy dispersive X-ray spectral analysis (EDS), scanning electron microscopy (SEM) and reflective interferometric Fourier transform spectroscopy (RIFTS). The analysis indicates that TiO2 conformally coats the inner pore surfaces of the PSi film. The film displays greater aqueous stability in the pH range 2-12 relative to a PSi:SiO2 surface. A label-free optical interference immunosensor based on the TiO2-coated PSi film is demonstrated by real-time monitoring of the physical adsorption of protein A, followed by the specific binding of rabbit anti-sheep immunoglobulin (IgG) and then specific capture of sheep IgG. The time to achieve equilibrium for the physical adsorption of protein A on the surface of TiO2-coated PSi film is significantly greater than that of PSi film. The specificity of the protein A and rabbit anti-sheep IgG construct on the sensor is confirmed by tests with non-binding chicken IgG. The sensitivity of the immunosensor is shown to be 8210 ± 170 nm/refractive index unit (RIU). Copyright © 2013 Elsevier B.V. All rights reserved.
Wang, Xiangfu; Zheng, Jin; Xuan, Yan; Yan, Xiaohong
2013-09-09
NaYbF(4):Tm3+@SiO(2) core-shell micro-particles were synthesized by a hydrothermal method and subsequent ultrasonic coating process. Optical temperature sensing has been observed in NaYbF4: Tm(3+)@SiO(2)core-shell micro-particles with a 980 nm infrared laser as excitation source.The fluorescence intensity ratios, optical temperature sensitivity, and temperature dependent population re-distribution ability from the thermally coupled (1)D(2)/(1)G(4) and (3)F(2) /(3)H(4) levels of the Tm(3+) ion have been analyzed as a function of temperature in the range of 100~700 K in order to check its availability as a optical temperature sensor. A better behavior as a lowtemperature sensor has been obtained with a minimum sensitivity of 5.4 × 10(-4) K(-1) at 430 K. It exhibits temperature induced population re-distribution from (1)D(2) /(1)G(4) thermally coupled levels at higher temperature range.
Weinreich, Wenke; Acker, Jörg; Gräber, Iris
2007-03-30
In the photovoltaic industry the etching of silicon in HF/HNO(3) solutions is a decisive process for cleaning wafer surfaces or to produce certain surface morphologies like polishing or texturization. With regard to cost efficiency, a maximal utilisation of etch baths in combination with highest quality and accuracy is strived. To provide an etch bath control realised by a replenishment with concentrated acids the main constituents of these HF/HNO(3) etch solutions including the reaction product H(2)SiF(6) have to be analysed. Two new methods for the determination of the total fluoride content in an acidic etch solution based on the precipitation titration with La(NO(3))(3) are presented within this paper. The first method bases on the proper choice of the reaction conditions, since free fluoride ions have to be liberated from HF and H(2)SiF(6) at the same time to be detected by a fluoride ion-selective electrode (F-ISE). Therefore, the sample is adjusted to a pH of 8 for total cleavage of the SiF(6)(2-) anion and titrated in absence of buffers. In a second method, the titration with La(NO(3))(3) is followed by a change of the pH-value using a HF resistant glass-electrode. Both methods provide consistent values, whereas the analysis is fast and accurate, and thus, applicable for industrial process control.
NASA Astrophysics Data System (ADS)
Kaige, Y.; Ryu, Y.; Kimm, H.; Huang, Y.; Jiang, C.; Hwang, Y.; Kim, J.; Kang, M.
2016-12-01
Recent advancements in remote sensing of SiF opened new opportunities to directly estimate canopy photosynthesis at regional scales. Observing SiF at canopy scale in the field, however, is at very initial stage. In this study, we report SiF and hyperspectral reflectance (400-900 nm) data concurrently measured every 10 sec across the whole growing season in a paddy rice, South Korea. The study site experienced water management via irrigation and drainage and showed a peak LAI of 7. We test whether SiF and a range of different vegetation spectral indices (VIs) well capture half-hourly variations in canopy photosynthesis quantified from an eddy flux tower. During the growing season, we found that SiF showed tight linear relationship to APAR (r2=0.7), and moderate linear relationship to GPP (r2=0.5). Both NDVI, EVI and PRI showed logarithmic relationships to GPP (r2<0.5) and were all saturated at LAI>4. SiF showed linear relationship to GPP even at higher LAI. We conclude that SiF is a better index in predicting temporal variations in canopy photosynthesis than the other VIs in the paddy rice site.
Li, H C; Wang, D G; Meng, X G; Chen, C Z
2014-06-01
A series of ZrO(2) doped MgO-CaO-SiO(2)-P(2)O(5)-CaF(2) bioactive glass-ceramics were obtained by sintering method. The crystallization behavior, phase composition, morphology and structure of glass-ceramics were characterized. The bending strength, elastic modulus, fracture toughness, micro-hardness and thermal expansion coefficient (TEC) of glass-ceramics were investigated. The in vitro bioactivity and cytotoxicity tests were used to evaluate the bioactivity and biocompatibility of glass-ceramics. The sedimentation mechanism and growth process of apatites on sample surface were discussed. The results showed that the mainly crystalline phases of glass-ceramics were Ca(5)(PO4)3F (fluorapatite) and β-CaSiO(3). (β-wollastonite). m-ZrO(2) (monoclinic zirconia) declined the crystallization temperatures of glasses. t-ZrO(2) (tetragonal zirconia) increased the crystallization temperature of Ca(5)(PO4)(3)F and declined the crystallization temperature of β-CaSiO(3). t-ZrO(2) greatly increased the fracture toughness, bending strength and micro-hardness of glass-ceramics. The nanometer apatites were induced on the surface of glass-ceramic after soaking 28 days in SBF (simulated body fluid), indicating the glass-ceramic has good bioactivity. The in vitro cytotoxicity test demonstrated the glass-ceramic has no toxicity to cell. Copyright © 2014 Elsevier B.V. All rights reserved.
The presence of Ti(II) centers in doped nanoscale TiO2 and TiO2-xNx
NASA Astrophysics Data System (ADS)
Mikulas, Tanya; Fang, Zongtang; Gole, James L.; White, Mark G.; Dixon, David A.
2012-06-01
Unusual trends are observed in the Ti (2s, 2p) XPS spectra of Fe(II) doped TiO2 and TiO2-xNx. The binding energy of Ti (2s, 2p) initially decreases with increasing Fe(II) concentration, as expected, but increases at higher Fe(II) doping levels. Density functional theory is used to analyze the results. The observed VB-XPS and core level XPS spectra are consistent with the facile charge transfer sequence Ti(IV) + Fe(II) → Ti(III) + Fe(III) followed by Ti(III) + Fe(II) → Ti(II) + Fe(III). The formed Ti(II) sites may be relevant to nanoparticle catalysis on TiO2 surfaces.
Shin, Dongjoon; Shin, Jungho; Yeo, Taehan; Hwang, Hayoung; Park, Seonghyun; Choi, Wonjoon
2018-03-01
Core-shell nanostructures of metal oxides and carbon-based materials have emerged as outstanding electrode materials for supercapacitors and batteries. However, their synthesis requires complex procedures that incur high costs and long processing times. Herein, a new route is proposed for synthesizing triple-core-shell nanoparticles of TiO 2 @MnO 2 @C using structure-guided combustion waves (SGCWs), which originate from incomplete combustion inside chemical-fuel-wrapped nanostructures, and their application in supercapacitor electrodes. SGCWs transform TiO 2 to TiO 2 @C and TiO 2 @MnO 2 to TiO 2 @MnO 2 @C via the incompletely combusted carbonaceous fuels under an open-air atmosphere, in seconds. The synthesized carbon layers act as templates for MnO 2 shells in TiO 2 @C and organic shells of TiO 2 @MnO 2 @C. The TiO 2 @MnO 2 @C-based electrodes exhibit a greater specific capacitance (488 F g -1 at 5 mV s -1 ) and capacitance retention (97.4% after 10 000 cycles at 1.0 V s -1 ), while the absence of MnO 2 and carbon shells reveals a severe degradation in the specific capacitance and capacitance retention. Because the core-TiO 2 nanoparticles and carbon shell prevent the deformation of the inner and outer sides of the MnO 2 shell, the nanostructures of the TiO 2 @MnO 2 @C are preserved despite the long-term cycling, giving the superior performance. This SGCW-driven fabrication enables the scalable synthesis of multiple-core-shell structures applicable to diverse electrochemical applications. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
Wastewater Treatment from Batik Industries Using TiO2 Nanoparticles
NASA Astrophysics Data System (ADS)
Arifan, Fahmi; Nugraheni, FS; Rama Devara, Hafiz; Lianandya, Niken Elsa
2018-02-01
Batik is cultural patterned fabric, and the this industries produce wastewater that can pollute the aquatic environment. Besides dyes, batik wastewater also contains synthetic compounds that are hard degraded, such as heavy metals, suspended solids, or organic compounds. In this study, photocatalitic membrane TiO2 coated plastic sheets have been used to degrade batik wastewater under solar exposure. A total of 8 pieces of catalyst sheets are added on 1000 ml of the waste, and managed to degrade 50.41% of the initial concentration during 5-days irradiation. In this study, several parameters of the water quality such as chemical oxygen demand (COD), biological oxygen demand (BOD), and total suspensed solids (TSS) of the wastewater were observed to be decreasing during photodegradation process. The catalyst sheet also is stable to be used repeatedly in wastewater treatment.
Photocatalytic TiO2 nanoparticles enhanced polymer antimicrobial coating
NASA Astrophysics Data System (ADS)
Wei, Xiaojin; Yang, Zhendi; Tay, See Leng; Gao, Wei
2014-01-01
Copper (Cu) containing coatings can provide sustainable protection against microbial contamination. However, metallic Cu coatings have not been widely used due to the relatively high cost, poor corrosion resistance, and low compatibility with non-metal substrates. Titanium dioxide (TiO2) possesses antibacterial functions by its photocatalytic properties which can destroy bacteria or suppress their reproduction. TiO2 also has the function of improving the mechanical properties through particle dispersion strengthening. We have recently developed an innovative polymer based coating system containing fine particles of Cu and TiO2 nanoparticles. These polymer based coatings simultaneously display excellent antimicrobial and good mechanical properties. The results showed that the addition of TiO2 has improved the antimicrobial property under sunlight, which provides extended applications in outdoor environment. The elimination of 106 bacterial by contacting the coatings without TiO2 needs 5 h, while contacting with the Cu/TiO2- 1 wt.% TiO2 took only 2 h to kill the same amount of bacteria. The coatings also presented enhanced hardness and wear resistance after adding TiO2. The width of wear track decreased from 270 μm of the Cu-polymer coating to 206 μm of Cu/TiO2-polymer coatings with 10 wt.% TiO2. Synchrotron Infrared Microscopy was used to in-situ and in-vivo study the bacteria killing process at the molecular level. The real-time chemical images of bacterial activities showed that the bacterial cell membranes were damaged by the Cu and TiO2 containing coatings
Influence of the surface chemistry on TiO2 - TiO2 nanocontact forces as measured by an UHV-AFM
NASA Astrophysics Data System (ADS)
Kunze, Christian; Giner, Ignacio; Torun, Boray; Grundmeier, Guido
2014-03-01
Particle-wall contact forces between a TiO2 film coated AFM tip and TiO2(1 1 0) single crystal surfaces were analyzed by means of UHV-AFM. As a reference system an octadecylphosphonic acid monolayer covered TiO2(1 1 0) surface was studied. The defect chemistry of the TiO2 substrate was modified by Ar ion bombardment, water dosing at 3 × 10-6 Pa and an annealing step at 473 K which resulted in a varying density of Ti(III) states. The observed contact forces are correlated to the surface defect density and are discussed in terms of the change in the electronic structure and its influence on the Hamaker constant.
Yemmireddy, Veerachandra K; Hung, Yen-Con
2015-07-02
The purpose of this study was to determine the effect of food processing organic matter on photocatalytic bactericidal activity of titanium dioxide (TiO2) nanoparticles (NPs). Produce and meat processing wash solutions were prepared using romaine lettuce and ground beef samples. Physico-chemical properties such as pH, turbidity, chemical oxygen demand (COD), total phenolics (for produce) and protein (for meat) content of the extracts were determined using standard procedures. The photocatalytic bactericidal activity of TiO2 (1 mg/mL) in suspension with or without organic matter against Escherichia coli O157:H7 (5-strain) was determined over a period of 3h. Increasing the concentration of organic matter (either produce or meat) from 0% to 100% resulted in 85% decrease in TiO2 microbicidal efficacy. 'Turbidity, total phenolics, and protein contents in wash solutions had significant effect on the log reduction. Increasing the total phenolics content in produce washes from 20 to 114 mg/L decreased the log reduction from 2.7 to 0.38 CFU/mL, whereas increasing the protein content in meat washes from 0.12 to 1.61 mg/L decreased the log reduction from and 5.74 to 0.87 CFU/mL. Also, a linear correlation was observed between COD and total phenolics as well as COD and protein contents. While classical disinfection kinetic models failed to predict, an empirical equation in the form of "Y=me(nX)" (where Y is log reduction, X is COD, and m and n are reaction rate constants) predicted the disinfection kinetics of TiO2 in the presence of organic matter (R(2)=94.4). This study successfully identified an empirical model with COD as a predictor variable to predict the bactericidal efficacy of TiO2 when used in food processing environment. Copyright © 2015 Elsevier B.V. All rights reserved.
Intrinsic inhomogeneous barrier height at the n-TiO2/p-Si hole-blocking junction
NASA Astrophysics Data System (ADS)
Kumar, Mohit; Singh, Ranveer; Som, Tapobrata
2018-01-01
Using Kelvin probe force microscopy (KPFM) and temperature-dependent current-voltage characteristics, we study the charge transport across an n-TiO2/p-Si heterojunction. In particular, the KPFM result shows a variation in the work function at the TiO2 surface. On the other hand, temperature-dependent current-voltage characteristics depict a non-ideal hole-blocking behaviour of the same. In addition, the measured barrier height is found to decrease with temperature and does not follow the thermionic emission theory, strongly suggesting an inhomogeneous nature of the barrier. The observed barrier inhomogeneity is attributed to the nanoscale height modulation, arising due to the growth dynamics of TiO2 and corroborates well with the KPFM map. The presented results will open a new avenue to understand the charge transport in TiO2-based nanoscale devices.
Zhang, Yong-Gang; Ma, Li-Li; Li, Jia-Lin; Yu, Ying
2007-09-01
TiO2/Cu2O composite is prepared by a simple electrochemical method and coated on glass matrix through a spraying method. The obtained composite is characterized by X-ray diffraction (XRD) and scanning electron microscopy (SEM). The effect of TiO2/Cu2O composite films with different ratio of TiO2 and Cu2O on photodegradation of the dye methylene blue under visible light is investigated in detail. It is found that the photocatalytic activity of TiO2/Cu2O composite film with the presence of FeSO4 and EDTA is much higher than that for the similar system with only TiO2 and Cu2O film respectively. Without the presence of FeSO4 and EDTA, there is no degradation for methylene blue. The exploration of the optimized parameters for the degradation of methylene blue by using TiO2/Cu2O composite film as catalyst under visible light was also carried out. The most significant factor is the amount of Ti02 in the composite, and the second significant factor is the concentration of FeSO4. During the degradation of methylene blue under visible light, TiO2/Cu2O composite film generates H202, and Fenton regent is formed with Fe2+ and EDTA, which is detected in this study. The mechanism for the great improvement of photocatalytic activity of TiO2/Cu2O composite film under visible light is proposed by the valence band theory. Electrons excitated from TiO2/Cu2O composite under visible light are transferred from the conduction band of Cu2O to that of Ti02. The formed intermediate state of Ti 3+ ion is observed by X-ray photoelectron spectroscopy (XPS) on the TiO/Cu2O composite film. Additionally, the accumulated electrons in the conduction band of TiO2 are transferred to oxygen on the TiO2 surface for the formation of O2- or O2(2-), which combines with H+ to form H2O2. The evolved H202 with FeSO4 and EDTA forms Fenton reagentto degrade methylene blue. Compared to the traditional Fenton reagent, this new kind of in situ Fenton reagent generated from TiO2/Cu2O composite film does not need to
Photoinduced Disaggregation of TiO2 Nanoparticles Enables Transdermal Penetration
Bennett, Samuel W.; Zhou, Dongxu; Mielke, Randall; Keller, Arturo A.
2012-01-01
Under many aqueous conditions, metal oxide nanoparticles attract other nanoparticles and grow into fractal aggregates as the result of a balance between electrostatic and Van Der Waals interactions. Although particle coagulation has been studied for over a century, the effect of light on the state of aggregation is not well understood. Since nanoparticle mobility and toxicity have been shown to be a function of aggregate size, and generally increase as size decreases, photo-induced disaggregation may have significant effects. We show that ambient light and other light sources can partially disaggregate nanoparticles from the aggregates and increase the dermal transport of nanoparticles, such that small nanoparticle clusters can readily diffuse into and through the dermal profile, likely via the interstitial spaces. The discovery of photoinduced disaggregation presents a new phenomenon that has not been previously reported or considered in coagulation theory or transdermal toxicological paradigms. Our results show that after just a few minutes of light, the hydrodynamic diameter of TiO2 aggregates is reduced from ∼280 nm to ∼230 nm. We exposed pigskin to the nanoparticle suspension and found 200 mg kg−1 of TiO2 for skin that was exposed to nanoparticles in the presence of natural sunlight and only 75 mg kg−1 for skin exposed to dark conditions, indicating the influence of light on NP penetration. These results suggest that photoinduced disaggregation may have important health implications. PMID:23155401
Comparing the engineering program feeders from SiF and convention models
NASA Astrophysics Data System (ADS)
Roongruangsri, Warawaran; Moonpa, Niwat; Vuthijumnonk, Janyawat; Sangsuwan, Kampanart
2018-01-01
This research aims to compare the relationship between two types of engineering program feeder models within the technical education systems of Rajamangala University of Technology Lanna (RMUTL), Chiangmai, Thailand. To illustrate, the paper refers to two typologies of feeder models, which are the convention and the school in factory (SiF) models. The new SiF model is developed through a collaborative educational process between the sectors of industry, government and academia, using work-integrated learning. The research methodology were use to compared features of the the SiF model with conventional models in terms of learning outcome, funding budget for the study, the advantages and disadvantages from the point of view of students, professors, the university, government and industrial partners. The results of this research indicate that the developed SiF feeder model is the most pertinent ones as it meet the requirements of the university, the government and the industry. The SiF feeder model showed the ability to yield positive learning outcomes with low expenditures per student for both the family and the university. In parallel, the sharing of knowledge between university and industry became increasingly important in the process, which resulted in the improvement of industrial skills for professors and an increase in industrial based research for the university. The SiF feeder model meets its demand of public policy in supporting a skilled workforce for the industry, which could be an effective tool for the triple helix educational model of Thailand.
Rheological study of clay-kaolin aqueous suspensions
NASA Technical Reports Server (NTRS)
Lapasin, R.; Lucchini, F.
1984-01-01
Rheological characteristics of clay-kaolin aqueous suspensions were studied by a rotational viscometer to correlate their behavior with the properties of ceramic slips for casting containing quartz, feldspars, and other nonplastic materials. In particular, the effects of the different amounts of dry materials and deflocculant (mixture 1:1 of Na2CO3 and Na2SiO3) and of temperatures on the shear-time-dependent properties of suspensions were examined.
A TiO2 abundance map for the northern maria
NASA Technical Reports Server (NTRS)
Johnson, T. V.; Saunders, R. S.; Matson, D. L.; Mosher, J. A.
1977-01-01
A map of TiO2 abundance for most of the northern maria is presented. The telescopic data base used is the 0.38/0.56-micron ratio mosaic from Johnson et at. (1977). The titanium content has been estimated using the correlation established by Charette et al. (1974). The combination of observational, processing, and calibration errors indicates that the TiO2 map is accurate to + or - 2% (wt% TiO2) for high TiO2 content (more than 5%) and + or - 1% for low values of TiO2. Analysis of the lunar sample and telescopic data suggests strongly that the spectral parameter mapped is sensitive primarily to TiO2 abundance in the range 3-9% and does not correlate directly with iron content. It is suggested, however, that for the low TiO2 mare regions (less than 2-3% TiO2) there may be a relation between the spectral ratio and iron content and that some of the reddest mare areas in the Imbrium region may have low iron contents as well as low titanium abundances.
NASA Astrophysics Data System (ADS)
Su, Nan; Hu, Xiulan; Zhang, Jianbo; Huang, Huihong; Cheng, Jiexu; Yu, Jinchen; Ge, Chao
2017-03-01
A Pt/C/TiO2 nanotube composite catalyst was successfully prepared for enhanced methanol electro-oxidation. Pt nanoparticles with a particle size of 2 nm were synthesized by plasma sputtering in water, and anatase TiO2 nanotubes with an inner diameter of approximately 100 nm were prepared by a simple two-step anodization method and annealing process. Field-emission scanning electron microscopy images indicated that the different morphologies of TiO2 synthesized on the surface of Ti foils were dependent on the different anodization parameters. The electrochemical performance of Pt/C/TiO2 catalysts for methanol oxidation showed that TiO2 nanotubes were more suitable for use as Pt nanoparticle support materials than irregular TiO2 short nanorods due to their tubular morphology and better electronic conductivity. X-ray photoelectron spectroscopy characterization showed that the binding energies of the Pt 4f of the Pt/C/TiO2 nanotubes exhibited a slightly positive shift caused by the relatively strong interaction between Pt and the TiO2 nanotubes, which could mitigate the poisoning of the Pt catalyst by COads, and further enhance the electrocatalytic performance. Thus, the as-obtained Pt/C/TiO2 nanotubes composites may become a promising catalyst for methanol electro-oxidation.
NASA Astrophysics Data System (ADS)
Pugazhendhi, K.; D’Almeida, Steven; Naveen Kumar, P.; Sahaya Selva Mary, J.; Tenkyong, Tenzin; Sharmila, D. J.; J, Madhavan; Merline Shyla, J.
2018-04-01
The proposed work reports the synthesis and characterisation of novel and hybrid nanocomposites TiO2/ZnO and TiO2/Al plasmon impregnated ZnO, prepared using sol-gel method. X-Ray Diffraction analysis confirmed the crystalline nature of the nanocomposites with high degree of purity and the crystallite size was found to be 22 nm (TiO2/ZnO) and 21 nm (TiO2/Al-ZnO) using Scherrer’s formula. The surface chemistry, elemental compositions and purity were investigated and established using Energy Dispersive X-ray Analysis. The specific surface area of TiO2/ZnO was observed to be 23 m2 g‑1 whereas on comparison, a slight decrease was observed in the case of TiO2/Al-ZnO to 19 m2 g‑1 from Brunauer–Emmett–Teller analysis and in addition, both the samples were identified to be mesoporous in nature. The vibrational assignments were observed using Fourier Transform Infra-Red spectroscopy and results confirmed the existence of TiO2, ZnO and Al groups. The electrical response of the nanocomposites to the incident radiation with applied electric field was examined using Field Dependent Dark and Photo conductivity studies. The observed measurements revealed that the photocurrent values are greater than the dark currents which confirmed the photoconductive nature of the nanocomposites. While both the prepared nanocomposites qualify as good candidates for usage as efficient photoanodes for DSSCs, TiO2/Al-ZnO indicates a slight edge over the other.
Soltan, Sahar; Jafari, Hoda; Afshar, Shahrara; Zabihi, Omid
2016-10-01
In the present study, silicon dioxide (SiO 2 ) nanoparticles were loaded to titanium dioxide (TiO 2 ) nano-particles by sol-gel method to make a high porosity photocatalyst nano-hybrid. These photocatalysts were synthesized using titanium tetrachloride and tetraethyl orthosilicate as titanium and silicon sources, respectively, and characterized by X-ray powder diffraction (XRD) and scanning electron microscope methods. Subsequently, the optimizations of the component and operation conditions were investigated. Then, nano-sized TiO 2 and TiO 2 -SiO 2 were supported on concrete bricks by the dip coating process. The photocatalytic activity of nano photocatalysts under UV irradiation was examined by studying the decomposition of aqueous solutions of furfural and acetophenone (10 mg/L) as model of organic pollutants to CO 2 and H 2 O at room temperature. A decrease in the concentration of these pollutants was assayed by using UV-visible absorption, gas chromatography technique, and chemical oxygen demand. The removal of these pollutants from water using the concrete-supported photocatalysts under UV irradiation was performed with a greater efficiency, which does not require an additional separation stage to recover the catalyst. Therefore, it would be applicable to use in industrial wastewater treatment at room temperature and atmospheric pressure within the optimized pH range.
Li, Guiying; Nie, Xin; Chen, Jiangyao; Wong, Po Keung; An, Taicheng; Yamashita, Hiromi; Zhao, Huijun
2016-09-15
Biohazards and coexisted antibiotics are two groups of emerging contaminants presented in various aquatic environments. They can pose serious threat to the ecosystem and human health. As a result, inactivation of biohazards, degradation of antibiotics, and simultaneous removal of them are highly desired. In this work, a novel photoanode with a hierarchical structured {001} facets exposed nano-size single crystals (NSC) TiO2 top layer and a perpendicularly aligned TiO2 nanotube array (NTA) bottom layer (NSC/NTA) was successfully fabricated. The morphology and facets of anatase TiO2 nanoparticles covered on the top of NTA layer could be controlled by adjusting precalcination temperature and heating rate as the pure NTA was clamped with glasses. Appropriate recalcination can timely remove surface F from {001} facets, and the photocatalytic activity of the resultant photoanode was subsequently activated. NSC/NTA photoanode fabricated under 500 °C precalcination with 20 °C min(-1) followed by 550 °C recalcination possessed highest photoelectrocatalytic efficiency to simultaneously remove bacteria and antibiotics. Results suggest that two-step calcination is necessary for fabrication of high photocatalytic activity NSC/NTA photoanode. The capability of simultaneous eradication of bacteria and antibiotics shows great potential for development of a versatile approach to effectively purify various wastewaters contaminated with complex pollutants. Copyright © 2016 Elsevier Ltd. All rights reserved.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Kate, O.M. ten, E-mail: o.m.tenkate@tudelft.nl; Energy Materials and Devices, Department of Chemical Engineering and Chemistry, Eindhoven University of Technology, Den Dolech 2, 5600MB Eindhoven; Zhang, Z.
Optical data of Sm, Tb and Yb doped Ca{sub 2}Si{sub 5}N{sub 8} and Sr{sub 2}Si{sub 5}N{sub 8} phosphors that have been prepared by solid-state synthesis, are presented. Together with luminescence data from literature on Ce{sup 3+} and Eu{sup 2+} doping in the M{sub 2}Si{sub 5}N{sub 8} (M=Ca, Sr, Ba) hosts, energy level schemes were constructed showing the energy of the 4f and 5d levels of all divalent and trivalent lanthanide ions relative to the valence and conduction band. The schemes were of great help in interpreting the optical data of the lanthanide doped phosphors and allow commenting on the valencemore » stability of the ions, as well as the stability against thermal quenching of the Eu{sup 2+}d-f emission. Tb{sup 3+} substitutes on both a high energy and a low energy site in Ca{sub 2}Si{sub 5}N{sub 8}, due to which excitation at 4.77 eV led to emission from both the {sup 5}D{sub 3} and {sup 5}D{sub 4} levels, while excitation at 4.34 eV gave rise to mainly {sup 5}D{sub 4} emission. Doping with Sm resulted in typical Sm{sup 3+}f-f line absorption, as well as an absorption band around 4.1 eV in Ca{sub 2}Si{sub 5}N{sub 8} and 3.6 eV in Sr{sub 2}Si{sub 5}N{sub 8} that could be identified as the Sm{sup 3+} charge transfer band. Yb on the other hand was incorporated in both the divalent and the trivalent state in Ca{sub 2}Si{sub 5}N{sub 8}. - Graphical abstract: Energy level schemes showing the 4f ground states of the trivalent ( Black-Down-Pointing-Small-Triangle ) and divalent ( Black-Up-Pointing-Small-Triangle ) lanthanide ions and lowest energy 5d states of the trivalent ({nabla}) and divalent ({Delta}) ions with respect to the valence and conduction bands of Ca{sub 2}Si{sub 5}N{sub 8} (left) and Sr{sub 2}Si{sub 5}N{sub 8} (right). Highlights: Black-Right-Pointing-Pointer Construction of energy level schemes of all lanthanides within the M{sub 2}Si{sub 5}N{sub 8} hosts. Black-Right-Pointing-Pointer Construction was done by analyzing existing as well as new
Yu, Lian; Peng, Xianjia; Ni, Fan; Li, Jin; Wang, Dongsheng; Luan, Zhaokun
2013-02-15
A novel Fe-Ti binary oxide magnetic nanoparticles which combined the photocatalytic oxidation property of TiO(2) and the high adsorption capacity and magnetic property of γ-Fe(2)O(3) have been synthesized using a coprecipitation and simultaneous oxidation method. The as-prepared samples were characterized by powder XRD, TEM, TG-DTA, VSM and BET methods. Photocatalytic oxidation of arsenite, the effect of solution pH values and initial As(III) concentration on arsenite removal were investigated in laboratory experiments. Batch experimental results showed that under UV light, As(III) can be efficiently oxidized to As(V) by dissolved O(2) in γ-Fe(2)O(3)-TiO(2) nanoparticle suspensions at various pH values. At the same time, As(V) was effectively removed by adsorption onto the surface of nanoparticles. The maximum removal capability of the nano-material for arsenite was 33.03 mg/g at pH 7.0. Among all the common coexisting ions investigated, phosphate was the greatest competitor with arsenic for adsorptive sites on the nano-material. Regeneration studies verified that the γ-Fe(2)O(3)-TiO(2) nanoparticles, which underwent five successive adsorption-desorption processes, still retained comparable catalysis and adsorption performance, indicating the excellent stability of the nanoparticles. The excellent photocatalytic oxidation performance and high uptake capability of the magnetic nano-material make it potentially attractive material for the removal of As(III) from water. Copyright © 2012 Elsevier B.V. All rights reserved.
NASA Astrophysics Data System (ADS)
Krivec, Stefan; Detzel, Thomas; Buchmayr, Michael; Hutter, Herbert
2010-10-01
The detection of Na in insulating samples by means of time of flight-secondary ion mass spectrometry (ToF-SIMS) depth profiling has always been a challenge. In particular the use of O 2+ as sputter species causes a severe artifact in the Na depth distribution due to Na migration under the influence of an internal electrical filed. In this paper we address the influence of the sample temperature on this artifact. It is shown that the transport of Na is a dynamic process in concordance with the proceeding sputter front. Low temperatures mitigated the migration process by reducing the Na mobility in the target. In the course of this work two sample types have been investigated: (i) A Na doped PMMA layer, deposited on a thin SiO 2 film. Here, the incorporation behavior of Na into SiO 2 during depth profiling is demonstrated. (ii) Na implanted into a thin SiO 2 film. By this sample type the migration behavior could be examined when defects, originating from the implantation process, are present in the SiO 2 target. In addition, we propose an approach for the evaluation of an implanted Na profile, which is unaffected by the migration process.
Single particle analysis of TiO2 in candy products using triple quadrupole ICP-MS.
Candás-Zapico, S; Kutscher, D J; Montes-Bayón, M; Bettmer, J
2018-04-01
Titanium dioxide (TiO 2 ) belongs to the materials that have gained great importance in many applications. In its particulate form (micro- or nanoparticles), it has entered a huge number of consumer products and food-grade TiO 2 , better known as E171 within the European Union, represents an important food additive. Thus, there is an increasing need for analytical methods able to detect and quantify such particles. In this regard, inductively coupled-mass spectrometry (ICP-MS), in particular single particle ICP-MS (spICP-MS), has gained importance due to its simplicity and ease of use. Nevertheless, the number of applications for Ti nanoparticles is rather limited. In this study, we have applied the spICP-MS strategy by comparing different measuring modes available in triple quadrupole ICP-MS. First, single quadrupole mode using the collision/reaction cell system was selected for monitoring the isotope 47 Ti. Different cell gases like He, O 2 and NH 3 were tested under optimised conditions for its applicability in spICP-MS of standard suspensions of TiO 2 . The determined analytical figures of merit were compared to those obtained by triple quadrupole mode using the 47 Ti or 48 Ti reaction products using O 2 and NH 3 as reaction gases. This comparison demonstrated that the triple quadrupole mode (TQ mode) was superior in terms of sensitivity due to the more efficient removal of spectral interferences. Particle size detection limits down to 26nm were obtained using the best instrumental conditions for TiO 2 particles at a dwell time of 10ms. Finally, the different measuring modes were applied to the analysis of chewing gum samples after a simple extraction procedure using an ultrasonic bath. The obtained results showed a good agreement for the detected particle size range using the different TQ modes. The size range of TiO 2 particles was determined to be between approximately 30 and 200nm, whereas roughly 40% of the particles were smaller than 100nm. For the
Possart, Josephine; Martens, Arthur; Schleep, Mario; Ripp, Alexander; Scherer, Harald; Kratzert, Daniel; Krossing, Ingo
2017-09-07
By reaction of two equivalents of Me 3 Si-F-Al(OR F ) 3 1 with an equimolar amount of PPh 2 Cl, the salt [Ph 2 P-PPh 2 Cl] + [(R F O) 3 Al-F-Al(OR F ) 3 ] - 2 is prepared smoothly in 91 % yield (NMR, XRD). The synthesis of [Ph 2 P-PPh 3 ] + [(R F O) 3 Al-F-Al(OR F ) 3 ] - 3 is best achieved by a two-step reaction: first, two equivalents of 1 react with one PPh 3 to give [Me 3 Si-PPh 3 ] + [(R F O) 3 Al-F-Al(OR F ) 3 ] - 4 (NMR, XRD), which, upon reaction with PPh 2 Cl, yields pure 3 and Me 3 SiCl (NMR, XRD). Typically, a stoichiometry of two equivalents of 1 with respect to one equivalent of the chloride donor should be used. Otherwise, the residual strong Lewis acidity of the [(R F O) 3 Al-F-Al(OR F ) 3 ] - anion in the presence of the [F-Al(OR F ) 3 ] - anion-that forms with less than two equivalents of 1-leads to further chloride exchange reactions that complicate work-up. This route presents the easiest way to introduce the least-coordinating [(R F O) 3 Al-F-Al(OR F ) 3 ] - anion into a system. We expect a wide use of this route in all areas, in which chloride-bond heterolysis in combination with very weakly coordinating anions is desirable. Additionally, we performed calculations on the bond dissociation mechanisms of [R 2 P-PMe 3 ] + and the isoelectronic Me 2 P-SiMe 3 and Me 2 Si-PMe 3 in dependence of the solvent permittivity. These calculations show, especially for the neutral reference compounds, a heavy influence of the solvent on the dissociation mechanism, which is why we suggest investigating these properties in solution instead of gas phase. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.
NASA Astrophysics Data System (ADS)
Chen, Changhong; Huang, Dexiu; Zhu, Weiguang; Feng, Yi; Wu, Xigang
2006-08-01
In the paper, we present experimental results to enhance the understanding of Ti out-diffusion and oxidization in commercial poly-Pt/Ti/SiO 2/Si wafers with perovskite oxide films deposited when heat-treated in flowing oxygen ambient. It indicates that when heat-treated at 550 and 600 °C, PtTi 3+PtTi and PtTi are the reaction products from interfacial interaction, respectively; while heat-treated at 650 °C and above, the products become three layers of titanium oxides instead of the alloys. Confirmed to be rutile TiO 2, the first two layers spaced by 65 nm encapsulate the Pt surface by the first layer with 60 nm thick forming at its surface and by the next layer with 35 nm thick inserting its original layer. In addition, the next layer is formed as a barrier to block up continuous diffusion paths of Ti, and thus results in the last layer of TiO 2- x formed by the residual Ti oxidizing.
NASA Astrophysics Data System (ADS)
Shi, Chao; Li, Hongji; Li, Cuiping; Li, Mingji; Qu, Changqing; Yang, Baohe
2015-12-01
We report nanostructured TiO2/boron-doped diamond (BDD)/Ta multilayer films and their electrochemical performances as supercapacitor electrodes. The BDD films were grown on Ta substrates using electron-assisted hot filament chemical vapor deposition. Ti metal layers were deposited on the BDD surfaces by radio frequency magnetron sputtering, and nanostructured TiO2/BDD/Ta thin films were prepared by electrochemical etching and thermal annealing. The successful formation of TiO2 and Ta layered nanostructures was demonstrated using scanning electron and transmission electron microscopies. The electrochemical responses of these electrodes were evaluated by examining their use as electrical double-layer capacitors, using cyclic voltammetry, and galvanostatic charge/discharge and impedance measurements. When the TiO2/BDD/Ta film was used as the working electrode with 0.1 M Na2SO4 as the electrolyte, the capacitor had a specific capacitance of 5.23 mF cm-2 at a scan rate of 5 mV s-1 for a B/C ratio of 0.1% w/w. Furthermore, the TiO2/BDD/Ta film had improved electrochemical stability, with a retention of 89.3% after 500 cycles. This electrochemical behavior is attributed to the quality of the BDD, the surface roughness and electrocatalytic activities of the TiO2 layer and Ta nanoporous structures, and the synergies between them. These results show that TiO2/BDD/Ta films are promising as capacitor electrodes for special applications.
SnO2/TiO2 bilayer thin films exhibiting superhydrophilic properties
NASA Astrophysics Data System (ADS)
Talinungsang, Nibedita Paul; Purkayastha, Debarun Dhar
2017-05-01
Nanostructured thin films of TiO2, SnO2, and SnO2/TiO2 have been deposited by sol-gel method. The films are characterized by X-ray diffraction, wettability and optical properties. In the present work, we have achieved a way of converting hydrophilic to super-hydrophilic state by incorporating TiO2 buffer layer in between substrate and SnO2 film, which has its utility in anti-fogging surfaces. The decrease in contact angle of water over SnO2/TiO2 bilayer is attributed to the increase in roughness of the film as well as surface energy of the substrate.
Electrochemical synthesis of 1D core-shell Si/TiO2 nanotubes for lithium ion batteries
NASA Astrophysics Data System (ADS)
Kowalski, Damian; Mallet, Jeremy; Thomas, Shibin; Nemaga, Abirdu Woreka; Michel, Jean; Guery, Claude; Molinari, Michael; Morcrette, Mathieu
2017-09-01
Silicon negative electrode for lithium ion battery was designed in the form of self-organized 1D core-shell nanotubes to overcome shortcomings linked to silicon volume expansion upon lithiation/delithiation typically occurring with Si nanoparticles. The negative electrode was formed on TiO2 nanotubes in two step electrochemical synthesis by means of anodizing of titanium and electrodeposition of silicon using ionic liquid electrolytes. Remarkably, it was found that the silicon grows perpendicularly to the z-axis of nanotube and therefore its thickness can be precisely controlled by the charge passed in the electrochemical protocol. Deposited silicon creates a continuous Si network on TiO2 nanotubes without grain boundaries and particle-particle interfaces, defining its electrochemical characteristics under battery testing. In the core-shell system the titania nanotube play a role of volume expansion stabilizer framework holding the nanostructured silicon upon lithiation/delithiation. The nature of Si shell and presence of titania core determine stable performance as negative electrode tested in half cell of CR2032 coin cell battery.
Hexapole-selected supersonic beams of reactive radicals: CF3, SiF3, SH, CH, and C2H
NASA Astrophysics Data System (ADS)
Weibel, Michael A.; Hain, Toby D.; Curtiss, Thomas J.
1998-02-01
A supersonic corona discharge source was used to produce molecular beams of plasma particles. Neutral, polar components of the plasma mixture were selectively focused by an electrostatic hexapole, thereby "simplifying" the chemical and rotational state composition of the beam. Careful choice of a radical precursor, combined with control of discharge and hexapole voltage allowed the production of pure beams of CF3, SiF3, and SH (purity typically better than 90%), with no noticeable signal arising from undissociated precursor, ions, or other radicals. Focused beams from a hydrocarbon plasma contained a radical mixture of predominantly CH and C2H. Radical beams were characterized by rotationally and translationally cold temperatures (typically TR<20 K and TS<20 K, respectively) and high intensities (typically 1011-1012cm-2 s-1). Simulated focusing spectra using classical trajectory calculations showed generally good agreement with the experimental data, leading to the first experimental measurement of the permanent electric dipole moment of SiF3 (μ=1.2±0.1 D).
Impact of time-dependent annealing on TiO2 films for CMOS application
NASA Astrophysics Data System (ADS)
Gyanan, Mondal, Sandip; Kumar, Arvind
2017-05-01
Post-deposition annealing (PDA) is the inherent part of sol-gel fabrication process to achieve the optimum device performance, especially in CMOS applications. The annealing removes the oxygen vacancies and improves the structural order of dielectric films. The process also reduces the interface related defects and improves the interfacial properties. In this work, we have integrated the sol-gel spin-coating deposited high-κ TiO2 films in MOS. The films are fired at 400°C for the duration of 20, 40, 60 and 80 min. The thicknesses of the films were found to be of ˜ 30 nm using ellipsometry. The (Al/TiO2/p-Si) devices were examined with current-voltage (I-V) and capacitance-voltage (C-V) at room temperature to understand the influence of firing time. The C-V and I-V characteristic showed a significant dependence on annealing time such as variation in dielectric constant and leakage current. The accumulation capacitance (Cox), dielectric constant (κ) and the equivalent oxide thickness (EOT) of the film fired for 60 min were found to be 458 pF, 33, and 4.25nm, respectively with a low leakage current density (1.09 × 10-6 A/cm2) fired for 80 min at +1 V.
Transparent and flexible capacitors based on nanolaminate Al2O3/TiO2/Al2O3.
Zhang, Guozhen; Wu, Hao; Chen, Chao; Wang, Ti; Yue, Jin; Liu, Chang
2015-01-01
Transparent and flexible capacitors based on nanolaminate Al2O3/TiO2/Al2O3 dielectrics have been fabricated on indium tin oxide-coated polyethylene naphthalate substrates by atomic layer deposition. A capacitance density of 7.8 fF/μm(2) at 10 KHz was obtained, corresponding to a dielectric constant of 26.3. Moreover, a low leakage current density of 3.9 × 10(-8) A/cm(2) at 1 V has been realized. Bending test shows that the capacitors have better performances in concave conditions than in convex conditions. The capacitors exhibit an average optical transmittance of about 70% in visible range and thus open the door for applications in transparent and flexible integrated circuits.
Zhu, Xiaoyi; Yang, Xianfeng; Lv, Chunxiao; Guo, Shaojun; Li, Jianjiang; Zheng, Zhanfeng; Zhu, Huaiyong; Yang, Dongjiang
2016-07-27
To achieve uniform carbon coating on TiO2 nanomaterials, high temperature (>500 °C) annealing treatment is a necessity. However, the annealing treatment inevitably leads to the strong phase transformation from TiO2(B) with high lithium ion storage (LIS) capacity to anatase with low LIS one as well as the damage of nanostructures. Herein, we demonstrate a new approach to create TiO2(B)/carbon core/shell nanotubes (C@TBNTs) using a long-chain silane polymethylhydrosiloxane (PMHS) to bind the TBNTs by forming Si-O-Ti bonds. The key feature of this work is that the introduction of PMHS onto TBNTs can afford TBNTs with very high thermal stability at higher than 700 °C and inhibit the phase transformation from TiO2(B) to anatase. Such a high thermal property of PMHS-TBNTs makes them easily coated with highly graphitic carbon shell via CVD process at 700 °C. The as-prepared C@TBNTs deliver outstanding rate capability and electrochemical stability, i.e., reversible capacity above 250 mAh g(-1) at 10 C and a high specific capacity of 479.2 mAh g(-1) after 1000 cycles at 1 C. As far as we know, the LIS performance of our sample is the highest among the previously reported TiO2(B) anode materials.
Thermoelectric Properties of Self Assembled TiO2/SnO2 Nanocomposites
NASA Technical Reports Server (NTRS)
Dynys, Fred; Sayir, Ali; Sehirlioglu, Alp
2008-01-01
Recent advances in improving efficiency of thermoelectric materials are linked to nanotechnology. Thermodynamically driven spinodal decomposition was utilized to synthesize bulk nanocomposites. TiO2/SnO2 system exhibits a large spinodal region, ranging from 15 to 85 mole % TiO2. The phase separated microstructures are stable up to 1400 C. Semiconducting TiO2/SnO2 powders were synthesized by solid state reaction between TiO2 and SnO2. High density samples were fabricated by pressureless sintering. Self assemble nanocomposites were achieved by annealing at 1000 to 1350 C. X-ray diffraction reveal phase separation of (Ti(x)Sn(1-x))O2 type phases. The TiO2/SnO2 nanocomposites exhibit n-type behavior; a power factor of 70 W/mK2 at 1000 C has been achieved with penta-valent doping. Seebeck, thermal conductivity, electrical resistivity and microstructure will be discussed in relation to composition and doping.
NASA Astrophysics Data System (ADS)
Lerch, Jean-Philippe; Atanase, Leonard Ionut; Riess, Gérard
2017-10-01
A series of non-ionic ABC triblock copolymers, such as poly(butadiene)-b-poly(2-vinylpyrridine)-b-poly(ethylene oxide) (PB-P2VP-PEO) were synthesized by sequential anionic polymerizations. For these copolymers comprising an organo-soluble PB and a water-soluble PEO block, their P2VP middle block has been selected for its anchoring capacity on solid surfaces. The adsorption isotherms on TiO2 were obtained in heptane and in aqueous medium, as selective solvents. In both of these cases, the P2VP middle block provides the surface anchoring, whereas PB and PEO sequences are acting as stabilizing moieties in heptane and water respectively. By extension to ABC triblock copolymers of the scaling theory developed for diblock copolymers, the density of adsorbed chains could be correlated with the molecular characteristics of the PB-P2VP-PEO triblock copolymers. From a practical point a view, it could be demonstrated that these copolymers are efficient dispersing agents for the TiO2 pigments in both aqueous and non-aqueous medium.
Targeted sonodynamic therapy using protein-modified TiO2 nanoparticles.
Ninomiya, Kazuaki; Ogino, Chiaki; Oshima, Shuhei; Sonoke, Shiro; Kuroda, Shun-ichi; Shimizu, Nobuaki
2012-05-01
Our previous study suggested new sonodynamic therapy for cancer cells based on the delivery of titanium dioxide (TiO(2)) nanoparticles (NPs) modified with a protein specifically recognizing target cells and subsequent generation of hydroxyl radicals from TiO(2) NPs activated by external ultrasound irradiation (called TiO(2)/US treatment). The present study first examined the uptake behavior of TiO(2) NPs modified with pre-S1/S2 (model protein-recognizing hepatocytes) by HepG2 cells for 24h. It took 6h for sufficient uptake of the TiO(2) NPs by the cells. Next, the effect of the TiO(2)/US treatment on HepG2 cell growth was examined for 96 h after the 1 MHz ultrasound was irradiated (0.1 W/cm(2), 30s) to the cells which incorporated the TiO(2) NPs. Apoptosis was observed at 6h after the TiO(2)/US treatment. Although no apparent cell-injury was observed until 24h after the treatment, the viable cell concentration had deteriorated to 46% of the control at 96 h. Finally, the TiO(2)/US treatment was applied to a mouse xenograft model. The pre-S1/S2-immobilized TiO(2) (0.1mg) was directly injected into tumors, followed by 1 MHz ultrasound irradiation at 1.0 W/cm(2) for 60s. As a result of the treatment repeated five times within 13 days, tumor growth could be hampered up to 28 days compared with the control conditions. Copyright © 2011 Elsevier B.V. All rights reserved.
Thermoelectric Properties of Self Assemble TiO2/SnO2 Nanocomposites
NASA Technical Reports Server (NTRS)
Dynys, Fred; Sayir, Ali; Sehirlioglu, Alp
2008-01-01
Recent advances in improving efficiency of thermoelectric materials are linked to nanotechnology. Thermodynamically driven spinodal decomposition was utilized to synthesize bulk nanocomposites. TiO2/SnO2 system exhibits a large spinodal region, ranging from 15 to 85 mole % TiO2. The phase separated microstructures are stable up to 1400 C. Semiconducting TiO2/SnO2 powders were synthesized by solid state reaction between TiO2 and SnO2. High density samples were fabricated by pressureless sintering. Self assemble nanocomposites were achieved by annealing at 1000 to 1350 C. X-ray diffraction reveal phase separation of (Ti(x)Sn(1-x))O2 type phases. The TiO2/SnO2 nanocomposites exhibit n-type behavior; a power factor of 70 (mu)W/m sq K at 1000 C has been achieved with penta-valent doping. Seebeck, thermal conductivity, electrical resistivity and microstructure will be discussed in relation to composition and doping.
Morphology, Microstructure and Transport Properties of ZnO Decorated SiO2 Nanoparticles (Preprint)
2010-04-15
ZnO decorated SiO2 nanoparticles . While the growth conditions we employ for synthesis of ZnO nanocrys- tals are similar to... oxide nanocrystal synthesis on semiconductor oxide nanoparticles is an area yet to be fully explored. One advantage of this approach is that it enables... nanoparticles were resuspended. This washing process was repeated three times. In the hydrolytic ZnO synthesis method, a 1 ml suspension of SiO2 nanoshells
Fang, Guo-Yong; Xu, Li-Na; Wang, Lai-Guo; Cao, Yan-Qiang; Wu, Di; Li, Ai-Dong
2015-01-01
Atomic layer deposition (ALD) is a powerful deposition technique for constructing uniform, conformal, and ultrathin films in microelectronics, photovoltaics, catalysis, energy storage, and conversion. The possible pathways for silicon dioxide (SiO2) ALD using silicon tetrachloride (SiCl4) and water (H2O) without a catalyst have been investigated by means of density functional theory calculations. The results show that the SiCl4 half-reaction is a rate-determining step of SiO2 ALD. It may proceed through a stepwise pathway, first forming a Si-O bond and then breaking Si-Cl/O-H bonds and forming a H-Cl bond. The H2O half-reaction may undergo hydrolysis and condensation processes, which are similar to conventional SiO2 chemical vapor deposition (CVD). In the H2O half-reaction, there are massive H2O molecules adsorbed on the surface, which can result in H2O-assisted hydrolysis of the Cl-terminated surface and accelerate the H2O half-reaction. These findings may be used to improve methods for the preparation of SiO2 ALD and H2O-based ALD of other oxides, such as Al2O3, TiO2, ZrO2, and HfO2.
Stepwise mechanism and H2O-assisted hydrolysis in atomic layer deposition of SiO2 without a catalyst
NASA Astrophysics Data System (ADS)
Fang, Guo-Yong; Xu, Li-Na; Wang, Lai-Guo; Cao, Yan-Qiang; Wu, Di; Li, Ai-Dong
2015-02-01
Atomic layer deposition (ALD) is a powerful deposition technique for constructing uniform, conformal, and ultrathin films in microelectronics, photovoltaics, catalysis, energy storage, and conversion. The possible pathways for silicon dioxide (SiO2) ALD using silicon tetrachloride (SiCl4) and water (H2O) without a catalyst have been investigated by means of density functional theory calculations. The results show that the SiCl4 half-reaction is a rate-determining step of SiO2 ALD. It may proceed through a stepwise pathway, first forming a Si-O bond and then breaking Si-Cl/O-H bonds and forming a H-Cl bond. The H2O half-reaction may undergo hydrolysis and condensation processes, which are similar to conventional SiO2 chemical vapor deposition (CVD). In the H2O half-reaction, there are massive H2O molecules adsorbed on the surface, which can result in H2O-assisted hydrolysis of the Cl-terminated surface and accelerate the H2O half-reaction. These findings may be used to improve methods for the preparation of SiO2 ALD and H2O-based ALD of other oxides, such as Al2O3, TiO2, ZrO2, and HfO2.
NASA Astrophysics Data System (ADS)
Xiong, Kun; Wang, Kunzhou; Chen, Lin; Wang, Xinqing; Fan, Qingbo; Courtois, Jérémie; Liu, Yuliang; Tuo, Xianguo; Yan, Minhao
2018-03-01
To improve the visible light absorption and photocatalytic activity of titanium dioxide nanotube arrays (TONTAs), ZnFe2O4 (ZFO) nanocrystals were perfused into pristine TONTA pipelines using a novel bias voltage-assisted perfusion method. ZFO nanocrystals were well anchored on the inner walls of the pristine TONTAs when the ZFO suspensions (0.025 mg mL-1) were kept under a 60 V bias voltage for 1 h. After annealing at 750 °C for 2 h, the heterostructured ZFO/Fe2TiO5 (FTO)/TiO2 composite nanotube arrays were successfully obtained. Furthermore, Fe3+ was reduced to Fe2+ when solid solution reactions occurred at the interface of ZFO and the pristine TONTAs. Introducing ZFO significantly enhanced the visible light absorption of the ZFO/FTO/TONTAs relative to that of the annealed TONTAs. The coexistence of type I and staggered type II band alignment in the ZFO/FTO/TONTAs facilitated the separation of photogenerated electrons and holes, thereby improving the efficiency of the ZFO/FTO/TONTAs for photocatalytic degradation of methylene blue when irradiated with simulated sunlight. [Figure not available: see fulltext.
Photodecomposition of volatile organic compounds using TiO2 nanoparticles.
Jwo, Ching-Song; Chang, Ho; Kao, Mu-Jnug; Lin, Chi-Hsiang
2007-06-01
This study examined the photodecomposition of volatile organic compounds (VOCs) using TiO2 catalyst fabricated by the Submerged Arc Nanoparticle Synthesis System (SANSS). TiO2 catalyst was employed to decompose volatile organic compounds and compare with Degussa-P25 TiO2 in terms of decomposition efficiency. In the electric discharge manufacturing process, a Ti bar, applied as the electrode, was melted and vaporized under high temperature. The vaporized Ti powders were then rapidly quenched under low-temperature and low-pressure conditions in deionized water, thus nucleating and forming nanocrystalline powders uniformly dispersed in the base solvent. The average diameter of the TiO2 nanoparticles was 20 nm. X-ray diffraction analysis confirmed that the nanoparticles in the deionized water were Anatase type TiO2. It was found that gaseous toluene exposed to UV irradiation produced intermediates that were even harder to decompose. After 60-min photocomposition, Degussa-P25 TiO2 reduced the concentration of gaseous toluene to 8.18% while the concentration after decomposition by SANSS TiO2 catalyst dropped to 0.35%. Under UV irradiation at 253.7 +/- 184.9 nm, TiO2 prepared by SANSS can produce strong chemical debonding energy, thus showing great efficiency, superior to that of Degussa-P25 TiO2, in decomposing gaseous toluene and its intermediates.
Synthesis of nanodimensional TiO2 thin films.
Thakurdesai, Madhavi; Mohanty, T; John, J; Rao, T K Gundu; Raychaudhuri, Pratap; Bhattacharyya, V; Kanjilal, D
2008-08-01
Nanodimensional TiO2 has wide application in the field of photocatalysis, photovoltaic and photochromic devices. In present investigation TiO2 thin films deposited by pulsed laser deposition method are irradiated by 100 MeV Ag ion beam to achieve growth of nanophases. The nanostructure evolution is characterized by atomic force microscopy (AFM). The phases of TiO2 formed after irradiation are identified by glancing angle X-ray diffraction and Raman spectroscopy. The particle radius estimated by AFM varies from 10-13 nm. Anatase phase of TiO2 is formed after irradiation. The blue shift observed in UV-VIS absorption spectra indicates the nanostructure formation. The shape and size of nanoparticles formed due to high electronic excitation depend upon thickness of the film.
Electronic Tuning In The Hidden Order Compound URu2Si 2 Through Si → P substitution
NASA Astrophysics Data System (ADS)
Gallagher, Andrew
Crystalline materials that include 4f- and 5 f-electron elements frequently exhibit a variety of intriguing phenomena including spin and charge orderings, spin and valence fluctuations, heavy fermion behavior, breakdown of Fermi liquid behavior, and unconventional superconductivity. [5, 6, 7, 8, 9, 10, 11, 12, 13] Amongst such materials, the Kondo lattice system URu2Si2 stands out as being particularly unusual. [14, 15, 16] While at high temperature it exhibits behavior that is typical for an f-electron lattice immersed in a sea of conduction electrons, at T0 = 17:5 K there is a second order phase transition that is followed by unconventional superconductivity near Tc ≈ 1:5 K. [15] Despite three decades of work, the order parameter for the transition at T0 remains unknown and hence, it has been named "hidden order". There have been a multitude of experimental attempts to unravel hidden order, mainly through tuning of the electronic state via pressure, applied magnetic field, and chemical substitution. [17, 18] While these strategies reveal interesting phase diagrams, a longstanding challenge is that any such approach explores the phase space along an unknown vector: i.e., many different factors are affected. To address this issue, we developed a new organizational map for the U-based ThCr2Si2-type compounds that are related to URu2Si2 and thus guided, we explored a new chemical tuning axis: Si -> P. Our studies were enabled by the development of a new molten metal crystal growth method for URu2Si2 which produces high quality single crystals and allows us to introduce high vapor pressure elements, such as phosphorous. [19, 20] Si → P tuning reveals that while the high temperature Kondo lattice behavior is robust, the low temperature phenomena are remarkably sensitive to electronic tuning. [21, 22] In the URu2Si2-xPx phase diagram we find that while hidden order is monotonically suppressed and destroyed for x < 0.035, the superconducting strength evolves non
NASA Astrophysics Data System (ADS)
Huang, Chieh-Szu; Chang, Ming-Chuan; Huang, Cheng-Liang; Lin, Shih-kang
2016-12-01
Thin-film electroluminescent devices are promising solid-state lighting devices. Red light-emitting phosphor is the key component to be integrated with the well-established blue light-emitting diode chips for stimulating natural sunlight. However, environmentally hazardous rare-earth (RE) dopants, e.g. Eu2+ and Ce2+, are commonly used for red-emitting phosphors. Mg2TiO4 inverse spinel has been reported as a promising matrix material for "RE-free" red light luminescent material. In this paper, Mg2TiO4 inverse spinel is investigated using both experimental and theoretical approaches. The Mg2TiO4 thin films were deposited on Si (100) substrates using either spin-coating with the sol-gel process, or radio frequency sputtering, and annealed at various temperatures ranging from 600°C to 900°C. The crystallinity, microstructures, and photoluminescent properties of the Mg2TiO4 thin films were characterized. In addition, the atomistic model of the Mg2TiO4 inverse spinel was constructed, and the electronic band structure of Mg2TiO4 was calculated based on density functional theory. Essential physical and optoelectronic properties of the Mg2TiO4 luminance material as well as its optimal thin-film processing conditions were comprehensively reported.
Memarzadeh Lotfabad, Elmira; Kalisvaart, Peter; Cui, Kai; Kohandehghan, Alireza; Kupsta, Martin; Olsen, Brian; Mitlin, David
2013-08-28
We demonstrate that silicon nanowire (SiNW) Li-ion battery anodes that are conformally coated with TiO2 using atomic layer deposition (ALD) show a remarkable performance improvement. The coulombic efficiency is increased to ∼99%, among the highest ever reported for SiNWs, as compared to 95% for the baseline uncoated samples. The capacity retention after 100 cycles for the nanocomposite is twice as high as that of the baseline at 0.1 C (60% vs. 30%), and more than three times higher at 5 C (34% vs. 10%). We also demonstrate that the microstructure of the coatings is critically important for achieving this effect. Titanium dioxide coatings with an as-deposited anatase structure are nowhere near as effective as amorphous ones, the latter proving much more resistant to delamination from the SiNW core. We use TEM to demonstrate that upon lithiation the amorphous coating develops a highly dispersed nanostructure comprised of crystalline LiTiO2 and a secondary amorphous phase. Electron energy loss spectroscopy (EELS) combined with bulk and surface analytical techniques are employed to highlight the passivating effect of TiO2, which results in significantly fewer cycling-induced electrolyte decomposition products as compared to the bare nanowires.
Dipole oscillator strengths, dipole properties and dispersion energies for SiF4
NASA Astrophysics Data System (ADS)
Kumar, Ashok; Kumar, Mukesh; Meath, William J.
2003-01-01
A recommended isotropic dipole oscillator strength distribution (DOSD) has been constructed for the silicon tetrafluoride (SiF4) molecule through the use of quantum mechanical constraint techniques and experimental dipole oscillator strength data. The constraints are furnished by experimental molar refractivity data and the Thomas-Reiche-Kuhn sum rule. The DOSD is used to evaluate a variety of isotropic dipole oscillator strength sums, logarithmic dipole oscillator strength sums and mean excitation energies for the molecule. A pseudo-DOSD for SiF4 is also presented which is used to obtain reliable results for the isotropic dipole-dipole dispersion energy coefficients C6, for the interaction of SiF4 with itself and with 43 other species and the triple-dipole dispersion energy coefficient C9 for (SiF4)3.
Improved hydrogen storage properties of MgH2 catalyzed with TiO2
NASA Astrophysics Data System (ADS)
Jangir, Mukesh; Meena, Priyanka; Jain, I. P.
2018-05-01
In order to improve the hydrogenation properties of the MgH2, various concentration of rutile Titanium Oxide (TiO2) (X wt%= 5, 10, 15 wt %) is added to MgH2 by ball milling and the catalytic effect of TiO2 on hydriding/dehydriding properties of MgH2 has been investigated. Result shows that the TiO2 significantly reduced onset temperature of desorption. Onset temperature as low as 190 °C were observed for the MgH2-15 wt% TiO2 sample which is 60 °C and 160 °C lower than the as-milled and as-received MgH2. Fromm the Kissinger plot the activation energy of 15 wt% TiO2 added sample is calculated to be -75.48 KJ/mol. These results indicate that the hydrogenation properties of MgH2-TiO2 have been improved compared to the as-milled and as-received MgH2. Furthermore, XRD and XPS were performed to characterize the structural evolution upon milling and dehydrogenation.