Sample records for f2 laser lithography

  1. Extending the performance of KrF laser for microlithography by using novel F2 control technology

    NASA Astrophysics Data System (ADS)

    Zambon, Paolo; Gong, Mengxiong; Carlesi, Jason; Padmabandu, Gunasiri G.; Binder, Mike; Swanson, Ken; Das, Palash P.

    2000-07-01

    Exposure tools for 248nm lithography have reached a level of maturity comparable to those based on i-line. With this increase in maturity, there is a concomitant requirement for greater flexibility from the laser by the process engineers. Usually, these requirements pertain to energy, spectral width and repetition rate. By utilizing a combination of laser parameters, the process engineers are often able to optimize throughput, reduce cost-of-operation or achieve greater process margin. Hitherto, such flexibility of laser operation was possible only via significant changes to various laser modules. During our investigation, we found that the key measure of the laser that impacts the aforementioned parameters is its F2 concentration. By monitoring and controlling its slope efficiency, the laser's F2 concentration may be precisely controlled. Thus a laser may tune to operate under specifications as diverse as 7mJ, (Delta) (lambda) FWHM < 0.3 pm and 10mJ, (Delta) (lambda) FWHM < 0.6pm and still meet the host of requirements necessary for lithography. We discus this new F2 control technique and highlight some laser performance parameters.

  2. Manipulation of heat-diffusion channel in laser thermal lithography.

    PubMed

    Wei, Jingsong; Wang, Yang; Wu, Yiqun

    2014-12-29

    Laser thermal lithography is a good alternative method for forming small pattern feature size by taking advantage of the structural-change threshold effect of thermal lithography materials. In this work, the heat-diffusion channels of laser thermal lithography are first analyzed, and then we propose to manipulate the heat-diffusion channels by inserting thermal conduction layers in between channels. Heat-flow direction can be changed from the in-plane to the out-of-plane of the thermal lithography layer, which causes the size of the structural-change threshold region to become much smaller than the focused laser spot itself; thus, nanoscale marks can be obtained. Samples designated as "glass substrate/thermal conduction layer/thermal lithography layer (100 nm)/thermal conduction layer" are designed and prepared. Chalcogenide phase-change materials are used as thermal lithography layer, and Si is used as thermal conduction layer to manipulate heat-diffusion channels. Laser thermal lithography experiments are conducted on a home-made high-speed rotation direct laser writing setup with 488 nm laser wavelength and 0.90 numerical aperture of converging lens. The writing marks with 50-60 nm size are successfully obtained. The mark size is only about 1/13 of the focused laser spot, which is far smaller than that of the light diffraction limit spot of the direct laser writing setup. This work is useful for nanoscale fabrication and lithography by exploiting the far-field focusing light system.

  3. The ArF laser for the next-generation multiple-patterning immersion lithography supporting green operations

    NASA Astrophysics Data System (ADS)

    Ishida, Keisuke; Ohta, Takeshi; Miyamoto, Hirotaka; Kumazaki, Takahito; Tsushima, Hiroaki; Kurosu, Akihiko; Matsunaga, Takashi; Mizoguchi, Hakaru

    2016-03-01

    Multiple patterning ArF immersion lithography has been expected as the promising technology to satisfy tighter leading edge device requirements. One of the most important features of the next generation lasers will be the ability to support green operations while further improving cost of ownership and performance. Especially, the dependence on rare gases, such as Neon and Helium, is becoming a critical issue for high volume manufacturing process. The new ArF excimer laser, GT64A has been developed to cope with the reduction of operational costs, the prevention against rare resource shortage and the improvement of device yield in multiple-patterning lithography. GT64A has advantages in efficiency and stability based on the field-proven injection-lock twin-chamber platform (GigaTwin platform). By the combination of GigaTwin platform and the advanced gas control algorithm, the consumption of rare gases such as Neon is reduced to a half. And newly designed Line Narrowing Module can realize completely Helium free operation. For the device yield improvement, spectral bandwidth stability is important to increase image contrast and contribute to the further reduction of CD variation. The new spectral bandwidth control algorithm and high response actuator has been developed to compensate the offset due to thermal change during the interval such as the period of wafer exchange operation. And REDeeM Cloud™, new monitoring system for managing light source performance and operations, is on-board and provides detailed light source information such as wavelength, energy, E95, etc.

  4. Fabrication of 2D and 3D photonic structures using laser lithography

    NASA Astrophysics Data System (ADS)

    Gaso, P.; Jandura, D.; Pudis, D.

    2016-12-01

    In this paper we demonstrate possibilities of three-dimensional (3D) printing technology based on two photon polymerization. We used three-dimensional dip-in direct-laser-writing (DLW) optical lithography to fabricate 2D and 3D optical structures for optoelectronics and for optical sensing applications. DLW lithography allows us use a non conventional way how to couple light into the waveguide structure. We prepared ring resonator and we investigated its transmission spectral characteristic. We present 3D inverse opal structure from its design to printing and scanning electron microscope (SEM) imaging. Finally, SEM images of some prepared photonic crystal structures were performed.

  5. CO2 laser drives extreme ultraviolet nano-lithography — second life of mature laser technology

    NASA Astrophysics Data System (ADS)

    Nowak, K. M.; Ohta, T.; Suganuma, T.; Fujimoto, J.; Mizoguchi, H.; Sumitani, A.; Endo, A.

    2013-12-01

    It was shown both theoretically and experimentally that nanosecond order laser pulses at 10.6 micron wavelength were superior for driving the Sn plasma extreme ultraviolet (EUV) source for nano-lithography for the reasons of higher conversion efficiency, lower production of debris and higher average power levels obtainable in CO2 media without serious problems of beam distortions and nonlinear effects occurring in competing solid-state lasers at high intensities. The renewed interest in such pulse format, wavelength, repetition rates in excess of 50 kHz and average power levels in excess of 18 kiloWatt has sparked new opportunities for a matured multi-kiloWatt CO2 laser technology. The power demand of EUV source could be only satisfied by a Master-Oscillator-Power-Amplifier system configuration, leading to a development of a new type of hybrid pulsed CO2 laser employing a whole spectrum of CO2 technology, such as fast flow systems and diffusion-cooled planar waveguide lasers, and relatively recent quantum cascade lasers. In this paper we review briefly the history of relevant pulsed CO2 laser technology and the requirements for multi-kiloWatt CO2 laser, intended for the laser-produced plasma EUV source, and present our recent advances, such as novel solid-state seeded master oscillator and efficient multi-pass amplifiers built on planar waveguide CO2 lasers.

  6. Progress in coherent lithography using table-top extreme ultraviolet lasers

    NASA Astrophysics Data System (ADS)

    Li, Wei

    Nanotechnology has drawn a wide variety of attention as interesting phenomena occurs when the dimension of the structures is in the nanometer scale. The particular characteristics of nanoscale structures had enabled new applications in different fields in science and technology. Our capability to fabricate these nanostructures routinely for sure will impact the advancement of nanoscience. Apart from the high volume manufacturing in semiconductor industry, a small-scale but reliable nanofabrication tool can dramatically help the research in the field of nanotechnology. This dissertation describes alternative extreme ultraviolet (EUV) lithography techniques which combine table-top EUV laser and various cost-effective imaging strategies. For each technique, numerical simulations, system design, experiment result and its analysis will be presented. In chapter II, a brief review of the main characteristics of table-top EUV lasers will be addressed concentrating on its high power and large coherence radius that enable the lithography application described herein. The development of a Talbot EUV lithography system which is capable of printing 50nm half pitch nanopatterns will be illustrated in chapter III. A detailed discussion of its resolution limit will be presented followed by the development of X-Y-Z positioning stage, the fabrication protocol for diffractive EUV mask, and the pattern transfer using self- developed ion beam etching, and the dose control unit. In addition, this dissertation demonstrated the capability to fabricate functional periodic nanostructures using Talbot EUV lithography. After that, resolution enhancement techniques like multiple exposure, displacement Talbot EUV lithography, fractional Talbot EUV lithography, and Talbot lithography using 18.9nm amplified spontaneous emission laser will be demonstrated. Chapter IV will describe a hybrid EUV lithography which combines the Talbot imaging and interference lithography rendering a high resolution

  7. Highly Stable Nanolattice Structures using Nonlinear Laser Lithography

    NASA Astrophysics Data System (ADS)

    Yavuz, Ozgun; Tokel, Onur; Ergecen, Emre; Pavlov, Ihor; Makey, Ghaith; Ilday, Fatih Omer

    Periodic nanopatterning is crucial for multiple technologies, including photovoltaics and display technologies. Conventional optical lithography techniques require complex masks, while e-beam and ion-beam lithography require expensive equipment. With the Nonlinear Laser Lithography (NLL) technique, we had recently shown that various surfaces can be covered with extremely periodic nanopatterns with ultrafast lasers through a single-step, maskless and inexpensive method. Here, we expand NLL nanopatterns to flexible materials, and also present a fully predictive model for the formation of NLL nanostructures as confirmed with experiments. In NLL, a nonlocal positive feedback mechanism (dipole scattering) competes with a rate limiting negative feedback mechanism. Here, we show that judicious use of the laser polarisation can constrain the lattice symmetry, while the nonlinearities regulate periodicity. We experimentally demonstrate that in addition to one dimensional periodic stripes, two dimensional lattices can be produced on surfaces. In particular, hexagonal and square lattices were produced, which are highly desired for display technologies. Notably, with this approach, we can tile flexible substrates, which can find applications in next generation display technologies.

  8. Aging effect of AlF3 coatings for 193 nm lithography

    NASA Astrophysics Data System (ADS)

    Zhao, Jia; Wang, Lin; Zhang, Weili; Yi, Kui; Shao, Jianda

    2018-02-01

    As important part of components for 193 nm lithography, AlF3 coatings deposited by resistive heating method acquire advantages like lower optical loss and higher laser damage threshold, but they also possess some disadvantages like worse stability, which is what aging effect focuses on. AlF3 single-layer coatings were deposited; optical property, surface morphology and roughness, and composition were characterized in different periods. Owing to aging effect, refractive index and extinction coefficient increased; larger and larger roughness caused more and more scattering loss, which was in the same order with absorption at 193.4 nm and part of optical loss; from composition analysis, proportional substitution of AlF3 by alumina may account for changes in refractive index as well as absorption.

  9. Manipulation and simulations of thermal field profiles in laser heat-mode lithography

    NASA Astrophysics Data System (ADS)

    Wei, Tao; Wei, Jingsong; Wang, Yang; Zhang, Long

    2017-12-01

    Laser heat-mode lithography is a very useful method for high-speed fabrication of large-area micro/nanostructures. To obtain nanoscale pattern structures, one needs to manipulate the thermal diffusion channels. This work reports the manipulation of the thermal diffusion in laser heat-mode lithography and provides methods to restrain the in-plane thermal diffusion and improve the out-of-plane thermal diffusion. The thermal field profiles in heat-mode resist thin films have been given. It is found that the size of the heat-spot can be decreased by decreasing the thickness of the heat-mode resist thin films, inserting the thermal conduction layers, and shortening the laser irradiation time. The optimized laser writing strategy is also given, where the in-plane thermal diffusion is completely restrained and the out-of-plane thermal diffusion is improved. The heat-spot size is almost equal to that of the laser spot, accordingly. This work provides a very important guide to laser heat-mode lithography.

  10. Development of a 0.1 μm linewidth fabrication process for x-ray lithography with a laser plasma source

    NASA Astrophysics Data System (ADS)

    Bobkowski, Romuald; Fedosejevs, Robert; Broughton, James N.

    1999-06-01

    A process has been developed for the purpose of fabricating 0.1 micron linewidth interdigital electrode patterns based on proximity x-ray lithography using a laser-plasma source. Such patterns are required in the manufacture of surface acoustic wave devices. The x-ray lithography was carried out using emission form a Cu plasma produced by a 15Hz, 248nm KrF excimer laser. A temporally multiplexed 50ps duration seed pulse was used to extract the KrF laser energy producing a train of several 50ps pulses spaced approximately 2ns apart within each output pulse. Each short pulse within the train gave the high focal spot intensity required to achieve high efficiency emission of keV x-rays. The first stage of the overall process involves the fabrication of x-ray mask patterns on 1 micron thick Si3N4 membranes using 3-beam lithography followed by gold electroplating. The second stage involves x-ray exposure of a chemically amplified resist through the mask patterns to produce interdigital electrode patterns with 0.1 micron linewidth. Helium background gas and thin polycarbonate/aluminum filters are employed to prevent debris particles from the laser-plasma source form reaching the exposed sample. A computer control system fires the laser and monitors the x-ray flux from the laser-plasma source to insure the desired x-ray exposure is achieved at the resist. In order to reduce diffusion effects in the chemically amplified resist during the post exposure bake the temperature had to be reduced from that normally used. Good reproduction of 0.1 micron linewidth patterns into the x-ray resist was obtained once the exposure parameters and post exposure bake were optimized. A compact exposure station using flowing helium at atmospheric pressure has also been developed for the process, alleviating the need for a vacuum chamber. The details of the overall process and the compact exposure station will be presented.

  11. ArF halftone PSM cleaning process optimization for next-generation lithography

    NASA Astrophysics Data System (ADS)

    Son, Yong-Seok; Jeong, Seong-Ho; Kim, Jeong-Bae; Kim, Hong-Seok

    2000-07-01

    ArF lithography which is expected for the next generation optical lithography is adapted for 0.13 micrometers design-rule and beyond. ArF half-tone phase shift mask (HT PSM) will be applied as 1st generation of ArF lithography. Also ArF PSM cleaning demands by means of tighter controls related to phase angle, transmittance and contamination on the masks. Phase angle on ArF HT PSM should be controlled within at least +/- 3 degree and transmittance controlled within at least +/- 3 percent after cleaning process and pelliclization. In the cleaning process of HT PSM, requires not only the remove the particle on mask, but also control to half-tone material for metamorphosis. Contamination defects on the Qz of half tone type PSM is not easy to remove on the photomask surface. New technology and methods of cleaning will be developed in near future, but we try to get out for limit contamination on the mask, without variation of phase angle and transmittance after cleaning process.

  12. Approximating gecko setae via direct laser lithography

    NASA Astrophysics Data System (ADS)

    Tricinci, Omar; Eason, Eric V.; Filippeschi, Carlo; Mondini, Alessio; Mazzolai, Barbara; Pugno, Nicola M.; Cutkosky, Mark R.; Greco, Francesco; Mattoli, Virgilio

    2018-07-01

    The biomimetic replication of dry adhesion present in the gecko’s foot has attracted great interest in recent years. All the microfabrication techniques used so far were not able to faithfully reproduce the hierarchical and complex three-dimensional geometry of the gecko’s setae, with features at the micro- and nano-scale, thus reducing the effectiveness that such conformal morphology could provide. By means of direct laser lithography we fabricated artificial hairs that faithfully reproduce the natural model. This technique allows the fabrication of three-dimensional microstructures with outstanding results in terms of reproducibility and resolution at the micro- and nano-scale. It was possible to get very close to the morphology of the natural gecko setae, especially concerning the hierarchical shape. We designed several morphologies for the setae and studied the effects in terms of adhesion and friction performances compared to the natural counterpart, showing the interplay between morphology, dimensional scaling and materials. Direct laser lithography promises great applications in the biomimetics field, paving the way to the implementation of the concept of hierarchical bioinspired dry adhesives.

  13. 2.4 μm diode-pumped Dy2+:CaF2 laser

    NASA Astrophysics Data System (ADS)

    Švejkar, Richard; Papashvili, Alexander G.; Šulc, Jan; Němec, Michal; Jelínková, Helena; Doroshenko, Maxim E.; Batygov, Sergei H.; Osiko, Vyacheslav V.

    2018-01-01

    In this work, a cryogenic cooled, longitudinal diode-pumped Dy2+ :CaF2 laser was investigated for the first time. The temperature dependence of the spectroscopy and the laser properties of Dy2+ :CaF2 are presented. The tested Dy2+ :CaF2 crystal was a longitudinal pump in a near-IR region (926 nm) by laser diode radiation. The maximal mean output power and slope efficiency at 78 K during the pulse regime of the laser were 57.5 mW and 7%, respectively. Furthermore, the CW regime was successfully tested and a maximum output power of 0.37 W was obtained for the absorbed pumping power 5.7 W. The emission laser wavelength was 2367 nm.

  14. Diode pumped tunable lasers based on Tm:CaF2 and Tm:Ho:CaF2 ceramics

    NASA Astrophysics Data System (ADS)

    Šulc, Jan; Němec, Michal; Jelinková, Helena; Doroshenko, Maxim E.; Fedorov, Pavel P.; Osiko, Vyacheslav V.

    2014-02-01

    The Tm:CaF2 (4% of TmF3) and Tm:Ho:CaF2 (2% of TmF3, 0.3% of HoF3) ceramics, prepared using hot pressing, and hot formation technique had been used as an active medium of diode pumped mid-infrared tunable laser. A fibre (core diameter 400 μm, NA = 0.22) coupled laser diode (LIMO, HLU30F400-790) was used to longitudinal pumping. The laser diode was operating in the pulsed regime (6 ms pulse length, 10 Hz repetition rate). The duty-cycle 6% ensures a low thermal load even under the maximum diode pumping power amplitude 25W (ceramics samples were only air-cooled). The laser diode emission wavelength was 786 nm. The 80mm long semi-hemispherical laser resonator consisted of a flat pumping mirror (HR @ 1.85 - 2.15 μm, HT @ 0.78 μm) and a curved (r = 150mm) output coupler with a reflectivity of ˜ 98% @ 1.85 - 2.0 μm for Tm:CaF2 laser or ˜ 99.5% @ 2.0 - 2.15 μm for Ho:Tm:CaF2. Tuning of the laser was accomplished by using a birefringent filter (single 1.5mm thick quartz plate) placed inside the optical resonator at the Brewster angle. Both samples offered broad and smooth tuning possibilities in mid-IR spectral range and the lasers were continuously tunable over ˜ 100 nm. The obtained Tm:CaF2 tunability ranged from 1892 to 1992nm (the maximum output energy 1.8mJ was reached at 1952nm for absorbed pumping energy 78 mJ). In case of Tm:Ho:CaF2 laser tunability from 2016 to 2111nm was reached (the maximum output energy 1.5mJ was reached at 2083nm for absorbed pumping energy 53 mJ). Both these material are good candidates for a future investigation of high energy, ultra-short, laser pulse generation.

  15. Laser-induced phase transitions of Ge2Sb2Te5 thin films used in optical and electronic data storage and in thermal lithography.

    PubMed

    Chu, Cheng Hung; Shiue, Chiun Da; Cheng, Hsuen Wei; Tseng, Ming Lun; Chiang, Hai-Pang; Mansuripur, Masud; Tsai, Din Ping

    2010-08-16

    Amorphous thin films of Ge(2)Sb(2)Te(5), sputter-deposited on a ZnS-SiO(2) dielectric layer, are investigated for the purpose of understanding the structural phase-transitions that occur under the influence of tightly-focused laser beams. Selective chemical etching of recorded marks in conjunction with optical, atomic force, and electron microscopy as well as local electron diffraction analysis are used to discern the complex structural features created under a broad range of laser powers and pulse durations. Clarifying the nature of phase transitions associated with laser-recorded marks in chalcogenide Ge(2)Sb(2)Te(5) thin films provides useful information for reversible optical and electronic data storage, as well as for phase-change (thermal) lithography.

  16. Fabrication of 0.25-um electrode width SAW filters using x-ray lithography with a laser plasma source

    NASA Astrophysics Data System (ADS)

    Bobkowski, Romuald; Li, Yunlei; Fedosejevs, Robert; Broughton, James N.

    1996-05-01

    A process for the fabrication of surface acoustic wave (SAW) devices with line widths of 250 nm and less, based on x-ray lithography using a laser-plasma source has been developed. The x-ray lithography process is based on keV x-ray emission from Cu plasma produced by 15 Hz, 50 ps, 248 nm KrF excimer laser pulses. The full structure of a 2 GHz surface acoustic wave filter with interdigital transducers in a split-electrode geometry has been manufactured. The devices require patterning a 150 nm thick aluminum layer on a LiNbO3 substrate with electrodes 250 nm wide. The manufacturing process has two main steps: x-ray mask fabrication employing e-beam lithography and x-ray lithography to obtain the final device. The x-ray masks are fabricated on 1 micrometers thick membranes of Si2N4. The line patterns on the masks are written into PMMA resist using a scanning electron microscope which has been interfaced to a personal computer equipped to control the x and y scan voltages. The opaque regions of the x-ray mask are then formed by electroplating fine grain gold into the open spaces in the etched PMMA. The mask and sample are mounted in an exposure cassette with a fixed spacer of 10 micrometers separating them. The sample consists of a LiNbO3 substrate coated with Shipley XP90104C x-ray resist which has been previously characterized. The x-ray patterning is carried out in an exposure chamber with flowing helium background gas in order to minimize debris deposition on the filters. After etching the x-ray resist, the final patterns are produced using metallization and a standard lift-off technique. The SAW filters are then bonded and packaged onto impedance matching striplines. The resultant devices are tested using Scalar Network Analyzers. The final devices produced had a center frequency of 1.93 GHz with a bandwidth of 98 MHz, close to the expected performance of our simple design.

  17. Directed Nanopatterning with Nonlinear Laser Lithography

    NASA Astrophysics Data System (ADS)

    Tokel, Onur; Yavuz, Ozgun; Ergecen, Emre; Pavlov, Ihor; Makey, Ghaith; Ilday, Fatih Omer

    In spite of the successes of maskless optical nanopatterning methods, it remains extremely challenging to create any isotropic, periodic nanopattern. Further, available optical techniques lack the long-range coverage and high periodicity demanded by photonics and photovoltaics applications. Here, we provide a novel solution with Nonlinear Laser Lithography (NLL) approach. Notably, we demonstrate that self-organized nanopatterns can be produced in all possible Bravais lattice types. Further, we show that carefully chosen defects or structued noise can direct NLL symmetries. Exploitation of directed self-organizatio to select or guide to predetermined symmetries is a new capability. Predictive capabilities for such far-from-equilibrium, dissipative systems is very limited due to a lack of experimental systems with predictive models. Here we also present a completely predictive model, and experimentally confirm that the emergence of motifs can be regulated by engineering defects, while the polarization of the ultrafast laser prescribes lattice symmetry, which in turn reinforces translational invariance. Thus, NLL enables a novel, maskless nanofabrication approach, where laser-induced nanopatterns can be rapidly created in any lattice symmetry

  18. Effect of cryogenic temperature on spectroscopic and laser properties of Er,La:SrF2-CaF2 crystal

    NASA Astrophysics Data System (ADS)

    Švejkar, Richard; Šulc, Jan; Němec, Michal; Jelínková, Helena; Doroshenko, Maxim E.; Nakladov, Andrei N.; Osiko, Vjatcheslav V.

    2016-03-01

    The laser and spectroscopic properties of crystal Er,La:SrF2-CaF2 at temperature range 80 - 300 K, which is appropriate for generation of radiation around 2.7 um is presented. The sample of Er,La:SrF2-CaF2 (concentration Er(0.04), La(0.12):Ca(0.77)Sr(0.07)) had plan-parallel face-polished faces without anti-reflection coatings (thickness 8.2 mm). During spectroscopy and laser experiments the Er,La:SrF2-CaF2 was attached to temperature controlled copper holder and it was placed in vacuum chamber. The transmission and emission spectra of Er,La:SrF2-CaF2 together with the fluorescence decay time were measured in dependence on temperature. The excitation of Er,La:SrF2-CaF2 was carried out by a laser diode radiation (pulse duration 5 ms, repetition rate 20 Hz, pump wavelength 973 nm). Laser resonator was hemispherical, 140 mm in length with at pumping mirror (HR @ 2.7 µm) and spherical output coupler (r = 150 mm, R = 95 % @ 2.5 - 2.8 µm). Tunability of laser at 80 K in range 2690 - 2765 nm was obtained using MgF2 birefringent filter. With decreasing temperature of sample the fluorescence lifetime of manifold 4I11/2 (upper laser level) became shorter and intensity of up-conversion radiation was increasing. The highest slope efficiency with respect to absorbed power was 2.3 % at 80 K. The maximum output of peak amplitude power was 0.3 W at 80 K, i.e. 1.5 times higher than measured this value at 300 K. The wavelength generated by Er,La:SrF2-CaF2 laser (2.7 µm) is relatively close to absorption peak of water (3 µm) and so, one of the possible usage should be in medicine and spectroscopy.

  19. Direct-writing lithography using laser diode beam focused with single elliptical microlens

    NASA Astrophysics Data System (ADS)

    Hasan, Md. Nazmul; Haque, Muttahid-Ull; Trisno, Jonathan; Lee, Yung-Chun

    2015-10-01

    A lithography method is proposed for arbitrary patterning using an elliptically diverging laser diode beam focused with a single planoconvex elliptical microlens. Simulations are performed to model the propagation properties of the laser beam and to design the elliptical microlens, which has two different profiles in the x- and y-axis directions. The microlens is fabricated using an excimer laser dragging method and is then attached to the laser diode using double-sided optically cleared adhesive (OCA) tape. Notably, the use of OCA tape removes the need for a complicated alignment procedure and thus significantly reduces the assembly cost. The minimum focused spot of the laser diode beam is investigated by performing single-shot exposure tests on a photoresist (PR) layer. Finally, the practical feasibility of this lithography technique to generate an arbitrary pattern is demonstrated by dotted and continuous features through thin chromium layer deposition on PR and a metal lift-off process. The results show that the minimum feature size for the dotted patterns is around 6.23 μm, while the minimum linewidths for continuous patterns is 6.44 μm. In other words, the proposed focusing technique has significant potential for writing any arbitrary high-resolution pattern for applications like printed circuit board fabrication.

  20. Pulsed and cw laser oscillations in LiF:F-2 color center crystal under laser diode pumping.

    PubMed

    Basiev, Tasoltan T; Vassiliev, Sergey V; Konjushkin, Vasily A; Gapontsev, Valentin P

    2006-07-15

    Continuous-wave laser oscillations in LiF:F-2 crystal optically pumped by a laser diode at 970 nm were demonstrated for what is believed to be the first time. The slope efficiency of 14% and conversion efficiency of 5.5% were achieved for 80 micros pump pulse duration and 5 Hz pulse repetition rate. An efficiency twice as low was measured at a 6.25 kHz pulse repetition rate (50% off-duty factor) and in cw mode of laser operation.

  1. Spectroscopic, luminescent and laser properties of nanostructured CaF2:Tm materials

    NASA Astrophysics Data System (ADS)

    Lyapin, A. A.; Fedorov, P. P.; Garibin, E. A.; Malov, A. V.; Osiko, V. V.; Ryabochkina, P. A.; Ushakov, S. N.

    2013-08-01

    The laser quality transparent СаF2:Tm fluoride ceramics has been prepared by hot forming. Comparative study of absorption and emission spectra of СаF2:Tm (4 mol.% TmF3) ceramic and single crystal samples demonstrated that these materials possess almost identical spectroscopic properties. Laser oscillations of СаF2:Tm ceramics were obtained at 1898 nm under diode pumping, with the slope efficiency of 5.5%. Also, the continuous-wave (CW) laser have been obtained for СаF2:Tm single crystal at 1890 nm pumped by a diode laser was demonstrated.

  2. Intregrating metallic wiring with three-dimensional polystyrene colloidal crystals using electron-beam lithography and three-dimensional laser lithography

    NASA Astrophysics Data System (ADS)

    Tian, Yaolan; Isotalo, Tero J.; Konttinen, Mikko P.; Li, Jiawei; Heiskanen, Samuli; Geng, Zhuoran; Maasilta, Ilari J.

    2017-02-01

    We demonstrate a method to fabricate narrow, down to a few micron wide metallic leads on top of a three-dimensional (3D) colloidal crystal self-assembled from polystyrene (PS) nanospheres of diameter 260 nm, using electron-beam lithography. This fabrication is not straightforward due to the fact that PS nanospheres cannot usually survive the harsh chemical treatments required in the development and lift-off steps of electron-beam lithography. We solve this problem by increasing the chemical resistance of the PS nanospheres using an additional electron-beam irradiation step, which allows the spheres to retain their shape and their self-assembled structure, even after baking to a temperature of 160 °C, the exposure to the resist developer and the exposure to acetone, all of which are required for the electron-beam lithography step. Moreover, we show that by depositing an aluminum oxide capping layer on top of the colloidal crystal after the e-beam irradiation, the surface is smooth enough so that continuous metal wiring can be deposited by the electron-beam lithography. Finally, we also demonstrate a way to self-assemble PS colloidal crystals into a microscale container, which was fabricated using direct-write 3D laser-lithography. Metallic wiring was also successfully integrated with the combination of a container structure and a PS colloidal crystal. Our goal is to make a device for studies of thermal transport in 3D phononic crystals, but other phononic or photonic crystal applications could also be envisioned.

  3. Multiphoton lithography using a high-repetition rate microchip laser.

    PubMed

    Ritschdorff, Eric T; Shear, Jason B

    2010-10-15

    Multiphoton lithography (MPL) provides a means to create prototype, three-dimensional (3D) materials for numerous applications in analysis and cell biology. A major impediment to the broad adoption of MPL in research laboratories is its reliance on high peak-power light sources, a requirement that typically has been met using expensive femtosecond titanium:sapphire lasers. Development of affordable microchip laser sources has the potential to substantially extend the reach of MPL, but previous lasers have provided relatively low pulse repetition rates (low kilohertz range), thereby limiting the rate at which microforms could be produced using this direct-write approach. In this report, we examine the MPL capabilities of a new, high-repetition-rate (36.6 kHz) microchip Nd:YAG laser. We show that this laser enables an approximate 4-fold decrease in fabrication times for protein-based microforms relative to the existing state-of-the-art microchip source and demonstrate its utility for creating complex 3D microarchitectures.

  4. Polarization characteristic of a room-temperature Co:MgF2 laser.

    PubMed

    Zhang, Zengming M; Cui, Yiben B; Li, Fuli L; Zhang, Guobin B; Pu, Qirong R; Xu, Gaojie J

    2002-02-20

    A study of the polarization characteristic of a Co:MgF2 laser with a 1320-nm YAG pumping laser at room temperature is reported. The thresholds, output energies, and efficiencies of the laser are given at the various polarization states. The more intensive emission is in the pi-polarization pump laser and sigma-polarization laser operation. Performances of the Co:MgF2 lasers are similar for the polarized and unpolarized laser pumping along the optical axis of the crystal.

  5. Integration of multiple theories for the simulation of laser interference lithography processes

    NASA Astrophysics Data System (ADS)

    Lin, Te-Hsun; Yang, Yin-Kuang; Fu, Chien-Chung

    2017-11-01

    The periodic structure of laser interference lithography (LIL) fabrication is superior to other lithography technologies. In contrast to traditional lithography, LIL has the advantages of being a simple optical system with no mask requirements, low cost, high depth of focus, and large patterning area in a single exposure. Generally, a simulation pattern for the periodic structure is obtained through optical interference prior to its fabrication through LIL. However, the LIL process is complex and combines the fields of optical and polymer materials; thus, a single simulation theory cannot reflect the real situation. Therefore, this research integrates multiple theories, including those of optical interference, standing waves, and photoresist characteristics, to create a mathematical model for the LIL process. The mathematical model can accurately estimate the exposure time and reduce the LIL process duration through trial and error.

  6. Integration of multiple theories for the simulation of laser interference lithography processes.

    PubMed

    Lin, Te-Hsun; Yang, Yin-Kuang; Fu, Chien-Chung

    2017-11-24

    The periodic structure of laser interference lithography (LIL) fabrication is superior to other lithography technologies. In contrast to traditional lithography, LIL has the advantages of being a simple optical system with no mask requirements, low cost, high depth of focus, and large patterning area in a single exposure. Generally, a simulation pattern for the periodic structure is obtained through optical interference prior to its fabrication through LIL. However, the LIL process is complex and combines the fields of optical and polymer materials; thus, a single simulation theory cannot reflect the real situation. Therefore, this research integrates multiple theories, including those of optical interference, standing waves, and photoresist characteristics, to create a mathematical model for the LIL process. The mathematical model can accurately estimate the exposure time and reduce the LIL process duration through trial and error.

  7. Nd3+, Y3+-codoped SrF2 laser ceramics

    NASA Astrophysics Data System (ADS)

    Li, Weiwei; Mei, Bingchu; Song, Jinghong

    2015-09-01

    0.15 at.% Nd3+, 5 at.% Y3+-codoped SrF2 laser ceramic based on single crystal was prepared by extensive plastic deformation. Microstructure, optical and laser properties of the Nd3+, Y3+:SrF2 ceramic were investigated. The lasing of Nd3+, Y3+-codoped SrF2 ceramics with diode pumping have been observed and true CW laser operation around 1057 nm and 1050 nm was obtained with a slope efficiency of 31.9%. In particular, the fracture toughness of the ceramic is 0.98 MPa m1/2, which is approximately two times higher than that of single crystal.

  8. Wiring up pre-characterized single-photon emitters by laser lithography

    NASA Astrophysics Data System (ADS)

    Shi, Q.; Sontheimer, B.; Nikolay, N.; Schell, A. W.; Fischer, J.; Naber, A.; Benson, O.; Wegener, M.

    2016-08-01

    Future quantum optical chips will likely be hybrid in nature and include many single-photon emitters, waveguides, filters, as well as single-photon detectors. Here, we introduce a scalable optical localization-selection-lithography procedure for wiring up a large number of single-photon emitters via polymeric photonic wire bonds in three dimensions. First, we localize and characterize nitrogen vacancies in nanodiamonds inside a solid photoresist exhibiting low background fluorescence. Next, without intermediate steps and using the same optical instrument, we perform aligned three-dimensional laser lithography. As a proof of concept, we design, fabricate, and characterize three-dimensional functional waveguide elements on an optical chip. Each element consists of one single-photon emitter centered in a crossed-arc waveguide configuration, allowing for integrated optical excitation and efficient background suppression at the same time.

  9. Maskless Lithography Using Negative Photoresist Material: Impact of UV Laser Intensity on the Cured Line Width

    NASA Astrophysics Data System (ADS)

    Mohammed, Mohammed Ziauddin; Mourad, Abdel-Hamid I.; Khashan, Saud A.

    2018-06-01

    The application of maskless lithography technique on negative photoresist material is investigated in this study. The equipment used in this work is designed and built especially for maskless lithography applications. The UV laser of 405 nm wavelength with 0.85 Numerical Aperture is selected for direct laser writing. All the samples are prepared on a glass substrate. Samples are tested at different UV laser intensities and different stage velocities in order to study the impact on patterned line width. Three cases of spin coated layers of thickness 90 μm, 40 μm, and 28 μm on the substrate are studied. The experimental results show that line width has a generally increasing trend with intensity. However, a decreasing trend was observed for increasing velocity. The overall performance shows that the mr-DWL material is suitable for direct laser writing systems.

  10. Maskless Lithography Using Negative Photoresist Material: Impact of UV Laser Intensity on the Cured Line Width

    NASA Astrophysics Data System (ADS)

    Mohammed, Mohammed Ziauddin; Mourad, Abdel-Hamid I.; Khashan, Saud A.

    2018-04-01

    The application of maskless lithography technique on negative photoresist material is investigated in this study. The equipment used in this work is designed and built especially for maskless lithography applications. The UV laser of 405 nm wavelength with 0.85 Numerical Aperture is selected for direct laser writing. All the samples are prepared on a glass substrate. Samples are tested at different UV laser intensities and different stage velocities in order to study the impact on patterned line width. Three cases of spin coated layers of thickness 90 μm, 40 μm, and 28 μm on the substrate are studied. The experimental results show that line width has a generally increasing trend with intensity. However, a decreasing trend was observed for increasing velocity. The overall performance shows that the mr-DWL material is suitable for direct laser writing systems.

  11. Highly efficient continuous-wave laser operation of LD-pumped Nd,Gd:CaF2 and Nd,Y:CaF2 crystals

    NASA Astrophysics Data System (ADS)

    Pang, Siyuan; Ma, Fengkai; Yu, Hao; Qian, Xiaobo; Jiang, Dapeng; Wu, Yongjing; Zhang, Feng; Liu, Jie; Xu, Jiayue; Su, Liangbi

    2018-05-01

    Spectroscopic properties of Nd:CaF2 crystals are investigated. The photoluminescence intensity in the near infrared region is drastically enhanced by co-doping Gd3+ ions and Y3+ in Nd:CaF2 crystals. Preliminary laser experiments are carried out with 0.3%Nd,5%Gd:CaF2 and 0.3%Nd,5%Y:CaF2 crystals under laser diode pumping; true continuous wave laser operation is achieved with slope efficiencies of 42% and 39%, respectively, and the maximum output power reaches 1.188 W.

  12. Nd3+-doped TeO2-PbF2-AlF3 glasses for laser applications

    NASA Astrophysics Data System (ADS)

    Lalla, E. A.; Rodríguez-Mendoza, U. R.; Lozano-Gorrín, A. D.; Sanz-Arranz, A.; Rull, F.; Lavín, V.

    2016-01-01

    A study of the optical properties of Nd3+ ion in TeO2-PbF2-AlF3 glasses has been carried out for different Nd3+ concentrations. Based on the Judd-Ofelt theory, intensity parameters and radiative properties were determined from the absorption spectra. Focusing on the suitability of this host for laser applications, the spectroscopic quality factor χ was obtained with a value of 1.07, a value of the order of other compositions proposed as laser hosts. For the most intense emission corresponding with the 4F3/2 → 4I11/2 transition (1.06 μm), the absorption and emission and have been calculated with values of 1.20 × 10-20 cm2, 2.08 × 10-20 cm2. A positive value for the gain cross-sections has been found for a population inversion factor γ of 0.4 in the spectral range from 1060 to 1110 nm. All these results suggest the potentially use of this system as a laser host.

  13. Nonlinear Laser Lithography implementation for both ``normal'' and ``anomalous'' laser induced periodic structuring

    NASA Astrophysics Data System (ADS)

    Pavlov, Ihor; Tokel, Onur; Yavuz, Ozgun; Makey, Ghaith; Ilday, Omer; Omer Ilday Team

    Laser Induced Periodic Surface Structuring (LIPSS) is one of the most prominent directions in laser-material interaction due to both practical and theoretical importance, especially after the discovery of Nonlinear Laser Lithography (NLL), which opens new area for industrial application of LIPSS as an effective tool for controllable, highly ordered large area nanostructuring. LIPSS appear on the surface under laser beam in the form of periodical lines. The LIPSS, that appear perpendicular to laser polarization are called ``normal'', in contrast to ``anomalous'' LIPSS appearing parallel to the polarization. Although, NLL technique was already demonstrated for ``normal'' and ``anomalous'' LIPSS separately, up to now, there is no clear understanding of switching mechanism between these two modes. In presented paper we have shown that the mechanism relies on interplay between two feedbacks: long range, low intensity dipole-like scattering of light along the surface, and short range, high intensity plasmon-polariton wave. For the first time, we are able to create both types of LIPSS on the same surface by controlling these two feedbacks, obtaining highly-ordered large-area structured patterns in both modes.

  14. A design of energy detector for ArF excimer lasers

    NASA Astrophysics Data System (ADS)

    Feng, Zebin; Han, Xiaoquan; Zhou, Yi; Bai, Lujun

    2017-08-01

    ArF excimer lasers with short wavelength and high photon energy are widely applied in the field of integrated circuit lithography, material processing, laser medicine, and so on. Excimer laser single pulse energy is a very important parameter in the application. In order to detect the single pulse energy on-line, one energy detector based on photodiode was designed. The signal processing circuit connected to the photodiode was designed so that the signal obtained by the photodiode was amplified and the pulse width was broadened. The amplified signal was acquired by a data acquisition card and stored in the computer for subsequent data processing. The peak of the pulse signal is used to characterize the single pulse energy of ArF excimer laser. In every condition of deferent pulse energy value levels, a series of data about laser pulses energy were acquired synchronously using the Ophir energy meter and the energy detector. A data set about the relationship between laser pulse energy and the peak of the pulse signal was acquired. Then, by using the data acquired, a model characterizing the functional relationship between the energy value and the peak value of the pulse was trained based on an algorithm of machine learning, Support Vector Regression (SVR). By using the model, the energy value can be obtained directly from the energy detector designed in this project. The result shows that the relative error between the energy obtained by the energy detector and by the Ophir energy meter is less than 2%.

  15. Formation of nanotunnels inside a resist film in laser interference lithography.

    PubMed

    Wei, Qi; Hu, Fanhua; Wang, Liyuan

    2015-05-19

    A few kinds of 2-diazo-1-naphthoquinone-4-sulfonates of poly(4-hydroxylstyrene) were prepared to form one-component i-line photoresists. In the laser interference lithography experiments of some of the photoresists, nanotunnels were observed to be aligned in the interior of the resist film. The shape and size of the nanotunnels remain virtually unchanged even under an increased exposure dose, indicating that the exposure energy is confined within the tunnel space. The formation of the nanotunnels results from the effect of standing waves and the permeation of developer from the surface deep into the resist films.

  16. Gap Fill Materials Using Cyclodextrin Derivatives in ArF Lithography

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Shinjo, Tetsuya; Sakaida, Yasushi; Hashimoto, Keisuke

    2007-11-01

    High planarizing gap fill materials based on β-cyclodextrin in ArF photoresist under-layer materials have been developed for fast etching in CF4 gas. Gap fill materials used in the via-first dual damascene process need to have high etch rates to prevent crowning or fencing on top of the trench after etching and a small thickness bias between the dense and blanket areas to minimize issues observed during trench lithography by narrowing the process latitude. Cyclodextrin is a circular oligomer with a nanoscale porous structure that has a high number of oxygen atoms, as calculated using the Ohnishi parameter, providing high etch rates. Additionally, since gap fill materials using cyclodextrin derivatives have low viscosities and molecular weights, they are expected to exhibit excellent flow properties and minimal thermal shrinkage during baking. In this paper, we describe the composition and basic film properties of gap fill materials; planarization in the via-first dual damascene process and etch rates in CF4 gas compared with dextrin with α-glycoside bonds in polysaccharide, poly(2-hydroxypropyl methacrylate) and poly(4-hydroxystyrene). The β-cyclodextrin used in this study was obtained by esterifying the hydroxyl groups of dextrin resulting in improved wettability on via substrates and solubility in photoresist solvents such as propylene glycol monomethyl ether, propylene glycol monomethyl ether acetate and ethyl lactate. Gap fill materials using cyclodextrin derivatives showed good planarization and via filling performance without observing voids in via holes. In addition to superior via filling performance, the etch rate of gap fill materials using β-cyclodextrin derivatives was 2.8-2.9 times higher than that of an ArF photoresist, evaluated under CF4 gas conditions by reactive ion etching. These results were attributed to the combination of both nanoscale porous structures and a high density of oxygen atoms in our gap fill materials using cyclodextrin

  17. Molecular Switch for Sub-Diffraction Laser Lithography by Photoenol Intermediate-State Cis-Trans Isomerization.

    PubMed

    Mueller, Patrick; Zieger, Markus M; Richter, Benjamin; Quick, Alexander S; Fischer, Joachim; Mueller, Jonathan B; Zhou, Lu; Nienhaus, Gerd Ulrich; Bastmeyer, Martin; Barner-Kowollik, Christopher; Wegener, Martin

    2017-06-27

    Recent developments in stimulated-emission depletion (STED) microscopy have led to a step change in the achievable resolution and allowed breaking the diffraction limit by large factors. The core principle is based on a reversible molecular switch, allowing for light-triggered activation and deactivation in combination with a laser focus that incorporates a point or line of zero intensity. In the past years, the concept has been transferred from microscopy to maskless laser lithography, namely direct laser writing (DLW), in order to overcome the diffraction limit for optical lithography. Herein, we propose and experimentally introduce a system that realizes such a molecular switch for lithography. Specifically, the population of intermediate-state photoenol isomers of α-methyl benzaldehydes generated by two-photon absorption at 700 nm fundamental wavelength can be reversibly depleted by simultaneous irradiation at 440 nm, suppressing the subsequent Diels-Alder cycloaddition reaction which constitutes the chemical core of the writing process. We demonstrate the potential of the proposed mechanism for STED-inspired DLW by covalently functionalizing the surface of glass substrates via the photoenol-driven STED-inspired process exploiting reversible photoenol activation with a polymerization initiator. Subsequently, macromolecules are grown from the functionalized areas and the spatially coded glass slides are characterized by atomic-force microscopy. Our approach allows lines with a full-width-at-half-maximum of down to 60 nm and line gratings with a lateral resolution of 100 nm to be written, both surpassing the diffraction limit.

  18. Hybrid soft-lithography/laser machined microchips for the parallel generation of droplets†

    PubMed Central

    Muluneh, M.

    2015-01-01

    Microfluidic chips have been developed to generate droplets and microparticles with control over size, shape, and composition not possible using conventional methods. However, it has remained a challenge to scale-up production for practical applications due to the inherently limited throughput of micro-scale devices. To address this problem, we have developed a self-contained microchip that integrates many (N = 512) micro-scale droplet makers. This 3 × 3 cm2 PDMS microchip consists of a two-dimensional array of 32 × 16 flow-focusing droplet makers, a network of flow channels that connect them, and only two inputs and one output. The key innovation of this technology is the hybrid use of both soft-lithography and direct laser-micromachining. The microscale resolution of soft lithography is used to fabricate flow-focusing droplet makers that can produce small and precisely defined droplets. Deeply engraved (h ≈ 500 μm) laser-machined channels are utilized to supply each of the droplet makers with its oil phase, aqueous phase, and access to an output channel. The engraved channels' low hydrodynamic resistance ensures that each droplet maker is driven with the same flow rates for highly uniform droplet formation.To demonstrate the utility of this approach, water droplets (d ≈ 80 μm) were generated in hexadecane on both 8 × 1 and 32 × 16 geometries. PMID:24166156

  19. Reliable high-power injection locked 6kHz 60W laser for ArF immersion lithography

    NASA Astrophysics Data System (ADS)

    Watanabe, Hidenori; Komae, Shigeo; Tanaka, Satoshi; Nohdomi, Ryoichi; Yamazaki, Taku; Nakarai, Hiroaki; Fujimoto, Junichi; Matsunaga, Takashi; Saito, Takashi; Kakizaki, Kouji; Mizoguchi, Hakaru

    2007-03-01

    Reliable high power 193nm ArF light source is desired for the successive growth of ArF-immersion technology for 45nm node generation. In 2006, Gigaphoton released GT60A, high power injection locked 6kHz/60W/0.5pm (E95) laser system, to meet the demands of semiconductor markets. In this paper, we report key technologies for reliable mass production GT laser systems and GT60A high durability performance test results up to 20 billion pulses.

  20. Threshold analysis of pulsed lasers with application to a room-temperature Co:MgF2 laser

    NASA Technical Reports Server (NTRS)

    Harrison, James; Welford, David; Moulton, Peter F.

    1989-01-01

    Rate-equation calculations are used to model accurately the near-threshold behavior of a Co:MgF2 laser operating at room temperature. The results demonstrate the limitations of the conventional threshold analysis in cases of practical interest. This conclusion is applicable to pulsed solid-state lasers in general. The calculations, together with experimental data, are used to determine emission cross sections for the Co:MgF2 laser.

  1. Recent developments of x-ray lithography in Canada

    NASA Astrophysics Data System (ADS)

    Chaker, Mohamed; Boily, Stephane; Ginovker, A.; Jean, Alain; Kieffer, Jean-Claude; Mercier, P. P.; Pepin, Henri; Leung, Pak; Currie, John F.; Lafontaine, Hugues

    1991-08-01

    An overview of current activities in Canada is reported, including x-ray lithography studies based on laser plasma sources and x-ray mask development. In particular, the application of laser plasma sources for x-ray lithography is discussed, taking into account the industrial requirement and the present state of laser technology. The authors describe the development of silicon carbide membranes for x-ray lithography application. SiC films were prepared using either a 100 kHz plasma-enhanced chemical vapor deposition (PECVD) system or a laser ablation technique. These membranes have a relatively large diameter (> 1 in.) and a high optical transparency (> 50%). Experimental studies on stresses in tungsten films deposited with triode sputtering are reported.

  2. Free-electron laser emission architecture impact on extreme ultraviolet lithography

    NASA Astrophysics Data System (ADS)

    Hosler, Erik R.; Wood, Obert R.; Barletta, William A.

    2017-10-01

    Laser-produced plasma (LPP) EUV sources have demonstrated ˜125 W at customer sites, establishing confidence in EUV lithography (EUVL) as a viable manufacturing technology. However, for extension to the 3-nm technology node and beyond, existing scanner/source technology must enable higher-NA imaging systems (requiring increased resist dose and providing half-field exposures) and/or EUV multipatterning (requiring increased wafer throughput proportional to the number of exposure passes). Both development paths will require a substantial increase in EUV source power to maintain the economic viability of the technology, creating an opportunity for free-electron laser (FEL) EUV sources. FEL-based EUV sources offer an economic, high-power/single-source alternative to LPP EUV sources. Should FELs become the preferred next-generation EUV source, the choice of FEL emission architecture will greatly affect its operational stability and overall capability. A near-term industrialized FEL is expected to utilize one of the following three existing emission architectures: (1) self-amplified spontaneous emission, (2) regenerative amplifier, or (3) self-seeding. Model accelerator parameters are put forward to evaluate the impact of emission architecture on FEL output. Then, variations in the parameter space are applied to assess the potential impact to lithography operations, thereby establishing component sensitivity. The operating range of various accelerator components is discussed based on current accelerator performance demonstrated at various scientific user facilities. Finally, comparison of the performance between the model accelerator parameters and the variation in parameter space provides a means to evaluate the potential emission architectures. A scorecard is presented to facilitate this evaluation and provides a framework for future FEL design and enablement for EUVL applications.

  3. Direct-write maskless lithography using patterned oxidation of Si-substrate Induced by femtosecond laser pulses

    NASA Astrophysics Data System (ADS)

    Kiani, Amirkianoosh; Venkatakrishnan, Krishnan; Tan, Bo

    2013-03-01

    In this study we report a new method for direct-write maskless lithography using oxidized silicon layer induced by high repetition (MHz) ultrafast (femtosecond) laser pulses under ambient condition. The induced thin layer of predetermined pattern can act as an etch stop during etching process in alkaline etchants such as KOH. The proposed method can be leading to promising solutions for direct-write maskless lithography technique since the proposed method offers a higher degree of flexibility and reduced time and cost of fabrication which makes it particularly appropriate for rapid prototyping and custom scale manufacturing. A Scanning Electron Microscope (SEM), Micro-Raman, Energy Dispersive X-ray (EDX), optical microscope and X-ray diffraction spectroscopy (XRD) were used to evaluate the quality of oxidized layer induced by laser pulses.

  4. Invited Article: Progress in coherent lithography using table-top extreme ultraviolet lasers

    NASA Astrophysics Data System (ADS)

    Li, W.; Urbanski, L.; Marconi, M. C.

    2015-12-01

    Compact (table top) lasers emitting at wavelengths below 50 nm had expanded the spectrum of applications in the extreme ultraviolet (EUV). Among them, the high-flux, highly coherent laser sources enabled lithographic approaches with distinctive characteristics. In this review, we will describe the implementation of a compact EUV lithography system capable of printing features with sub-50 nm resolution using Talbot imaging. This compact system is capable of producing consistent defect-free samples in a reliable and effective manner. Examples of different patterns and structures fabricated with this method will be presented.

  5. Frequency tuning characteristics of a Q-switched Co:MgF2 laser

    NASA Technical Reports Server (NTRS)

    Lovold, S.; Moulton, P. F.; Killinger, D. K.; Menyuk, N.

    1985-01-01

    A tunable Q-switched Co:MgF2 laser has been developed for atmospheric remote sensing applications. Frequency tuning is provided by a quartz etalon and a specially designed three-element birefringent filter covering the whole gain bandwidth of the Co:MgF2 laser. The laser has good temporal and spectral characteristics, with an emission linewidth of approximately 3 GHz (0.1 per cm).

  6. Doppler Effect on Structure Period of Nonlinear Laser Lithography

    NASA Astrophysics Data System (ADS)

    Yavuz, Ozgun; Kara, Semih; Tokel, Onur; Pavlov, Ihor; Ilday, Fatih Omer

    Recently, Nonlinear Laser Lithography (NLL) was developed for large-area, nanopatterning of surfaces. In NLL, nanopatterns emerge through coherent scattering of the laser from the surface, and its interference with the incident beam. The period of the structures is determined by the laser wavelength. It has been shown by Sipe that the period depends on the laser incidence angle (θ) as λ / (1 +/- sinθ). Here, we show that the period not only depends on this angle, but also on the polarisation angle. We update the Sipe equation as λ / (1 +/- sinθsinα) , where ' α' is the angle between scanning direction and polarisation. The physical reason behind this is found through a formal analogy to Doppler effect. In Doppler effect, the measured wavelength of a moving emitter is given as λ / (1 +/- c / vsinθ) , where ' θ'is the angle between observer and the direction of emitter, 'c' is the speed of observer, 'v' is speed of source. In NLL, velocity of source can be written as vsinθ , and the period equation can be shown to take its new form. We believe that this is the first application of Doppler effect in laser-processing of solid materials.

  7. Stable room-temperature LiF:F2+* tunable color-center laser for the 830-1060-nm spectral range pumped by second-harmonic radiation from a neodymium laser

    NASA Astrophysics Data System (ADS)

    Ter-Mikirtychev, V. V.

    1995-09-01

    Simultaneous photostability and thermostability of a room-temperature LiF:F2+ * tunable color-center laser, with an operating range over 830-1060 nm, pumped by second-harmonic radiation of a YAG:Nd3+ laser with a 532-nm wavelength has been achieved. The main lasing characteristics of the obtained LiF:F2+* laser have been measured. Twenty-five percent real efficiency in a nonselective resonator cavity and 15% real efficiency in a selective resonator cavity have been obtained. The stable LiF:F2 +* laser operates at a 1-100-Hz pulse-repetition rate with a 15-ns pulse duration, a 1-1.5-cm-1 narrow-band oscillation bandwidth, and divergency of better than 6 \\times 10-4. Doubling the fundamental frequencies of F2+ * oscillation made it possible to obtain stable blue-green tunable radiation over the 415-530-nm range.

  8. Direct-Write Laser Grayscale Lithography for Multilayer Lead Zirconate Titanate Thin Films.

    PubMed

    Benoit, Robert R; Jordan, Delaney M; Smith, Gabriel L; Polcawich, Ronald G; Bedair, Sarah S; Potrepka, Daniel M

    2018-05-01

    Direct-write laser grayscale lithography has been used to facilitate a single-step patterning technique for multilayer lead zirconate titanate (PZT) thin films. A 2.55- -thick photoresist was patterned with a direct-write laser. The intensity of the laser was varied to create both tiered and sloped structures that are subsequently transferred into multilayer PZT(52/48) stacks using a single Ar ion-mill etch. Traditional processing requires a separate photolithography step and an ion mill etch for each layer of the substrate, which can be costly and time consuming. The novel process allows access to buried electrode layers in the multilayer stack in a single photolithography step. The grayscale process was demonstrated on three 150-mm diameter Si substrates configured with a 0.5- -thick SiO 2 elastic layer, a base electrode of Pt/TiO 2 , and a stack of four PZT(52/48) thin films of either 0.25- thickness per layer or 0.50- thickness per layer, and using either Pt or IrO 2 electrodes above and below each layer. Stacked capacitor structures were patterned and results will be reported on the ferroelectric and electromechanical properties using various wiring configurations and compared to comparable single layer PZT configurations.

  9. Tunable cw Single-Frequency Source for Injection Seeding 2-micrometer Lasers

    DTIC Science & Technology

    1990-06-01

    Nd:glass Slab Asilomar, CA, January, 1989. Laser for X-ray Lithography ," presented at Lasers 11. R. L. Byer, "Solid State Lasers for Accelerator 89, New...Alumni Association (Stanford Club of M.K. Reed and R.L. Byer, "A Nd:glass Slab Connecticut), April, 1989. Laserfor X-ray Lithography ," to be...and R.L. Byer, "A Nd:Glass Slab asymmetric quantum wells," invited paper QWA1 Laser for Soft X-ray Lithography ", paper MB4, International Quantum

  10. Fabrication of hydrophobic structures on coronary stent surface based on direct three-beam laser interference lithography

    NASA Astrophysics Data System (ADS)

    Gao, Long-yue; Zhou, Wei-qi; Wang, Yuan-bo; Wang, Si-qi; Bai, Chong; Li, Shi-ming; Liu, Bin; Wang, Jun-nan; Cui, Cheng-kun; Li, Yong-liang

    2016-05-01

    To solve the problems with coronary stent implantation, coronary artery stent surface was directly modified by three-beam laser interference lithography through imitating the water-repellent surface of lotus leaf, and uniform micro-nano structures with the controllable period were fabricated. The morphological properties and contact angle (CA) of the microstructure were measured by scanning electron microscope (SEM) and CA system. The water repellency of stent was also evaluated by the contact and then separation between the water drop and the stent. The results show that the close-packed concave structure with the period of about 12 μm can be fabricated on the stent surface with special parameters (incident angle of 3°, laser energy density of 2.2 J·cm-2 and exposure time of 80 s) by using the three-beam laser at 1 064 nm, and the structure has good water repellency with CA of 120°.

  11. New refractive method for laser thermal keratoplasty with the Co:MgF2 laser.

    PubMed

    Horn, G; Spears, K G; Lopez, O; Lewicky, A; Yang, X Y; Riaz, M; Wang, R; Silva, D; Serafin, J

    1990-09-01

    We have observed corneal curvature changes from laser thermal keratoplasty with a Co:MgF2 laser. We studied corneal curvature changes in rabbits and have identified specific treatment patterns and laser parameters that can correct myopia and astigmatism. These corneal changes, some as large as 8 diopters, have been stable for at least one year, and slitlamp examination demonstrates clear central corneas with normal appearance.

  12. Fabrication of 2-inch nano patterned sapphire substrate with high uniformity by two-beam laser interference lithography

    NASA Astrophysics Data System (ADS)

    Dai, LongGui; Yang, Fan; Yue, Gen; Jiang, Yang; Jia, Haiqiang; Wang, Wenxin; Chen, Hong

    2014-11-01

    Generally, nano-scale patterned sapphire substrate (NPSS) has better performance than micro-scale patterned sapphire substrate (MPSS) in improving the light extraction efficiency of LEDs. Laser interference lithography (LIL) is one of the powerful fabrication methods for periodic nanostructures without photo-masks for different designs. However, Lloyd's mirror LIL system has the disadvantage that fabricated patterns are inevitably distorted, especially for large-area twodimensional (2D) periodic nanostructures. Herein, we introduce two-beam LIL system to fabricate consistent large-area NPSS. Quantitative analysis and characterization indicate that the high uniformity of the photoresist arrays is achieved. Through the combination of dry etching and wet etching techniques, the well-defined NPSS with period of 460 nm were prepared on the whole sapphire substrate. The deviation is 4.34% for the bottom width of the triangle truncated pyramid arrays on the whole 2-inch sapphire substrate, which is suitable for the application in industrial production of NPSS.

  13. Room-temperature operation of a Co:MgF2 laser

    NASA Technical Reports Server (NTRS)

    Welford, D.; Moulton, P. F.

    1988-01-01

    A normal-mode, pulsed Co:MgF2 laser has been operated at room temperature for the first time. Continuous tuning from 1750 to 2500 nm with pulse energies up to 70 mJ and 46-percent slope efficiency was obtained with a 1338-nm Nd:YAG pump laser.

  14. $sup 18$O enrichment process in UO$sub 2$F$sub 2$ utilizing laser light

    DOEpatents

    DePoorter, G.L.; Rofer-DePoorter, C.K.

    1975-12-01

    Photochemical reaction induced by laser light is employed to separate oxygen isotopes. A solution containing UO$sub 2$F$sub 2$, HF, H$sub 2$O and a large excess of CH$sub 3$OH is irradiated with laser light of appropriate wavelength to differentially excite the UO$sub 2$$sup 2+$ ions containing $sup 16$O atoms and cause a reaction to proceed in accordance with the reaction 2 UO$sub 2$F$sub 2$ + CH$sub 3$OH + 4 HF $Yields$ 2 UF$sub 4$ down arrow + HCOOH + 3 H$sub 2$O. Irradiation is discontinued when about 10 percent of the UO$sub 2$F$sub 2$ has reacted, the UF$sub 4$ is filtered from the reaction mixture and the residual CH$sub 3$OH and HF plus the product HCOOH and H$sub 2$O are distilled away from the UO$sub 2$F$sub 2$ which is thereby enriched in the $sup 18$O isotope, or the solution containing the UO$sub 2$F$sub 2$ may be photochemically processed again to provide further enrichment in the $sup 18$O isotope.

  15. Nanoimprinted polymer lasers with threshold below 100 W/cm2 using mixed-order distributed feedback resonators.

    PubMed

    Wang, Yue; Tsiminis, Georgios; Kanibolotsky, Alexander L; Skabara, Peter J; Samuel, Ifor D W; Turnbull, Graham A

    2013-06-17

    Organic semiconductor lasers were fabricated by UV-nanoimprint lithography with thresholds as low as 57 W/cm(2) under 4 ns pulsed operation. The nanoimprinted lasers employed mixed-order distributed feedback resonators, with second-order gratings surrounded by first-order gratings, combined with a light-emitting conjugated polymer. They were pumped by InGaN LEDs to produce green-emitting lasers, with thresholds of 208 W/cm(2) (102 nJ/pulse). These hybrid lasers incorporate a scalable UV-nanoimprint lithography process, compatible with high-performance LEDs, therefore we have demonstrated a coherent, compact, low-cost light source.

  16. Diode-pumped femtosecond mode-locked Nd, Y-codoped CaF2 laser

    NASA Astrophysics Data System (ADS)

    Zhu, Jiangfeng; Zhang, Lijuan; Gao, Ziye; Wang, Junli; Wang, Zhaohua; Su, Liangbi; Zheng, Lihe; Wang, Jingya; Xu, Jun; Wei, Zhiyi

    2015-03-01

    A passively mode-locked femtosecond laser based on an Nd, Y-codoped CaF2 disordered crystal was demonstrated. The Y3+-codoping in Nd : CaF2 markedly suppressed the quenching effect and improved the fluorescence quantum efficiency and emission spectra. With a fiber-coupled laser diode as the pump source, the continuous wave tuning range covering from 1042 to 1076 nm was realized, while the mode-locked operation generated 264 fs pulses with an average output power of 180 mW at a repetition rate of 85 MHz. The experimental results show that the Nd, Y-codoped CaF2 disordered crystal has potential in a new generation diode-pumped high repetition rate chirped pulse amplifier.

  17. Highly efficient dual-wavelength mid-infrared CW Laser in diode end-pumped Er:SrF2 single crystals

    PubMed Central

    Ma, Weiwei; Qian, Xiaobo; Wang, Jingya; Liu, Jingjing; Fan, Xiuwei; Liu, Jie; Su, Liangbi; Xu, Jun

    2016-01-01

    The spectral properties and laser performance of Er:SrF2 single crystals were investigated and compared with Er:CaF2. Er:SrF2 crystals have larger absorption cross-sections at the pumping wavelength, larger mid-infrared stimulated emission cross-sections and much longer fluorescence lifetimes of the upper laser level (Er3+:4I11/2 level) than those of Er:CaF2 crystals. Dual-wavelength continuous-wave (CW) lasers around 2.8 μm were demonstrated in both 4at.% and 10at.% Er:SrF2 single crystals under 972 nm laser diode (LD) end pumping. The laser wavelengths are 2789.3 nm and 2791.8 nm in the former, and 2786.4 nm and 2790.7 nm in the latter, respectively. The best laser performance has been demonstrated in lightly doped 4at.% Er:SrF2 with a low threshold of 0.100 W, a high slope efficiency of 22.0%, an maximum output power of 0.483 W. PMID:27811994

  18. Highly efficient dual-wavelength mid-infrared CW Laser in diode end-pumped Er:SrF2 single crystals.

    PubMed

    Ma, Weiwei; Qian, Xiaobo; Wang, Jingya; Liu, Jingjing; Fan, Xiuwei; Liu, Jie; Su, Liangbi; Xu, Jun

    2016-11-04

    The spectral properties and laser performance of Er:SrF 2 single crystals were investigated and compared with Er:CaF 2 . Er:SrF 2 crystals have larger absorption cross-sections at the pumping wavelength, larger mid-infrared stimulated emission cross-sections and much longer fluorescence lifetimes of the upper laser level (Er 3+ : 4 I 11/2 level) than those of Er:CaF 2 crystals. Dual-wavelength continuous-wave (CW) lasers around 2.8 μm were demonstrated in both 4at.% and 10at.% Er:SrF 2 single crystals under 972 nm laser diode (LD) end pumping. The laser wavelengths are 2789.3 nm and 2791.8 nm in the former, and 2786.4 nm and 2790.7 nm in the latter, respectively. The best laser performance has been demonstrated in lightly doped 4at.% Er:SrF 2 with a low threshold of 0.100 W, a high slope efficiency of 22.0%, an maximum output power of 0.483 W.

  19. Highly efficient dual-wavelength mid-infrared CW Laser in diode end-pumped Er:SrF2 single crystals

    NASA Astrophysics Data System (ADS)

    Ma, Weiwei; Qian, Xiaobo; Wang, Jingya; Liu, Jingjing; Fan, Xiuwei; Liu, Jie; Su, Liangbi; Xu, Jun

    2016-11-01

    The spectral properties and laser performance of Er:SrF2 single crystals were investigated and compared with Er:CaF2. Er:SrF2 crystals have larger absorption cross-sections at the pumping wavelength, larger mid-infrared stimulated emission cross-sections and much longer fluorescence lifetimes of the upper laser level (Er3+:4I11/2 level) than those of Er:CaF2 crystals. Dual-wavelength continuous-wave (CW) lasers around 2.8 μm were demonstrated in both 4at.% and 10at.% Er:SrF2 single crystals under 972 nm laser diode (LD) end pumping. The laser wavelengths are 2789.3 nm and 2791.8 nm in the former, and 2786.4 nm and 2790.7 nm in the latter, respectively. The best laser performance has been demonstrated in lightly doped 4at.% Er:SrF2 with a low threshold of 0.100 W, a high slope efficiency of 22.0%, an maximum output power of 0.483 W.

  20. Spectroscopie et fonctionnement laser de CaF{2}: Yb3+

    NASA Astrophysics Data System (ADS)

    Camy, P.; Doualan, J. L.; Petit, V.; Renard, S.; Thuau, M.; Moncorgé, R.

    2004-11-01

    CaF{2 }:Yb3+ est un cristal doté de propriétés optiques et thermiques qui en font un matériau de choix pour divers types de fonctionnement laser. Un fonctionnement laser continu accordable entre 1000 et 1060nm et un rendement de conversion de 25% (par rapport au rayonnement de pompage optique incident) ont été déjà obtenus.

  1. Laser cooling of BaF

    NASA Astrophysics Data System (ADS)

    Bo, Yan; Bu, Wenhao; Chen, Tao; Lv, Guitao

    2017-04-01

    In this poster, we report our recently experimental progresses in laser cooling of BaF molecule. Our theoretic calculation shows BaF is a good candidate for laser cooling: quasi-cycling transitions, good wavelengths (around 900nm) for the main transitions. We have built a 4K cryogenic machine, laser ablate the target to make BaF molecules. The precise spectroscopy of BaF is measured and the laser cooling related transitions are identified. The collision between BaF and 4K He is carefully characterized. The quasi-cycling transition is demonstrated. And laser cooling experiment is going on.

  2. Ho3+-doped AlF3-TeO2-based glass fibers for 2.1 µm laser applications

    NASA Astrophysics Data System (ADS)

    Wang, S. B.; Jia, Z. X.; Yao, C. F.; Ohishi, Y.; Qin, G. S.; Qin, W. P.

    2017-05-01

    Ho3+-doped AlF3-TeO2-based glass fibers based on AlF3-BaF2-CaF2-YF3-SrF2-MgF2-TeO2 glasses are fabricated by using a rod-in-tube method. The glass rod including a core and a thick cladding layer is prepared by using a suction method, where the thick cladding layer is used to protect the core from the effect of surface crystallization during the fiber drawing. By inserting the glass rod into a glass tube, the glass fibers with relatively low loss (~2.3 dB m-1 @ 1560 nm) are prepared. By using a 38 cm long Ho3+-doped AlF3-TeO2-based glass fiber as the gain medium and a 1965 nm fiber laser as the pump source, 2065 nm lasing is obtained for a threshold pump power of ~220 mW. With further increasing the pump power to ~325 mW, the unsaturated output power of the 2065 nm laser is about 82 mW and the corresponding slope efficiency is up to 68.8%. The effects of the gain fiber length on the lasing threshold, the slope efficiency, and the operating wavelength are also investigated. Our experimental results show that Ho3+-doped AlF3-TeO2-based glass fibers are promising gain media for 2.1 µm laser applications.

  3. Performance of the ALTA 3500 scanned-laser mask lithography system

    NASA Astrophysics Data System (ADS)

    Buck, Peter D.; Buxbaum, Alex H.; Coleman, Thomas P.; Tran, Long

    1998-09-01

    The ALTA 3500, an advanced scanned-laser mask lithography tool produced by Etec, was introduced to the marketplace in September 1997. The system architecture was described and an initial performance evaluation was presented. This system, based on the ALTA 3000, uses a new 33.3X, 0.8 NA final reduction lens to reduce the spot size to 0.27 micrometers FWHM, thereby affording improved resolution and pattern acuity on the mask. To take advantage of the improved resolution, a new anisotropic chrome etch process has been developed and introduced along with change from Olin 895i resist to TOK iP3600 resist. In this paper we will more extensively describe the performance of the ALTA 3500 and the performance of these new processes.

  4. Medium-power diode-pumped Nd:BaY2F8 laser

    NASA Astrophysics Data System (ADS)

    Agnesi, Antonio; Guandalini, Annalisa; Lucca, Andrea; Sani, Elisa; Toncelli, Alessandra; Tonelli, Mauro; dell'Acqua, Stefano

    2003-05-01

    We report what is to our knowledge the first Nd:BaY2F8 (Nd:BaYF) laser pumped with a multiwatt fiber-coupled diode array tuned at approximately 804 nm. As much as 2.4 W were obtained with 6.2 W of absorbed pump power, showing efficient operation (51% slope efficiency), excellent beam quality (M2=1.1), and weak thermal lensing. Small intracavity losses (<1%) were measured, indicating both reduced thermally induced aberrations and good optical quality of the laser crystal.

  5. High precision processing CaF2 application research based on the magnetorheological finishing (MRF) technology

    NASA Astrophysics Data System (ADS)

    Zhong, Xianyun; Fan, Bin; Wu, Fan

    2017-10-01

    Single crystal calcium fluoride (CaF2) is the excellent transparent optical substance that has extremely good permeability and refractive index from 120nm wavelength ultraviolet range to 12μm wavelength infrared range and it has widely used in the applications of various advanced optical instrument, such as infrared optical systems (IR), short wavelength optical lithography systems (DUV), as well as high power UV laser systems. Nevertheless, the characteristics of CaF2 material, including low fracture toughness, low hardness, low thermal conductivity and high thermal expansion coefficient, result in that the conventional pitch polishing techniques usually expose to lots of problems, such as subsurface damage, scratches, digs and so on. Single point diamond turning (SPDT) is a prospective technology for manufacture the brittle material, but the residual surface textures or artifacts of SPDT will cause great scattering losses. Meanwhile, the roughness also falls far short from the requirement in the short wavelength optical systems. So, the advanced processing technologies for obtaining the shape accuracy, roughness, surface flaw at the same time need to put forward. In this paper, the authors investigate the Magnetorheological Finishing (MRF) technology for the high precision processing of CaF2 material. We finish the surface accuracy RMS λ/150 and roughness Rq 0.3nm on the concave aspheric from originate shape error 0.7λ and roughness 17nm by the SPDT. The studying of the MRF techniques makes a great effort to the processing level of CaF2 material for the state-of-the-art DUV lithography systems applications.

  6. LPP-EUV light source for HVM lithography

    NASA Astrophysics Data System (ADS)

    Saito, T.; Ueno, Y.; Yabu, T.; Kurosawa, A.; Nagai, S.; Yanagida, T.; Hori, T.; Kawasuji, Y.; Abe, T.; Kodama, T.; Nakarai, H.; Yamazaki, T.; Mizoguchi, H.

    2017-01-01

    We have been developing a laser produced plasma extremely ultra violet (LPP-EUV) light source for a high volume manufacturing (HVM) semiconductor lithography. It has several unique technologies such as the high power short pulse carbon dioxide (CO2) laser, the short wavelength solid-state pre-pulse laser and the debris mitigation technology with the magnetic field. This paper presents the key technologies for a high power LPP-EUV light source. We also show the latest performance data which is 188W EUV power at intermediate focus (IF) point with 3.7% conversion efficiency (CE) at 100 kHz.

  7. Polarization manipulation in single refractive prism based holography lithography

    NASA Astrophysics Data System (ADS)

    Xiong, Wenjie; Xu, Yi; Xiao, Yujian; Lv, Xiaoxu; Wu, Lijun

    2015-01-01

    We propose theoretically and demonstrate experimentally a simple but effective strategy for polarization manipulation in single refractive prism based holographic lithography. By tuning the polarization of a single laser beam, we can obtain the pill shape interference pattern with a high-contrast where a complex optical setup and multiple polarizers are needed in the conventional holography lithography. Fabrication of pill shape two-dimensional polymer photonic crystals using one beam and one shoot holography lithography is shown as an example to support our theoretical results. This integrated polarization manipulation technique can release the crucial stability restrictions imposed on the multiple beams holography lithography.

  8. CO2 laser irradiation enhances CaF2 formation and inhibits lesion progression on demineralized dental enamel-in vitro study.

    PubMed

    Zancopé, Bruna R; Rodrigues, Lívia P; Parisotto, Thais M; Steiner-Oliveira, Carolina; Rodrigues, Lidiany K A; Nobre-dos-Santos, Marinês

    2016-04-01

    This study evaluated if Carbon dioxide (CO2) (λ 10.6 μm) laser irradiation combined with acidulated phosphate fluoride gel application (APF gel) enhances "CaF2" uptake by demineralized enamel specimens (DES) and inhibits enamel lesion progression. Thus, two studies were conducted and DES were subjected to APF gel combined or not with CO2 laser irradiation (11.3 or 20.0 J/cm(2), 0.4 or 0.7 W) performed before, during, or after APF gel application. In study 1, 165 DES were allocated to 11 groups. Fluoride as "CaF2 like material" formed on enamel was determined in 100 DES (n = 10/group), and the surface morphologies of 50 specimens were evaluated by scanning electron microscopy (SEM) before and after "CaF2" extraction. In study 2, 165 DES (11 groups, n = 15), subjected to the same treatments as in study 1, were further subjected to a pH-cycling model to simulate a high cariogenic challenge. The progression of demineralization in DES was evaluated by cross-sectional microhardness and polarized light microscopy analyses. Laser at 11.3 J/cm(2) applied during APF gel application increased "CaF2" uptake on enamel surface. Laser irradiation and APF gel alone arrested the lesion progression compared with the control (p < 0.05). Areas of melting, fusion, and cracks were observed. CO2 laser irradiation, combined with a single APF application enhanced "CaF2" uptake on enamel surface and a synergistic effect was found. However, regarding the inhibition of caries lesion progression, no synergistic effect could be demonstrated. In conclusion, the results have shown that irradiation with specific laser parameters significantly enhanced CaF2 uptake by demineralized enamel and inhibited lesion progression.

  9. Evaluation of a commercially available passively Q-switched Nd:YAG laser with LiF: F2- saturable absorber for laser-induced breakdown spectroscopy

    NASA Astrophysics Data System (ADS)

    Carson, Cantwell G.; Goueguel, Christian L.; Sanghapi, Hervé; Jain, Jinesh; McIntyre, Dustin

    2016-05-01

    Interest in passively Q-switched microchip lasers as a means for miniaturization of laser-induced breakdown spectroscopy (LIBS) apparatus has rapidly grown in the last years. To explore the possibility of using a comparatively UV-vis transparent absorber, we herein present the first report on the evaluation of a commercially available flash lamp-pumped passively Q-switched Nd:YAG laser with LiF: F2- saturable absorber as an excitation source in LIBS. Quantitative measurements of barium, strontium, rubidium and lithium in granite, rhyolite, basalt and syenite whole-rock glass samples were performed. Using a gated intensified benchtop spectrometer, limits of detection of 0.97, 23, 37, and 144 ppm were obtained for Li, Sr, Rb, and Ba, respectively. Finally, we discuss the advantages of using such a laser unit for LIBS applications in terms of ablation efficiency, analytical performances, output energy, and standoff capabilities.

  10. Laser-induced fluorescence studies of excited Sr reactions: II. Sr(3P1)+CH3F, C2H5F, C2H4F2

    NASA Astrophysics Data System (ADS)

    Teule, J. M.; Janssen, M. H. M.; Bulthuis, J.; Stolte, S.

    1999-06-01

    The vibrational and rotational energy distributions of ground state SrF(X 2Σ) formed in the reactions of electronically excited Sr(3P1) with methylfluoride, ethylfluoride, and 1,1-difluoroethane have been studied by laser-induced fluorescence. Although the reactions of ground state Sr with these reactants are exothermic, no SrF products are observed for those reactions in this study. The fraction of available energy disposed into the sum of rotational and vibrational energy of the SrF(X 2Σ) product is approximately the same for all three reactions, i.e., 40%. The reaction of Sr(3P1) with CH3F results in very low vibrational excitation in the SrF reaction product. The product vibration increases in going to C2H5F and C2H4F2. It is concluded that the alkyl group influences the energy disposal mechanism in these reactions, and some suggestions are given for a partial explanation of the observations.

  11. Fabricating Blazed Diffraction Gratings by X-Ray Lithography

    NASA Technical Reports Server (NTRS)

    Mouroulis, Pantazis; Hartley, Frank; Wilson, Daniel

    2004-01-01

    Gray-scale x-ray lithography is undergoing development as a technique for fabricating blazed diffraction gratings. As such, gray-scale x-ray lithography now complements such other grating-fabrication techniques as mechanical ruling, holography, ion etching, laser ablation, laser writing, and electron-beam lithography. Each of these techniques offers advantages and disadvantages for implementing specific grating designs; no single one of these techniques can satisfy the design requirements for all applications. Gray-scale x-ray lithography is expected to be advantageous for making gratings on steeper substrates than those that can be made by electron-beam lithography. This technique is not limited to sawtooth groove profiles and flat substrates: various groove profiles can be generated on arbitrarily shaped (including highly curved) substrates with the same ease as sawtooth profiles can be generated on flat substrates. Moreover, the gratings fabricated by this technique can be made free of ghosts (spurious diffraction components attributable to small spurious periodicities in the locations of grooves). The first step in gray-scale x-ray lithography is to conformally coat a substrate with a suitable photoresist. An x-ray mask (see Figure 1) is generated, placed between the substrate and a source of collimated x-rays, and scanned over the substrate so as to create a spatial modulation in the exposure of the photoresist. Development of the exposed photoresist results in a surface corrugation that corresponds to the spatial modulation and that defines the grating surface. The grating pattern is generated by scanning an appropriately shaped x-ray area mask along the substrate. The mask example of Figure 1 would generate a blazed grating profile when scanned in the perpendicular direction at constant speed, assuming the photoresist responds linearly to incident radiation. If the resist response is nonlinear, then the mask shape can be modified to account for the

  12. Laser plasma instability experiments with KrF lasersa)

    NASA Astrophysics Data System (ADS)

    Weaver, J. L.; Oh, J.; Afeyan, B.; Phillips, L.; Seely, J.; Feldman, U.; Brown, C.; Karasik, M.; Serlin, V.; Aglitskiy, Y.; Mostovych, A. N.; Holland, G.; Obenschain, S.; Chan, L.-Y.; Kehne, D.; Lehmberg, R. H.; Schmitt, A. J.; Colombant, D.; Velikovich, A.

    2007-05-01

    Deleterious effects of laser-plasma instability (LPI) may limit the maximum laser irradiation that can be used for inertial confinement fusion. The short wavelength (248nm), large bandwidth, and very uniform illumination available with krypton-fluoride (KrF) lasers should increase the maximum usable intensity by suppressing LPI. The concomitant increase in ablation pressure would allow implosion of low-aspect-ratio pellets to ignition with substantial gain (>20) at much reduced laser energy. The proposed KrF-laser-based Fusion Test Facility (FTF) would exploit this strategy to achieve significant fusion power (150MW) with a rep-rate system that has a per pulse laser energy well below 1 MJ. Measurements of LPI using the Nike KrF laser are presented at and above intensities needed for the FTF (I˜2×1015W/cm2). The results to date indicate that LPI is indeed suppressed. With overlapped beam intensity above the planar, single beam intensity threshold for the two-plasmon decay instability, no evidence of instability was observed via measurements of 3/2ωo and 1/2ωo harmonic emissions.

  13. Disordered Nd:LuYSiO5 crystal lasers operating on the 4F3/2 → 4I11/2 and 4F3/2 → 4I13/2 transitions

    NASA Astrophysics Data System (ADS)

    Guan, Xiaofeng; Zhou, Zhiyong; Huang, Xiaoxu; Xu, Bin; Xu, Huiying; Cai, Zhiping; Xu, Xiaodong; Xu, Jun

    2017-11-01

    We report on diode-pumped disordered Nd:LuYSiO5 (Nd:LYSO) crystal lasers operating on the 4F3/2 → 4I11/2 and 4F3/2 → 4I 13/2 transitions. Simultaneous laser operation at 1074 and 1078 nm is achieved with maximum output power of 4.46 W and slope efficiency of 39.6%. Single wavelength laser at 1358 nm with maximum output power of 1.15 W and slope efficiency of 11.8% is also obtained. Moreover, four single-wavelength lasers at 1058, 1107, 1330 and 1386 nm with relatively low gains are achieved with maximum output powers of 2.72, 1.22, 0.52 and 0.42 W, respectively, for the first time to our knowledge. Lasing at non-traditional emission lines was obtained by using output couplers with dielectric coatings for specific wavelength ranges.

  14. Ultraviolet Laser Lithography of Titania Photonic Crystals for Terahertz-Wave Modulation.

    PubMed

    Kirihara, Soshu; Nonaka, Koki; Kisanuki, Shoichiro; Nozaki, Hirotoshi; Sakaguchi, Keito

    2018-05-18

    Three-dimensional (3D) microphotonic crystals with a diamond structure composed of titania microlattices were fabricated using ultraviolet laser lithography, and the bandgap properties in the terahertz (THz) electromagnetic-wave frequency region were investigated. An acrylic resin paste with titania fine particle dispersions was used as the raw material for additive manufacturing. By scanning a spread paste surface with an ultraviolet laser beam, two-dimensional solid patterns were dewaxed and sintered. Subsequently, 3D structures with a relative density of 97% were created via layer lamination and joining. A titania diamond lattice with a lattice constant density of 240 µm was obtained. The properties of the electromagnetic wave were measured using a THz time-domain spectrometer. In the transmission spectra for the Γ-X direction, a forbidden band was observed from 0.26 THz to 0.44 THz. The frequency range of the bandgap agreed well with calculated results obtained using the plane⁻wave expansion method. Additionally, results of a simulation via transmission-line modeling indicated that a localized mode can be obtained by introducing a plane defect between twinned diamond lattice structures.

  15. Actively mode-locked Tm-Ho:LiYF4 and Tm-Ho:BaY2F8 lasers

    NASA Astrophysics Data System (ADS)

    Gatti, D.; Galzerano, G.; Toncelli, A.; Tonelli, M.; Laporta, P.

    2007-01-01

    We report on the generation of mode-locking pulse trains with high average output powers from diode-pumped Tm-Ho:LiYF4 and Tm-Ho:BaY2F8 lasers emitting at around 2 μm. The highest output power of 365 mW was obtained with the Tm-Ho:YLF4 laser, whereas the shortest pulse duration of 120 ps and the widest tunability range of 59 nm was achieved with the Tm-Ho:BaY2F8 laser.

  16. Efficient, diode-pumped Tm3+:BaY2F8 vibronic laser

    NASA Astrophysics Data System (ADS)

    Cornacchia, F.; Parisi, D.; Bernardini, C.; Toncelli, A.; Tonelli, M.

    2004-05-01

    In this work we report the spectroscopy and laser results of several Thulium doped BaY2F8 single crystals grown using the Czochralski technique. The doping concentration is between 2at.% and 18at.%. We performed room temperature laser experiments pumping the samples with a laser diode at 789 nm obtaining 61% as maximum optical-to-optical efficiency with a maximum output power of 290 mW and a minimum lasing threshold of 26 mW. The lasing wavelength changed with the dopant concentration from 1927 nm up to 2030 nm and the nature of the transition changed from purely electronic to vibronic, accordingly.

  17. Emission of a pulsed purely rotational transition chemical H{sub 2}-F{sub 2} laser

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Molevich, N E; Pichugin, S Yu

    2008-04-30

    The possibility of obtaining efficient emission at purely rotational transitions of HF molecules in a pulsed chemical hydrogen fluoride laser is studied theoretically. The operation of a H{sub 2}-F{sub 2} laser with a gas pressure of 1.1 atm emitting at the v, j {yields} v, j - 1 (v = 1 - 6, j = 10 - 14) transitions is simulated taking into account resonance VR processes. The total specific laser energy release calculated over all the vibrational levels is 5.5 J L{sup -1} on purely rotational transitions at {lambda}{approx}17 {mu}m (j = 14), 3.5 J L{sup -1} at {lambda}{approx}18.5more » {mu}m (j = 13), and 2.5 J L{sup -1} at {lambda}{approx}20 {mu}m (j = 12). (lasers and amplifiers)« less

  18. Rapid patterning of 'tunable' hydrophobic valves on disposable microchips by laser printer lithography.

    PubMed

    Ouyang, Yiwen; Wang, Shibo; Li, Jingyi; Riehl, Paul S; Begley, Matthew; Landers, James P

    2013-05-07

    We recently defined a method for fabricating multilayer microdevices using poly(ethylene terephthalate) transparency film and printer toner, and showed these could be successfully applied to DNA extraction and amplification (Duarte et al., Anal. Chem. 2011, 83, 5182-5189). Here, we advance the functionality of these microdevices with flow control enabled by hydrophobic valves patterned using laser printer lithography. Laser printer patterning of toner within the microchannel induces a dramatic change in surface hydrophobicity (change in contact angle of DI water from 51° to 111°) with good reproducibility. Moreover, the hydrophobicity of the surface can be controlled by altering the density of the patterned toner via varying the gray-scale setting on the laser printer, which consequently tunes the valve's burst pressure. Toner density provided a larger burst pressure bandwidth (158 ± 18 Pa to 573 ± 16 Pa) than could be achieved by varying channel geometry (492 ± 18 Pa to 573 ± 16 Pa). Finally, we used a series of tuned toner valves (with varied gray-scale) for passive valve-based fluidic transfer in a predictable manner through the architecture of a rotating PeT microdevice. While an elementary demonstration, this presents the possibility for simplistic and cost-effective microdevices with valved fluid flow control to be fabricated using nothing more than a laser printer, a laser cutter and a laminator.

  19. Anti-adhesive characteristics of CHF{sub 3}/O{sub 2} and C{sub 4}F{sub 8}/O{sub 2} plasma-modified silicon molds for nanoimprint lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Jaemin; Lee, Junmyung; Lee, Hyun Woo

    The anti-adhesive characteristics of a plasma-modified silicon mold surface for nanoimprint lithography are presented. Both CHF{sub 3}/O{sub 2} and C{sub 4}F{sub 8}/O{sub 2} plasma were used to form an anti-adhesive layer on silicon mold surfaces. The gas mixing ratios of CHF{sub 3}/O{sub 2} and C{sub 4}F{sub 8}/O{sub 2} were experimentally changed between 0% and 80% to optimize the plasma conditions to obtain a low surface energy of the silicon mold. The plasma characteristics were examined by optical emission spectroscopy (OES). In order to investigate the changes in surface energy and surface chemistry of the anti-adhesive layer during repeated demolding cycles,more » contact angle measurements and X-ray photoelectron spectroscopy (XPS) were performed on the plasma-modified silicon mold surface. Simultaneously, the surface morphology of the demolded resists was evaluated by field-emission scanning electron microscope (FE-SEM) in order to examine the effect of the anti-adhesive layers on the duplicated patterns of the resists. It was observed that the anti-adhesive layer formed by CHF{sub 3}/O{sub 2} plasma treatment was worn out more easily during repeated demolding cycles than the film formed by C{sub 4}F{sub 8}/O{sub 2} plasma treatment, because CHF{sub 3}/O{sub 2} gas plasma formed a thinner plasma-polymerized film over the same plasma treatment time.« less

  20. Widely tunable 1.94-μm Tm:BaY2F8 laser

    NASA Astrophysics Data System (ADS)

    Galzerano, Gianluca; Cornacchia, Francesco; Parisi, Daniela; Toncelli, Alessandra; Tonelli, Mauro; Laporta, Paolo

    2005-04-01

    A novel BaY2F8 crystal doped with thulium ions is grown and extensively investigated. Owing to the large number of vibronic levels and to a favorable electron-phonon coupling, extremely wide absorption and emission bands around 1.9 μm are observed. A room-temperature Tm:BaY2F8 laser tunable over a 210-nm interval, from 1849 to 2059 nm, is demonstrated.

  1. 3D Micropatterned Surface Inspired by Salvinia molesta via Direct Laser Lithography

    PubMed Central

    2015-01-01

    Biomimetic functional surfaces are attracting increasing attention for their relevant technological applications. Despite these efforts, inherent limitations of microfabrication techniques prevent the replication of complex hierarchical microstructures. Using a 3D laser lithography technique, we fabricated a 3D patterned surface bioinspired to Salvinia molesta leaves. The artificial hairs, with crownlike heads, were reproduced by scaling down (ca. 100 times smaller) the dimensions of natural features, so that microscale hairs with submicrometric resolution were attained. The micropatterned surface, in analogy with the natural model, shows interesting properties in terms of hydrophobicity and air retention when submerged by water, even if realized with a hydrophilic material. Furthermore, we successfully demonstrated the capability to promote localized condensation of water droplets from moisture in the atmosphere. PMID:26558410

  2. 3D Micropatterned Surface Inspired by Salvinia molesta via Direct Laser Lithography.

    PubMed

    Tricinci, Omar; Terencio, Tercio; Mazzolai, Barbara; Pugno, Nicola M; Greco, Francesco; Mattoli, Virgilio

    2015-11-25

    Biomimetic functional surfaces are attracting increasing attention for their relevant technological applications. Despite these efforts, inherent limitations of microfabrication techniques prevent the replication of complex hierarchical microstructures. Using a 3D laser lithography technique, we fabricated a 3D patterned surface bioinspired to Salvinia molesta leaves. The artificial hairs, with crownlike heads, were reproduced by scaling down (ca. 100 times smaller) the dimensions of natural features, so that microscale hairs with submicrometric resolution were attained. The micropatterned surface, in analogy with the natural model, shows interesting properties in terms of hydrophobicity and air retention when submerged by water, even if realized with a hydrophilic material. Furthermore, we successfully demonstrated the capability to promote localized condensation of water droplets from moisture in the atmosphere.

  3. [The spectra of a laser-produced plasma source with CO2, O2 and CF4 liquid aerosol spray target].

    PubMed

    Ni, Qi-Liang; Chen, Bo

    2008-11-01

    A laser-produced plasma (LPP) source with liquid aerosol spray target and nanosecond laser was developed, based on both soft X-ray radiation metrology and extreme ultraviolet projection lithography (EUVL). The LPP source is composed of a stainless steel solenoid valve whose temperature can be continuously controlled, a Nd : YAG laser with pulse width, working wavelength and pulse energy being 7 ns, 1.064 microm and 1J respectively, and a pulse generator which can synchronously control the valve and the laser. A standard General Valve Corporation series 99 stainless steel solenoid valve with copper gasket seals and a Kel-F poppet are used in order to minimize leakage and poppet deformation during high-pressure cryogenic operation. A close fitting copper cooling jacket surrounds the valve body. The jacket clamps a copper coolant carrying tube 3 mm in diameter, which is fed by an automatically pressurized liquid nitrogen-filled dewar. The valve temperature can be controlled between 77 and 473 K. For sufficiently high backing pressure and low temperature, the valve reservoir gas can undergo a gas-to-liquid phase transition. Upon valve pulsing, the liquid is ejected into a vacuum and breaks up into droplets, which is called liquid aerosol spray target. For the above-mentioned LPP source, firstly, by the use of Cowan program on the basis of non-relativistic quantum mechanics, the authors computed the radiative transition wavelengths and probabilities in soft X-ray region for O4+, O5+, O6+, O7+, F5+, F6+ and F7+ ions which were correspondingly produced from the interaction of the 10(11)-10(12) W x cm(-2) power laser with liquid O2, CO2 and CF4 aerosol spray targets. Secondly, the authors measured the spectra of liquid O2, CO2 and CF4 aerosol spray target LPP sources in the 6-20 nm band for the 8 x 10(11) W x cm(-2) laser irradiance. The measured results were compared with the Cowan calculated results ones, and the radiative transition wavelength and probability for the

  4. Controlling large-scale film morphology by phase manipulation in interference lithography

    NASA Astrophysics Data System (ADS)

    Lu, Cheng; Hu, X. K.; Dimov, S. S.; Lipson, R. H.

    2007-10-01

    An experimental arrangement is described where a Babinet-Soleil compensator is inserted into the path of one of the three beams used for noncoplanar beam interference lithography. This birefringent element can change the phase of the beam so that either a positive two-dimensional pattern or an inverselike structure is generated in a photoresist without disturbing the mechanical geometry of the setup. Simulations are presented that confirm the validity of this approach. Large defect-free sample areas (>1 cm2) with submicrometer periodic patterns were obtained by expanding the laser beams used in the lithography experiment.

  5. Room-temperature Q-switched Tm:BaY2F8 laser pumped by CW diode laser

    NASA Astrophysics Data System (ADS)

    Coluccelli, Nicola; Galzerano, Gianluca; Laporta, Paolo; Parisi, Daniela; Toncelli, Alessandra; Tonelli, Mauro

    2006-02-01

    We report on the realization of CW diode-pumped Tm:BaY2F8 Q-switched laser at 1.93 µm. Active Q-switching was obtained by means of an intracavity Pockels cell. A functional characterization of the laser performance is presented with particular attention to output energy, pulse duration, pulse stability, and wavelength tunability. Pulses with time duration as short as 170 ns were demonstrated at the minimum repetition rate of 5 Hz with an energy of 3.2 mJ (corresponding to a peak power of 19 kW). A wavelength tunability range from 1905 nm to 1990 nm has been observed.

  6. Investigation of diode-pumped 2.8- mu m laser performance in Er:BaY2F8

    NASA Astrophysics Data System (ADS)

    Pollnau, M.; Lüthy, W.; Weber, H. P.; Jensen, T.; Huber, G.; Cassanho, A.; Jenssen, H. P.; McFarlane, R. A.

    1996-01-01

    Laser operation at 2.8 mu m in BaY2F 8 with erbium concentrations of 7.5% and 20% is investigated under laser-diode pumping at 967 nm. Output powers as high as 250 mW and slope efficiencies as high as 24% are obtained. Results are comparable with those of Er3+ : LiYF4 under the same pump conditions. Slope efficiencies above 30% are predicted for optimized erbium concentrations.

  7. Energy-transfer processes in Yb:Tm-doped KY3F10, LiYF4, and BaY2F8 single crystals for laser operation at 1.5 and 2.3 μm

    NASA Astrophysics Data System (ADS)

    Braud, A.; Girard, S.; Doualan, J. L.; Thuau, M.; Moncorgé, R.; Tkachuk, A. M.

    2000-02-01

    Energy-transfer processes have been quantitatively studied in various Tm:Yb-doped fluoride crystals. A comparison between the three host crystals which have been examined (KY3F10, LiYF4, and BaY2F8) shows clearly that the efficiency of the Yb-->Tm energy transfers is larger in KY3F10 than in LiYF4 or BaY2F8. The dependence of the energy-transfer parameters upon the codopant concentrations has been experimentally measured and compared with the results calculated on the basis of migration-assisted energy-transfer models. Using these energy-transfer parameters and a rate equation model, we have performed a theoretical calculation of the laser thresholds for the 3H4-->3F4 and 3H4-->3H5 laser transitions of the Tm ion around 1.5 and 2.3 μm, respectively. Laser experiments performed at 1.5 μm in Yb:Tm:LiYF4 then led to laser threshold values in good agreement with those derived theoretically. Based on these results, optimized values for the Yb and Tm dopant concentrations for typical values of laser cavity and pump modes were finally derived to minimize the threshold pump powers for the laser transitions around 1.5 and 2.3 μm.

  8. ESH assessment of advanced lithography materials and processes

    NASA Astrophysics Data System (ADS)

    Worth, Walter F.; Mallela, Ram

    2004-05-01

    The ESH Technology group at International SEMATECH is conducting environment, safety, and health (ESH) assessments in collaboration with the lithography technologists evaluating the performance of an increasing number of new materials and technologies being considered for advanced lithography such as 157nm photresist and extreme ultraviolet (EUV). By performing data searches for 75 critical data types, emissions characterizations, and industrial hygiene (IH) monitoring during the use of the resist candidates, it has been shown that the best performing resist formulations, so far, appear to be free of potential ESH concerns. The ESH assessment of the EUV lithography tool that is being developed for SEMATECH has identified several features of the tool that are of ESH concern: high energy consumption, poor energy conversion efficiency, tool complexity, potential ergonomic and safety interlock issues, use of high powered laser(s), generation of ionizing radiation (soft X-rays), need for adequate shielding, and characterization of the debris formed by the extreme temperature of the plasma. By bringing these ESH challenges to the attention of the technologists and tool designers, it is hoped that the processes and tools can be made more ESH friendly.

  9. Measurements of KrF laser-induced O2 fluorescence in high-temperature atmospheric air

    NASA Technical Reports Server (NTRS)

    Grinstead, Jay H.; Laufer, Gabriel; Mcdaniel, James C., Jr.

    1993-01-01

    Conditions for obtaining laser-induced O2 fluorescence using a tunable KrF laser has been determined theoretically and experimentally. With this laser source, O2 rotational temperature measurement is possible even in the absence of vibrational equilibrium. Temperature measurement using a two-line excitation scheme has been demonstrated in a high-temperature atmospheric-air furnace. A measurement uncertainty of 10.7 percent for the temperature range 1325-1725 K was realized. At atmospheric pressure, O2 LIF measurements are possible for air temperatures above 1250 K. Interference from OH fluorescence in reacting flows can be avoided by the proper selection of O2 transitions. Depletion of the ground state population by the incident laser is negligible for intensities below 7.5 x 10 to the 6th W/sq cm/per cm.

  10. Highly-efficient mid-infrared CW laser operation in a lightly-doped 3 at.% Er:SrF2 single crystal.

    PubMed

    Su, Liangbi; Guo, Xinsheng; Jiang, Dapeng; Wu, Qinghui; Qin, Zhipeng; Xie, Guoqiang

    2018-03-05

    3 at.% Er:SrF 2 laser crystals with high optical quality were successfully grown using the temperature gradient technique (TGT). The intense mid-infrared emission was observed around 2.7 μm with excitation by a 970 nm LD. Based on the Judd-Ofelt theory, the emission cross-sections of the 4 I 13/2 - 4 I 11/2 transition were calculated by using the Fuchtbauer-Ladenburg (FL) method. Efficient continuous-wave laser operation at 2.8 µm was achieved with the lightly-doped 3 at.% Er:SrF 2 crystal pumped by a 970 nm laser diode. The laser output power reached up to 1.06 W with a maximum slope efficiency of 26%.

  11. Passively Q-switched Tm:BaY2F8 lasers

    NASA Astrophysics Data System (ADS)

    Yu, Haohai; Veronesi, Stefano; Mateos, Xavier; Petrov, Valentin; Griebner, Uwe; Parisi, Daniela; Tonelli, Mauro

    2013-07-01

    We demonstrate passive Q-switching (PQS) of the Tm-doped BaY2F8 (Tm:BYF) laser for the first time. The Tm:BYF laser is diode-pumped using an L-shaped hemispherical resonator. In the cw regime, the maximum output power with an 18% Tm-doped BYF crystal reached 1.12 W at ~1920 nm for an absorbed pump power of 3.06 W. In the PQS regime, maximum pulse energy (720 μJ) and peak power (17.1 kW) were obtained with an 8% Tm-doped BYF crystal and a Cr:ZnS saturable absorber with 92% low-signal transmission, again near 1920 nm, for a pulse width of ~40 ns and a repetition rate of 50 Hz.

  12. Sub-100nm, Maskless Deep-UV Zone-Plate Array Lithography

    DTIC Science & Technology

    2004-05-07

    The basic idea is to use fiducial grids, fabricated using interference lithography (or a derivative thereof) to determine the placement of features...sensed, and corrections are fed back to the beam-control electronics to cancel errors in the beam’s position. The virtue of interference lithography ...Sub-100nm, Maskless Deep-UV Zone-Plate Array Lithography Project Period: March 1, 2001 – February 28, 2004 F i n a l R e p o r t Army Research

  13. Gold-coated copper cone detector as a new standard detector for F2 laser radiation at 157 nm.

    PubMed

    Kück, Stefan; Brandt, Friedhelm; Taddeo, Mario

    2005-04-20

    A new standard detector for high-accuracy measurements of F2 laser radiation at 157 nm is presented. This gold-coated copper cone detector permits the measurement of average powers up to 2 W with an uncertainty of approximately 1%. To the best of our knowledge, this is the first highly accurate standard detector for F2 laser radiation for this power level. It is fully characterized according to Guide to the Expression of Uncertainty in Measurement of the International Organization for Standardization and is connected to the calibration chain for laser radiation established by the German National Metrology Institute.

  14. Fabrication of Pt nanowires with a diffraction-unlimited feature size by high-threshold lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, Li, E-mail: lil@cust.edu.cn, E-mail: wangz@cust.edu.cn, E-mail: kq-peng@bnu.edu.cn; Zhang, Ziang; Yu, Miao

    2015-09-28

    Although the nanoscale world can already be observed at a diffraction-unlimited resolution using far-field optical microscopy, to make the step from microscopy to lithography still requires a suitable photoresist material system. In this letter, we consider the threshold to be a region with a width characterized by the extreme feature size obtained using a Gaussian beam spot. By narrowing such a region through improvement of the threshold sensitization to intensity in a high-threshold material system, the minimal feature size becomes smaller. By using platinum as the negative photoresist, we demonstrate that high-threshold lithography can be used to fabricate nanowire arraysmore » with a scalable resolution along the axial direction of the linewidth from the micro- to the nanoscale using a nanosecond-pulsed laser source with a wavelength λ{sub 0} = 1064 nm. The minimal feature size is only several nanometers (sub λ{sub 0}/100). Compared with conventional polymer resist lithography, the advantages of high-threshold lithography are sharper pinpoints of laser intensity triggering the threshold response and also higher robustness allowing for large area exposure by a less-expensive nanosecond-pulsed laser.« less

  15. Infrared diode laser spectroscopy of the △ ν = 2 band of AlF

    NASA Astrophysics Data System (ADS)

    Horiai, Koui; Uehara, Hiromichi

    2006-04-01

    A vibrational-rotational spectrum of the △ ν = 2 transitions of a high-temperature molecule AlF was observed between 1490 and 1586 cm -1 with a diode laser spectrometer. Measurements were made on the ν = 3-1, 4-2, 5-3 and 8-6 bands at a temperature of 900 °C. Measured spectral lines were fitted to effective band constants ν0, Bν and Dν for each band. Present measurements were made with only one Pb-salt laser diode. Physical significance of the effective band constants is discussed.

  16. Fabrication of large-area nano-scale patterned sapphire substrate with laser interference lithography

    NASA Astrophysics Data System (ADS)

    Xuan, Ming-dong; Dai, Long-gui; Jia, Hai-qiang; Chen, Hong

    2014-01-01

    Periodic triangle truncated pyramid arrays are successfully fabricated on the sapphire substrate by a low-cost and high-efficiency laser interference lithography (LIL) system. Through the combination of dry etching and wet etching techniques, the nano-scale patterned sapphire substrate (NPSS) with uniform size is prepared. The period of the patterns is 460 nm as designed to match the wavelength of blue light emitting diode (LED). By improving the stability of the LIL system and optimizing the process parameters, well-defined triangle truncated pyramid arrays can be achieved on the sapphire substrate with diameter of 50.8 mm. The deviation of the bottom width of the triangle truncated pyramid arrays is 6.8%, which is close to the industrial production level of 3%.

  17. Implementation of assist features in EUV lithography

    NASA Astrophysics Data System (ADS)

    Jiang, Fan; Burkhardt, Martin; Raghunathan, Ananthan; Torres, Andres; Gupta, Rachit; Word, James

    2015-03-01

    The introduction of EUV lithography will happen at a critical feature pitch which corresponds to a k1 factor of roughly 0.45. While this number seems not very aggressive compared to recent ArF lithography nodes, the number is sufficiently low that the introduction of assist features has to be considered. While the small NA makes the k1 factor larger, the depth of focus still needs to be scaled down with wavelength. However the exposure tool's focus control is not greatly improved over the ArF tools, so other solutions to improve the depth of focus, e.g. SRAFs, are needed. On the other hand, sub-resolution assist features (SRAFs) require very small mask dimensions, which make masks more costly to write and inspect. Another disadvantage of SRAFs is the fact that they may cause pattern-dependent best focus shift due to thick mask effects. Those effects can be predicted, but the shift of best focus and the associated tilt of Bossung curves make the process more difficult to control. We investigate the impact of SRAFs on printing in EUV lithography and evaluate advantages and disadvantages. By using image quality parameters such as best focus (BF), and depth of focus (DOF), respectively with and without SRAFs, we will answer the question if we can gain a net benefit for 1D and 2D patterns by adding SRAFs. SRAFs will only be introduced if any net improvement in process variation (PV) outweighs the additional expense of assist patterning on the mask. In this paper, we investigate the difference in printing behavior of symmetric and asymmetric SRAF placement and whether through slit effect needs to be considered in SRAF placement for EUV lithography.

  18. Sintering mechanism of the CaF2 on hydroxyapatite by a 10.6-l microm CO2 laser.

    PubMed

    Wu, Cheng-Chei; Roan, Rong-Tai; Chen, Jeng-Huey

    2002-01-01

    Laser has been reported as a heat source for melting and re-crystallization. Occurring at about 1100 degrees C, the melting of surface dental enamel along with re-crystallization might have an assistant role in the therapy of hypersensitive tooth, apical sealing of endodontic surgery in dentistry, preventive dentistry for pit and fissure sealing, and fluoridation. For laser to be accepted in clinical applications, it is desired that, studies must show the incorporation of CaF(2) into hydroxyapatite could reduce the sintering temperature for the sake of safety. In this study, the Sharplan 20XJ CO(2) laser with 10.6- microm wavelength was set under the following parameters: power, 5 W; repetitive mode, 0.1 second; beam, focused. Fluorite was added to hydroxyapatite as a synthetic compound to lower the sintering temperature. Human dental enamel without caries was used for in vitro sintering test. Scanning electron microscopy (SEM), X-ray diffractometer (XRD), Fourier transforming infrared spectroscopy (FTIR), and differential thermal analysis/thermogravimetric analysis (DAT/TGA) were used for the investigation of sintering mechanism of CaF(2). Fusion between hexagonal shape crystals and cubic shape crystals (CaF(2)) were observed under SEM study. Hexagonal shape crystals indicated the formation of fluorapatite under XRD analysis. Under FTIR study, we examined reductions of water (3445 cm(-1)) and hydroxyl bands (3567 and 627 cm(-1)) in irradiated compounds. From the DTA pattern of synthetic compound, it showed the endothermic reaction reaching its peak point around 1180 +/- 20 degrees C. It was attributed to the phase transformation and/or initial melting. In this study, we proposed the interrelationship of the eutectics between initiator (CaF(2)) and the reaction product (calcium hydroxide) that reduced the sintering temperature. It appeared that the co-eutectics interacted to reduce the sintering temperature of hydroxyapatite below 800 degrees C and that the key

  19. Laser cooling of BH and GaF: insights from an ab initio study.

    PubMed

    Gao, Yu-feng; Gao, Tao

    2015-04-28

    The feasibility of laser cooling BH and GaF is investigated using ab initio quantum chemistry. The ground state X (1)Σ(+) and first two excited states (3)Π and (1)Π of BH and GaF are calculated using the multireference configuration interaction (MRCI) level of theory. For GaF, the spin-orbit coupling effect is also taken into account in the electronic structure calculations at the MRCI level. Calculated spectroscopic constants for BH and GaF show good agreement with available theoretical and experimental results. The highly diagonal Franck-Condon factors (BH: f00 = 0.9992, f11 = 0.9908, f22 = 0.9235; GaF: f00 = 0.997, f11 = 0.989, f22 = 0.958) for the (1)Π (v' = 0-2) → X (1)Σ(+) (v = 0-2) transitions in BH and GaF are determined, which are found to be in good agreement with the theoretical and experimental data. Radiative lifetime calculations of the (1)Π (v' = 0-2) state (BH: 131, 151, and 187 ns; GaF: 2.26, 2.36, and 2.48 ns) are found to be short enough for rapid laser cooling. The proposed laser cooling schemes that drive the (1)Π (v' = 0) → X (1)Σ(+) (v = 0) transition use just one laser wavelength λ00 (BH: 436 nm, GaF: 209 nm). Though the cooling wavelength of GaF is deep in the UVC, a frequency quadrupled Ti:sapphire laser (189-235 nm) could be capable of generating useful quantities of light at this wavelength. The present results indicate that BH and GaF are two good choices of molecules for laser cooling.

  20. Efficient continuous-wave, broadly tunable and passive Q-switching lasers based on a Tm3+:CaF2 crystal

    NASA Astrophysics Data System (ADS)

    Liu, Jingjing; Zhang, Cheng; Zu, Yuqian; Fan, Xiuwei; Liu, Jie; Guo, Xinsheng; Qian, Xiaobo; Su, Liangbi

    2018-04-01

    Laser operations in the continuous-wave as well as in the pulsed regime of a 4 at.% Tm3+:CaF2 crystal are reported. For the continuous-wave operation, a maximum average output power of 1.15 W was achieved, and the corresponding slope efficiency was more than 64%. A continuous tuning range of about 160 nm from 1877-2036 nm was achieved using a birefringent filter. Using Argentum nanorods as a saturable absorber, the significant pulsed operation of a passively Q-switched Tm3+:CaF2 laser was observed at 1935.4 nm for the first time, to the best of our knowledge. A maximum output power of 385 mW with 41.4 µJ pulse energy was obtained under an absorbed pump power of 2.04 W. The present results indicate that the Tm3+:CaF2 lasers could be promising laser sources to operate in the eye-safe spectral region.

  1. Modification of insulating diamond-like films by pulsed UV laser emission

    NASA Astrophysics Data System (ADS)

    Ageev, V. P.; Glushko, T. N.; Dorfman, V. F.; Kuzmichev, A. V.; Pypkin, B. N.

    1991-07-01

    The basic regimes of the modification of diamond-like a-C/Si/O:H films by the emission of the KrF laser are investigated. In particular, attention is given to the effect of the graphitization process on the spatial resolution of the dimensional treament. The possibility of the submicron cross-linking of the films using the methods of ablative UV laser lithography is demonstrated.

  2. Improved multi-beam laser interference lithography system by vibration analysis model

    NASA Astrophysics Data System (ADS)

    Lin, Te Hsun; Yang, Yin-Kuang; Mai, Hsuan-Ying; Fu, Chien-Chung

    2017-03-01

    This paper has developed the multi-beam laser interference lithography (LIL) system for nano/micro pattern sapphire substrate process (PSS/NPSS). However, the multi-beam LIL system is very sensitive to the light source and the vibration. When there is a vibration source in the exposure environment, the standing wave distribution on the substrate will be affected by the vibration and move in a certain angle. As a result, Moiré fringe defects occur on the exposure result. In order to eliminate the effect of the vibration, we use the software ANSYS to analyze the resonant frequencies of our multi-beam LIL system. Therefore, we need to design new multi-beam LIL system to raise the value of resonant frequencies. The new design of the multi-beam LIL system has higher resonant frequencies and successfully eliminates the bending and rotating effect of the resonant frequencies. As a result, the new multi-beam LIL system can fabricate large area and defects free period structures.

  3. Step-and-Repeat Nanoimprint-, Photo- and Laser Lithography from One Customised CNC Machine.

    PubMed

    Greer, Andrew Im; Della-Rosa, Benoit; Khokhar, Ali Z; Gadegaard, Nikolaj

    2016-12-01

    The conversion of a computer numerical control machine into a nanoimprint step-and-repeat tool with additional laser- and photolithography capacity is documented here. All three processes, each demonstrated on a variety of photoresists, are performed successfully and analysed so as to enable the reader to relate their known lithography process(es) to the findings. Using the converted tool, 1 cm(2) of nanopattern may be exposed in 6 s, over 3300 times faster than the electron beam equivalent. Nanoimprint tools are commercially available, but these can cost around 1000 times more than this customised computer numerical control (CNC) machine. The converted equipment facilitates rapid production and large area micro- and nanoscale research on small grants, ultimately enabling faster and more diverse growth in this field of science. In comparison to commercial tools, this converted CNC also boasts capacity to handle larger substrates, temperature control and active force control, up to ten times more curing dose and compactness. Actual devices are fabricated using the machine including an expanded nanotopographic array and microfluidic PDMS Y-channel mixers.

  4. Step-and-Repeat Nanoimprint-, Photo- and Laser Lithography from One Customised CNC Machine

    NASA Astrophysics Data System (ADS)

    Greer, Andrew IM; Della-Rosa, Benoit; Khokhar, Ali Z.; Gadegaard, Nikolaj

    2016-03-01

    The conversion of a computer numerical control machine into a nanoimprint step-and-repeat tool with additional laser- and photolithography capacity is documented here. All three processes, each demonstrated on a variety of photoresists, are performed successfully and analysed so as to enable the reader to relate their known lithography process(es) to the findings. Using the converted tool, 1 cm2 of nanopattern may be exposed in 6 s, over 3300 times faster than the electron beam equivalent. Nanoimprint tools are commercially available, but these can cost around 1000 times more than this customised computer numerical control (CNC) machine. The converted equipment facilitates rapid production and large area micro- and nanoscale research on small grants, ultimately enabling faster and more diverse growth in this field of science. In comparison to commercial tools, this converted CNC also boasts capacity to handle larger substrates, temperature control and active force control, up to ten times more curing dose and compactness. Actual devices are fabricated using the machine including an expanded nanotopographic array and microfluidic PDMS Y-channel mixers.

  5. In situ investigation of the effect of TiF4 and CO2 laser irradiation on the permeability of eroded enamel.

    PubMed

    Lepri, Taísa Penazzo; Colucci, Vivian; Turssi, Cecília Pedroso; Corona, Silmara Aparecida Milori

    2015-06-01

    Interest in erosion and its role in tooth wear has increased considerably. Due to the limited contribution of patients in modifying their dietary habits, therapeutic resources aiming to reduce the progression of erosion-like lesions have been discussed. This study sought to evaluate the effect of TiF4 and CO2 laser in controlling the permeability of in situ eroded enamel. Ten volunteers wore an intraoral palatal device containing two enamel slabs, treated with TiF4 gel and TiF4 gel + CO2 or placebo gel and placebo gel + CO2. After the washout period, volunteers were crossed over to the other treatment. During both phases, specimens were submitted to erosive challenges and then evaluated for permeability measured as the percentage of copper ion penetration over the total enamel thickness. Two-way analysis of variance (ANOVA) revealed that there was a significant interaction between the factors under study (p = 0.0002). Tukey's test showed that TiF4 significantly reduced the enamel permeability of eroded enamel specimens, regardless of whether CO2 laser irradiation was performed. It may be concluded that when the placebo gel was applied, CO2 laser was able to reduce enamel permeability; however, when TiF4 was applied, laser irradiation did not imply a reduction in permeability. TiF4 provided a lower permeability of eroded enamel, regardless of whether the CO2 laser was used. Copyright © 2015 Elsevier Ltd. All rights reserved.

  6. In-chip microstructures and photonic devices fabricated by nonlinear laser lithography deep inside silicon

    PubMed Central

    Makey, Ghaith; Elahi, Parviz; Çolakoğlu, Tahir; Ergeçen, Emre; Yavuz, Özgün; Hübner, René; Borra, Mona Zolfaghari; Pavlov, Ihor; Bek, Alpan; Turan, Raşit; Kesim, Denizhan Koray; Tozburun, Serhat; Ilday, Serim; Ilday, F. Ömer

    2017-01-01

    Silicon is an excellent material for microelectronics and integrated photonics1–3 with untapped potential for mid-IR optics4. Despite broad recognition of the importance of the third dimension5,6, current lithography methods do not allow fabrication of photonic devices and functional microelements directly inside silicon chips. Even relatively simple curved geometries cannot be realised with techniques like reactive ion etching. Embedded optical elements, like in glass7, electronic devices, and better electronic-photonic integration are lacking8. Here, we demonstrate laser-based fabrication of complex 3D structures deep inside silicon using 1 µm-sized dots and rod-like structures of adjustable length as basic building blocks. The laser-modified Si has a different optical index than unmodified parts, which enables numerous photonic devices. Optionally, these parts are chemically etched to produce desired 3D shapes. We exemplify a plethora of subsurface, i.e., “in-chip” microstructures for microfluidic cooling of chips, vias, MEMS, photovoltaic applications and photonic devices that match or surpass the corresponding state-of-the-art device performances. PMID:28983323

  7. In-chip microstructures and photonic devices fabricated by nonlinear laser lithography deep inside silicon

    NASA Astrophysics Data System (ADS)

    Tokel, Onur; Turnalı, Ahmet; Makey, Ghaith; Elahi, Parviz; ćolakoǧlu, Tahir; Ergeçen, Emre; Yavuz, Ã.-zgün; Hübner, René; Zolfaghari Borra, Mona; Pavlov, Ihor; Bek, Alpan; Turan, Raşit; Kesim, Denizhan Koray; Tozburun, Serhat; Ilday, Serim; Ilday, F. Ã.-mer

    2017-10-01

    Silicon is an excellent material for microelectronics and integrated photonics1-3, with untapped potential for mid-infrared optics4. Despite broad recognition of the importance of the third dimension5,6, current lithography methods do not allow the fabrication of photonic devices and functional microelements directly inside silicon chips. Even relatively simple curved geometries cannot be realized with techniques like reactive ion etching. Embedded optical elements7, electronic devices and better electronic-photonic integration are lacking8. Here, we demonstrate laser-based fabrication of complex 3D structures deep inside silicon using 1-µm-sized dots and rod-like structures of adjustable length as basic building blocks. The laser-modified Si has an optical index different to that in unmodified parts, enabling the creation of numerous photonic devices. Optionally, these parts can be chemically etched to produce desired 3D shapes. We exemplify a plethora of subsurface—that is, `in-chip'—microstructures for microfluidic cooling of chips, vias, micro-electro-mechanical systems, photovoltaic applications and photonic devices that match or surpass corresponding state-of-the-art device performances.

  8. YAG:Er3+, CaF2:Er3+, and Er2O3 Emission Spectra Under Laser and Laser Thermal Excitation

    NASA Astrophysics Data System (ADS)

    Marchenko, V. M.

    2018-05-01

    Experimental luminescence and selective-emission (SE) spectra of YAG:Er3+ (10 at.%) and CaF2:Er3+ (1 at.%) single crystals and Er2O3 polycrystal under laser and laser thermal excitation of the Er3+-ion multiplets are compared. Luminescence spectra under resonant excitation are determined by multiplet population relaxation with the corresponding radiative and nonradiative probabilities. The form of the SE spectra is determined by the thermal population of the multiplets and the probabilities of only radiative transitions. The SE band at 800 nm (4I9/2 → 4I15/2) is an indicator of high-temperature thermal emission of Er3+ ions. The absence of this band in luminescence spectra is explained by the short lifetime of the τ(4I9/2) level of 53 ns at T = 300 K.

  9. A study of low threshold and high gain Nd3+ ions doped SiO2-B2O3-Na2CO3-NaF-CaF2 glasses for NIR laser applications

    NASA Astrophysics Data System (ADS)

    Megala, Rajesh; Gowthami, T.; John Sushma, N.; Kamala, S.; Deva Prasad Raju, B.

    2018-05-01

    Fluoroborosilicate glasses of composition 35SiO2-25B2O3-10Na2CO3-15NaF-15CaF2-xNd2O3 (where x = 0.1, 0.5. 1.0, 2.0 mol%) were prepared by melt quenching technique and various physical properties have been calculated. From the absorption spectra J-O Intensity parameters Ωλ (λ = 2, 4, 6) and radiative properties are evaluated by using J-O theory. The high values of Ω2 = 4.213 × 10-20 cm2, Ω4 = 5.345 × 10-20 cm2, Ω6 = 5.526 × 10-20 cm2 suggest that among the prepared glasses 0.5 mol% Nd glass is more asymmetric, more covalent and rigid in nature. The emission spectra were recorded with 808 nm laser as excitation source. The strong NIR emissions were observed at 876 nm, 1056 nm, 1328 nm corresponding to the transitions 4F3/2 → 4I9/2, 4F3/2 → 4I11/2, 4F3/2 → 4I13/2 respectively. Stimulated emission cross -section (σemi) and Gain bandwidth (σemi × Δλeff) were calculated. For 0.5 mol% Nd these values are found to be 3.30 × 10-20 cm2, 11 × 10-26 cm2. From the decay curve analysis the lifetime values for 4F3/2 level have been determined and these values are decreased with increase in Nd3+ ions concentration. These results may suggest that the prepared SBNCNd05 (Nd = 0.5 mol%) glass could be useful for 1056 nm laser applications.

  10. Theoretical evaluation of a continues-wave Ho3+:BaY2F8 laser with mid-infrared emission

    NASA Astrophysics Data System (ADS)

    Rong, Kepeng; Cai, He; An, Guofei; Han, Juhong; Yu, Hang; Wang, Shunyan; Yu, Qiang; Wu, Peng; Zhang, Wei; Wang, Hongyuan; Wang, You

    2018-01-01

    In this paper, we build a theoretical model to study a continues-wave (CW) Ho3+:BaY2F8 laser by considering both energy transfer up-conversion (ETU) and cross relaxation (CR) processes. The influences of the pump power, reflectance of an output coupler (OC), and crystal length on the output features are systematically analyzed for an end-pumped configuration, respectively. We also investigate how the processes of ETU and CR in the energy-level system affect the output of a Ho3+:BaY2F8 laser by use of the kinetic evaluation. The simulation results show that the optical-to-optical efficiency can be promoted by adjusting the parameters such as the reflectance of an output coupler, crystal length, and pump power. It has been theoretically demonstrated that the threshold of a Ho3+:BaY2F8 laser is very high for the lasing operation in a CW mode.

  11. 2.5 dimension structures in deep proton lithography

    NASA Astrophysics Data System (ADS)

    Kasztelanic, Rafal

    2006-04-01

    There are several technologies for cheap mass fabrication of microelements. One of them is deep proton lithography, used for the fabrication of elements of high structural depth. In this technology, accelerated protons are usually focused or formed by a mask to light a target. The energy of the proton beam is enough for all the protons to get through the target, losing only a part of their kinesthetic energy. Protons leaving the target are counted in various ways, thanks to which it is possible to estimate the energy deposed inside the target. In the next step chemical development is used to get rid of the radiated part of the target. With the use of this method, various 2D microelements can be obtained and the proton beam plays the role of a knife, cutting out the required shapes from the material. However, in order to make elements of modified surface (2.5D surface) it is necessary to change the energy of the proton beam or to change the dose deposed inside the material. The current article presents a proposal of creating simple 2.5D structures with the use of the method modifying the deposed does. This entails the modification of the deep proton lithography setup, which results moving the part for measuring the deposed dose of energy before the target. Additionally, the new deep proton lithography setup operates in the air. This article presents the results of simulations, as well as experimental results for such a setup built for the tandem accelerator in Erlangen, Germany.

  12. MAPPER: high-throughput maskless lithography

    NASA Astrophysics Data System (ADS)

    Wieland, M. J.; de Boer, G.; ten Berge, G. F.; Jager, R.; van de Peut, T.; Peijster, J. J. M.; Slot, E.; Steenbrink, S. W. H. K.; Teepen, T. F.; van Veen, A. H. V.; Kampherbeek, B. J.

    2009-03-01

    Maskless electron beam lithography, or electron beam direct write, has been around for a long time in the semiconductor industry and was pioneered from the mid-1960s onwards. This technique has been used for mask writing applications as well as device engineering and in some cases chip manufacturing. However because of its relatively low throughput compared to optical lithography, electron beam lithography has never been the mainstream lithography technology. To extend optical lithography double patterning, as a bridging technology, and EUV lithography are currently explored. Irrespective of the technical viability of both approaches, one thing seems clear. They will be expensive [1]. MAPPER Lithography is developing a maskless lithography technology based on massively-parallel electron-beam writing with high speed optical data transport for switching the electron beams. In this way optical columns can be made with a throughput of 10-20 wafers per hour. By clustering several of these columns together high throughputs can be realized in a small footprint. This enables a highly cost-competitive alternative to double patterning and EUV alternatives. In 2007 MAPPER obtained its Proof of Lithography milestone by exposing in its Demonstrator 45 nm half pitch structures with 110 electron beams in parallel, where all the beams where individually switched on and off [2]. In 2008 MAPPER has taken a next step in its development by building several tools. The objective of building these tools is to involve semiconductor companies to be able to verify tool performance in their own environment. To enable this, the tools will have a 300 mm wafer stage in addition to a 110-beam optics column. First exposures at 45 nm half pitch resolution have been performed and analyzed. On the same wafer it is observed that all beams print and based on analysis of 11 beams the CD for the different patterns is within 2.2 nm from target and the CD uniformity for the different patterns is better

  13. Laser Scanning Holographic Lithography for Flexible 3D Fabrication of Multi-Scale Integrated Nano-structures and Optical Biosensors

    PubMed Central

    Yuan, Liang (Leon); Herman, Peter R.

    2016-01-01

    Three-dimensional (3D) periodic nanostructures underpin a promising research direction on the frontiers of nanoscience and technology to generate advanced materials for exploiting novel photonic crystal (PC) and nanofluidic functionalities. However, formation of uniform and defect-free 3D periodic structures over large areas that can further integrate into multifunctional devices has remained a major challenge. Here, we introduce a laser scanning holographic method for 3D exposure in thick photoresist that combines the unique advantages of large area 3D holographic interference lithography (HIL) with the flexible patterning of laser direct writing to form both micro- and nano-structures in a single exposure step. Phase mask interference patterns accumulated over multiple overlapping scans are shown to stitch seamlessly and form uniform 3D nanostructure with beam size scaled to small 200 μm diameter. In this way, laser scanning is presented as a facile means to embed 3D PC structure within microfluidic channels for integration into an optofluidic lab-on-chip, demonstrating a new laser HIL writing approach for creating multi-scale integrated microsystems. PMID:26922872

  14. Electrolytic etching of fine stainless-steel pipes patterned by laser-scan lithography

    NASA Astrophysics Data System (ADS)

    Takahashi, Hiroshi; Sagara, Tomoya; Horiuchi, Toshiyuki

    2017-07-01

    Recently, it is required to develop a method for fabricating cylindrical micro-components in the field of measurement and medical engineering. Here, electrolytic etching of fine stainless-steel pipes patterned by laser-scan lithography was researched. The pipe diameter was 100 μm. At first, a pipe coated with 3-7 μm thick positive resist (tok, PMER P LA-900) was exposed to a violet laser beam with a wavelength of 408 nm (Neoark,TC20-4030-45). The laser beam was reshaped in a circle by placing a pinhole, and irradiated on the pipe by reducing the size in 1/20 using a reduction projection optics. Linearly arrayed 22 slit patterns with a width of 25 μm and a length of 175 μm were delineated in every 90-degree circumferential direction. That is, 88 slits in total were delineated at an exposure speed of 110 μm/s. In the axial direction, patterns were delineated at intervals of 90 μm. Following the pattern delineation, the pipe masked by the resist patterns was electrolytically etched. The pipe was used as an anode and an aluminum cylinder was set as a cathode around the pipe. As the electrolyte, aqueous solution of NaCl and NH4Cl was used. After etching the pipe, the resist was removed by ultrasonic cleaning in acetone. Although feasibility for fabricating multi-slit pipes was demonstrated, sizes of the etched slits were enlarged being caused by the undercut, and the shapes were partially deformed, and all the pipes were snapped at the chuck side.

  15. KrF laser-induced OH fluorescence imaging in a supersonic combustion tunnel

    NASA Technical Reports Server (NTRS)

    Quagliaroli, T. M.; Laufer, G.; Hollo, S. D.; Krauss, R. H.; Whitehurst, R. B., III; Mcdaniel, J. C., Jr.

    1992-01-01

    Planar fluorescence images of OH in a continuous-flow, electrical-resistively heated, high enthalpy, hydrogen-air combustion tunnel, induced by a tunable KrF laser, were recorded. These images were compared to previously recorded fluorescence images induced by a doubled-dye laser under similar conditions. Images induced by the doubled-dye laser system demonstrated a severe distortion caused by absorption and fluorescence trapping. By contrast, images of the fluorescence induced by the tunable KrF laser retained the symmetry properties of the flow. Based on signal-to-noise ratio measurements the yield of the fluorescence induced by the doubled-dye laser is larger than the fluorescence yield induced by the KrF laser. The measurements in the present facility of OH fluorescence induced by the KrF laser were limited by the photon-statistical noise. Based 2 on this result, doubled-dye laser systems are recommended for OH imaging in small and OH lean (less than 10 exp 15/cu cm) facilities. KrF lasers should be selected otherwise.

  16. Performance Characterization of an xy-Stage Applied to Micrometric Laser Direct Writing Lithography

    PubMed Central

    Jaramillo, Juan; Zarzycki, Artur; Galeano, July; Sandoz, Patrick

    2017-01-01

    This article concerns the characterization of the stability and performance of a motorized stage used in laser direct writing lithography. The system was built from commercial components and commanded by G-code. Measurements use a pseudo-periodic-pattern (PPP) observed by a camera and image processing is based on Fourier transform and phase measurement methods. The results report that the built system has a stability against vibrations determined by peak-valley deviations of 65 nm and 26 nm in the x and y directions, respectively, with a standard deviation of 10 nm in both directions. When the xy-stage is in movement, it works with a resolution of 0.36 µm, which is an acceptable value for most of research and development (R and D) microtechnology developments in which the typical feature size used is in the micrometer range. PMID:28146126

  17. Performance Characterization of an xy-Stage Applied to Micrometric Laser Direct Writing Lithography.

    PubMed

    Jaramillo, Juan; Zarzycki, Artur; Galeano, July; Sandoz, Patrick

    2017-01-31

    This article concerns the characterization of the stability and performance of a motorized stage used in laser direct writing lithography. The system was built from commercial components and commanded by G-code. Measurements use a pseudo-periodic-pattern (PPP) observed by a camera and image processing is based on Fourier transform and phase measurement methods. The results report that the built system has a stability against vibrations determined by peak-valley deviations of 65 nm and 26 nm in the x and y directions, respectively, with a standard deviation of 10 nm in both directions. When the xy-stage is in movement, it works with a resolution of 0.36 μm, which is an acceptable value for most of research and development (R and D) microtechnology developments in which the typical feature size used is in the micrometer range.

  18. High resolution laser spectroscopy of the [13.8]0.5 - X2Δ3/2 and [14.2]1.5 - X2Δ3/2 transitions of hafnium monofluoride (HfF)

    NASA Astrophysics Data System (ADS)

    Adam, A. G.; Esson, L. M.; Linton, C.; Smith, A. M.; Tokaryk, D. W.

    2018-07-01

    Laser-induced fluorescence (LIF) spectra of the (0, 0) and (1, 0) bands of the [13.8]0.5 - X2Δ3/2 and [14.2]1.5 - X2Δ3/2 transitions of HfF have been obtained at high resolution (∼120 MHz) using a laser ablation source. Spectra of all five isotopologues, from 180HfF to 176HfF have been clearly resolved and the two most abundant, 180HfF and 178HfF, were chosen for analysis. The rotational levels of the [13.8]0.5 state showed clear Ω - doubling closely resembling that of a 2Σ state in the v = 0 level. Irregularity in the doubling in the v = 1 level and in the isotope shift indicated a strong perturbation affecting this level. The final fit included all the previously analysed bands in the visible region and the doubling of all the Ω = 0.5 states and the isotope effect are examined and discussed.

  19. UV Raman spectroscopy of H2-air flames excited with a narrowband KrF laser

    NASA Technical Reports Server (NTRS)

    Shirley, John A.

    1990-01-01

    Raman spectra of H2 and H2O in flames excited by a narrowband KrF excimer laser are reported. Observations are made over a porous-plug, flat-flame burner reacting H2 in air, fuel-rich with nitrogen dilution to control the temperature, and with an H2 diffusion flame. Measurements made from UV Raman spectra show good agreement with measurements made by other means, both for gas temperature and relative major species concentrations. Laser-induced fluorescence interferences arising from OH and O2 are observed in emission near the Raman spectra. These interferences do not preclude Raman measurements, however.

  20. Tunable laser interference lithography preparation of plasmonic nanoparticle arrays tailored for SERS.

    PubMed

    Gisbert Quilis, Nestor; Lequeux, Médéric; Venugopalan, Priyamvada; Khan, Imran; Knoll, Wolfgang; Boujday, Souhir; Lamy de la Chapelle, Marc; Dostalek, Jakub

    2018-05-23

    The facile preparation of arrays of plasmonic nanoparticles over a square centimeter surface area is reported. The developed method relies on tailored laser interference lithography (LIL) that is combined with dry etching and it offers means for the rapid fabrication of periodic arrays of metallic nanostructures with well controlled morphology. Adjusting the parameters of the LIL process allows for the preparation of arrays of nanoparticles with a diameter below hundred nanometers independently of their lattice spacing. Gold nanoparticle arrays were precisely engineered to support localized surface plasmon resonance (LSPR) with different damping at desired wavelengths in the visible and near infrared part of the spectrum. The applicability of these substrates for surface enhanced Raman scattering is demonstrated where cost-effective, uniform and reproducible substrates are of paramount importance. The role of deviations in the spectral position and the width of the LSPR band affected by slight variations of plasmonic nanostructures is discussed.

  1. High-repetition-rate widely tunable LiF : \\mathbf{\\mathsf{F}}_\\mathbf{\\mathsf{2}}^{-} color center lasers

    NASA Astrophysics Data System (ADS)

    Men, Shaojie; Liu, Zhaojun; Cong, Zhenhua; Rao, Han; Zhang, Sasa; Liu, Yang; Zverev, Petr G.; Konyushkin, Vasily A.; Zhang, Xingyu

    2016-02-01

    High-repetition-rate tunable LiF:\\text{F}2- color center lasers pumped by quasi-continuous-wave diode-side-pumped acousto-optically Q-switched Nd:YAG laser are demonstrated. Littrow-grating and Littman-grating tuning schemes are studied respectively. In the Littrow-grating scheme, the tuning range was 1085 nm to 1275 nm, and the maximal average output power was 275 mW. In the Littman-grating scheme, the tuning range was 1105.5 nm to 1215.5 nm, and the maximal average output power was 135 mW.

  2. Mask technology for EUV lithography

    NASA Astrophysics Data System (ADS)

    Bujak, M.; Burkhart, Scott C.; Cerjan, Charles J.; Kearney, Patrick A.; Moore, Craig E.; Prisbrey, Shon T.; Sweeney, Donald W.; Tong, William M.; Vernon, Stephen P.; Walton, Christopher C.; Warrick, Abbie L.; Weber, Frank J.; Wedowski, Marco; Wilhelmsen, Karl C.; Bokor, Jeffrey; Jeong, Sungho; Cardinale, Gregory F.; Ray-Chaudhuri, Avijit K.; Stivers, Alan R.; Tejnil, Edita; Yan, Pei-yang; Hector, Scott D.; Nguyen, Khanh B.

    1999-04-01

    Extreme UV Lithography (EUVL) is one of the leading candidates for the next generation lithography, which will decrease critical feature size to below 100 nm within 5 years. EUVL uses 10-14 nm light as envisioned by the EUV Limited Liability Company, a consortium formed by Intel and supported by Motorola and AMD to perform R and D work at three national laboratories. Much work has already taken place, with the first prototypical cameras operational at 13.4 nm using low energy laser plasma EUV light sources to investigate issues including the source, camera, electro- mechanical and system issues, photoresists, and of course the masks. EUV lithograph masks are fundamentally different than conventional photolithographic masks as they are reflective instead of transmissive. EUV light at 13.4 nm is rapidly absorbed by most materials, thus all light transmission within the EUVL system from source to silicon wafer, including EUV reflected from the mask, is performed by multilayer mirrors in vacuum.

  3. Fabrication, patterning and luminescence properties of X 2-Y 2SiO 5:A (A=Eu 3+, Tb 3+, Ce 3+) phosphor films via sol-gel soft lithography

    NASA Astrophysics Data System (ADS)

    Han, X. M.; Lin, J.; Fu, J.; Xing, R. B.; Yu, M.; Zhou, Y. H.; Pang, M. L.

    2004-04-01

    X 2-Y 2SiO 5:A (A=Eu 3+, Tb 3+, Ce 3+) phosphor films and their patterning were fabricated by a sol-gel process combined with a soft lithography. X-ray diffraction (XRD), Fourier transform infrared spectroscopy (FT-IR), atomic force microscopy (AFM), scanning electron microscopy (SEM) optical microscopy and photoluminescence (PL) were used to characterize the resulting films. The results of XRD indicated that the films began to crystallize at 900 °C with X 1-Y 2SiO 5, which transformed completely to X 2-Y 2SiO 5 at 1250 °C. Patterned thin films with different band widths (5 μm spaced by 5 μm and 16 μm spaced by 24 μm) were obtained by a soft lithography technique (micromoulding in capillaries, MIMIC). The SEM and AFM study revealed that the nonpatterned phosphor films were uniform and crack free, and the films mainly consisted of closely packed grains with an average size of 350 nm. The doped rare earth ions (A) showed their characteristic emissions in X 2-Y 2SiO 5 phosphor films, i.e., 5D 0- 7F J ( J=0,1,2,3,4) for Eu 3+, 5D 3, 4- 7F J ( J=6,5,4,3) for Tb 3+ and 5d ( 2D)-4f ( 2F 2/5, 2/7) for Ce 3+, respectively. The optimum doping concentrations for Eu 3+, Tb 3+ were determined to be 13 and 8 mol% of Y 3+ in X 2-Y 2SiO 5 films, respectively.

  4. Spectroscopic and laser cooling results on Yb3+-doped BaY2F8 single crystal

    NASA Astrophysics Data System (ADS)

    Bigotta, Stefano; Parisi, Daniela; Bonelli, Lucia; Toncelli, Alessandra; Tonelli, Mauro; Di Lieto, Alberto

    2006-07-01

    Anti-Stokes cooling has been observed in an Yb3+-doped BaY2F8 single crystal. Single crystals have been grown by the Czochralski technique. The absorption spectra and the emission properties have been measured at room temperature and at 10K. The energy positions of the Stark sublevels of the ground and the excited state manifolds have been determined and separated from the vibronic substructure. The intrinsic decay time of the F5/22 level has been measured taking care of avoiding the effect of multiple reabsorption processes. The theoretical and experimental cooling efficiencies of Yb:BaY2F8 are evaluated and compared with respect to those of the most frequently investigated materials for laser cooling. A temperature drop of almost 4K was measured by pumping the crystal with 3W of laser radiation at ˜1025nm in single pass configuration with a cooling efficiency of ˜3%.

  5. Software-based data path for raster-scanned multi-beam mask lithography

    NASA Astrophysics Data System (ADS)

    Rajagopalan, Archana; Agarwal, Ankita; Buck, Peter; Geller, Paul; Hamaker, H. Christopher; Rao, Nagswara

    2016-10-01

    According to the 2013 SEMATECH Mask Industry Survey,i roughly half of all photomasks are produced using laser mask pattern generator ("LMPG") lithography. LMPG lithography can be used for all layers at mature technology nodes, and for many non-critical and semi-critical masks at advanced nodes. The extensive use of multi-patterning at the 14-nm node significantly increases the number of critical mask layers, and the transition in wafer lithography from positive tone resist to negative tone resist at the 14-nm design node enables the switch from advanced binary masks back to attenuated phase shifting masks that require second level writes to remove unwanted chrome. LMPG lithography is typically used for second level writes due to its high productivity, absence of charging effects, and versatile non-actinic alignment capability. As multi-patterning use expands from double to triple patterning and beyond, the number of LMPG second level writes increases correspondingly. The desire to reserve the limited capacity of advanced electron beam writers for use when essential is another factor driving the demand for LMPG capacity. The increasing demand for cost-effective productivity has kept most of the laser mask writers ever manufactured running in production, sometimes long past their projected lifespan, and new writers continue to be built based on hardware developed some years ago.ii The data path is a case in point. While state-ofthe- art when first introduced, hardware-based data path systems are difficult to modify or add new features to meet the changing requirements of the market. As data volumes increase, design styles change, and new uses are found for laser writers, it is useful to consider a replacement for this critical subsystem. The availability of low-cost, high-performance, distributed computer systems combined with highly scalable EDA software lends itself well to creating an advanced data path system. EDA software, in routine production today, scales

  6. 2.07-micron CW diode-laser-pumped Tm,Ho:YLiF4 room-temperature

    NASA Technical Reports Server (NTRS)

    Hemmati, Hamid

    1989-01-01

    Continuous-wave action is obtained at 2.07 microns from a 2-mm-long Tm-sensitized Ho:YLiF4 crystal at room temperature when longitudinally pumped by a pair of diode-laser arrays. Laser output power at 300 K is 26 mW, with a 30-percent slope efficiency and a lasing threshold of 108 mW. A maximum output power of 187 mW is obtained from a 4-mm-long crystal at 77 K, with a 67 percent slope efficiency. A preliminary demonstration of cavity Q switching produced 165 microJ of pulse energy at a repetition rate of 100 Hz.

  7. Electra: Repetitively Pulsed Angularly Multiplexed KrF Laser System Performance

    NASA Astrophysics Data System (ADS)

    Wolford, Matthew; Myers, Matthew; Giuliani, John; Sethian, John; Burns, Patrick; Hegeler, Frank; Jaynes, Reginald

    2008-11-01

    As in a full size fusion power plant beam line, Electra is a multistage laser amplifier system. The multistage amplifier system consists of a commercial discharge laser and two doubled sided electron beam pumped amplifiers. Angular multiplexing is used in the optical layout to provide pulse length control and to maximize laser extraction from the amplifiers. Two angularly multiplexed beams have extracted 30 J of KrF laser light with an aperture 8 x 10 cm^2, which is sufficient to extract over 500 J from the main amplifier and models agree. The main amplifier of Electra in oscillator mode has demonstrated single shot and rep-rate laser energies exceeding 700 J with 100 ns pulsewidth at 248 nm with an aperture 29 x 29 cm^2. Continuous operation of the KrF electron beam pumped oscillator has lasted for more than 2.5 hours without failure at 1 Hz and 2.5 Hz. The measured intensity and pulse energy for durations greater than thousand shots are consistent at measurable rep-rates of 1 Hz, 2.5 Hz and 5 Hz.

  8. Femtosecond-laser-written superficial cladding waveguides in Nd:CaF2 crystal

    NASA Astrophysics Data System (ADS)

    Li, Rang; Nie, Weijie; Lu, Qingming; Cheng, Chen; Shang, Zhen; Vázquez de Aldana, Javier R.; Chen, Feng

    2017-07-01

    We report on the superficial cladding waveguides fabricated by direct femtosecond laser writing in Nd: CaF2 crystal with three different groups of parameters. The lowest propagation loss of waveguides has been determined to be 0.7 dB/cm at wavelength of 632.8 nm along TE polarization. The near fundamental modal distributions have been imaged through the end-face coupling technique. The guidance of the waveguides is found to possess low sensitivity on polarization of the probe light. By using a confocal microscope system, the micro-photoluminescence mappings and micro-fluorescence spectra are also obtained, which indicates the photoluminescence features of the Nd3+ ions are well preserved in the waveguide cores after direct femtosecond laser writing.

  9. Craters and nanostructures on BaF2 sample induced by a focused 46.9nm laser

    NASA Astrophysics Data System (ADS)

    Cui, Huaiyu; Zhang, Shuqing; Li, Jingjun; Lu, Haiqiang; Zhao, Yongpeng

    2017-08-01

    We successfully damaged BaF2 samples by a 46.9nm capillary discharge laser of 100μJ focused by a toroidal mirror at a grazing incidence. Ablation craters with clear boundaries were detected by optical microscope and atomic force microscope (AFM). Laser-induced nanostructures with a period of ˜1μm were observed in the ablation area under single pulse irradiation and multiple pulses irradiation. The surface behavior was compared and analyzed with that induced by the laser of 50μJ. The nanostructures were supposed to be attributed to the thermoelastic effect and the period of the structures was effected by the energy of the laser.

  10. Optically Clear and Resilient Free-Form μ-Optics 3D-Printed via Ultrafast Laser Lithography

    PubMed Central

    Jonušauskas, Linas; Gailevičius, Darius; Mikoliūnaitė, Lina; Sakalauskas, Danas; Šakirzanovas, Simas; Juodkazis, Saulius; Malinauskas, Mangirdas

    2017-01-01

    We introduce optically clear and resilient free-form micro-optical components of pure (non-photosensitized) organic-inorganic SZ2080 material made by femtosecond 3D laser lithography (3DLL). This is advantageous for rapid printing of 3D micro-/nano-optics, including their integration directly onto optical fibers. A systematic study of the fabrication peculiarities and quality of resultant structures is performed. Comparison of microlens resiliency to continuous wave (CW) and femtosecond pulsed exposure is determined. Experimental results prove that pure SZ2080 is ∼20 fold more resistant to high irradiance as compared with standard lithographic material (SU8) and can sustain up to 1.91 GW/cm2 intensity. 3DLL is a promising manufacturing approach for high-intensity micro-optics for emerging fields in astro-photonics and atto-second pulse generation. Additionally, pyrolysis is employed to homogeneously shrink structures up to 40% by removing organic SZ2080 constituents. This opens a promising route towards downscaling photonic lattices and the creation of mechanically robust glass-ceramic microstructures. PMID:28772389

  11. 193 nm ArF laser ablation and patterning of chitosan thin films

    NASA Astrophysics Data System (ADS)

    Aesa, A. A.; Walton, C. D.

    2018-06-01

    This paper reports laser ablation studies on spin-coated biopolymer chitosan films, β-l,4-1inked 2-amino-2-deoxy- d-glucopyranose. Chitosan has been irradiated using an ArF laser emitting at 193 nm. An ablation threshold of F T = 85±8 mJ cm-2 has been determined from etch rate measurements. Laser-ablated chitosan is characterised using white light interferometry, scanning electron microscopy, and thermo-gravimetric analysis. Laser ablation of chitosan is discussed in terms of thermal and photoacoustic mechanisms. Heat transfer is simulated to assist in the understanding of laser-irradiated chitosan using a finite-element method and the software package COMSOL Multi-Physics™. As a demonstrator, a micro-array of square structures in the form of a crossed grating has been fabricated by laser ablation using a mask projection scanning method. The initial investigations show no evidence of thermal damage occurring to the adjacent chitosan when operating at a moderately low laser fluence of 110 mJ cm-2.

  12. Free electron lasers for 13nm EUV lithography: RF design strategies to minimise investment and operational costs

    NASA Astrophysics Data System (ADS)

    Keens, Simon; Rossa, Bernhard; Frei, Marcel

    2016-03-01

    As the semiconductor industry proceeds to develop ever better sources of extreme ultraviolet (EUV) light for photolithography applications, two distinct technologies have come to prominence: Tin-plasma and free electron laser (FEL) sources. Tin plasma sources have been in development within the industry for many years, and have been widely reported. Meanwhile, FELs represent the most promising alternative to create high power EUV frequencies and, while tin-plasma source development has been ongoing, such lasers have been continuously developed by academic institutions for use in fundamental research programmes in conjunction with universities and national scientific institutions. This paper follows developments in the field of academic FELs, and presents information regarding novel technologies, specifically in the area of RF design strategy, that may be incorporated into future industrial FEL systems for EUV lithography in order to minimize the necessary investment and operational costs. It goes on to try to assess the cost-benefit of an alternate RF design strategy, based upon previous studies.

  13. Mapper: high throughput maskless lithography

    NASA Astrophysics Data System (ADS)

    Kuiper, V.; Kampherbeek, B. J.; Wieland, M. J.; de Boer, G.; ten Berge, G. F.; Boers, J.; Jager, R.; van de Peut, T.; Peijster, J. J. M.; Slot, E.; Steenbrink, S. W. H. K.; Teepen, T. F.; van Veen, A. H. V.

    2009-01-01

    Maskless electron beam lithography, or electron beam direct write, has been around for a long time in the semiconductor industry and was pioneered from the mid-1960s onwards. This technique has been used for mask writing applications as well as device engineering and in some cases chip manufacturing. However because of its relatively low throughput compared to optical lithography, electron beam lithography has never been the mainstream lithography technology. To extend optical lithography double patterning, as a bridging technology, and EUV lithography are currently explored. Irrespective of the technical viability of both approaches, one thing seems clear. They will be expensive [1]. MAPPER Lithography is developing a maskless lithography technology based on massively-parallel electron-beam writing with high speed optical data transport for switching the electron beams. In this way optical columns can be made with a throughput of 10-20 wafers per hour. By clustering several of these columns together high throughputs can be realized in a small footprint. This enables a highly cost-competitive alternative to double patterning and EUV alternatives. In 2007 MAPPER obtained its Proof of Lithography milestone by exposing in its Demonstrator 45 nm half pitch structures with 110 electron beams in parallel, where all the beams where individually switched on and off [2]. In 2008 MAPPER has taken a next step in its development by building several tools. A new platform has been designed and built which contains a 300 mm wafer stage, a wafer handler and an electron beam column with 110 parallel electron beams. This manuscript describes the first patterning results with this 300 mm platform.

  14. Time-resolved emission studies of ArF-laser-produced microplasmas

    NASA Astrophysics Data System (ADS)

    Simeonsson, Josef B.; Miziolek, Andrzej W.

    1993-02-01

    ArF-laser-produced microplasmas in CO, CO2, methanol, and chloroform are studied by time-resolved emission measurements of the plasma decay. Electron densities are deduced from Stark broadening of the line profiles of atomic H, C, O, and Cl. Plasma ionization and excitation temperatures are determined from measurements of relative populations of ionic and neutral species produced in the plasmas. A discussion of the thermodynamic equilibrium status of ArF laser microplasmas is presented. In general, the ArF-laser-produced microplasma environment is found to be similar in all the gases studied, in terms of both temperature and electron density, despite the considerable differences observed in the breakdown thresholds and relative energies deposited in the various gases.

  15. Ho3+/Yb3+ co-doped TeO2-BaF2-Y2O3 glasses for ∼1.2 μm laser applications

    NASA Astrophysics Data System (ADS)

    Wang, Shunbin; Li, Chengzhi; Yao, Chuanfei; Jia, Shijie; Jia, Zhixu; Qin, Guanshi; Qin, Weiping

    2017-02-01

    Intense ∼1.2 μm fluorescence is observed in Ho3+/Yb3+ co-doped TeO2-BaF2-Y2O3 glasses under 915 nm laser diode excitation. The 1.2 μm emission can be ascribed to the transition 5I6→5I8 of Ho3+. With the introducing of BaF2, the content of OH in the glasses drops markedly, and the 1.2 μm emission intensity increases gradually as increasing the concentration percentage of BaF2. Furthermore, microstructured fibers based on the TeO2-BaF2-Y2O3 glasses are fabricated by using a rod-in-tube method, and a relative positive gain of ∼9.42 dB at 1175.3 nm is obtained in a 5 cm long fiber.

  16. Swords to plowshares: Shock wave applications to advanced lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Trucano, T.G.; Grady, D.E.; Kubiak, G.D.

    1995-03-01

    Extreme UltraViolet Lithography (EUVL) seeks to apply radiation in a wavelength region centered near 13 nm to produce microcircuits having features sizes 0.1 micron or less. A critical requirement for the commercial application of this technology is the development of an economical, compact source of this radiation which is suitable for lithographic applications. A good candidate is a laser-plasma source, which is generated by the interaction of an intermediate intensity laser pulse (up to 10{sup 12} W/cm{sup 2}) with a metallic target. While such a source has radiative characteristics which satisfy the needs of an EUVL source, the debris generatedmore » during the laser-target interaction strikes at the economy of the source. Here, the authors review the use of concepts and computer modeling, originally developed for hypervelocity impact analysis, to study this problem.« less

  17. 1-mJ Q-switched diode-pumped Nd:BaY2F8 laser

    NASA Astrophysics Data System (ADS)

    Agnesi, Antonio; Carraro, Giovanni; Guandalini, Annalisa; Reali, Giancarlo; Sani, Elisa; Toncelli, Alessandra; Tonelli, Mauro

    2004-08-01

    We report what is to our knowledge the first high repetition rate Q-switched Nd:BaY2F8 (Nd:BaYF) laser pumped with a multiwatt fiber-coupled diode array tuned at 806 nm. As much as 2.42 W of average power and up to 1.05 mJ of pulse energy were obtained with 6.1 W of absorbed pump power, with excellent beam quality (M2<1.2) and linear polarization.

  18. Fabrication of superconducting nanowire single-photon detectors by nonlinear femtosecond optical lithography

    NASA Astrophysics Data System (ADS)

    Minaev, N. V.; Tarkhov, M. A.; Dudova, D. S.; Timashev, P. S.; Chichkov, B. N.; Bagratashvili, V. N.

    2018-02-01

    This paper describes a new approach to the fabrication of superconducting nanowire single-photon detectors from ultrathin NbN films on SiO2 substrates. The technology is based on nonlinear femtosecond optical lithography and includes direct formation of the sensitive element of the detector (the meander) through femtosecond laser exposure of the polymethyl methacrylate resist at a wavelength of 525 nm and subsequent removal of NbN using plasma-chemical etching. The nonlinear femtosecond optical lithography method allows the formation of planar structures with a spatial resolution of ~50 nm. These structures were used to fabricate single-photon superconducting detectors with quantum efficiency no worse than 8% at a wavelength of 1310 nm and dark count rate of 10 s-1 at liquid helium temperature.

  19. Nonlinear absorption in single LaF3 and MgF2 layers at 193 nm measured by surface sensitive laser induced deflection technique

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Muehlig, Christian; Bublitz, Simon; Kufert, Siegfried

    2009-12-10

    We report nonlinear absorption data of LaF3 and MgF2 single layers at 193 nm. A highly surface sensitive measurement strategy of the laser induced deflection technique is introduced and applied to measure the absorption of highly transparent thin films independently of the substrate absorption. Linear absorptions k=({alpha}x{lambda})/4{pi} of 2x10{sup -4} and 8.5x10{sup -4} (LaF3) and 1.8x10{sup -4} and 6.9x10{sup -4} (MgF2) are found. Measured two photon absorption (TPA) coefficients are {beta}=1x10{sup -4} cm/W (LaF3), 1.8x10{sup -5}, and 5.8x10{sup -5} cm/W (MgF2). The TPA coefficients are several orders of magnitude higher than typical values for fluoride single crystals, which is likelymore » to result from sequential two step absorption processes.« less

  20. Pulsed Laser-Assisted Focused Electron-Beam-Induced Etching of Titanium with XeF 2 : Enhanced Reaction Rate and Precursor Transport

    DOE PAGES

    Noh, J. H.; Fowlkes, J. D.; Timilsina, R.; ...

    2015-01-28

    We introduce a laser-assisted focused electron-beam-induced etching (LA-FEBIE) process which is a versatile, direct write nanofabrication method that allows nanoscale patterning and editing; we do this in order to enhance the etch rate of electron-beam-induced etching. The results demonstrate that the titanium electron stimulated etch rate via the XeF2 precursor can be enhanced up to a factor of 6 times with an intermittent pulsed laser assist. Moreover, the evolution of the etching process is correlated to in situ stage current measurements and scanning electron micrographs as a function of time. Finally, the increased etch rate is attributed to photothermally enhancedmore » Ti–F reaction and TiF4 desorption and in some regimes enhanced XeF2 surface diffusion to the reaction zone.« less

  1. Coaxial Lithography

    NASA Astrophysics Data System (ADS)

    Ozel, Tuncay

    The optical and electrical properties of heterogeneous nanowires are profoundly related to their composition and nanoscale architecture. However, the intrinsic constraints of conventional synthetic and lithographic techniques have limited the types of multi-compositional nanowires that can be realized and studied in the laboratory. This thesis focuses on bridging templated electrochemical synthesis and lithography for expanding current synthetic capabilities with respect to materials generality and the ability to tailor two-dimensional growth in the formation of core-shell structures for the rational design and preparation of nanowires with very complex architectures that cannot be made by any other techniques. Chapter 1 introduces plasmonics, templated electrochemical synthesis, and on-wire lithography concepts and their significances within chemistry and materials science. Chapter 2 details a powerful technique for the deposition of metals and semiconductors with nanometer resolution in segment and gap lengths using on-wire lithography, which serves as a new platform to explore plasmon-exciton interactions in the form of long-range optical nanoscale rulers. Chapter 3 highlights an approach for the electrochemical synthesis of solution dispersible core-shell polymeric and inorganic semiconductor nanowires with metallic leads. A photodetector based on a single core-shell semiconductor nanowire is presented to demonstrate the functionality of the nanowires produced using this approach. Chapter 4 describes a new materials general technique, termed coaxial lithography (COAL), bridging templated electrochemical synthesis and lithography for generating coaxial nanowires in a parallel fashion with sub-10 nanometer resolution in both axial and radial dimensions. Combinations of coaxial nanowires composed of metals, metal oxides, metal chalcogenides, conjugated polymers, and a core/shell semiconductor nanowire with an embedded plasmonic nanoring are presented to

  2. Co:MgF2 laser ablation of tissue: effect of wavelength on ablation threshold and thermal damage.

    PubMed

    Schomacker, K T; Domankevitz, Y; Flotte, T J; Deutsch, T F

    1991-01-01

    The wavelength dependence of the ablation threshold of a variety of tissues has been studied by using a tunable pulsed Co:MgF2 laser to determine how closely it tracks the optical absorption length of water. The Co:MgF2 laser was tuned between 1.81 and 2.14 microns, a wavelength region in which the absorption length varies by a decade. For soft tissues the ablation threshold tracks the optical absorption length; for bone there is little wavelength dependence, consistent with the low water content of bone. Thermal damage vs. wavelength was also studied for cornea and bone. Thermal damage to cornea has a weak wavelength dependence, while that to bone shows little wavelength dependence. Framing-camera pictures of the ablation of both cornea and liver show explosive removal of material, but differ as to the nature of the explosion.

  3. Optical coatings for high average power XeF lasers

    NASA Astrophysics Data System (ADS)

    Milam, D.; Thomas, I.; Wilder, J.; George, D.

    1988-03-01

    Porous silica, calcium and magnesium fluorides were investigated for potential use as antireflective coatings for XeF lasers. Excellent optical properties were obtained for all types, and laser damage thresholds were in the range 18 to 25 J/sq cm at 350 nm for 25 ns pulses at 25 Hz pulse repetition frequency. Studies of the effects of the XeF laser environment on these coatings were incomplete. Three oxides, ZrO2, HfO2, and Ta2O5 were investigated as the high index components to be paired with low index porous SiO2 for highly reflective dielectric coatings. Single oxide layers had indices in the 1.7 to 1.8 range and HfO2 coatings had the highest damage threshold at about 5 J/sq cm. An unexpected problem arose on attempts to prepare multilayer coatings. Stress in the coating after 6 to 8 layers had been put down, gave rise to crazing and peeling. This could not be avoided even on extending the curing process between coats.

  4. Forward to cryogenic temperature: laser cooling of Yb: LuLiF crystal

    NASA Astrophysics Data System (ADS)

    Zhong, Biao; Luo, Hao; Lei, Yongqing; Shi, Yanling; Yin, Jianping

    2017-06-01

    The high quality Yb-doped fluoride crystals have broad prospects for optical refrigeration. We have laser cooled the Yb:LuLiF crystal to a temperature below the limit of current thermoelectric coolers ( 180 K). The 5% Yb:LuLiF crystal sample has a geometry of 2 mm×2 mm×5 mm and was supported by two fibers of 200 μm in diameter. They were placed in a 2×10-4 Pa vacuum chamber with an environment temperature of 294.5 K. The 1019 nm CW laser of power 38.7 W was adopted to irradiate the sample. The temperature of the sample was measured utilizing the DLT methods. After 20 minutes of laser irradiation, the 5% Yb:LuLiF crystal sample was cooled down to 182.4 K. By further optimizing experimental conditions and increasing the doped Yb concentration, the Yb:LuLiF crystal might be optically cooled below the cryogenic temperature of 123K in the near future.

  5. Orestes Kinetics Model for the Electra KrF Laser

    NASA Astrophysics Data System (ADS)

    Giuliani, J. L.; Kepple, P.; Lehmberg, R. H.; Myers, M. C.; Sethian, J. D.; Petrov, G.; Wolford, M.; Hegeler, F.

    2003-10-01

    Orestes is a first principles simulation code for the electron deposition, plasma chemistry, laser transport, and amplified spontaneous emission (ASE) in an e-beam pumped KrF laser. Orestes has been benchmarked against results from Nike at NRL and the Keio laser facility. The modeling tasks are to support ongoing oscillator experiments on the Electra laser ( 500 J), to predict performance of Electra as an amplifier, and to develop scaling relations for larger systems such as envisioned for an inertial fusion energy power plant. In Orestes the energy deposition of the primary beam electrons is assumed to be spatially uniform, but the excitation and ionization of the Ar/Kr/F2 target gas by the secondary electrons is determined from the energy distribution function as calculated by a Boltzmann code. The subsequent plasma kinetics of 23 species subject to over 100 reactions is followed with 1-D spatial resolution along the lasing axis. In addition, the vibrational relaxation among excited electronic states of the KrF molecule are included in the kinetics since lasing at 248 nm can occur from several vibrational lines of the B state. Transport of the lasing photons is solved by the method of characteristics. The time dependent ASE is calculated in 3-D using a ``local look-back'' scheme with discrete ordinates and includes specular reflection off the side walls and rear mirror. Gain narrowing is treated by multi-frequency transport of the ASE. Calculations for the gain, saturation intensity, extraction efficiency, and laser output from the Orestes model will be presented and compared with available data from Electra operated as an oscillator. Potential implications for the difference in optimal F2 concentration will be discussed along with the effects of window transmissivity at 248 nm.

  6. Tungsten ditelluride for a nanosecond Ho,Pr:LiLuF4 laser at 2.95 µm

    NASA Astrophysics Data System (ADS)

    Yan, Zhengyu; Li, Tao; Zhao, Jia; Zhao, Shengzhi; Yang, Kejian; Li, Guiqiu; Li, Dechun; Zhang, Shuaiyi; Li, Jian

    2018-04-01

    The linear and nonlinear absorption characteristics of a home-built multilayer tungsten ditelluride (WTe2) saturable absorber at ~3 µm were demonstrated for the first time. A passively Q-switched Ho,Pr:LiLuF4 laser was realized by inserting the WTe2-saturable absorber into a plane-concave laser cavity. A maximum average output power of 128 mW, with a pulse duration of 366 ns at a repetition rate of 92 kHz was obtained under an absorbed pump power of 3.67 W, corresponding to a pulse energy of 1.4 µJ.

  7. The Nike KrF laser facility: Performance and initial target experiments

    NASA Astrophysics Data System (ADS)

    Obenschain, S. P.; Bodner, S. E.; Colombant, D.; Gerber, K.; Lehmberg, R. H.; McLean, E. A.; Mostovych, A. N.; Pronko, M. S.; Pawley, C. J.; Schmitt, A. J.; Sethian, J. D.; Serlin, V.; Stamper, J. A.; Sullivan, C. A.; Dahlburg, J. P.; Gardner, J. H.; Chan, Y.; Deniz, A. V.; Hardgrove, J.; Lehecka, T.; Klapisch, M.

    1996-05-01

    Krypton-fluoride (KrF) lasers are of interest to laser fusion because they have both the large bandwidth capability (≳THz) desired for rapid beam smoothing and the short laser wavelength (1/4 μm) needed for good laser-target coupling. Nike is a recently completed 56-beam KrF laser and target facility at the Naval Research Laboratory. Because of its bandwidth of 1 THz FWHM (full width at half-maximum), Nike produces more uniform focal distributions than any other high-energy ultraviolet laser. Nike was designed to study the hydrodynamic instability of ablatively accelerated planar targets. First results show that Nike has spatially uniform ablation pressures (Δp/p<2%). Targets have been accelerated for distances sufficient to study hydrodynamic instability while maintaining good planarity. In this review we present the performance of the Nike laser in producing uniform illumination, and its performance in correspondingly uniform acceleration of targets.

  8. The laser-diode-excited 5 d-4 f luminescence of Ce3+ and Pr3+ ions embedded into a BaR2F8 matrix

    NASA Astrophysics Data System (ADS)

    Pushkar', A. A.; Uvarova, T. V.; Kozlova, N. S.; Kuznetsov, S. Yu.; Uvarova, A. G.

    2013-06-01

    We show the possibility of obtaining UV luminescence from 5 d-4 f transitions of rare-earth ions in the BaY2F8: (Yb3+, Pr3+, Ce3+) crystal under upconversion excitation by standard laser diodes with lasing wavelengths of 960, 808, and 840 nm. Various upconversion mechanisms of pumping for populating the higher-lying energy levels of the active ions, as well as methods of adaptation of the active medium BaY2F8: (Yb3+, Pr3+, Ce3+) to these mechanisms, are considered.

  9. Photonic band gap templating using optical interference lithography

    NASA Astrophysics Data System (ADS)

    Chan, Timothy Y. M.; Toader, Ovidiu; John, Sajeev

    2005-04-01

    We describe the properties of three families of inversion-symmetric, large photonic band-gap (PBG) template architectures defined by iso-intensity surfaces in four beam laser interference patterns. These templates can be fabricated by optical interference (holographic) lithography in a suitable polymer photo-resist. PBG materials can be synthesized from these templates using two stages of infiltration and inversion, first with silica and second with silicon. By considering point and space group symmetries to produce laser interference patterns with the smallest possible irreducible Brillouin zones, we obtain laser beam intensities, directions, and polarizations which generate a diamond-like (fcc) crystal, a novel body-centered cubic (bcc) architecture, and a simple-cubic (sc) structure. We obtain laser beam parameters that maximize the intensity contrasts of the interference patterns. This optimizes the robustness of the holographic lithography to inhomogeneity in the polymer photo-resist. When the optimized iso-intensity surface defines a silicon to air boundary (dielectric contrast of 11.9 to 1), the fcc, bcc, and sc crystals have PBG to center frequency ratios of 25%, 21%, and 11%, respectively. A full PBG forms for the diamond-like crystal when the refractive index contrast exceeds 1.97 to 1. We illustrate a noninversion symmetric PBG architecture that interpolates between a simple fcc structure and a diamond network structure. This crystal exhibits two distinct and complete photonic band gaps. We also describe a generalized class of tetragonal photonic crystals that interpolate between and extrapolate beyond the diamond-like crystal and the optimized bcc crystal. We demonstrate the extent to which the resulting PBG materials are robust against perturbations to the laser beam amplitudes and polarizations, and template inhomogeneity. The body centered cubic structure exhibits the maximum robustness overall.

  10. Laser Plasma Instability (LPI) Driven Light Scattering Measurements with Nike KrF Laser

    NASA Astrophysics Data System (ADS)

    Oh, J.; Weaver, J. L.; Kehne, D. M.; Obenschain, S. P.; McLean, E. A.; Lehmberg, R. H.

    2008-11-01

    With the short wavelength (248 nm), large bandwidth (1˜2 THz), and ISI beam smoothing, Nike KrF laser is expected to have higher LPI thresholds than observed at other laser facilities. Previous measurements using the Nike laser [J. L. Weaver et al, Phys. Plasmas 14, 056316 (2007)] showed no LPI evidence from CH targets up to I˜2x10^15 W/cm^2. For further experiments to detect LPI excitation, Nike capabilities have been extended to achieve higher laser intensities by tighter beam focusing and higher power pulses. This talk will present results of a recent LPI experiment with the extended Nike capabilities focusing on light emission data in spectral ranges relevant to the Raman (SRS) and Two-Plasmon Decay (TPD) instabilities. The primary diagnostics were time-resolved spectrometers with an absolute-intensity-calibrated photodiode array in (0.4˜0.8)φ0 and a streak camera near 0.5φ0. The measurements were conducted at laser intensities of 10^15˜10^16 W/cm^2 on planar targets of CH solids and RF foams.

  11. Plasmonic nanoparticle lithography: Fast resist-free laser technique for large-scale sub-50 nm hole array fabrication

    NASA Astrophysics Data System (ADS)

    Pan, Zhenying; Yu, Ye Feng; Valuckas, Vytautas; Yap, Sherry L. K.; Vienne, Guillaume G.; Kuznetsov, Arseniy I.

    2018-05-01

    Cheap large-scale fabrication of ordered nanostructures is important for multiple applications in photonics and biomedicine including optical filters, solar cells, plasmonic biosensors, and DNA sequencing. Existing methods are either expensive or have strict limitations on the feature size and fabrication complexity. Here, we present a laser-based technique, plasmonic nanoparticle lithography, which is capable of rapid fabrication of large-scale arrays of sub-50 nm holes on various substrates. It is based on near-field enhancement and melting induced under ordered arrays of plasmonic nanoparticles, which are brought into contact or in close proximity to a desired material and acting as optical near-field lenses. The nanoparticles are arranged in ordered patterns on a flexible substrate and can be attached and removed from the patterned sample surface. At optimized laser fluence, the nanohole patterning process does not create any observable changes to the nanoparticles and they have been applied multiple times as reusable near-field masks. This resist-free nanolithography technique provides a simple and cheap solution for large-scale nanofabrication.

  12. Frequency stabilization of an Er-doped fiber laser with a collinear 2f-to-3f self-referencing interferometer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hitachi, K., E-mail: hitachi.kenichi@lab.ntt.co.jp; Ishizawa, A.; Mashiko, H.

    2015-06-08

    We report the stabilization of the carrier-envelope offset (CEO) frequency of an Er-doped fiber laser with a collinear 2f-to-3f self-referencing interferometer. The interferometer is implemented by a dual-pitch periodically poled lithium niobate ridge waveguide with two different quasi-phase matching pitch sizes. We obtain a 52-dB signal-to-noise ratio in the 100-kHz resolution bandwidth of a heterodyne beat signal, which is sufficient for frequency stabilization. We also demonstrate that the collinear geometry is robust against environmental perturbation by comparing in-loop and out-of-loop Allan deviations when the in-loop CEO frequency is stabilized with a phase-locked loop circuit.

  13. Lossless compression techniques for maskless lithography data

    NASA Astrophysics Data System (ADS)

    Dai, Vito; Zakhor, Avideh

    2002-07-01

    Future lithography systems must produce more dense chips with smaller feature sizes, while maintaining the throughput of one wafer per sixty seconds per layer achieved by today's optical lithography systems. To achieve this throughput with a direct-write maskless lithography system, using 25 nm pixels for 50 nm feature sizes, requires data rates of about 10 Tb/s. In a previous paper, we presented an architecture which achieves this data rate contingent on consistent 25 to 1 compression of lithography data, and on implementation of a decoder-writer chip with a real-time decompressor fabricated on the same chip as the massively parallel array of lithography writers. In this paper, we examine the compression efficiency of a spectrum of techniques suitable for lithography data, including two industry standards JBIG and JPEG-LS, a wavelet based technique SPIHT, general file compression techniques ZIP and BZIP2, our own 2D-LZ technique, and a simple list-of-rectangles representation RECT. Layouts rasterized both to black-and-white pixels, and to 32 level gray pixels are considered. Based on compression efficiency, JBIG, ZIP, 2D-LZ, and BZIP2 are found to be strong candidates for application to maskless lithography data, in many cases far exceeding the required compression ratio of 25. To demonstrate the feasibility of implementing the decoder-writer chip, we consider the design of a hardware decoder based on ZIP, the simplest of the four candidate techniques. The basic algorithm behind ZIP compression is Lempel-Ziv 1977 (LZ77), and the design parameters of LZ77 decompression are optimized to minimize circuit usage while maintaining compression efficiency.

  14. XUV generation from the interaction of pico- and nanosecond laser pulses with nanostructured targets

    NASA Astrophysics Data System (ADS)

    Barte, Ellie Floyd; Lokasani, Ragava; Proska, Jan; Stolcova, Lucie; Maguire, Oisin; Kos, Domagoj; Sheridan, Paul; O'Reilly, Fergal; Sokell, Emma; McCormack, Tom; O'Sullivan, Gerry; Dunne, Padraig; Limpouch, Jiri

    2017-05-01

    Laser-produced plasmas are intense sources of XUV radiation that can be suitable for different applications such as extreme ultraviolet lithography, beyond extreme ultraviolet lithography and water window imaging. In particular, much work has focused on the use of tin plasmas for extreme ultraviolet lithography at 13.5 nm. We have investigated the spectral behavior of the laser produced plasmas formed on closely packed polystyrene microspheres and porous alumina targets covered by a thin tin layer in the spectral region from 2.5 to 16 nm. Nd:YAG lasers delivering pulses of 170 ps (Ekspla SL312P )and 7 ns (Continuum Surelite) duration were focused onto the nanostructured targets coated with tin. The intensity dependence of the recorded spectra was studied; the conversion efficiency (CE) of laser energy into the emission in the 13.5 nm spectral region was estimated. We have observed an increase in CE using high intensity 170 ps Nd:YAG laser pulses as compared with a 7 ns pulse.

  15. Bandwidth Dependence of Laser Plasma Instabilities Driven by the Nike KrF Laser

    NASA Astrophysics Data System (ADS)

    Weaver, J. L.; Oh, J.; Seely, J.; Kehne, D.; Brown, C. M.; Obenschain, S.; Serlin, V.; Schmitt, A. J.; Phillips, L.; Lehmberg, R. H.; McLean, E.; Manka, C.; Feldman, U.

    2011-10-01

    The Nike krypton-fluoride (KrF) laser at the Naval Research Laboratory operates in the deep UV (248 nm) and employs beam smoothing by induced spatial incoherence (ISI). In the first ISI studies at longer wavelengths (1054 nm and 527 nm) [Obenschain, PRL 62, 768(1989);Mostovych, PRL, 59, 1193(1987); Peyser, Phys. Fluids B 3, 1479(1991)], stimulated Raman scattering, stimulated Brillouin scattering, and the two plasmon decay instability were reduced when wide bandwidth ISI (δν / ν ~ 0.03-0.19%) pulses irradiated targets at moderate to high intensities (1014-1015W/cm2) . Recent Nike work showed that the threshold for quarter critical instabilities increased with the expected wavelength scaling, without accounting for the large bandwidth (δν ~ 1-3 THz). New experiments will compare laser plasma instabilities (LPI) driven by narrower bandwidth pulses to those observed with the standard operation. The bandwidth of KrF lasers can be reduced by adding narrow filters (etalons or gratings) in the initial stages of the laser. This talk will discuss the method used to narrow the output spectrum of Nike, the laser performance for this new operating mode, and target observations of LPI in planar CH targets. Work supported by DoE/NNSA.

  16. Development of Electron Beam Pumped KrF Lasers for Fusion Energy

    DTIC Science & Technology

    2008-01-01

    Direct drive with krypton fluoride (KrF) lasers is an attractive approach to inertial fusion energy (IFE): KrF lasers have outstanding beam spatial...attractive power plant [3]. In view of these advances, several world-wide programs are underway to develop KrF lasers for fusion energy . These include

  17. Indus-2 X-ray lithography beamline for X-ray optics and material science applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dhamgaye, V. P., E-mail: vishal@rrcat.gov.in; Lodha, G. S., E-mail: vishal@rrcat.gov.in

    2014-04-24

    X-ray lithography is an ideal technique by which high aspect ratio and high spatial resolution micro/nano structures are fabricated using X-rays from synchrotron radiation source. The technique has been used for fabricating optics (X-ray, visible and infrared), sensors and actuators, fluidics and photonics. A beamline for X-ray lithography is operational on Indus-2. The beamline offers wide lithographic window from 1-40keV photon energy and wide beam for producing microstructures in polymers upto size ∼100mm × 100mm. X-ray exposures are possible in air, vacuum and He gas environment. The air based exposures enables the X-ray irradiation of resist for lithography and alsomore » irradiation of biological and liquid samples.« less

  18. Unique capabilities for ICF and HEDP research with the KrF laser

    NASA Astrophysics Data System (ADS)

    Obenschain, Stephen; Bates, Jason; Chan, Lop-Yung; Karasik, Max; Kehne, David; Sethian, John; Serlin, Victor; Weaver, James; Oh, Jaechul; Jenkins, Bruce; Lehmberg, Robert; Hegeler, Frank; Terrell, Stephen; Aglitskiy, Yefim; Schmitt, Andrew

    2014-10-01

    The krypton-fluoride (KrF) laser provides the shortest wavelength, broadest bandwidth and most uniform target illumination of all developed high-energy lasers. For directly driven targets these characteristics result in higher and more uniform ablation pressures as well as higher intensity thresholds for laser-plasma instability. The ISI beam smoothing scheme implemented on the NRL Nike KrF facility allows easy implementation of focal zooming where the laser radial profile is varied during the laser pulse. The capability for near continuous zooming with KrF would be valuable towards minimizing the effects of cross beam energy transport (CBET) in directly driven capsule implosions. The broad bandwidth ISI beam smoothing that is utilized with the Nike KrF facility may further inhibit certain laser plasma instability. In this presentation we will summarize our current understanding of laser target interaction with the KrF laser and the benefits it provides for ICF and certain HEDP experiments. Status and progress in high-energy KrF laser technology will also be discussed. Work supported by the Deparment of Energy, NNSA.

  19. Trends in imprint lithography for biological applications.

    PubMed

    Truskett, Van N; Watts, Michael P C

    2006-07-01

    Imprint lithography is emerging as an alternative nano-patterning technology to traditional photolithography that permits the fabrication of 2D and 3D structures with <100 nm resolution, patterning and modification of functional materials other than photoresist and is low cost, with operational ease for use in developing bio-devices. Techniques for imprint lithography, categorized as either 'molding and embossing' or 'transfer printing', will be discussed in the context of microarrays for genomics, proteomics and tissue engineering. Specifically, fabrication by nanoimprint lithography (NIL), UV-NIL, step and flash imprint lithography (S-FIL), micromolding by elastomeric stamps and micro- and nano-contact printing will be reviewed.

  20. Novel topcoat materials with improved receding angles and dissolution properties for ArF immersion lithography

    NASA Astrophysics Data System (ADS)

    Yun, Sang Geun; Lee, Jin Young; Yang, Young Soo; Shin, Seung Wook; Lee, Sung Jae; Kwon, Hyo Young; Cho, Youn Jin; Choi, Seung Jib; Choi, Sang Jun; Kim, Jong Seob; Chang, Tuwon

    2010-04-01

    A topcoat material plays a significant role in achieving technology nodes below 45 nm via ArF immersion lithography. Switching the exposure medium between the lens and the photoresist (PR) film from gas (air, n=1) to liquid (H2O, n=1.44) may lead to leaching of the polymer, the photoacid generator (PAG), or the solvent. These substances can contaminate the lens or cause bubbles, which can lead to defects during the patterning. Previously reported topcoat materials mainly use hydrophobic fluoro-compounds and carboxylic acids to provide high dissolution rates (DR) to basic developers as well as high receding contact angles (RCA). Recently, the demand for a new top-coat material has risen since current materials cause water-mark defects and decreases in scan speeds, due to insufficient RCA's. However, RCA and DR are in a trade-off relationship as an increase in RCA generally results in a lower DR. To overcome this, a novel polymer with high-fluorine content was synthesized to produce a topcoat material with improved DR (120 nm/s in 2.38 wt% TMAH) and RCA (>70°). In addition, a strategy to control the pattern profile according to needs of customers was found.

  1. Development of new resist materials for 193-nm dry and immersion lithography

    NASA Astrophysics Data System (ADS)

    Sasaki, Takashi; Shirota, Naoko; Takebe, Yoko; Yokokoji, Osamu

    2006-03-01

    We earlier developed new monocyclic fluoropolymers (FUGU) for F II resist materials. But, it is necessary for FUGU to improve of their characteristics, especially the dry-etching resistance, in order to apply for ArF lithography at fine design rules. We have tried to combine FUGUs with Adamntyl methacrylates based conventional ArF resist polymer. In this paper, we have investigated the role of cyclic fluorinated unit, FUGU, in 193 nm resist polymers by analyzing the dissolution behavior. We found that FGEAM showed high sensitivity and good dissolution contrast, compared with acrylate based conventional samples at low PEB temperature (100 °C). And this difference of sensitivity was clearly found when weak acidity PAGs were used. From the dissolution behaviors of FGEAM, FUGU unit can work to improve the resist sensitivity in acrylate based ArF resist polymers. And we also found that FGEAM showed long acid diffusion length on PEB process, compared with Conventional samples. These result show that FUGU unit has a unique characteristics of the sensitivity with 193nm exposure and the acid diffusion behavior. We also investigated a new series of fluorinated copolymers for 193-nm lithography, combination of FUGU monomer and acrylate units which are used in conventional ArF resist. Six ter-polymers of FUGU, combination of FUGU monomers and EAdMA, GBLMA and HAdMA were prepared. We found that FUGU ter-polymers had a good dry etching resistance keeping high transparency at 193nm. And FUGU ter-polymers showed high sensitivity toward 193nm exposure. FUGU ter-polymers also had a high hydrophobic properties compared conventional type ArF resist polymers. So we also expect FUGU ter-polymers to be useful for ArF dry and immersion lithography.

  2. Optimal design of wide-view-angle waveplate used for polarimetric diagnosis of lithography system

    NASA Astrophysics Data System (ADS)

    Gu, Honggang; Jiang, Hao; Zhang, Chuanwei; Chen, Xiuguo; Liu, Shiyuan

    2016-03-01

    The diagnosis and control of the polarization aberrations is one of the main concerns in a hyper numerical aperture (NA) lithography system. Waveplates are basic and indispensable optical components in the polarimetric diagnosis tools for the immersion lithography system. The retardance of a birefringent waveplate is highly sensitive to the incident angle of the light, which makes the conventional waveplate not suitable to be applied in the polarimetric diagnosis for the immersion lithography system with a hyper NA. In this paper, we propose a method for the optimal design of a wideview- angle waveplate by combining two positive waveplates made from magnesium fluoride (MgF2) and two negative waveplates made from sapphire using the simulated annealing algorithm. Theoretical derivations and numerical simulations are performed and the results demonstrate that the maximum variation in the retardance of the optimally designed wide-view-angle waveplate is less than +/- 0.35° for a wide-view-angle range of +/- 20°.

  3. Lithography alternatives meet design style reality: How do they "line" up?

    NASA Astrophysics Data System (ADS)

    Smayling, Michael C.

    2016-03-01

    Optical lithography resolution scaling has stalled, giving innovative alternatives a window of opportunity. One important factor that impacts these lithographic approaches is the transition in design style from 2D to 1D for advanced CMOS logic. Just as the transition from 3D circuits to 2D fabrication 50 years ago created an opportunity for a new breed of electronics companies, the transition today presents exciting and challenging time for lithographers. Today, we are looking at a range of non-optical lithography processes. Those considered here can be broadly categorized: self-aligned lithography, self-assembled lithography, deposition lithography, nano-imprint lithography, pixelated e-beam lithography, shot-based e-beam lithography .Do any of these alternatives benefit from or take advantage of 1D layout? Yes, for example SAPD + CL (Self Aligned Pitch Division combined with Complementary Lithography). This is a widely adopted process for CMOS nodes at 22nm and below. Can there be additional design / process co-optimization? In spite of the simple-looking nature of 1D layout, the placement of "cut" in the lines and "holes" for interlayer connections can be tuned for a given process capability. Examples of such optimization have been presented at this conference, typically showing a reduction of at least one in the number of cut or hole patterns needed.[1,2] Can any of the alternatives complement each other or optical lithography? Yes.[3] For example, DSA (Directed Self Assembly) combines optical lithography with self-assembly. CEBL (Complementary e-Beam Lithography) combines optical lithography with SAPD for lines with shot-based e-beam lithography for cuts and holes. Does one (shrinking) size fit all? No, that's why we have many alternatives. For example NIL (Nano-imprint Lithography) has been introduced for NAND Flash patterning where the (trending lower) defectivity is acceptable for the product. Deposition lithography has been introduced in 3D NAND Flash to

  4. Soft x-ray reduction camera for submicron lithography

    DOEpatents

    Hawryluk, Andrew M.; Seppala, Lynn G.

    1991-01-01

    Soft x-ray projection lithography can be performed using x-ray optical components and spherical imaging lenses (mirrors), which form an x-ray reduction camera. The x-ray reduction is capable of projecting a 5x demagnified image of a mask onto a resist coated wafer using 4.5 nm radiation. The diffraction limited resolution of this design is about 135 nm with a depth of field of about 2.8 microns and a field of view of 0.2 cm.sup.2. X-ray reflecting masks (patterned x-ray multilayer mirrors) which are fabricated on thick substrates and can be made relatively distortion free are used, with a laser produced plasma for the source. Higher resolution and/or larger areas are possible by varying the optic figures of the components and source characteristics.

  5. Simulation study of reticle enhancement technology applications for 157-nm lithography

    NASA Astrophysics Data System (ADS)

    Schurz, Dan L.; Flack, Warren W.; Karklin, Linard

    2002-03-01

    The acceleration of the International Technology Roadmap for Semiconductors (ITRS) is placing significant pressure on the industry's infrastructure, particularly the lithography equipment. As recently as 1997, there was no optical solution offered past the 130 nm design node. The current roadmap has the 65 nm node (reduced from 70 nm) pulled in one year to 2007. Both 248 nm and 193 nm wavelength lithography tools will be pushed to their practical resolution limits in the near term. Very high numerical aperture (NA) 193 nm exposure tools in conjunction with resolution enhancement techniques (RET) will postpone the requirement for 157 nm lithography in manufacturing. However, ICs produced at 70 nm design rules with manufacturable k 1 values will require that 157 nm wavelength lithography tools incorporate the same RETs utilized in 248nm, and 193 nm tools. These enhancements will include Alternating Phase Shifting Masks (AltPSM) and Optical Proximity Correction (OPC) on F 2 doped quartz reticle substrates. This study investigates simulation results when AltPSM is applied to sub-100 nm test patterns in 157 nm lithography in order to maintain Critical Dimension (CD) control for both nested and isolated geometries. Aerial image simulations are performed for a range of numerical apertures, chrome regulators, gate pitches and gate widths. The relative performance for phase shifted versus binary structures is also compared. Results are demonstrated in terms of aerial image contrast and process window changes. The results clearly show that a combination of high NA and RET is necessary to achieve usable process windows for 70 nm line/space structures. In addition, it is important to consider two-dimensional proximity effects for sub-100 nm gate structures.

  6. Speckle lithography for fabricating Gaussian, quasi-random 2D structures and black silicon structures.

    PubMed

    Bingi, Jayachandra; Murukeshan, Vadakke Matham

    2015-12-18

    Laser speckle pattern is a granular structure formed due to random coherent wavelet interference and generally considered as noise in optical systems including photolithography. Contrary to this, in this paper, we use the speckle pattern to generate predictable and controlled Gaussian random structures and quasi-random structures photo-lithographically. The random structures made using this proposed speckle lithography technique are quantified based on speckle statistics, radial distribution function (RDF) and fast Fourier transform (FFT). The control over the speckle size, density and speckle clustering facilitates the successful fabrication of black silicon with different surface structures. The controllability and tunability of randomness makes this technique a robust method for fabricating predictable 2D Gaussian random structures and black silicon structures. These structures can enhance the light trapping significantly in solar cells and hence enable improved energy harvesting. Further, this technique can enable efficient fabrication of disordered photonic structures and random media based devices.

  7. Speckle lithography for fabricating Gaussian, quasi-random 2D structures and black silicon structures

    PubMed Central

    Bingi, Jayachandra; Murukeshan, Vadakke Matham

    2015-01-01

    Laser speckle pattern is a granular structure formed due to random coherent wavelet interference and generally considered as noise in optical systems including photolithography. Contrary to this, in this paper, we use the speckle pattern to generate predictable and controlled Gaussian random structures and quasi-random structures photo-lithographically. The random structures made using this proposed speckle lithography technique are quantified based on speckle statistics, radial distribution function (RDF) and fast Fourier transform (FFT). The control over the speckle size, density and speckle clustering facilitates the successful fabrication of black silicon with different surface structures. The controllability and tunability of randomness makes this technique a robust method for fabricating predictable 2D Gaussian random structures and black silicon structures. These structures can enhance the light trapping significantly in solar cells and hence enable improved energy harvesting. Further, this technique can enable efficient fabrication of disordered photonic structures and random media based devices. PMID:26679513

  8. Acceleration to High Velocities and Heating by Impact Using Nike KrF Laser

    DTIC Science & Technology

    2010-01-01

    Acceleration to high velocities and heating by impact using Nike KrF laser. Max Karasik,1, ∗ J. L. Weaver,1 Y. Aglitskiy,2 T. Watari,3 Y. Arikawa,3 T...Suita, Osaka 565-0871, Japan 4RSI, Lanham, MD 20706 The Nike krypton fluoride laser [S. P. Obenschain, S. E. Bodner, D. Colombant, K. Gerber, R. H...COVERED 00-00-2010 to 00-00-2010 4. TITLE AND SUBTITLE Acceleration to high velocities and heating by impact using Nike KrF laser. 5a. CONTRACT

  9. KrF laser pumping by electron beam discharge

    NASA Astrophysics Data System (ADS)

    Bonnet, J.; Fournier, G.; Pigache, D.

    1981-09-01

    The pumping of excimer lasers used in nuclear fusion and isotope separation is considered. Homogeneous ionization with an electron beam permitted discharge pumping of a KrF laser with a discharge-energy/beam-energy ratio 5. This high value is obtained to the detriment of an energy density and an efficiency which are about half the best values obtained under other conditions. This result does not modify a recent conclusion indicating that an electron beam controlled discharge has no significant advantage over a pure electron beam as regards pumping high energy KrF lasers at high repetition rate.

  10. Resolution improvement of 3D stereo-lithography through the direct laser trajectory programming: Application to microfluidic deterministic lateral displacement device.

    PubMed

    Juskova, Petra; Ollitrault, Alexis; Serra, Marco; Viovy, Jean-Louis; Malaquin, Laurent

    2018-02-13

    The vast majority of current microfluidic devices are produced using soft lithography, a technique with strong limitations regarding the fabrication of three-dimensional architectures. Additive manufacturing holds great promises to overcome these limitations, but conventional machines still lack the resolution required by most microfluidic applications. 3D printing machines based on two-photon lasers, in contrast, have the needed resolution but are too limited in speed and size of the global device. Here we demonstrate how the resolution of conventional stereolithographic machines can be improved by a direct programming of the laser path and can contribute to bridge the gap between the two above technologies, allowing the direct printing of features between 10 and 100 μm, corresponding to a large fraction of microfluidic applications. This strategy allows to achieve resolutions limited only by the physical size of the laser beam, decreasing by a factor at least 2× the size of the smallest features printable, and increasing their reproducibility by a factor 5. The approach was applied to produce an open microfluidic device with the reversible seal, integrating periodical patterns using the simple motifs, and validated by the fabrication of a deterministic lateral displacement particles sorting device. The sorting of polystyrene beads (diameter: 20 μm and 45 μm) was achieved with a specificity >95%, comparable with that achieved with arrays prepared by microlithography. Copyright © 2017 Elsevier B.V. All rights reserved.

  11. Laser profiling of 3D microturbine blades

    NASA Astrophysics Data System (ADS)

    Holmes, Andrew S.; Heaton, Mark E.; Hong, Guodong; Pullen, Keith R.; Rumsby, Phil T.

    2003-11-01

    We have used KrF excimer laser ablation in the fabrication of a novel MEMS power conversion device based on an axial-flow turbine with an integral axial-flux electromagnetic generator. The device has a sandwich structure, comprising a pair of silicon stators either side of an SU8 polymer rotor. The curved turbine rotor blades were fabricated by projection ablation of SU8 parts performed by conventional UV lithography. A variable aperture mask, implemented by stepping a moving aperture in front of a fixed one, was used to achieve the desired spatial variation in the ablated depth. An automatic process was set up on a commercial laser workstation, with the laser firing and mask motion being controlled by computer. High quality SU8 rotor parts with diameters of 13 mm and depths of 1 mm were produced at a fluence of 0.7 J/cm2, corresponding to a material removal rate of approximately 0.3 μm per pulse. A similar approach was used to form SU8 guide vane inserts for the stators.

  12. Latest results on solarization of optical glasses with pulsed laser radiation

    NASA Astrophysics Data System (ADS)

    Jedamzik, Ralf; Petzold, Uwe

    2017-02-01

    Femtosecond lasers are more and more used for material processing and lithography. Femtosecond laser help to generate three dimensional structures in photoresists without using masks in micro lithography. This technology is of growing importance for the field of backend lithography or advanced packaging. Optical glasses used for beam shaping and inspection tools need to withstand high laser pulse energies. Femtosecond laser radiation in the near UV wavelength range generates solarization effects in optical glasses. In this paper results are shown of femtosecond laser solarization experiments on a broad range of optical glasses from SCHOTT. The measurements have been performed by the Laser Zentrum Hannover in Germany. The results and their impact are discussed in comparison to traditional HOK-4 and UVA-B solarization measurements of the same materials. The target is to provide material selection guidance to the optical designer of beam shaping lens systems.

  13. 12W laser amplification at 1427nm on the 4F 3/2 to 4I 13/2 spectral line in an Nd 3+ doped fused silica optical fiber

    DOE PAGES

    Dawson, Jay W.; Pax, Paul H.; Allen, Graham S.; ...

    2016-12-08

    A 9.3dB improvement in optical gain and a 100x improvement in total optical power over prior published experimental results from the 4F 3/2 to 4I 13/2 transition in an Nd 3+ doped fused silica optical fiber is demonstrated. This is enabled via an optical fiber waveguide design that creates high spectral attenuation in the 1050-1120nm-wavelength range, a continuous spectral filter for the primary 4F 3/2 to 4I 11/2 optical transition. A maximum output power at 1427nm of 1.2W was attained for 43mW coupled seed laser power and 22.2W of coupled pump diode laser power at 880nm a net optical gainmore » of 14.5dB. Reducing the coupled seed laser power to 2.5mW enabled the system to attain 19.3dB of gain for 16.5W of coupled pump power. Four issues limited results; non-optimal seed laser wavelength, amplified spontaneous emission on the 4F 3/2 to 4I 9/2 optical transition, low absorption of pump light from the cladding and high spectral attenuation in the 1350-1450nm range. Lastly, future fibers that mitigate these issues should lead to significant improvements in the efficiency of the laser amplifier, though the shorter wavelength region of the transition from 1310nm to >1350nm is still expected to be limited by excited state absorption.« less

  14. 12W laser amplification at 1427nm on the 4F 3/2 to 4I 13/2 spectral line in an Nd 3+ doped fused silica optical fiber

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dawson, Jay W.; Pax, Paul H.; Allen, Graham S.

    A 9.3dB improvement in optical gain and a 100x improvement in total optical power over prior published experimental results from the 4F 3/2 to 4I 13/2 transition in an Nd 3+ doped fused silica optical fiber is demonstrated. This is enabled via an optical fiber waveguide design that creates high spectral attenuation in the 1050-1120nm-wavelength range, a continuous spectral filter for the primary 4F 3/2 to 4I 11/2 optical transition. A maximum output power at 1427nm of 1.2W was attained for 43mW coupled seed laser power and 22.2W of coupled pump diode laser power at 880nm a net optical gainmore » of 14.5dB. Reducing the coupled seed laser power to 2.5mW enabled the system to attain 19.3dB of gain for 16.5W of coupled pump power. Four issues limited results; non-optimal seed laser wavelength, amplified spontaneous emission on the 4F 3/2 to 4I 9/2 optical transition, low absorption of pump light from the cladding and high spectral attenuation in the 1350-1450nm range. Lastly, future fibers that mitigate these issues should lead to significant improvements in the efficiency of the laser amplifier, though the shorter wavelength region of the transition from 1310nm to >1350nm is still expected to be limited by excited state absorption.« less

  15. Periodic structure with a periodicity of 2-3.5 μm on crystalline TiO2 induced by unpolarized KrF excimer lasers

    NASA Astrophysics Data System (ADS)

    He, Rong; Ma, Hongliang; Zheng, Jiahui; Han, Yongmei; Lu, Yuming; Cai, Chuanbing

    2016-08-01

    Laser-induced periodic surface structures (LIPSS) were processed on the TiO2 bulk surface under the irradiation of 248 nm unpolarized KrF excimer laser pulses in air. Spatial LIPSS periods ranging from 2 to 3.5 μm are ascribed to the capillary wave. These microstructures were analyzed at different laser pulse numbers with the laser energy from 192 to 164 mJ. The scanning electron microscopy results indicated eventually stripes that have been disrupted as the increase in the laser pulse numbers, which is reasonably explained by the energy accumulating effect. In addition, investigations were concentrated on the surface modifications at pre-focal plane, focal plane and post-focal plane in the same defocusing amount. Compared with condition at pre-focal plane, in addition to the plasma produced at target, the air was also breakdown for the situation of post-focal plane. So it was reasonable that stripes appeared at pre-focal plane but not at post-focal plane.

  16. Soft x-ray reduction camera for submicron lithography

    DOEpatents

    Hawryluk, A.M.; Seppala, L.G.

    1991-03-26

    Soft x-ray projection lithography can be performed using x-ray optical components and spherical imaging lenses (mirrors), which form an x-ray reduction camera. The x-ray reduction is capable of projecting a 5x demagnified image of a mask onto a resist coated wafer using 4.5 nm radiation. The diffraction limited resolution of this design is about 135 nm with a depth of field of about 2.8 microns and a field of view of 0.2 cm[sup 2]. X-ray reflecting masks (patterned x-ray multilayer mirrors) which are fabricated on thick substrates and can be made relatively distortion free are used, with a laser produced plasma for the source. Higher resolution and/or larger areas are possible by varying the optic figures of the components and source characteristics. 9 figures.

  17. Light sources for high-volume manufacturing EUV lithography: technology, performance, and power scaling

    NASA Astrophysics Data System (ADS)

    Fomenkov, Igor; Brandt, David; Ershov, Alex; Schafgans, Alexander; Tao, Yezheng; Vaschenko, Georgiy; Rokitski, Slava; Kats, Michael; Vargas, Michael; Purvis, Michael; Rafac, Rob; La Fontaine, Bruno; De Dea, Silvia; LaForge, Andrew; Stewart, Jayson; Chang, Steven; Graham, Matthew; Riggs, Daniel; Taylor, Ted; Abraham, Mathew; Brown, Daniel

    2017-06-01

    Extreme ultraviolet (EUV) lithography is expected to succeed in 193-nm immersion multi-patterning technology for sub-10-nm critical layer patterning. In order to be successful, EUV lithography has to demonstrate that it can satisfy the industry requirements in the following critical areas: power, dose stability, etendue, spectral content, and lifetime. Currently, development of second-generation laser-produced plasma (LPP) light sources for the ASML's NXE:3300B EUV scanner is complete, and first units are installed and operational at chipmaker customers. We describe different aspects and performance characteristics of the sources, dose stability results, power scaling, and availability data for EUV sources and also report new development results.

  18. Development of nanoimprint lithography templates for the contact hole layer application (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Ichimura, Koji; Hikichi, Ryugo; Harada, Saburo; Kanno, Koichi; Kurihara, Masaaki; Hayashi, Naoya

    2017-04-01

    Nanoimprint lithography, NIL, is gathering much attention as one of the most potential candidates for the next generation lithography for semiconductor. This technology needs no pattern data modification for exposure, simpler exposure system, and single step patterning process without any coat/develop truck, and has potential of cost effective patterning rather than very complex optical lithography and/or EUV lithography. NIL working templates are made by the replication of the EB written high quality master templates. Fabrication of high resolution master templates is one of the most important issues. Since NIL is 1:1 pattern transfer process, master templates have 4 times higher resolution compared with photomasks. Another key is to maintain the quality of the master templates in replication process. NIL process is applied for the template replication and this imprint process determines most of the performance of the replicated templates. Expectations to the NIL are not only high resolution line and spaces but also the contact hole layer application. Conventional ArF-i lithography has a certain limit in size and pitch for contact hole fabrication. On the other hand, NIL has good pattern fidelity for contact hole fabrication at smaller sizes and pitches compared with conventional optical lithography. Regarding the tone of the templates for contact hole, there are the possibilities of both tone, the hole template and the pillar template, depending on the processes of the wafer side. We have succeeded to fabricate both types of templates at 2xnm in size. In this presentation, we will be discussing fabrication or our replica template for the contact hole layer application. Both tone of the template fabrication will be presented as well as the performance of the replica templates. We will also discuss the resolution improvement of the hole master templates by using various e-beam exposure technologies.

  19. Electron Attachment to Radicals and Highly-Excited States in Laser-Irradiated CCl_2F_2*

    NASA Astrophysics Data System (ADS)

    Pinnaduwage, Lal; Datskos, Panos

    1997-10-01

    We have measured electron attachment rate constants for two species produced via ArF-excimer- laser irradiated CF_2Cl_2, i.e., the CF_2Cl radical and the highly-excited electronically-excited states of CF_2Cl_2. These measurements show that while electron attachment to the fragment radical has a rate constants about an order of magnitude higher compared to the ground states of CF_2Cl_2, electron attachment to the highly- excited states have many orders of magnitude larger rate constants. To our knowledge, only one other electron attachment measurement has been conducted on molecular fragments up to now. Implications of these measurements for plasma processing discharges will be discussed. Research supported by the National Science Foundation under contract No. ECS-9626217 with the University of Tennessee, Knoxville. The Oak Ridge National Laboratory is managed by Lockheed Martin Energy Research Corp. for the U. S. DOE under contract No. DE-AC05- 96OR22464.

  20. Raman shifting of KrF laser radiation for tropospheric ozone measurements

    NASA Technical Reports Server (NTRS)

    Grant, William B.; Browell, Edward V.; Higdon, Noah S.; Ismail, Syed

    1991-01-01

    The differential absorption lidar (DIAL) measurement of tropospheric ozone requires use of high average power UV lasers operating at two appropriate DIAL wavelengths. Laboratory experiments have demonstrated that a KrF excimer laser can be used to generate several wavelengths with good energy conversion efficiencies by stimulated Raman shifting using hydrogen (H2) and deuterium (D2). Computer simulations for an airborne lidar have shown that these laser emissions can be used for the less than 5 percent random error, high resolution measuremment of ozone across the troposphere using the DIAL technique. In the region of strong ozone absorption, laser wavelengths of 277.0 and 291.7 nm were generated using H2 and D2, respectively. In addition, a laser wavelength at 302.0 nm was generated using two cells in series, with the first containing D2 and the second containing H2. The energy conversion efficiency for each wavelength was between 14 and 27 percent.

  1. Electron Beam/Optical Hybrid Lithography For The Production Of Gallium Arsenide Monolithic Microwave Integrated Circuits (Mimics)

    NASA Astrophysics Data System (ADS)

    Nagarajan, Rao M.; Rask, Steven D.

    1988-06-01

    beam to optical with t 0.2μm (2 sigma) and (2) Electron beam to electron beam with f 0.lμm (2 sigma). These results suggest that the electron beam/optical hybrid lithography techniques could be used for MIMIC volume production as alignment tolerances required by GaAS chips are met in both cases. These results are discussed in detail.

  2. Scalable Top-Down Approach Tailored by Interferometric Lithography to Achieve Large-Area Single-Mode GaN Nanowire Laser Arrays on Sapphire Substrate.

    PubMed

    Behzadirad, Mahmoud; Nami, Mohsen; Wostbrock, Neal; Zamani Kouhpanji, Mohammad Reza; Feezell, Daniel F; Brueck, Steven R J; Busani, Tito

    2018-03-27

    GaN nanowires are promising for optical and optoelectronic applications because of their waveguiding properties and large optical band gap. However, developing a precise, scalable, and cost-effective fabrication method with a high degree of controllability to obtain high-aspect-ratio nanowires with high optical properties and minimum crystal defects remains a challenge. Here, we present a scalable two-step top-down approach using interferometric lithography, for which parameters can be controlled precisely to achieve highly ordered arrays of nanowires with excellent quality and desired aspect ratios. The wet-etch mechanism is investigated, and the etch rates of m-planes {11̅00} (sidewalls) were measured to be 2.5 to 70 nm/h depending on the Si doping concentration. Using this method, uniform nanowire arrays were achieved over a large area (>10 5 μm 2 ) with an spect ratio as large as 50, a radius as small as 17 nm, and atomic-scale sidewall roughness (<1 nm). FDTD modeling demonstrated HE 11 is the dominant transverse mode in the nanowires with a radius of sub-100 nm, and single-mode lasing from vertical cavity nanowire arrays with different doping concentrations on a sapphire substrate was interestingly observed in photoluminescence measurements. High Q-factors of ∼1139-2443 were obtained in nanowire array lasers with a radius and length of 65 nm and 2 μm, respectively, corresponding to a line width of 0.32-0.15 nm (minimum threshold of 3.31 MW/cm 2 ). Our results show that fabrication of high-quality GaN nanowire arrays with adaptable aspect ratio and large-area uniformity is feasible through a top-down approach using interferometric lithography and is promising for fabrication of III-nitride-based nanophotonic devices (radial/axial) on the original substrate.

  3. Polarization control in flexible interference lithography for nano-patterning of different photonic structures with optimized contrast.

    PubMed

    He, Jianfang; Fang, Xiaohui; Lin, Yuanhai; Zhang, Xinping

    2015-05-04

    Half-wave plates were introduced into an interference-lithography scheme consisting of three fibers that were arranged into a rectangular triangle. Such a flexible and compact geometry allows convenient tuning of the polarizations of both the UV laser source and each branch arm. This not only enables optimization of the contrast of the produced photonic structures with expected square lattices, but also multiplies the nano-patterning functions of a fixed design of fiber-based interference lithography. The patterns of the photonic structures can be thus tuned simply by rotating a half-wave plate.

  4. Alignment of CH3F in para-H2 crystal studied by IR quantum cascade laser polarization spectroscopy.

    PubMed

    Kawasaki, Hiroyuki; Mizoguchi, Asao; Kanamori, Hideto

    2016-05-14

    In order to investigate the alignment of CH3F in para-H2 crystals, high resolution polarization spectroscopy of the ν3 vibrational band is studied using a quantum cascade laser at 1040 cm(-1). It is found that the main and satellite series of peaks in the ν3 vibrational band of CH3F have the same polarization dependence. This result supports the previously proposed cluster model with ortho-H2 in first and second nearest neighbor sites. The observed polarization dependence function is well described by a simple six-axis void model in which CH3F is not aligned along the c-axis of the crystal but tilted to 64.9(3)° from it.

  5. Observation of parametric instabilities in the quarter critical density region driven by the Nike KrF laser

    NASA Astrophysics Data System (ADS)

    Weaver, J. L.; Oh, J.; Phillips, L.; Afeyan, B.; Seely, J.; Kehne, D.; Brown, C. M.; Obenschain, S. P.; Serlin, V.; Schmitt, A. J.; Feldman, U.; Lehmberg, R. H.; Mclean, E.; Manka, C.

    2013-02-01

    The krypton-fluoride (KrF) laser is an attractive choice for inertial confinement fusion due to its combination of short wavelength (λ =248 nm), large bandwidth (up to 3 THz), and superior beam smoothing by induced spatial incoherence. These qualities improve the overall hydrodynamics of directly driven pellet implosions and should allow use of increased laser intensity due to higher thresholds for laser plasma instabilities when compared to frequency tripled Nd:glass lasers (λ =351 nm). Here, we report the first observations of the two-plasmon decay instability using a KrF laser. The experiments utilized the Nike laser facility to irradiate solid plastic planar targets over a range of pulse lengths (0.35 ns≤τ≤1.25 ns) and intensities (up to 2×1015 W/cm2). Variation of the laser pulse created different combinations of electron temperature and electron density scale length. The observed onset of instability growth was consistent with the expected scaling that KrF lasers have a higher intensity threshold for instabilities in the quarter critical density region.

  6. Histological changes induced by 15 F CO2 laser microprobe especially designed for root canal sterilization: an in-vivo study

    NASA Astrophysics Data System (ADS)

    Kesler, Gavriel; Koren, Rumelia; Gal, Rivka

    1998-04-01

    Until now, no suitable delivery fiber existed for CO2 laser endodontic radiation in the apical region where it is most difficult to eliminate the pulp tissue using conventional methods. To overcome this problem, we designed a microprobe that reaches closer to the apex, distributing the energy density to a smaller area of the root canal, thus favorably increasing the thermal effects. The 15 F CO2 microprobe is a flexible, hollow, metal fiber, 300 micrometer in diameter and 20 mm in length, coupled onto a handpiece, with the following radiation parameters: wavelength -- 10.6 micrometer; pulse duration -- 50m/sec; energy per pulse 0.25 joule; energy density -- 353.7J/cm2 per pulse; power on tissue -- 5 W. The study was conducted on 30 vital maxillary or mandibulary; central, lateral, or premolar teeth destined for extraction due to periodontal problems. Twenty were experimentally treated with pulsed CO2 laser delivered by this newly developed fiber after conventional root canal preparation. Temperature measured at three points on the root surface during laser treatment did not exceed 38 degrees Celsius. Ten teeth represented the control group in which only root canal preparation was performed in the conventional method. Histological examination of the laser treated teeth showed coagulation necrosis and vacuolization of remaining pulp tissue in the root canal periphery. Primary and secondary dentin appeared normal, in all cases treated with 15 F CO2 laser. Gramm stain and bacteriologic examination revealed complete sterilization. These results demonstrate the unique capabilities of this special microprobe in sterilization of the root canal, and no thermal damage to the surrounding tissue.

  7. Relative quantum yield of I-asterisk(2P1/2) in the tunable laser UV photodissociation of i-C3F7I and n-C3F7I - Effect of temperature and exciplex emission

    NASA Technical Reports Server (NTRS)

    Smedley, J. E.; Leone, S. R.

    1983-01-01

    Wavelength-specific relative quantum yields of metastable I from pulsed laser photodissociation of i-C3F7I and n-C3F7I in the range 265-336 nm are determined by measuring the time-resolved infrared emission from the atomic I(P-2(1/2) P-2(3/2) transition. It is shown that although this yield appears to be unity from 265 to 298 nm, it decreases dramatically at longer wavelengths. Values are also reported for the enhancement of emission from metastable I due to exciplex formation at several temperatures. The exciplex formation emission increases linearly with parent gas pressure, but decreases with increasing temperature. Absorption spectra of i- and n-C3F7I between 303 and 497 K are presented, and the effect of temperature on the quantum yields at selected wavelengths greater than 300 nm, where increasing the temperature enhances the absorption considerably, are given. The results are discussed in regard to the development of solar-pumped iodine lasers.

  8. Optically Clear and Resilient Free-Form µ-Optics 3D-Printed via Ultrafast Laser Lithography.

    PubMed

    Jonušauskas, Linas; Gailevičius, Darius; Mikoliūnaitė, Lina; Sakalauskas, Danas; Šakirzanovas, Simas; Juodkazis, Saulius; Malinauskas, Mangirdas

    2017-01-02

    We introduce optically clear and resilient free-form micro-optical components of pure (non-photosensitized) organic-inorganic SZ2080 material made by femtosecond 3D laser lithography (3DLL). This is advantageous for rapid printing of 3D micro-/nano-optics, including their integration directly onto optical fibers. A systematic study of the fabrication peculiarities and quality of resultant structures is performed. Comparison of microlens resiliency to continuous wave (CW) and femtosecond pulsed exposure is determined. Experimental results prove that pure SZ2080 is ∼20 fold more resistant to high irradiance as compared with standard lithographic material (SU8) and can sustain up to 1.91 GW/cm² intensity. 3DLL is a promising manufacturing approach for high-intensity micro-optics for emerging fields in astro-photonics and atto-second pulse generation. Additionally, pyrolysis is employed to homogeneously shrink structures up to 40% by removing organic SZ2080 constituents. This opens a promising route towards downscaling photonic lattices and the creation of mechanically robust glass-ceramic microstructures.

  9. Difluorophosphoryl nitrene F2P(O)N: matrix isolation and unexpected rearrangement to F2PNO.

    PubMed

    Zeng, Xiaoqing; Beckers, Helmut; Willner, Helge; Neuhaus, Patrik; Grote, Dirk; Sander, Wolfram

    2009-12-14

    Triplet difluorophosphoryl nitrene F(2)P(O)N (X(3)A'') was generated on ArF excimer laser irradiation (lambda=193 nm) of F(2)P(O)N(3) in solid argon matrix at 16 K, and characterized by its matrix IR, UV/Vis, and EPR spectra, in combination with DFT and CBS-QB3 calculations. On visible light irradiation (lambda>420 nm) at 16 K F(2)P(O)N reacts with molecular nitrogen and some of the azide is regenerated. UV irradiation (lambda=255 nm) of F(2)P(O)N (X(3)A'') induced a Curtius-type rearrangement, but instead of a 1,3-fluorine shift, nitrogen migration to give F(2)PON is proposed to be the first step of the photoisomerization of F(2)P(O)N into F(2)PNO (difluoronitrosophosphine). Formation of novel F(2)PNO was confirmed with (15)N- and (18)O-enriched isotopomers by IR spectroscopy and DFT calculations. Theoretical calculations predict a rather long P-N bond of 1.922 A [B3LYP/6-311+G(3df)] and low bond-dissociation energy of 76.3 kJ mol(-1) (CBS-QB3) for F(2)PNO.

  10. Optical design of f-theta lens for dual wavelength selective laser melting

    NASA Astrophysics Data System (ADS)

    Feng, Lianhua; Cao, Hongzhong; Zhang, Ning; Xu, Xiping; Duan, Xuanming

    2016-10-01

    F-theta lens is an important unit for selective laser melting (SLM) manufacture. The dual wavelength f-theta lens has not been used in SLM manufacture. Here, we present the design of the f-theta lens which satisfies SLM manufacture with coaxial 532 nm and 1030 nm 1080 nm laser beams. It is composed of three pieces of spherical lenses. The focal spots for 532 nm laser and 1030 nm 1080 nm laser are smaller than 35 μm and 70 μm, respectively. The results meet the demands of high precision SLM. The chromatic aberration could cause separation between two laser focal spots in the scanning plane, so chromatic aberration correction is very important to our design. The lateral color of the designed f-theta lens is less than 11 μm within the scan area of 150 mm x 150 mm, which meet the application requirements of dual wavelength selective laser melting.

  11. Large area nanoimprint by substrate conformal imprint lithography (SCIL)

    NASA Astrophysics Data System (ADS)

    Verschuuren, Marc A.; Megens, Mischa; Ni, Yongfeng; van Sprang, Hans; Polman, Albert

    2017-06-01

    Releasing the potential of advanced material properties by controlled structuring materials on sub-100-nm length scales for applications such as integrated circuits, nano-photonics, (bio-)sensors, lasers, optical security, etc. requires new technology to fabricate nano-patterns on large areas (from cm2 to 200 mm up to display sizes) in a cost-effective manner. Conventional high-end optical lithography such as stepper/scanners is highly capital intensive and not flexible towards substrate types. Nanoimprint has had the potential for over 20 years to bring a cost-effective, flexible method for large area nano-patterning. Over the last 3-4 years, nanoimprint has made great progress towards volume production. The main accelerator has been the switch from rigid- to wafer-scale soft stamps and tool improvements for step and repeat patterning. In this paper, we discuss substrate conformal imprint lithography (SCIL), which combines nanometer resolution, low patterns distortion, and overlay alignment, traditionally reserved for rigid stamps, with the flexibility and robustness of soft stamps. This was made possible by a combination of a new soft stamp material, an inorganic resist, combined with an innovative imprint method. Finally, a volume production solution will be presented, which can pattern up to 60 wafers per hour.

  12. Maskless EUV lithography: an already difficult technology made even more complicated?

    NASA Astrophysics Data System (ADS)

    Chen, Yijian

    2012-03-01

    In this paper, we present the research progress made in maskless EUV lithography and discuss the emerging opportunities for this disruptive technology. It will be shown nanomirrors based maskless approach is one path to costeffective and defect-free EUV lithography, rather than making it even more complicated. The focus of our work is to optimize the existing vertical comb process and scale down the mirror size from several microns to sub-micron regime. The nanomirror device scaling, system configuration, and design issues will be addressed. We also report our theoretical and simulation study of reflective EUV nanomirror based imaging behavior. Dense line/space patterns are formed with an EUV nanomirror array by assigning a phase shift of π to neighboring nanomirrors. Our simulation results show that phase/intensity imbalance is an inherent characteristic of maskless EUV lithography while it only poses a manageable challenge to CD control and process window. The wafer scan and EUV laser jitter induced image blur phenomenon is discussed and a blurred imaging theory is constructed. This blur effect is found to degrade the image contrast at a level that mainly depends on the wafer scan speed.

  13. Nanobiotechnology: soft lithography.

    PubMed

    Mele, Elisa; Pisignano, Dario

    2009-01-01

    An entirely new scientific and technological area has been born from the combination of nanotechnology and biology: nanobiotechnology. Such a field is primed especially by the strong potential synergy enabled by the integration of technologies, protocols, and investigation methods, since, while biomolecules represent functional nanosystems interesting for nanotechnology, micro- and nano-devices can be very useful instruments for studying biological materials. In particular, the research of new approaches for manipulating matter and fabricating structures with micrometre- and sub-micrometre resolution has determined the development of soft lithography, a new set of non-photolithographic patterning techniques applied to the realization of selective proteins and cells attachment, microfluidic circuits for protein and DNA chips, and 3D scaffolds for tissue engineering. Today, soft lithographies have become an asset of nanobiotechnology. This Chapter examines the biological applications of various soft lithographic techniques, with particular attention to the main general features of soft lithography and of materials commonly employed with these methods. We present approaches particularly suitable for biological materials, such as microcontact printing (muCP) and microfluidic lithography, and some key micro- and nanobiotechnology applications, such as the patterning of protein and DNA microarrays and the realization of microfluidic-based analytical devices.

  14. Black phosphorus saturable absorber for a diode-pumped passively Q-switched Er:CaF2 mid-infrared laser

    NASA Astrophysics Data System (ADS)

    Li, Chun; Liu, Jie; Guo, Zhinan; Zhang, Han; Ma, Weiwei; Wang, Jingya; Xu, Xiaodong; Su, Liangbi

    2018-01-01

    A multilayer black phosphorus, as a novel two dimensional saturable absorber, has superb saturable absorption properties for a Er:CaF2 solid-state pulse laser. The pulse laser is realized at mid-infrared region with the passively Q-switched technology by a diode-pumping. The high-quality black phosphorus saturable absorber is fabricated by liquid phase exfoliation method. The pulse laser generates the pulses operation with the pulse duration of 954.8 ns, the repetition rate of 41.93 kHz, the pulse energy of 4.25 μJ and the peak power of 4.45 W. Our work demonstrates that black phosphorus could be used as a kind of efficient mid-infrared region optical absorber for ultrafast photonics.

  15. Spectroscopy and laser test emission in Tm3+ : BaYLuF8 single crystal

    NASA Astrophysics Data System (ADS)

    Parisi, D.; Veronesi, S.; Volpi, A.; Gemmi, M.; Tonelli, M.; Cassanho, A.; Jenssen, H. P.

    2014-01-01

    A novel laser material BaYLuF8 (BYLF), doped with 12 at% of Tm3+, has been grown and optically investigated, in order to evaluate its potential performances as a 2 µm laser. The BYLF crystal is interesting mainly because indications are that the mixed crystal would be sturdier than BaY2F8 (BYF). The addition of lutetium would improve the thermo-mechanical properties of the host. Absorption, fluorescence and lifetime measurements have been performed in the temperature range 10-300 K focusing on the 3H4 and 3F4 manifolds, those involved in the laser scheme at 2 µm. The Stark sublevels structure of Tm3+ up to the 1D2 manifold has been figured out. Diode-pumped CW laser emission at 2 µm has been achieved obtaining a slope efficiency of about 28% with respect to the absorbed power, by pumping along the Z-axis. A maximum output power of 240 mW was achieved by pumping along the favourable Y-axis, with an incident power of about 800 mW.

  16. Method for extreme ultraviolet lithography

    DOEpatents

    Felter, T. E.; Kubiak, Glenn D.

    1999-01-01

    A method of producing a patterned array of features, in particular, gate apertures, in the size range 0.4-0.05 .mu.m using projection lithography and extreme ultraviolet (EUV) radiation. A high energy laser beam is used to vaporize a target material in order to produce a plasma which in turn, produces extreme ultraviolet radiation of a characteristic wavelength of about 13 nm for lithographic applications. The radiation is transmitted by a series of reflective mirrors to a mask which bears the pattern to be printed. The demagnified focused mask pattern is, in turn, transmitted by means of appropriate optics and in a single exposure, to a substrate coated with photoresists designed to be transparent to EUV radiation and also satisfy conventional processing methods.

  17. Method for extreme ultraviolet lithography

    DOEpatents

    Felter, T. E.; Kubiak, G. D.

    2000-01-01

    A method of producing a patterned array of features, in particular, gate apertures, in the size range 0.4-0.05 .mu.m using projection lithography and extreme ultraviolet (EUV) radiation. A high energy laser beam is used to vaporize a target material in order to produce a plasma which in turn, produces extreme ultraviolet radiation of a characteristic wavelength of about 13 nm for lithographic applications. The radiation is transmitted by a series of reflective mirrors to a mask which bears the pattern to be printed. The demagnified focused mask pattern is, in turn, transmitted by means of appropriate optics and in a single exposure, to a substrate coated with photoresists designed to be transparent to EUV radiation and also satisfy conventional processing methods.

  18. Laser-assisted focused He + ion beam induced etching with and without XeF 2 gas assist

    DOE PAGES

    Stanford, Michael G.; Mahady, Kyle; Lewis, Brett B.; ...

    2016-10-04

    Focused helium ion (He +) milling has been demonstrated as a high-resolution nanopatterning technique; however, it can be limited by its low sputter yield as well as the introduction of undesired subsurface damage. Here, we introduce pulsed laser- and gas-assisted processes to enhance the material removal rate and patterning fidelity. A pulsed laser-assisted He+ milling process is shown to enable high-resolution milling of titanium while reducing subsurface damage in situ. Gas-assisted focused ion beam induced etching (FIBIE) of Ti is also demonstrated in which the XeF 2 precursor provides a chemical assist for enhanced material removal rate. In conclusion, amore » pulsed laser-assisted and gas-assisted FIBIE process is shown to increase the etch yield by ~9× relative to the pure He+ sputtering process. These He + induced nanopatterning techniques improve material removal rate, in comparison to standard He + sputtering, while simultaneously decreasing subsurface damage, thus extending the applicability of the He + probe as a nanopattering tool.« less

  19. Laser-assisted focused He + ion beam induced etching with and without XeF 2 gas assist

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Stanford, Michael G.; Mahady, Kyle; Lewis, Brett B.

    Focused helium ion (He +) milling has been demonstrated as a high-resolution nanopatterning technique; however, it can be limited by its low sputter yield as well as the introduction of undesired subsurface damage. Here, we introduce pulsed laser- and gas-assisted processes to enhance the material removal rate and patterning fidelity. A pulsed laser-assisted He+ milling process is shown to enable high-resolution milling of titanium while reducing subsurface damage in situ. Gas-assisted focused ion beam induced etching (FIBIE) of Ti is also demonstrated in which the XeF 2 precursor provides a chemical assist for enhanced material removal rate. In conclusion, amore » pulsed laser-assisted and gas-assisted FIBIE process is shown to increase the etch yield by ~9× relative to the pure He+ sputtering process. These He + induced nanopatterning techniques improve material removal rate, in comparison to standard He + sputtering, while simultaneously decreasing subsurface damage, thus extending the applicability of the He + probe as a nanopattering tool.« less

  20. Frequency measurement of the 5 S{1}/{2}(F = 3)-5 D{5}/{2}(F = 5) two-photon transition in rubidium

    NASA Astrophysics Data System (ADS)

    Touahri, D.; Acef, O.; Clairon, A.; Zondy, J.-J.; Felder, R.; Hilico, L.; de Beauvoir, B.; Biraben, F.; Nez, F.

    1997-02-01

    We have measured the frequencies of three diode lasers stabilized on the 5 S{1}/{2}(F = 3)-5 D{5}/{2}(F = 5) two-photon transition in rubidium at λ = 778.1 nm, with an uncertainty of 1 kHz, using BNM-LPTF frequency synthesis chain starting from a {CO 2}/{OsO 4} reference laser at 10.3 μm. We show that this frequency chain is able to reach the 10 -13 resolution level. After a discussion of the systematic effects that may shift the resonance, the transition frequency is found to be ν = 385 285 142 378.280 ± 2 kHz.

  1. Critical illumination condenser for x-ray lithography

    DOEpatents

    Cohen, S.J.; Seppala, L.G.

    1998-04-07

    A critical illumination condenser system is disclosed, particularly adapted for use in extreme ultraviolet (EUV) projection lithography based on a ring field imaging system and a laser produced plasma source. The system uses three spherical mirrors and is capable of illuminating the extent of the mask plane by scanning either the primary mirror or the laser plasma source. The angles of radiation incident upon each mirror of the critical illumination condenser vary by less than eight (8) degrees. For example, the imaging system in which the critical illumination condenser is utilized has a 200 {micro}m source and requires a magnification of 26. The three spherical mirror system constitutes a two mirror inverse Cassegrain, or Schwarzschild configuration, with a 25% area obstruction (50% linear obstruction). The third mirror provides the final pupil and image relay. The mirrors include a multilayer reflective coating which is reflective over a narrow bandwidth. 6 figs.

  2. Critical illumination condenser for x-ray lithography

    DOEpatents

    Cohen, Simon J.; Seppala, Lynn G.

    1998-01-01

    A critical illumination condenser system, particularly adapted for use in extreme ultraviolet (EUV) projection lithography based on a ring field imaging system and a laser produced plasma source. The system uses three spherical mirrors and is capable of illuminating the extent of the mask plane by scanning either the primary mirror or the laser plasma source. The angles of radiation incident upon each mirror of the critical illumination condenser vary by less than eight (8) degrees. For example, the imaging system in which the critical illumination condenser is utilized has a 200 .mu.m source and requires a magnification of 26.times.. The three spherical mirror system constitutes a two mirror inverse Cassegrain, or Schwarzschild configuration, with a 25% area obstruction (50% linear obstruction). The third mirror provides the final pupil and image relay. The mirrors include a multilayer reflective coating which is reflective over a narrow bandwidth.

  3. Physical and optical limitations using ArF-excimer and Er:YAG lasers for PRK

    NASA Astrophysics Data System (ADS)

    Semchishen, Vladimir A.; Mrochen, Michael; Seiler, Theo

    1998-06-01

    The Erbium:YAG laser emitting at a wavelength of 2,94 micrometer have been promised as an alternative laser for the ArF-excimer laser (193 nm) in photorefractive keratectomy (PRK). This report discusses the limitations of laser parameters such as wavelength, energy density and pulse duration for the ablation of the cornea. In addition, the melting process during ablation on the corneal surface roughness may play a role.

  4. Multi-focal multiphoton lithography.

    PubMed

    Ritschdorff, Eric T; Nielson, Rex; Shear, Jason B

    2012-03-07

    Multiphoton lithography (MPL) provides unparalleled capabilities for creating high-resolution, three-dimensional (3D) materials from a broad spectrum of building blocks and with few limitations on geometry, qualities that have been key to the design of chemically, mechanically, and biologically functional microforms. Unfortunately, the reliance of MPL on laser scanning limits the speed at which fabrication can be performed, making it impractical in many instances to produce large-scale, high-resolution objects such as complex micromachines, 3D microfluidics, etc. Previously, others have demonstrated the possibility of using multiple laser foci to simultaneously perform MPL at numerous sites in parallel, but use of a stage-scanning system to specify fabrication coordinates resulted in the production of identical features at each focal position. As a more general solution to the bottleneck problem, we demonstrate here the feasibility for performing multi-focal MPL using a dynamic mask to differentially modulate foci, an approach that enables each fabrication site to create independent (uncorrelated) features within a larger, integrated microform. In this proof-of-concept study, two simultaneously scanned foci produced the expected two-fold decrease in fabrication time, and this approach could be readily extended to many scanning foci by using a more powerful laser. Finally, we show that use of multiple foci in MPL can be exploited to assign heterogeneous properties (such as differential swelling) to micromaterials at distinct positions within a fabrication zone.

  5. Nanoimprint lithography for nanodevice fabrication

    NASA Astrophysics Data System (ADS)

    Barcelo, Steven; Li, Zhiyong

    2016-09-01

    Nanoimprint lithography (NIL) is a compelling technique for low cost nanoscale device fabrication. The precise and repeatable replication of nanoscale patterns from a single high resolution patterning step makes the NIL technique much more versatile than other expensive techniques such as e-beam or even helium ion beam lithography. Furthermore, the use of mechanical deformation during the NIL process enables grayscale lithography with only a single patterning step, not achievable with any other conventional lithography techniques. These strengths enable the fabrication of unique nanoscale devices by NIL for a variety of applications including optics, plasmonics and even biotechnology. Recent advances in throughput and yield in NIL processes demonstrate the potential of being adopted for mainstream semiconductor device fabrication as well.

  6. Potential of CO2 lasers (10.6 µm) associated with fluorides in inhibiting human enamel erosion.

    PubMed

    Ramos-Oliveira, Thayanne Monteiro; Ramos, Thaysa Monteiro; Esteves-Oliveira, Marcela; Apel, Christian; Fischer, Horst; Eduardo, Carlos de Paula; Steagall, Washington; Freitas, Patricia Moreira de

    2014-01-01

    This in vitro study aimed to investigate the potential of CO2 lasers associated with different fluoride agents in inhibiting enamel erosion. Human enamel samples were randomly divided into 9 groups (n = 12): G1-eroded enamel; G2-APF gel; G3-AmF/NaF gel; G4-AmF/SnF2 solution; G5-CO2 laser (λ = 10.6 µm)+APF gel; G6-CO2 laser+AmF/NaF gel; G7-CO2laser+AmF/SnF2solution; G8-CO2 laser; and G9-sound enamel. The CO2 laser parameters were: 0.45 J/cm2; 6 μs; and 128 Hz. After surface treatment, the samples (except from G9) were immersed in 1% citric acid (pH 4.0, 3 min). Surface microhardness was measured at baseline and after surface softening. The data were statistically analyzed by one-way ANOVA and Tukey's tests (p < 0.05). G2 (407.6 ± 37.3) presented the highest mean SMH after softening, followed by G3 (407.5 ± 29.8) and G5 (399.7 ± 32.9). Within the fluoride-treated groups, G4 (309.0 ± 24.4) had a significantly lower mean SMH than G3 and G2, which were statistically similar to each other. AmF/NaF and APF application showed potential to protect and control erosion progression in dental enamel, and CO2 laser irradiation at 0.45J/cm2 did not influence its efficacy. CO2 laser irradiation alone under the same conditions could also significantly decrease enamel erosive mineral loss, although at lower levels.

  7. Diode-pumped passively mode-locked and passively stabilized Nd3+:BaY2F8 laser

    NASA Astrophysics Data System (ADS)

    Agnesi, Antonio; Guandalini, Annalisa; Tomaselli, Alessandra; Sani, Elisa; Toncelli, Alessandra; Tonelli, Mauro

    2004-07-01

    Continuous-wave mode locking (CW-ML) of a diode-pumped Nd3+:BaY2F8 laser is reported for the first time to our knowledge. Pulses as short as 4.8 ps were measured with a total output power of almost equal to 1 W at 1049 nm, corresponding to 3.4 W of absorbed power from the pump diode at 806 nm. A novel technique for passive stabilization of CW-ML has been demonstrated.

  8. Novel Co:MgF2 lidar for aerosol profiler

    NASA Technical Reports Server (NTRS)

    Acharekar, M. A.

    1993-01-01

    Lidars are of great interest because of their unique capabilities in remote sensing applications in sounding of the atmosphere, meteorology, and climatology. In this small business innovative research (SBIR) phase II program, laser sources including Co:MgF2, CTH:YAG, CTH:YSGG, CT:YAG, and Er:Glass were evaluated. Modulator of fused silica and TeO2 materials with Brewster's angle end faces were used with these lasers as acousto-optical (AO) Q-switches. A higher hold-off energy and hence a higher Q-switched energy was obtained by using a high power RF driver. The report provides performance characteristics of these lasers. The tunable (1.75-2.50 microns) Co:MgF2 laser damaged the TeO2 Q-switch cell. However, the CTH:YAG laser operating at 2.09 microns provided output energy of over 300 mJ/p in 50 ns pulse width using the fused silica Q-switch. This Q-switched CTH:YAG laser was used in a breadboard vertical aerosol profiler. A 40 cm diameter telescope, InSb and InGaAs detectors were used in the receiver. The data obtained using this lidar is provided in the report. The data shows that the eye safe lidar using CTH:YAG laser for the vertical aerosol density and range measurements is the viable approach.

  9. Laser direct writing and inkjet printing for a sub-2 μm channel length MoS2 transistor with high-resolution electrodes

    NASA Astrophysics Data System (ADS)

    Kwon, Hyuk-Jun; Chung, Seungjun; Jang, Jaewon; Grigoropoulos, Costas P.

    2016-10-01

    Patterns formed by the laser direct writing (LDW) lithography process are used either as channels or barriers for MoS2 transistors fabricated via inkjet printing. Silver (Ag) nanoparticle ink is printed over patterns formed on top of the MoS2 flakes in order to construct high-resolution source/drain (S/D) electrodes. When positive photoresist is used, the produced grooves are filled with inkjetted Ag ink by capillary forces. On the other hand, in the case of negative photoresist, convex barrier-like patterns are written on the MoS2 flakes and patterns, dividing the printed Ag ink into the S/D electrodes by self-alignment. LDW lithography combined with inkjet printing is applied to MoS2 thin-film transistors that exhibit moderate electrical performance such as mobility and subthreshold swing. However, especially in the linear operation regime, their features are limited by the contact effect. The Y-function method can exclude the contact effect and allow proper evaluation of the maximum available mobility and contact resistance. The presented fabrication methods may facilitate the development of cost-effective fabrication processes.

  10. Mask manufacturing of advanced technology designs using multi-beam lithography (part 2)

    NASA Astrophysics Data System (ADS)

    Green, Michael; Ham, Young; Dillon, Brian; Kasprowicz, Bryan; Hur, Ik Boum; Park, Joong Hee; Choi, Yohan; McMurran, Jeff; Kamberian, Henry; Chalom, Daniel; Klikovits, Jan; Jurkovic, Michal; Hudek, Peter

    2016-09-01

    As optical lithography is extended into 10nm and below nodes, advanced designs are becoming a key challenge for mask manufacturers. Techniques including advanced optical proximity correction (OPC) and Inverse Lithography Technology (ILT) result in structures that pose a range of issues across the mask manufacturing process. Among the new challenges are continued shrinking sub-resolution assist features (SRAFs), curvilinear SRAFs, and other complex mask geometries that are counter-intuitive relative to the desired wafer pattern. Considerable capability improvements over current mask making methods are necessary to meet the new requirements particularly regarding minimum feature resolution and pattern fidelity. Advanced processes using the IMS Multi-beam Mask Writer (MBMW) are feasible solutions to these coming challenges. In this paper, Part 2 of our study, we further characterize an MBMW process for 10nm and below logic node mask manufacturing including advanced pattern analysis and write time demonstration.

  11. XeCl laser pumped iodine laser using t-C4F9I

    NASA Technical Reports Server (NTRS)

    Hwang, In Heon; Han, Kwang S.

    1989-01-01

    An iodine photodissociation laser using t-C4F9I as the active material was pumped by an XeCl laser. An iodine laser output energy of 3 mJ with pulse duration of 25 ns was obtained when the pumping pulse energy was 80 mJ, the iodide pressure was 70 torr, and the reflectance of the output mirror was 85 percent. The high pumping efficiency and low threshold pump power achieved in this experiment are attributable to the high absorption cross section at the pump laser wavelength (308 nm) of the iodide used.

  12. 980-nm, 15-W cw laser diodes on F-mount-type heat sinks

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bezotosnyi, V V; Krokhin, O N; Oleshchenko, V A

    2015-12-31

    We have studied the key optical emission parameters of laser diodes (emission wavelength, 980 nm; stripe contact width, 95 μm) mounted directly on F- and C-mount-type copper heat sinks, without intermediate elements (submounts). When effectively cooled by a thermoelectric microcooler, the lasers on the F-mount operated stably at output powers up to 20 W. The lasers were tested for reliable operation at an output power of 15 W for 100 h, and no decrease in output power was detected to within measurement accuracy. The experimentally determined maximum total efficiency is 71.7% and the efficiency at a nominal output power ofmore » 15 W is 61%. We compare parameters of the laser diodes mounted on C- and F-mounts and discuss the advantages of the F-mounts. (lasers)« less

  13. Extreme ultraviolet lithography machine

    DOEpatents

    Tichenor, Daniel A.; Kubiak, Glenn D.; Haney, Steven J.; Sweeney, Donald W.

    2000-01-01

    An extreme ultraviolet lithography (EUVL) machine or system for producing integrated circuit (IC) components, such as transistors, formed on a substrate. The EUVL machine utilizes a laser plasma point source directed via an optical arrangement onto a mask or reticle which is reflected by a multiple mirror system onto the substrate or target. The EUVL machine operates in the 10-14 nm wavelength soft x-ray photon. Basically the EUV machine includes an evacuated source chamber, an evacuated main or project chamber interconnected by a transport tube arrangement, wherein a laser beam is directed into a plasma generator which produces an illumination beam which is directed by optics from the source chamber through the connecting tube, into the projection chamber, and onto the reticle or mask, from which a patterned beam is reflected by optics in a projection optics (PO) box mounted in the main or projection chamber onto the substrate. In one embodiment of a EUVL machine, nine optical components are utilized, with four of the optical components located in the PO box. The main or projection chamber includes vibration isolators for the PO box and a vibration isolator mounting for the substrate, with the main or projection chamber being mounted on a support structure and being isolated.

  14. 300-mW narrow-linewidth deep-ultraviolet light generation at 193 nm by frequency mixing between Yb-hybrid and Er-fiber lasers.

    PubMed

    Xuan, Hongwen; Zhao, Zhigang; Igarashi, Hironori; Ito, Shinji; Kakizaki, Kouji; Kobayashi, Yohei

    2015-04-20

    A narrow-linewidth, high average power deep-ultraviolet (DUV) coherent laser emitting at 193 nm is demonstrated by frequency mixing a Yb-hybrid laser with an Er-fiber laser. The Yb-hybrid laser consists of Yb-fiber lasers and an Yb:YAG amplifier. The average output power of the 193 nm laser is 310 mW at 6 kHz, which corresponds to a pulse energy of 51 μJ. To the best of our knowledge, this is the highest average power and pulse energy ever reported for a narrow-linewidth 193 nm light generated by a combination of solid-state and fiber lasers with frequency mixing. We believe this laser will be beneficial for the application of interference lithography by seeding an injection-locking ArF eximer laser.

  15. Study on photochemical analysis system (VLES) for EUV lithography

    NASA Astrophysics Data System (ADS)

    Sekiguchi, A.; Kono, Y.; Kadoi, M.; Minami, Y.; Kozawa, T.; Tagawa, S.; Gustafson, D.; Blackborow, P.

    2007-03-01

    A system for photo-chemical analysis of EUV lithography processes has been developed. This system has consists of 3 units: (1) an exposure that uses the Z-Pinch (Energetiq Tech.) EUV Light source (DPP) to carry out a flood exposure, (2) a measurement system RDA (Litho Tech Japan) for the development rate of photo-resists, and (3) a simulation unit that utilizes PROLITH (KLA-Tencor) to calculate the resist profiles and process latitude using the measured development rate data. With this system, preliminary evaluation of the performance of EUV lithography can be performed without any lithography tool (Stepper and Scanner system) that is capable of imaging and alignment. Profiles for 32 nm line and space pattern are simulated for the EUV resist (Posi-2 resist by TOK) by using VLES that hat has sensitivity at the 13.5nm wavelength. The simulation successfully predicts the resist behavior. Thus it is confirmed that the system enables efficient evaluation of the performance of EUV lithography processes.

  16. Ti:sapphire/KrF hybrid laser system generating trains of subterawatt subpicosecond UV pulses

    NASA Astrophysics Data System (ADS)

    Zvorykin, V. D.; Ionin, A. A.; Levchenko, A. O.; Mesyats, G. A.; Seleznev, L. V.; Sinitsyn, D. V.; Ustinovskii, N. N.; Shutov, A. V.

    2014-05-01

    The GARPUN-MTW Ti:sapphire/KrF hybrid laser system is used to investigate different multipass schemes for amplifying trains of ultrashort pulses (USPs) of subpicosecond duration. It is shown that, for an USP repetition period of 3 - 5 ns, which exceeds the gain-medium recovery time (~2 ns), trains are amplified in the same way as single USPs. Due to this, a train can efficiently extract pump energy from the amplifier and sum energies of individual USPs. The energy of a four-USP train, extracted during four passes through the preamplifier and two passes through the final KrF amplifier (4 + 2 scheme), is saturated at a level of 1.6 J and corresponds to maximum USP peak powers of about 0.6 TW. The energy of amplified spontaneous emission (ASE), on the contrary, rapidly increases at a large total gain length Leff ≈ 6 m and is approximately equal to the USP energy. In the (4 + 1) and (2 + 2) schemes the USP energy decreases only slightly, to Eout = 1.3 and 1.2 J, and the ASE fraction is reduced to about 10% and 3%, respectively. USP self-focusing leads to multiple laser beam filamentation and a 200-fold local increase in the radiation intensity in filaments, to ~2 × 1011 W cm-2, a level at which the nonlinear loss in the output CaF2 windows of the KrF amplifier, caused by three-photon absorption, nonlinear scattering, and broadening of the radiation spectrum to a value exceeding the gain band of the KrF laser transition, becomes the main factor determining the saturation of the USP output energy.

  17. The capability of lithography simulation based on MVM-SEM® system

    NASA Astrophysics Data System (ADS)

    Yoshikawa, Shingo; Fujii, Nobuaki; Kanno, Koichi; Imai, Hidemichi; Hayano, Katsuya; Miyashita, Hiroyuki; Shida, Soichi; Murakawa, Tsutomu; Kuribara, Masayuki; Matsumoto, Jun; Nakamura, Takayuki; Matsushita, Shohei; Hara, Daisuke; Pang, Linyong

    2015-10-01

    The 1Xnm technology node lithography is using SMO-ILT, NTD or more complex pattern. Therefore in mask defect inspection, defect verification becomes more difficult because many nuisance defects are detected in aggressive mask feature. One key Technology of mask manufacture is defect verification to use aerial image simulator or other printability simulation. AIMS™ Technology is excellent correlation for the wafer and standards tool for defect verification however it is difficult for verification over hundred numbers or more. We reported capability of defect verification based on lithography simulation with a SEM system that architecture and software is excellent correlation for simple line and space.[1] In this paper, we use a SEM system for the next generation combined with a lithography simulation tool for SMO-ILT, NTD and other complex pattern lithography. Furthermore we will use three dimension (3D) lithography simulation based on Multi Vision Metrology SEM system. Finally, we will confirm the performance of the 2D and 3D lithography simulation based on SEM system for a photomask verification.

  18. Wavelength dependence of l/f noise in the light output of laser diodes; An experimental study

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fronen, R.J.

    1990-10-01

    The optical power emitted by a monomode GaAlAs laser is filtered with a monochromator. The 1/f noise in the filtered emission is found to be directly dependent on the noncoherent emission, such as S{sub p} {proportional to} P{sup m {sub nc}}. Here S{sub p} is the spectral density of the 1/f fluctuations, P{sub nc} is the average noncoherent power, m = 3/2 under spontaneous emission, and m=4 in the superradiation and laser regions. This paper reports that study of the 1/f noise in the optical power in a band centered at the laser wavelength and with variable bandwidth shows threemore » operating regions.« less

  19. Plasma mirrors for short pulse KrF lasers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gilicze, Barnabás; Szatmári, Sándor; Barna, Angéla

    2016-08-15

    It is demonstrated for the first time that plasma mirrors can be successfully applied for KrF laser systems. High reflectivity up to 70% is achieved by optimization of the beam quality on the plasma mirror. The modest spectral shift and the good reflected beam quality allow its applicability for high power laser systems for which a new arrangement is suggested.

  20. 926 nm laser operation in Nd:GdNbO4 crystal based on 4F3/2 → 4I9/2 transition

    NASA Astrophysics Data System (ADS)

    Yan, Renpeng; Li, Xudong; Yao, Wenming; Shen, Yingjie; Zhou, Zhongxiang; Peng, Fang; Zhang, Qingli; Dou, Renqing; Gao, Jing

    2018-05-01

    926 nm laser operation in a Nd:GdNbO4 crystal based on quasi-three-level 4F3/2 → 4I9/2 transition is reported, for the first time to our best knowledge. An average output power of 393 mW at 926 nm under 879 nm LD pumping is obtained with a slope efficiency of 33.3% and an optical-to-optical efficiency of 26.0%. The slope efficiency with respect to absorbed pump power is estimated to be 47.7%. Comparison between output characters of 926 nm laser under direct and indirect pumping is conducted. The average output power at 926 nm under 808 nm LD pumping reaches 305 mW with an optical-to-optical efficiency of 16.1%.

  1. CW and femtosecond operation of a diode-pumped Yb:BaY(2)F(8) laser.

    PubMed

    Galzerano, G; Coluccelli, N; Gatti, D; Di Lieto, A; Tonelli, M; Laporta, P

    2010-03-15

    We report for the first time on laser action of a diode-pumped Yb:BaY(2)F(8) crystal. Both CW and femtosecond operations have been demonstrated at room-temperature conditions. A maximum output power of 0.56 W, a slope efficiency of 34%, and a tunability range from 1013 to 1067 nm have been obtained in CW regime. Transform-limited pulse trains with a minimum duration of 275 fs, an average power of 40 mW, and a repetition rate of 83 MHz have been achieved in a passive mode-locked regime using a semiconductor saturable absorber mirror.

  2. Connectivity changes after laser ablation: Resting-state fMRI.

    PubMed

    Boerwinkle, Varina L; Vedantam, Aditya; Lam, Sandi; Wilfong, Angus A; Curry, Daniel J

    2018-05-01

    Resting-state functional magnetic resonance imaging (rsfMRI) is emerging as a useful tool in the multimodal assessment of patients with epilepsy. In pediatric patients who cannot perform task-based fMRI, rsfMRI may present an adjunct and alternative. Although changes in brain activation during task-based fMRI have been described after surgery for epilepsy, there is limited data on the role of postoperative rsfMRI. In this short review, we discuss the role of postoperative rsfMRI after laser ablation of seizure foci. By establishing standardized anesthesia protocols and imaging parameters, we have been able to perform serial rsfMRI at postoperative follow-up. The development of in-house software that can merge rsfMRI images to surgical navigation systems has allowed us to enhance the clinical applications of this technique. Resting-state fMRI after laser ablation has the potential to identify changes in connectivity, localize new seizure foci, and guide antiepileptic therapy. In our experience, rsfMRI complements conventional MR imaging and task-based fMRI for the evaluation of patients with seizure recurrence after laser ablation, and represents a potential noninvasive biomarker for functional connectivity. Copyright © 2017 The Authors. Published by Elsevier B.V. All rights reserved.

  3. Absorption coefficients and frequency shifts measurement in the spectral range of 1071.88-1084.62 cm-1 vs. pressure for chlorodifluoromethane (CHClF2) using tunable CW CO2 laser

    NASA Astrophysics Data System (ADS)

    Al-Hawat, Sharif

    2013-02-01

    Infrared (IR) absorption in the spectral range of (1071.88-1084.62 cm-1) vs. pressure in chlorodifluoromethane (CFC-22, F-22, and CHClF2) was studied using a tunable continuous wave (CW) CO2 laser radiation on 9R branch lines with a maximum output power of about 2.12 W, provided with an absorber cell located outside the laser cavity. The absorption coefficients were determined vs. the gas pressure between 0.2 mbar and 170 mbar at lines from 9R branch for CFC-22. The frequency shifts of the absorption lines of CFC-22 in relative to the central frequencies of laser lines were calculated vs. the pressure on the basis of these absorption coefficients. The chosen lines were selected according to IR spectrum of the studied gas given by HITRAN cross section database. So the absorption was achieved for CFC-22 at the spectral lines of 9R branch situated from 9R (10) to 9R (30) emitted by a tunable CW CO2 laser. The absorption cross sections of CFC-22 determined in this work were compared with the relevant data given by HITRAN cross section database and a reasonable agreement was observed.

  4. Soft Lithography

    NASA Astrophysics Data System (ADS)

    Xia, Younan; Whitesides, George M.

    1998-08-01

    Soft lithography represents a non-photolithographic strategy based on selfassembly and replica molding for carrying out micro- and nanofabrication. It provides a convenient, effective, and low-cost method for the formation and manufacturing of micro- and nanostructures. In soft lithography, an elastomeric stamp with patterned relief structures on its surface is used to generate patterns and structures with feature sizes ranging from 30 nm to 100 mum. Five techniques have been demonstrated: microcontact printing (muCP), replica molding (REM), microtransfer molding (muTM), micromolding in capillaries (MIMIC), and solvent-assisted micromolding (SAMIM). In this chapter we discuss the procedures for these techniques and their applications in micro- and nanofabrication, surface chemistry, materials science, optics, MEMS, and microelectronics.

  5. Diode-end-pumped Ho, Pr:LiLuF4 bulk laser at 2.95  μm.

    PubMed

    Nie, Hongkun; Zhang, Peixiong; Zhang, Baitao; Yang, Kejian; Zhang, Lianhan; Li, Tao; Zhang, Shuaiyi; Xu, Jianqiu; Hang, Yin; He, Jingliang

    2017-02-15

    A diode-end-pumped continuous-wave (CW) and passively Q-switched Ho, Pr:LiLuF4 (Ho, Pr:LLF) laser operation at 2.95 μm was demonstrated for the first time, to the best of our knowledge. The maximum CW output power was 172 mW. By using a monolayer graphene as the saturable absorber, the passively Q-switched operation was realized, in which regimes with the highest output power, the shortest pulse duration, and the maximum repetition rate were determined to be 88 mW, 937.5 ns, and 55.7 kHz, respectively. The laser beam quality factor M2 at the maximum CW output power were measured to be Mx2=1.48 and My2=1.47.

  6. Nanoimprinted organic semiconductor laser pumped by a light-emitting diode.

    PubMed

    Tsiminis, Georgios; Wang, Yue; Kanibolotsky, Alexander L; Inigo, Anto R; Skabara, Peter J; Samuel, Ifor D W; Turnbull, Graham A

    2013-05-28

    An organic semiconductor laser, simply fabricated by UV-nanoimprint lithography (UV-NIL), that is pumped with a pulsed InGaN LED is demonstrated. Molecular weight optimization of the polymer gain medium on a nanoimprinted polymer distributed feedback resonator enables the lowest reported UV-NIL laser threshold density of 770 W cm(-2) , establishing the potential for scalable organic laser fabrication compatible with mass-produced LEDs. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  7. A Kinetics Model for KrF Laser Amplifiers

    NASA Astrophysics Data System (ADS)

    Giuliani, J. L.; Kepple, P.; Lehmberg, R.; Obenschain, S. P.; Petrov, G.

    1999-11-01

    A computer kinetics code has been developed to model the temporal and spatial behavior of an e-beam pumped KrF laser amplifier. The deposition of the primary beam electrons is assumed to be spatially uniform and the energy distribution function of the nascent electron population is calculated to be near Maxwellian below 10 eV. For an initial Kr/Ar/F2 composition, the code calculates the densities of 24 species subject to over 100 reactions with 1-D spatial resolution (typically 16 zones) along the longitudinal lasing axis. Enthalpy accounting for each process is performed to partition the energy into internal, thermal, and radiative components. The electron as well as the heavy particle temperatures are followed for energy conservation and excitation rates. Transport of the lasing photons is performed along the axis on a dense subgrid using the method of characteristics. Amplified spontaneous emission is calculated using a discrete ordinates approach and includes contributions to the local intensity from the whole amplifier volume. Specular reflection off side walls and the rear mirror are included. Results of the model will be compared with data from the NRL NIKE laser and other published results.

  8. Holographic lithography for biomedical applications

    NASA Astrophysics Data System (ADS)

    Stankevicius, E.; Balciunas, E.; Malinauskas, M.; Raciukaitis, G.; Baltriukiene, D.; Bukelskiene, V.

    2012-06-01

    Fabrication of scaffolds for cell growth with appropriate mechanical characteristics is top-most important for successful creation of tissue. Due to ability of fast fabrication of periodic structures with a different period, the holographic lithography technique is a suitable tool for scaffolds fabrication. The scaffolds fabricated by holographic lithography can be used in various biomedical investigations such as the cellular adhesion, proliferation and viability. These investigations allow selection of the suitable material and geometry of scaffolds which can be used in creation of tissue. Scaffolds fabricated from di-acrylated poly(ethylene glycol) (PEG-DA-258) over a large area by holographic lithography technique are presented in this paper. The PEG-DA scaffolds fabricated by holographic lithography showed good cytocompatibility for rabbit myogenic stem cells. It was observed that adult rabbit muscle-derived myogenic stem cells grew onto PEG-DA scaffolds. They were attached to the pillars and formed cell-cell interactions. It demonstrates that the fabricated structures have potential to be an interconnection channel network for cell-to-cell interactions, flow transport of nutrients and metabolic waste as well as vascular capillary ingrowth. These results are encouraging for further development of holographic lithography by improving its efficiency for microstructuring three-dimensional scaffolds out of biodegradable hydrogels

  9. Prospects of DUV OoB suppression techniques in EUV lithography

    NASA Astrophysics Data System (ADS)

    Park, Chang-Min; Kim, Insung; Kim, Sang-Hyun; Kim, Dong-Wan; Hwang, Myung-Soo; Kang, Soon-Nam; Park, Cheolhong; Kim, Hyun-Woo; Yeo, Jeong-Ho; Kim, Seong-Sue

    2014-04-01

    Though scaling of source power is still the biggest challenge in EUV lithography (EUVL) technology era, CD and overlay controls for transistor's requirement are also precondition of adopting EUVL in mass production. Two kinds of contributors are identified as risks for CDU and Overlay: Infrared (IR) and deep ultraviolet (DUV) out of band (OOB) radiations from laser produced plasma (LPP) EUV source. IR from plasma generating CO2 laser that causes optics heating and wafer overlay error is well suppressed by introducing grating on collector to diffract IR off the optical axis and is the effect has been confirmed by operation of pre-production tool (NXE3100). EUV and DUV OOB which are reflected from mask black boarder (BB) are root causes of EUV-specific CD error at the boundaries of exposed shots which would result in the problem of CDU out of spec unless sufficiently suppressed. Therefore, control of DUV OOB reflection from the mask BB is one of the key technologies that must be developed prior to EUV mass production. In this paper, quantitative assessment on the advantage and the disadvantage of potential OOB solutions will be discussed. EUV and DUV OOB impacts on wafer CDs are measured from NXE3100 & NXE3300 experiments. Significant increase of DUV OOB impact on CD from NXE3300 compared with NXE3100 is observed. There are three ways of technology being developed to suppress DUV OOB: spectral purity filter (SPF) as a scanner solution, multi-layer etching as a solution on mask, and resist top-coating as a process solution. PROs and CONs of on-scanner, on-mask, and on-resist solution for the mass production of EUV lithography will be discussed.

  10. ToF diagnostic of Tin resonant laser photoionization in SPES laser offline laboratory

    NASA Astrophysics Data System (ADS)

    Scarpa, D.; Fedorov, D.; Andrighetto, A.; Mariotti, E.; Nicolosi, P.; Sottili, L.; Tomaselli, A.; Cecchi, R.; Stiaccini, L.

    2016-09-01

    Tin is the principal element of interest in the SPES ISOL facility, which is under construction at Legnaro INFN Laboratories. Atomic nuclei have a shell structure in which nuclei with \\textquoteleft magic numbers\\textquoteright of protons and neutrons are analogous to the noble gasses in atomic physics. In particular, recent theoretical studies, reveal double-magic nature of radioactive 132Sn. For this reason the nuclear physics community demonstrated, in the last years, a huge interest to produce and study this radioactive neutron rich isotope. Experiments on Tin laser resonant ionization have been performed in the offline SPES laser laboratory to investigate the capability of the new home-made Time of Flight (ToF) mass spectrometer. Several three-step, two color ionization schemes have been tested by comparing fast and slow optogalvanic signals from a Tin Hollow Cathode Lamp (HCL) and Time of Flight signals from the spectrometer. By scanning the wavelength of one of the two dye lasers across the specific resonance, comparisons of ionization signals from both the ToF and the HCL have been made, finding perfect agreement. Furthermore, with the mass spectrometer, resolved peaks of all the natural Tin isotopes have been detected. The natural abundances extracted from these measurements are in agreement with the table values for Tin isotopes. This work, with comparison of OGE and ToF signals, confirm the fully functional SPES offline laser laboratory capability in order to develop scheme studies also for the other possible Radioactive Ion Beam (RIB) elements.

  11. Fabrication of a chirped artificial compound eye for endoscopic imaging fiber bundle by dose-modulated laser lithography and subsequent thermal reflow

    NASA Astrophysics Data System (ADS)

    Deng, Shengfeng; Lyu, Jinke; Sun, Hongda; Cui, Xiaobin; Wang, Tun; Lu, Miao

    2015-03-01

    A chirped artificial compound eye on a curved surface was fabricated using an optical resin and then mounted on the end of an endoscopic imaging fiber bundle. The focal length of each lenslet on the curved surface was variable to realize a flat focal plane, which matched the planar end surface of the fiber bundle. The variation of the focal length was obtained by using a photoresist mold formed by dose-modulated laser lithography and subsequent thermal reflow. The imaging performance of the fiber bundle was characterized by coupling with a coaxial light microscope, and the result demonstrated a larger field of view and better imaging quality than that of an artificial compound eye with a uniform focal length. Accordingly, this technology has potential application in stereoscopic endoscopy.

  12. Combined Tin-Containing Fluoride Solution and CO2 Laser Treatment Reduces Enamel Erosion in vitro.

    PubMed

    Esteves-Oliveira, Marcella; Witulski, Nadine; Hilgers, Ralf-Dieter; Apel, Christian; Meyer-Lueckel, Hendrik; Eduardo, Carlos de Paula

    2015-01-01

    The aim of this in vitro study was to evaluate the effect of combined CO2 laser and tin-containing fluoride treatment on the formation and progression of enamel erosive lesions. Ninety-six human enamel samples were obtained, stored in thymol solution and, after surface polishing, randomly divided into 6 different surface treatment groups (n = 16 in each group) as follows: no treatment, control (C); one CO2 laser irradiation (L1); two CO2 laser irradiations (L2); daily application of fluoride solution (F); combined daily fluoride solution + one CO2 laser irradiation (L1F), and combined daily fluoride solution + two CO2 laser irradiations (L2F). Laser irradiation was performed at 0.3 J/cm2 (5 µs/226 Hz/10.6 µm) on day 1 (L1) and day 6 (L2). The fluoride solution contained AmF/NaF (500 ppm F), and SnCl2 (800 ppm Sn) at pH 4.5. After surface treatment the samples were submitted to an erosive cycling over 10 days, including immersion in citric acid (2 min/0.05 M/pH = 2.3) 6 times daily and storage in remineralization solution (≥1 h) between erosive attacks. At the end of each cycling day, the enamel surface loss (micrometers) was measured using a 3D laser profilometer. Data were statistically analyzed by means of a 2-level mixed effects model and linear contrasts (α = 0.05). Group F (-3.3 ± 2.0 µm) showed significantly lower enamel surface loss than groups C (-27.22 ± 4.1 µm), L1 (-18.3 ± 4.4 µm) and L2 (-16.3 ± 5.3 µm) but higher than L1F (-1.0 ± 4.4 µm) and L2F (1.4 ± 3.2 µm, p < 0.05). Under the conditions of this in vitro study, the tin-containing fluoride solution caused 88% reduction of enamel surface loss, while its combination with CO2 laser irradiation at 0.3 J/cm2 hampered erosive loss almost completely. © 2015 S. Karger AG, Basel.

  13. Successful demonstration of a comprehensive lithography defect monitoring strategy

    NASA Astrophysics Data System (ADS)

    Peterson, Ingrid B.; Breaux, Louis H.; Cross, Andrew; von den Hoff, Michael

    2003-07-01

    widest range of sizes, from full-wafer to suboptical, and with the largest variety of characteristics. Some of these defects fall into the categories of coating problems, focus and exposure defects, developer defects, edge-bead removal problems, contamination and scratches usually defined as lithography macro defects as shown in Figure 1. Others fall into the category of lithography micro defects, Figure 2. They are characterized as having low topography such as stains, developer spots, satellites, are very small such as micro-bridging, partial micro-bridging, micro-bubbles, CD variation and single isolated missing or deformed contacts or vias. Lithography is the only area of the fab besides CMP in which defect excursions can be corrected by reworking the wafers. The opportunity to fix defect problems without scrapping wafers is best served by a defect inspection strategy that captures the full range of all relevant defect types with a proper balance between the costs of monitoring and inspection and the potential cost of yield loss. In the previous paper [1] it was shown that a combination of macro inspection and high numerical aperture (NA) brightfield imaging inspection technology is best suited for the application in the case of the idealized fab modeled. In this paper we will report on the successful efforts in implementing and validating the lithography defect monitoring strategy at two existing 200 mm factories running 0.15 μm and 0.13 μm design rules.

  14. Efficient Ho:LuLiF4 laser diode-pumped at 1.15 μm.

    PubMed

    Wang, Sheng-Li; Huang, Chong-Yuan; Zhao, Cheng-Chun; Li, Hong-Qiang; Tang, Yu-Long; Yang, Nan; Zhang, Shuai-Yi; Hang, Yin; Xu, Jian-Qiu

    2013-07-15

    We report the first laser operation based on Ho(3+)-doped LuLiF(4) single crystal, which is directly pumped with 1.15-μm laser diode (LD). Based on the numerical model, it is found that the "two-for-one" effect induced by the cross-relaxation plays an important role for the laser efficiency. The maximum continuous wave (CW) output power of 1.4 W is produced with a beam propagation factor of M(2) ~2 at the lasing wavelength of 2.066 μm. The slope efficiency of 29% with respect to absorbed power is obtained.

  15. Unstable Resonator Mid-Infrared Laser Sources

    DTIC Science & Technology

    2016-02-26

    of individual materials depending on metal species and growth temperatures . Fig. 8 (a) Average power consumption and (b) delay of C2MOS and double...feedback lasers, chirped gratings, interferometric lithography, nanowire transistors, tunnel field- effect transistors, nanoscale epitaxial growth, nanowire...technical approaches. Approaches to wavelength tuning include thermal/operation temperature tuning [1], variable cavity length with cantilever/piezo

  16. X-ray Excitation Triggers Ytterbium Anomalous Emission in CaF2:Yb but Not in SrF2:Yb.

    PubMed

    Hughes-Currie, Rosa B; Ivanovskikh, Konstantin V; Wells, Jon-Paul R; Reid, Michael F; Gordon, Robert A; Seijo, Luis; Barandiarán, Zoila

    2017-03-16

    Materials that luminesce after excitation with ionizing radiation are extensively applied in physics, medicine, security, and industry. Lanthanide dopants are known to trigger crystal scintillation through their fast d-f emissions; the same is true for other important applications as lasers or phosphors for lighting. However, this ability can be seriously compromised by unwanted anomalous emissions often found with the most common lanthanide activators. We report high-resolution X-ray-excited optical (IR to UV) luminescence spectra of CaF 2 :Yb and SrF 2 :Yb samples excited at 8949 eV and 80 K. Ionizing radiation excites the known anomalous emission of ytterbium in the CaF 2 host but not in the SrF 2 host. Wave function-based ab initio calculations of host-to-dopant electron transfer and Yb 2+ /Yb 3+ intervalence charge transfer explain the difference. The model also explains the lack of anomalous emission in Yb-doped SrF 2 excited by VUV radiation.

  17. Development of high damage threshold laser-machined apodizers and gain filters for laser applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rambo, Patrick; Schwarz, Jens; Kimmel, Mark

    We have developed high damage threshold filters to modify the spatial profile of a high energy laser beam. The filters are formed by laser ablation of a transmissive window. The ablation sites constitute scattering centers which can be filtered in a subsequent spatial filter. Finally, by creating the filters in dielectric materials, we see an increased laser-induced damage threshold from previous filters created using ‘metal on glass’ lithography.

  18. Development of high damage threshold laser-machined apodizers and gain filters for laser applications

    DOE PAGES

    Rambo, Patrick; Schwarz, Jens; Kimmel, Mark; ...

    2016-09-27

    We have developed high damage threshold filters to modify the spatial profile of a high energy laser beam. The filters are formed by laser ablation of a transmissive window. The ablation sites constitute scattering centers which can be filtered in a subsequent spatial filter. Finally, by creating the filters in dielectric materials, we see an increased laser-induced damage threshold from previous filters created using ‘metal on glass’ lithography.

  19. Alignment of CH{sub 3}F in para-H{sub 2} crystal studied by IR quantum cascade laser polarization spectroscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kawasaki, Hiroyuki; Mizoguchi, Asao; Kanamori, Hideto

    In order to investigate the alignment of CH{sub 3}F in para-H{sub 2} crystals, high resolution polarization spectroscopy of the ν{sub 3} vibrational band is studied using a quantum cascade laser at 1040 cm{sup −1}. It is found that the main and satellite series of peaks in the ν{sub 3} vibrational band of CH{sub 3}F have the same polarization dependence. This result supports the previously proposed cluster model with ortho-H{sub 2} in first and second nearest neighbor sites. The observed polarization dependence function is well described by a simple six-axis void model in which CH{sub 3}F is not aligned along themore » c-axis of the crystal but tilted to 64.9(3)° from it.« less

  20. Orange and red upconversion laser pumped by an avalanche mechanism in Pr3+, Yb3+:BaY2F8

    NASA Astrophysics Data System (ADS)

    Osiac, E.; Heumann, E.; Huber, G.; Kück, S.; Sani, E.; Toncelli, A.; Tonelli, M.

    2003-06-01

    The letter reports on upconverted orange (607.5 nm, 3P0→3H6) and red (638.7 nm, 3P0→3F2) laser oscillation at room temperature observed in Pr3+, Yb3+:BaY2F8 under excitation at 822 or 841 nm at 300 K. The upconversion mechanism that populates the emitting level is an avalanche excitation mechanism. Output powers up to 55 mW and slope efficiencies up to 27% were demonstrated at 607.5 nm. At 638.7 nm, the maximum output power was 26 mW with a slope efficiency of about 13.5%.

  1. Progress in the Science and Technology of Direct Drive Laser Fusion with the KrF Laser

    DTIC Science & Technology

    2010-12-01

    important parameters KrF technology leads) Direct Laser Drive is a better choice for Energy Indirect Drive (initial path for NIF ) Laser Beams x-rays Hohlraum...Pellet Direct Drive (IFE) Laser Beams Pellet .. • ID Ignition being explored on NIF • Providing high enough gain for pure fusion energy is...challenging. • DD Ignition physics can be explored on NIF . • More efficient use of laser light, and greater flexibility in applying drive provides potential for

  2. Fabrication of superconducting MgB2 nanostructures by an electron beam lithography-based technique

    NASA Astrophysics Data System (ADS)

    Portesi, C.; Borini, S.; Amato, G.; Monticone, E.

    2006-03-01

    In this work, we present the results obtained in fabrication and characterization of magnesium diboride nanowires realized by an electron beam lithography (EBL)-based method. For fabricating MgB2 thin films, an all in situ technique has been used, based on the coevaporation of B and Mg by means of an e-gun and a resistive heater, respectively. Since the high temperatures required for the fabrication of good quality MgB2 thin films do not allow the nanostructuring approach based on the lift-off technique, we structured the samples combining EBL, optical lithography, and Ar milling. In this way, reproducible nanowires 1 μm long have been obtained. To illustrate the impact of the MgB2 film processing on its superconducting properties, we measured the temperature dependence of the resistance on a nanowire and compared it to the original magnesium diboride film. The electrical properties of the films are not degraded as a consequence of the nanostructuring process, so that superconducting nanodevices may be obtained by this method.

  3. Removal of sulfur compounds from diesel using ArF laser and oxygen.

    PubMed

    Gondal, M A; Siddiqui, M N; Al-Hooshani, K

    2013-01-01

    A laser-based technique for deep desulfurization of diesel and other hydrocarbon fuels by removal of dimethyldibenzothiophene (DMDBT), a persistent sulfur contaminant in fuel oils has been developed. We report a selective laser excitation of DMDBT in diesel and model compounds such as n-hexane in a reaction chamber under oxygen environment where oxidative reactions can take place. ArF laser emitting at 193 nm was employed for excitation of oxygen and DMDBT, while for process optimization, the laser energy was varied from 50 to 200 mJ/cm(2). The laser-irradiated DMDBT solution under continuous oxygen flow was analyzed by UV absorption spectrometer to determine the photochemical oxidative degradation of DMDBT. In just 5 min of laser irradiation time, almost 95% DMDBT was depleted in a diesel containing 200 ppm of DMDBT. This article provides a new method for the removal of sulfur compounds from diesel by laser based photochemical process.

  4. A mask manufacturer's perspective on maskless lithography

    NASA Astrophysics Data System (ADS)

    Buck, Peter; Biechler, Charles; Kalk, Franklin

    2005-11-01

    Maskless Lithography (ML2) is again being considered for use in mainstream CMOS IC manufacturing. Sessions at technical conferences are being devoted to ML2. A multitude of new companies have been formed in the last several years to apply new concepts to breaking the throughput barrier that has in the past prevented ML2 from achieving the cost and cycle time performance necessary to become economically viable, except in rare cases. Has Maskless Lithography's (we used to call it "Direct Write Lithography") time really come? If so, what is the expected impact on the mask manufacturer and does it matter? The lithography tools used today in mask manufacturing are similar in concept to ML2 except for scale, both in throughput and feature size. These mask tools produce highly accurate lithographic images directly from electronic pattern files, perform multi-layer overlay, and mix-n-match across multiple tools, tool types and sites. Mask manufacturers are already accustomed to the ultimate low volume - one substrate per design layer. In order to achieve the economically required throughput, proposed ML2 systems eliminate or greatly reduce some of the functions that are the source of the mask writer's accuracy. Can these ML2 systems meet the demanding lithographic requirements without these functions? ML2 may eliminate the reticle but many of the processes and procedures performed today by the mask manufacturer are still required. Examples include the increasingly complex mask data preparation step and the verification performed to ensure that the pattern on the reticle is accurately representing the design intent. The error sources that are fixed on a reticle are variable with time on an ML2 system. It has been proposed that if ML2 is successful it will become uneconomical to be in the mask business - that ML2, by taking the high profit masks will take all profitability out of mask manufacturing and thereby endanger the entire semiconductor industry. Others suggest that a

  5. Extension of optical lithography by mask-litho integration with computational lithography

    NASA Astrophysics Data System (ADS)

    Takigawa, T.; Gronlund, K.; Wiley, J.

    2010-05-01

    Wafer lithography process windows can be enlarged by using source mask co-optimization (SMO). Recently, SMO including freeform wafer scanner illumination sources has been developed. Freeform sources are generated by a programmable illumination system using a micro-mirror array or by custom Diffractive Optical Elements (DOE). The combination of freeform sources and complex masks generated by SMO show increased wafer lithography process window and reduced MEEF. Full-chip mask optimization using source optimized by SMO can generate complex masks with small variable feature size sub-resolution assist features (SRAF). These complex masks create challenges for accurate mask pattern writing and low false-defect inspection. The accuracy of the small variable-sized mask SRAF patterns is degraded by short range mask process proximity effects. To address the accuracy needed for these complex masks, we developed a highly accurate mask process correction (MPC) capability. It is also difficult to achieve low false-defect inspections of complex masks with conventional mask defect inspection systems. A printability check system, Mask Lithography Manufacturability Check (M-LMC), is developed and integrated with 199-nm high NA inspection system, NPI. M-LMC successfully identifies printable defects from all of the masses of raw defect images collected during the inspection of a complex mask. Long range mask CD uniformity errors are compensated by scanner dose control. A mask CD uniformity error map obtained by mask metrology system is used as input data to the scanner. Using this method, wafer CD uniformity is improved. As reviewed above, mask-litho integration technology with computational lithography is becoming increasingly important.

  6. Effect of concentration variation on 2.0 µm emission of Ho3+-doped SiO2-Al2O3-Na2CO3-SrF2-CaF2 oxyfluorosilicate glasses

    NASA Astrophysics Data System (ADS)

    Gelija, Devarajulu; Borelli, Deva Prasad Raju

    2018-02-01

    The concentration variation of Ho3+ ion-doped SiO2-Al2O3-Na2CO3-SrF2-CaF2 glasses has been prepared by conventional melt quenching method. The thermal stability of 1 mol % of Ho3+-doped oxyfluorosilicate glass has been calculated using the differential thermal analysis (DTA) spectra. The phenomenological Judd-Ofelt intensity parameters Ωλ ( λ = 2, 4 and 6) were calculated for all concentrations of Ho3+ ions. The luminescence spectra in visible region of Ho3+ ion-doped glasses were recorded under the excitation wavelength of 452 nm. The spectra consists of several intense emission bands (5F4, 5S2) → 5I8 (547 nm), 5F3 → 5I8 (647 nm), 5F5 → 5I7 (660 nm) and (5F4, 5S2) → 5I7 (750 nm) in the range 500-780 nm. The fluorescence emission at ˜2.0 µm (5I7 → 5I8) was observed under the excitation of 488 nm Ar-ion laser. The stimulated emission cross section for 5I7 → 5I8 transition (˜2.0 µm) varies from 8.46 to 9.52 × 10-21 cm2, as calculated by the Fuchtbauer-Ladenburg (FL) theory. However, Mc-Cumber theory was used to calculate emission cross section values about 4.24-5.75 × 10-21 cm2 for the 5I7 → 5I8 transition in all concentrations of Ho3+-doped oxyfluorosilicate glasses. Therefore, these results reveal that the 0.5 mol % of Ho3+-doped oxyfluorosilicate glasses, exhibiting higher emission cross section, has potentially been used for laser applications at ˜ 2.0 µm.

  7. Physical Limitations in Lithography for Microelectronics.

    ERIC Educational Resources Information Center

    Flavin, P. G.

    1981-01-01

    Describes techniques being used in the production of microelectronics kits which have replaced traditional optical lithography, including contact and optical projection printing, and X-ray and electron beam lithography. Also includes limitations of each technique described. (SK)

  8. Modeling of projection electron lithography

    NASA Astrophysics Data System (ADS)

    Mack, Chris A.

    2000-07-01

    Projection Electron Lithography (PEL) has recently become a leading candidate for the next generation of lithography systems after the successful demonstration of SCAPEL by Lucent Technologies and PREVAIL by IBM. These systems use a scattering membrane mask followed by a lens with limited angular acceptance range to form an image of the mask when illuminated by high energy electrons. This paper presents an initial modeling system for such types of projection electron lithography systems. Monte Carlo modeling of electron scattering within the mask structure creates an effective mask 'diffraction' pattern, to borrow the standard optical terminology. A cutoff of this scattered pattern by the imaging 'lens' provides an electron energy distribution striking the wafer. This distribution is then convolved with a 'point spread function,' the results of a Monte Carlo scattering calculation of a point beam of electrons striking the resist coated substrate and including the effects of beam blur. Resist exposure and development models from standard electron beam lithography simulation are used to simulate the final three-dimensional resist profile.

  9. ACTIVE MEDIA: BaY2F8 single crystals doped with rare-earth ions as promising up-conversion media for UV and VUV lasers

    NASA Astrophysics Data System (ADS)

    Pushkar', A. A.; Uvarova, T. V.; Molchanov, V. N.

    2008-04-01

    BaY2F8 crystals are studied as promising active media for UV and VUV lasers. The up-conversion pumping of rare-earth activators is proposed to solve problems related to the solarisation of the medium and the selection of pump sources. The technology of growing oriented BaY2F8 single crystals is developed and the influence of the crystal orientation on the growth rate and quality of single crystals is determined.

  10. Reconfigurable Solid-state Dye-doped Polymer Ring Resonator Lasers.

    PubMed

    Chandrahalim, Hengky; Fan, Xudong

    2015-12-17

    This paper presents wavelength configurable on-chip solid-state ring lasers fabricated by a single-mask standard lithography. The single- and coupled-ring resonator hosts were fabricated on a fused-silica wafer and filled with 3,3'-Diethyloxacarbocyanine iodide (CY3), Rhodamine 6G (R6G), and 3,3'-Diethylthiadicarbocyanine iodide (CY5)-doped polymer as the reconfigurable gain media. The recorded lasing threshold was ~220 nJ/mm(2) per pulse for the single-ring resonator laser with R6G, marking the lowest threshold shown by solid-state dye-doped polymer lasers fabricated with a standard lithography process on a chip. A single-mode lasing from a coupled-ring resonator system with the lasing threshold of ~360 nJ/mm(2) per pulse was also demonstrated through the Vernier effect. The renewability of the dye-doped polymer was examined by removing and redepositing the dye-doped polymer on the same resonator hosts for multiple cycles. We recorded consistent emissions from the devices for all trials, suggesting the feasibility of employing this technology for numerous photonic and biochemical sensing applications that entail for sustainable, reconfigurable, and low lasing threshold coherent light sources on a chip.

  11. ToF-SIMS and Laser-SNMS Imaging of Heterogeneous Topographically Complex Polymer Systems.

    PubMed

    Pelster, Andreas; Körsgen, Martin; Kurosawa, Takako; Morita, Hiromi; Arlinghaus, Heinrich F

    2016-10-04

    Heterogeneous polymer coatings, such as those used in organic electronics and medical devices, are of increasing industrial importance. In order to advance the development of these types of systems, analytical techniques are required which are able to determine the elemental and molecular spatial distributions, on a nanometer scale, with very high detection efficiency and sensitivity. The goal of this study was to investigate the suitability of laser postionization secondary neutral mass spectrometry (Laser-SNMS) with a 157 nm postionization laser beam to image structured polymer mixtures and compare the results with time-of-flight secondary ion mass spectrometry (ToF-SIMS) measurements using Bi 3 + primary ions. The results showed that Laser-SNMS is better suited than ToF-SIMS for unambiguous detection and submicrometer imaging of the wide range of polymers investigated. The data also showed that Laser-SNMS has the advantage of being much more sensitive (in general higher by more than an order of magnitude and peaking at up to 3 orders of magnitude) than ToF-SIMS while also showing superior performance on topographically complex structured insulating surfaces, due to significantly reduced field effects and a higher dynamic range as compared to ToF-SIMS. It is concluded that Laser-SNMS is a powerful complementary technique to ToF-SIMS for the analysis of heterogeneous polymers and other complex structured organic mixtures, providing submicrometer resolution and high sensitivity.

  12. A simplified method for generating periodic nanostructures by interference lithography without the use of an anti-reflection coating

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kapon, Omree; Muallem, Merav; Palatnik, Alex

    Interference lithography has proven to be a useful technique for generating periodic sub-diffraction limited nanostructures. Interference lithography can be implemented by exposing a photoresist polymer to laser light using a two-beam arrangement or more simply a one beam configuration based on a Lloyd's Mirror Interferometer. For typical photoresist layers, an anti-reflection coating must be deposited on the substrate to prevent adverse reflections from cancelling the holographic pattern of the interfering beams. For silicon substrates, such coatings are typically multilayered and complex in composition. By thinning the photoresist layer to a thickness well below the quarter wavelength of the exposing beam,more » we demonstrate that interference gratings can be generated without an anti-reflection coating on the substrate. We used ammonium dichromate doped polyvinyl alcohol as the positive photoresist because it provides excellent pinhole free layers down to thicknesses of 40 nm, and can be cross-linked by a low-cost single mode 457 nm laser, and can be etched in water. Gratings with a period of 320 nm and depth of 4 nm were realized, as well as a variety of morphologies depending on the photoresist thickness. This simplified interference lithography technique promises to be useful for generating periodic nanostructures with high fidelity and minimal substrate treatments.« less

  13. [Experimental investigation of laser plasma soft X-ray source with gas target].

    PubMed

    Ni, Qi-liang; Gong, Yan; Lin, Jing-quan; Chen, Bo; Cao, Jian-lin

    2003-02-01

    This paper describes a debris-free laser plasma soft X-ray source with a gas target, which has high operating frequency and can produce strong soft X-ray radiation. The valve of this light source is drived by a piezoelectrical ceramic whose operating frequency is up to 400 Hz. In comparison with laser plasma soft X-ray sources using metal target, the light source is debris-free. And it has higher operating frequency than gas target soft X-ray sources whose nozzle is controlled by a solenoid valve. A channel electron multiplier (CEM) operating in analog mode is used to detect the soft X-ray generated by the laser plasma source, and the CEM's output is fed to to a charge-sensitive preamplifier for further amplification purpose. Output charges from the CEM are proportional to the amplitude of the preamplifier's output voltage. Spectra of CO2, Xe and Kr at 8-14 nm wavelength which can be used for soft X-ray projection lithography are measured. The spectrum for CO2 consists of separate spectral lines originate mainly from the transitions in Li-like and Be-like ions. The Xe spectrum originating mainly from 4d-5f, 4d-4f, 4d-6p and 4d-5p transitions in multiply charged xenon ions. The spectrum for Kr consists of separate spectral lines and continuous broad spectra originating mainly from the transitions in Cu-, Ni-, Co- and Fe-like ions.

  14. Maskless, reticle-free, lithography

    DOEpatents

    Ceglio, N.M.; Markle, D.A.

    1997-11-25

    A lithography system in which the mask or reticle, which usually carries the pattern to be printed onto a substrate, is replaced by a programmable array of binary (i.e. on/off) light valves or switches which can be programmed to replicate a portion of the pattern each time an illuminating light source is flashed. The pattern of light produced by the programmable array is imaged onto a lithographic substrate which is mounted on a scanning stage as is common in optical lithography. The stage motion and the pattern of light displayed by the programmable array are precisely synchronized with the flashing illumination system so that each flash accurately positions the image of the pattern on the substrate. This is achieved by advancing the pattern held in the programmable array by an amount which corresponds to the travel of the substrate stage each time the light source flashes. In this manner the image is built up of multiple flashes and an isolated defect in the array will only have a small effect on the printed pattern. The method includes projection lithographies using radiation other than optical or ultraviolet light. The programmable array of binary switches would be used to control extreme ultraviolet (EUV), x-ray, or electron, illumination systems, obviating the need for stable, defect free masks for projection EUV, x-ray, or electron, lithographies. 7 figs.

  15. Maskless, reticle-free, lithography

    DOEpatents

    Ceglio, Natale M.; Markle, David A.

    1997-11-25

    A lithography system in which the mask or reticle, which usually carries the pattern to be printed onto a substrate, is replaced by a programmable array of binary (i.e. on/off) light valves or switches which can be programmed to replicate a portion of the pattern each time an illuminating light source is flashed. The pattern of light produced by the programmable array is imaged onto a lithographic substrate which is mounted on a scanning stage as is common in optical lithography. The stage motion and the pattern of light displayed by the programmable array are precisely synchronized with the flashing illumination system so that each flash accurately positions the image of the pattern on the substrate. This is achieved by advancing the pattern held in the programmable array by an amount which corresponds to the travel of the substrate stage each time the light source flashes. In this manner the image is built up of multiple flashes and an isolated defect in the array will only have a small effect on the printed pattern. The method includes projection lithographies using radiation other than optical or ultraviolet light. The programmable array of binary switches would be used to control extreme ultraviolet (EUV), x-ray, or electron, illumination systems, obviating the need for stable, defect free masks for projection EUV, x-ray, or electron, lithographies.

  16. Measurement of Laser Plasma Instability (LPI) Driven Light Scattering from Plasmas Produced by Nike KrF Laser

    NASA Astrophysics Data System (ADS)

    Oh, Jaechul; Weaver, J. L.; Phillips, L.; Obenschain, S. P.; Schmitt, A. J.; Kehne, D. M.; Serlin, V.; Lehmberg, R. H.; McLean, E. A.; Manka, C. K.

    2010-11-01

    With short wavelength (248 nm), large bandwidth (1˜3 THz), and ISI beam smoothing, Nike KrF laser provides unique research opportunities and potential for direct-drive inertial confinement fusion. Previous Nike experiments observed two plasmon decay (TPD) driven signals from CH plasmas at the laser intensities above ˜2x10^15 W/cm^2 with total laser energies up to 1 kJ of ˜350 ps FWHM pulses. We have performed a further experiment with longer laser pulses (0.5˜4.0 ns FWHM) and will present combined results of the experiments focusing on light emission data in spectral ranges relevant to the Raman (SRS) and TPD instabilities. Time- or space-resolved spectral features of TPD were detected at different viewing angles and the absolute intensity calibrated spectra of thermal background were used to obtain blackbody temperatures in the plasma corona. The wave vector distribution in k-space of the participating TPD plasmons will be also discussed. These results show promise for the proposed direct-drive designs.

  17. Experimental verification of the cluster model of CH3F-(ortho-H2)n in solid para-H2 by using mid-infrared pump-probe laser spectroscopy

    NASA Astrophysics Data System (ADS)

    Miyamoto, Yuki; Mizoguchi, Asao; Kanamori, Hideto

    2017-03-01

    The bleaching process in the C-F stretching mode (ν3 band) of CH3F-(ortho-H2)n [n = 0 and 1] clusters in solid para-H2 was monitored using pump and probe laser spectroscopy on the C-H stretching mode (ν1 and 2ν5 bands). From an analysis of the depleted spectral profiles, the transition frequency and linewidth of each cluster were directly determined. The results agree with the values previously derived from a deconvolution analysis of the broadened ν1/2ν5 spectrum observed by FTIR spectroscopy. The complementary increase and decrease between the n = 0 and 1 components were also verified through monitoring the ν1 and 2ν5 bands, which suggests a closed system among the CH3F-(ortho-H2)n clusters. These observations provide experimental verification of the CH3F-(ortho-H2)n cluster model. On the other hand, a trial to observe the bleaching process by pumping the C-H stretching mode was not successful. This result may be important for understanding the dynamics of vibrational relaxation processes in CH3F-(ortho-H2)n in solid para-H2.

  18. Experimental verification of the cluster model of CH3F-(ortho-H2)n in solid para-H2 by using mid-infrared pump-probe laser spectroscopy.

    PubMed

    Miyamoto, Yuki; Mizoguchi, Asao; Kanamori, Hideto

    2017-03-21

    The bleaching process in the C-F stretching mode (ν 3 band) of CH 3 F-(ortho-H 2 ) n [n = 0 and 1] clusters in solid para-H 2 was monitored using pump and probe laser spectroscopy on the C-H stretching mode (ν 1 and 2ν 5 bands). From an analysis of the depleted spectral profiles, the transition frequency and linewidth of each cluster were directly determined. The results agree with the values previously derived from a deconvolution analysis of the broadened ν 1 /2ν 5 spectrum observed by FTIR spectroscopy. The complementary increase and decrease between the n = 0 and 1 components were also verified through monitoring the ν 1 and 2ν 5 bands, which suggests a closed system among the CH 3 F-(ortho-H 2 ) n clusters. These observations provide experimental verification of the CH 3 F-(ortho-H 2 ) n cluster model. On the other hand, a trial to observe the bleaching process by pumping the C-H stretching mode was not successful. This result may be important for understanding the dynamics of vibrational relaxation processes in CH 3 F-(ortho-H 2 ) n in solid para-H 2 .

  19. Fundamental Limit of 1/f Frequency Noise in Semiconductor Lasers Due to Mechanical Thermal Noise

    NASA Technical Reports Server (NTRS)

    Numata, K.; Camp, J.

    2011-01-01

    So-called 1/f noise has power spectral density inversely proportional to frequency, and is observed in many physical processes. Single longitudinal-mode semiconductor lasers, used in variety of interferometric sensing applications, as well as coherent communications, exhibit 1/f frequency noise at low frequency (typically below 100kHz). Here we evaluate mechanical thermal noise due to mechanical dissipation in semiconductor laser components and give a plausible explanation for the widely-observed 1/f frequency noise, applying a methodology developed for fixed-spacer cavities for laser frequency stabilization. Semiconductor-laser's short cavity, small beam radius, and lossy components are expected to emphasize thermal-noise-limited frequency noise. Our simple model largely explains the different 1/f noise levels observed in various semiconductor lasers, and provides a framework where the noise may be reduced with proper design.

  20. Immersion lithography defectivity analysis at DUV inspection wavelength

    NASA Astrophysics Data System (ADS)

    Golan, E.; Meshulach, D.; Raccah, N.; Yeo, J. Ho.; Dassa, O.; Brandl, S.; Schwarz, C.; Pierson, B.; Montgomery, W.

    2007-03-01

    Significant effort has been directed in recent years towards the realization of immersion lithography at 193nm wavelength. Immersion lithography is likely a key enabling technology for the production of critical layers for 45nm and 32nm design rule (DR) devices. In spite of the significant progress in immersion lithography technology, there remain several key technology issues, with a critical issue of immersion lithography process induced defects. The benefits of the optical resolution and depth of focus, made possible by immersion lithography, are well understood. Yet, these benefits cannot come at the expense of increased defect counts and decreased production yield. Understanding the impact of the immersion lithography process parameters on wafer defects formation and defect counts, together with the ability to monitor, control and minimize the defect counts down to acceptable levels is imperative for successful introduction of immersion lithography for production of advanced DR's. In this report, we present experimental results of immersion lithography defectivity analysis focused on topcoat layer thickness parameters and resist bake temperatures. Wafers were exposed on the 1150i-α-immersion scanner and 1200B Scanner (ASML), defect inspection was performed using a DUV inspection tool (UVision TM, Applied Materials). Higher sensitivity was demonstrated at DUV through detection of small defects not detected at the visible wavelength, indicating on the potential high sensitivity benefits of DUV inspection for this layer. The analysis indicates that certain types of defects are associated with different immersion process parameters. This type of analysis at DUV wavelengths would enable the optimization of immersion lithography processes, thus enabling the qualification of immersion processes for volume production.

  1. 150-nm generation lithography equipment

    NASA Astrophysics Data System (ADS)

    Deguchi, Nobuyoshi; Uzawa, Shigeyuki

    1999-07-01

    Lithography by step-and-scan exposure is expected to be the mainstream for semiconductor manufacturing below 180 nm resolution patterns. We have developed a scanner for 150 nm features on either 200 mm or 300 mm wafers. For this system, the synchronous stage system has been redesigned which makes it possible to improve imaging performance and overlay accuracy. A new 300 mm wafer stage enhances productivity while weighting almost the same as the stage for 200 mm wafers. The mainbody mechanical frame incorporates reactive force receiver system to counter the inertial energy and vibrational issues associated with high speed wafer and reticle stage scanning. This report outlines the total system design, new technologies and performance data of the Cannon FPA-5000ES2 step-and-scan exposure tool developed for the 150 nm generation lithography.

  2. Ion beam lithography system

    DOEpatents

    Leung, Ka-Ngo

    2005-08-02

    A maskless plasma-formed ion beam lithography tool provides for patterning of sub-50 nm features on large area flat or curved substrate surfaces. The system is very compact and does not require an accelerator column and electrostatic beam scanning components. The patterns are formed by switching beamlets on or off from a two electrode blanking system with the substrate being scanned mechanically in one dimension. This arrangement can provide a maskless nano-beam lithography tool for economic and high throughput processing.

  3. Optical force stamping lithography

    PubMed Central

    Nedev, Spas; Urban, Alexander S.; Lutich, Andrey A.; Feldmann, Jochen

    2013-01-01

    Here we introduce a new paradigm of far-field optical lithography, optical force stamping lithography. The approach employs optical forces exerted by a spatially modulated light field on colloidal nanoparticles to rapidly stamp large arbitrary patterns comprised of single nanoparticles onto a substrate with a single-nanoparticle positioning accuracy well beyond the diffraction limit. Because the process is all-optical, the stamping pattern can be changed almost instantly and there is no constraint on the type of nanoparticle or substrates used. PMID:21992538

  4. Optimizing laser produced plasmas for efficient extreme ultraviolet and soft X-ray light sources

    NASA Astrophysics Data System (ADS)

    Sizyuk, Tatyana; Hassanein, Ahmed

    2014-08-01

    Photon sources produced by laser beams with moderate laser intensities, up to 1014 W/cm2, are being developed for many industrial applications. The performance requirements for high volume manufacture devices necessitate extensive experimental research supported by theoretical plasma analysis and modeling predictions. We simulated laser produced plasma sources currently being developed for several applications such as extreme ultraviolet lithography using 13.5% ± 1% nm bandwidth, possibly beyond extreme ultraviolet lithography using 6.× nm wavelengths, and water-window microscopy utilizing 2.48 nm (La-α) and 2.88 nm (He-α) emission. We comprehensively modeled plasma evolution from solid/liquid tin, gadolinium, and nitrogen targets as three promising materials for the above described sources, respectively. Results of our analysis for plasma characteristics during the entire course of plasma evolution showed the dependence of source conversion efficiency (CE), i.e., laser energy to photons at the desired wavelength, on plasma electron density gradient. Our results showed that utilizing laser intensities which produce hotter plasma than the optimum emission temperatures allows increasing CE for all considered sources that, however, restricted by the reabsorption processes around the main emission region and this restriction is especially actual for the 6.× nm sources.

  5. Manipulation by multiple filamentation of subpicosecond TW KrF laser beam

    NASA Astrophysics Data System (ADS)

    Zvorykin, V. D.; Smetanin, I. V.; Ustinovskii, N. N.; Shutov, A. V.

    2018-05-01

    A self-focusing of TW-level subpicosecond UV KrF laser pulses in ambient air produces a few 100 randomly distributed filaments over 100-m propagation distance. A control of multiple filamentation process by a number of methods was demonstrated in the present work envisaging applications for a HV discharge guiding, remote excitation of an atmospheric air laser, MW radiation transfer by virtual plasma waveguide, as well as filamentation suppression to improve short pulse parameters in direct amplification scheme. Under the laser beam focusing, a multitude of filaments coalesced into a superfilament with highly increased intensity and plasma conductivity. A superradiant forward lasing was obtained in the superfilament around 1.07-µm wavelength of atmospheric nitrogen. A regular 2D array of a 100 superfilaments was configured over 20-m distance by Fresnel diffraction on periodic amplitude masks. Effective Kerr defocusing and a subsequent filaments suppression over 50-m distance was demonstrated in Xe due to 2-photon resonance of laser radiation with 6p state being accompanied by a narrow-angle coherent conical emission at 828-nm wavelength.

  6. Efficient upconversion-pumped continuous wave Er3+:LiLuF4 lasers

    NASA Astrophysics Data System (ADS)

    Moglia, Francesca; Müller, Sebastian; Reichert, Fabian; Metz, Philip W.; Calmano, Thomas; Kränkel, Christian; Heumann, Ernst; Huber, Günter

    2015-04-01

    We report on detailed spectroscopic investigations and efficient visible upconversion laser operation of Er3+:LiLuF4. This material allows for efficient resonant excited-state-absorption (ESA) pumping at 974 nm. Under spectroscopic conditions without external feedback, ESA at the laser wavelength of 552 nm prevails stimulated emission. Under lasing conditions in a resonant cavity, the high intracavity photon density bleaches the ESA at 552 nm, allowing for efficient cw laser operation. We obtained the highest output power of any room-temperature crystalline upconversion laser. The laser achieves a cw output power of 774 mW at a slope efficiency of 19% with respect to the incident pump power delivered by an optically-pumped semiconductor laser. The absorption efficiency of the pump radiation is estimated to be below 50%. To exploit the high confinement in waveguides for this laser, we employed femtosecond-laser pulses to inscribe a cladding of parallel tracks of modified material into Er3+:LiLuF4 crystals. The core material allows for low-loss waveguiding at pump and laser wavelengths. Under Ti:sapphire pumping at 974 nm, the first crystalline upconversion waveguide laser has been realized. We obtained waveguide-laser operation with up to 10 mW of output power at 553 nm.

  7. Optical properties in the visible luminescence of SiO2:B2O3:CaO:GdF3 glass scintillators containing CeF3

    NASA Astrophysics Data System (ADS)

    Park, J. M.; Kim, H. J.; Karki, Sujita; Kaewkhao, J.; Damdee, B.; Kothan, S.; Kaewjaeng, S.

    2017-12-01

    CeF3-doped silicaborate-calcium-gadolinium glass scintillators, with the formula 10SiO2:(55-x)B2O3:10CaO:25GdF3:xCeF3, were fabricated by the melt-quenching technique. The doping concentration of the CeF3 was from 0.00 mol% to 0.20 mol%. The optical properties of the CeF3 doped glass scintillators were studied by using various radiation sources. The transition state of the CeF3-doped glass scintillators studied by using the absorption and photo-luminescence spectrum results. The X-ray, photo, proton and laser-induced luminescence spectra were also studied to understand the luminescence mechanism under various conditions. To understand the temperature dependence, the laser-induced luminescence and the decay component of the CeF3-doped glass scintillator were studied while the temperature was varied from 300 K to 10 K. The emission wavelength spectrum showed from 350 nm to 55 nm under various radiation sources. Also the CeF3-doped glass scintillator have one decay component as 34 ns at room temperature.

  8. Nanoparticle photoresist studies for EUV lithography

    NASA Astrophysics Data System (ADS)

    Kasahara, Kazuki; Xu, Hong; Kosma, Vasiliki; Odent, Jeremy; Giannelis, Emmanuel P.; Ober, Christopher K.

    2017-03-01

    EUV (extreme ultraviolet) lithography is one of the most promising candidates for next generation lithography. The main challenge for EUV resists is to simultaneously satisfy resolution, LWR (line-width roughness) and sensitivity requirements according to the ITRS roadmap. Though polymer type CAR (chemically amplified resist) is the currently standard photoresist, entirely new resist platforms are required due to the performance targets of smaller process nodes. In this paper, recent progress in nanoparticle photoresists which Cornell University has intensely studied is discussed. Lithography performance, especially scum elimination, improvement studies with the dissolution rate acceleration concept and new metal core applications are described.

  9. Mix & match electron beam & scanning probe lithography for high throughput sub-10 nm lithography

    NASA Astrophysics Data System (ADS)

    Kaestner, Marcus; Hofer, Manuel; Rangelow, Ivo W.

    2013-03-01

    The prosperous demonstration of a technique able to produce features with single nanometer (SN) resolution could guide the semiconductor industry into the desired beyond CMOS era. In the lithographic community immense efforts are being made to develop extreme ultra-violet lithography (EUVL) and multiple-e-beam direct-write systems as possible successor for next generation lithography (NGL). However, patterning below 20 nm resolution and sub-10 nm overlay alignment accuracy becomes an extremely challenging quest. Herein, the combination of electron beam lithography (EBL) or EUVL with the outstanding capabilities of closed-loop scanning proximal probe nanolithography (SPL) reveals a promising way to improve both patterning resolution and reproducibility in combination with excellent overlay and placement accuracy. In particular, the imaging and lithographic resolution capabilities provided by scanning probe microscopy (SPM) methods touches the atomic level, which expresses the theoretical limit of constructing nanoelectronic devices. Furthermore, the symbiosis between EBL (EUVL) and SPL expands the process window of EBL (EUVL) far beyond state-of-the-art allowing SPL-based pre- and post-patterning of EBL (EUVL) written features at critical dimension level with theoretically nanometer precise pattern overlay alignment. Moreover, we can modify the EBL (EUVL) pattern before as well as after the development step. In this paper we demonstrate proof of concept using the ultra-high resolution molecular glass resist calixarene. Therefor we applied Gaussian E-beam lithography system operating at 10 keV and a home-developed SPL set-up. The introduced Mix and Match lithography strategy enables a powerful use of our SPL set-up especially as post-patterning tool for inspection and repair functions below the sub-10 nm critical dimension level.

  10. ArF excimer laser debrides burns without destruction of viable tissue: A pilot study.

    PubMed

    Prasad, Atulya; Sawicka, Katarzyna M; Pablo, Kelly B; Macri, Lauren K; Felsenstein, Jerome; Wynne, James J; Clark, Richard A F

    2018-05-01

    Recent evidence indicates that early removal of eschar by tangential debridement can promote healing. Laser debridement can be used for debridement of areas that prove challenging for debridement using tangential excision. In particular, irradiation with an ArF excimer laser ablates desiccated eschar and is self-terminating, preserving hydrated or viable tissue. Thermal burns were created on the flanks of two outbred, female Yorkshire pigs using aluminum bars heated to 70°C and applied for different lengths of time. Three days after injury, burns were debrided using an ArF excimer laser (193nm). Tissue was harvested immediately after debridement and 7days after debridement (10days after burn). Data from a pilot study demonstrates that ArF excimer laser irradiation removes burn eschar and promotes healing at 10days after burn. ArF excimer laser debridement is self-terminating and preserves underlying and adjacent perfused tissue. Potentially, this modality would be ideal for the complex curvilinear structures of the body. Copyright © 2017 Elsevier Ltd and ISBI. All rights reserved.

  11. Photoresist composition for extreme ultraviolet lithography

    DOEpatents

    Felter, T. E.; Kubiak, G. D.

    1999-01-01

    A method of producing a patterned array of features, in particular, gate apertures, in the size range 0.4-0.05 .mu.m using projection lithography and extreme ultraviolet (EUV) radiation. A high energy laser beam is used to vaporize a target material in order to produce a plasma which in turn, produces extreme ultraviolet radiation of a characteristic wavelength of about 13 nm for lithographic applications. The radiation is transmitted by a series of reflective mirrors to a mask which bears the pattern to be printed. The demagnified focused mask pattern is, in turn, transmitted by means of appropriate optics and in a single exposure, to a substrate coated with photoresists designed to be transparent to EUV radiation and also satisfy conventional processing methods. A photoresist composition for extreme ultraviolet radiation of boron carbide polymers, hydrochlorocarbons and mixtures thereof.

  12. Controllable Change of Photoluminescence Spectra of Silicone Rubber Modified by 193 nm ArF Excimer Laser

    NASA Astrophysics Data System (ADS)

    Okoshi, Masayuki; Iyono, Minako; Inoue, Narumi

    2009-12-01

    Photoluminescence spectra of silicone rubber ([SiO(CH3)2]n) photochemically modified by a 193 nm ArF excimer laser was found to be controllable. Compared with the modification in air, the photoluminescence spectra could be blueshifted by the modification in vacuum or the additional irradiation of ArF excimer laser in vacuum after the modification in air. To redshift, on the other hand, the additional irradiation of a 157 nm F2 laser in air after the modification in air, the modification in oxygen gas, or the postannealing after the modification in oxygen gas was effective. The blue and redshifts of the photoluminescence were essentially due to the acceleration of reduction and oxidation reactions of silicone rubber, respectively, because the photoluminescence derives its origin from oxygen deficiency centers and peroxy centers of the silica structure in the modified silicone rubber. On the basis of the spectra changes, colorful light-guiding sheets made of silicone rubber under illumination of a 375 nm light-emitting diode were successfully fabricated for cellular phone use.

  13. Phenothiazinium dyes in association with diode red laser against B16F10 melanoma cells: in vitro study

    NASA Astrophysics Data System (ADS)

    Miranda, Anderson F.; Santos, Gustavo M. P.; de Oliveira, Susana C. P. S.; Monteiro, Juliana S. C.; Sampaio, Fernando J. P.; Gomes Júnior, Rafael Araújo; Brugnera, Aldo; Gesteira, Maria F. M.; Zanin, Fátima A. A.; Pinheiro, Antônio Luiz B.; Vannier-Santos, Marcos A.

    2014-02-01

    In Brazil solar incidence is high and continuous throughout the year. Body exposure to sunlight may be a key point in the rates of individuals affected by melanoma and other types of skin cancer in many countries. Brazil already occupies the 15th place in the ranking of melanoma cases and the limitations presented by drugs used in the therapy of this cancer, new approaches are being used in an attempt to decrease the mortality of this malignancy. The aim of this study was to evaluate the effects of phenothiazinium dyes (PD) associated with laser light on murine melanoma (B16F10) in vitro by measuring cell growth using colorimetric assay before and after photodynamic therapy. We used a diode laser (λ660nm, 2.4 J/cm2, 40 mW, 60 s, CW) associated with PD at 12.5 μg/mL, time pre-irradiation of 30 minutes). The following groups were tested: control (LF-), PD (L-F+), Laser (L+F-), Laser + PD (L+F+). The results showed a significant reduction in cell growth in the group treated by the photodynamic therapy compared to the control at 24 and 48 h (p < 0.001). Were showing at 30 min PD has a dose-dependent response on B16F10 cells, but at 24 h did not demonstrated this response.

  14. Laser action of Pr3+ in LiYF4 and spectroscopy of Eu2+-sensitized Pr in BaY2F8

    NASA Astrophysics Data System (ADS)

    Knowles, David S.; Gabbe, David; Jenssen, H. P.; Zhang, Z.

    1988-06-01

    Laser action in flashlamp-pumped Pr:LiYF4 at room temperature is observed at 640 nm with a 15-J threshold, but only about 0.01 percent slope efficiency. Increased efficiency from sensitizing the Pr with Eu2+ is explored in the system Eu,Pr:BaY2F8. Codoped samples have been grown by the Czochralski growth method, and energy transfer between 2+ and Pr3+ is observed to be very weak. This is probably due to the poor overlap of the Eu2+ emission with the Pr3+ absorption lines, leading to the conclusion that hosts with a stronger crystal field at the Eu2+ site need to be identified.

  15. Optical properties of Eu2+ ions in BaY2F8 for completely-solid-state cw UV laser emission

    NASA Astrophysics Data System (ADS)

    Toncelli, Alessandra; Moglia, Francesca; Tonelli, Mauro

    2010-11-01

    Eu-doped BaYF single crystals have been grown with two different Eu ion concentrations: 0.5%, 1% Eu doping levels. It was found that part of the Eu ions added in the melt were reduced during the growth process and the ratio between the Eu and Eu content in the crystal depends on the duration of the growth process. A complete room-temperature polarized spectroscopic characterization of the divalent Eu ions in this host crystal is presented with particular insights in the laser potentialities of the compound in the UV region. Polarized absorption, emission and excitation spectra are presented together with the lifetime measurement of the emitting level. It was found that due to the weak nephelauxetic effect the 4f7→4f65d1 band is located at higher energy than the 4f→4f(8S→6P) transition. As a result, when pumped in the 200- 300 nm range, this crystal shows an interesting emission that extends from 351 to 366 nm and has a decay-time as long as τ=(1.5±0.1) ms. This opens the possibility to obtain completely-solid-state laser emission in the UV region with potentialities for cw or mode-locked emission.

  16. Electra: Repetitively Pulsed 700 J, 100 ns Electron Beam Pumped KrF Laser

    DTIC Science & Technology

    2006-05-01

    the Inertial Fusion Energy (IFE) requirements for durability, efficiency, and cost. The technologies developed on Electra should be directly scalable...and S. Searles, "Electron beam pumped krypton fluoride lasers for fusion energy ," Proc. IEEE, vol. 92, pp. 1043-1056, July 2004. [2] M.C. Myers, J.D...34Repetitively pulsed, high energy KrF lasers for inertial fusion energy ," Nucl. Fusion, vol. 44, pp. S247-S253, November 2004. [3] J.D. Sethian, M

  17. Laser and Fourier Transform Emission Spectroscopy of the G4Φ-X4Φ System of TiF

    NASA Astrophysics Data System (ADS)

    Ram, R. S.; Peers, J. R. D.; Teng, Ying; Adam, A. G.; Muntianu, A.; Bernath, P. F.; Davis, S. P.

    1997-07-01

    The emission spectrum of theG4Φ-X4Φ transition of TiF has been observed in the region 13 500-16 000 cm-1using a Fourier transform spectrometer (FTS), as well as by laser excitation spectroscopy. In the FTS experiments the bands were excited in a carbon tube furnace by the reaction of titanium metal vapor with CF4at a temperature of about 2300°C. In the laser experiments the TiF molecules were produced by laser vaporization of a Ti rod followed by reaction with SF6using a pulsed supersonic jet source. Three groups of bands with high-wavenumber subband heads at 14 388, 15 033, and 15 576 cm-1have been assigned as 0-1, 0-0, and 1-0 vibrational bands of theG4Φ-X4Φ transition, respectively. Each vibrational band consists of four subbands assigned as4Φ3/2-4Φ3/2,4Φ5/2-4Φ5/2,4Φ7/2-4Φ7/2, and4Φ9/2-4Φ9/2. A rotational analysis has been performed and molecular constants for the ground and excited states have been extracted using the combined FTS and laser excitation measurements. The correspondence between the electronic states of TiF, TiH, and Ti+has also been discussed.

  18. Spectrally narrowed lasing of a self-injection KrF excimer laser

    NASA Astrophysics Data System (ADS)

    Shimada, Yasuhiro; Wani, Koichi; Miki, Tadaaki; Kawahara, Hidehito; Mimasu, Mutsumi; Ogata, Yoshiro

    1990-08-01

    Spectrally nantwed lasing of a KrF excimer laser has teen ahieved by a self-injection technique using abeam splitter for power extraction aixi intravity etalons for spectral-narrowing. The laser cavity is divithi into an amplifying branch aix! a spectralnarrowing branch. The spectral bandwidth was narrowed to <3pm FWHM with air-sed etalons placed in the spectral-narrowing branch. A laser propagation model was intrOdUced for describing the laser intensity traveling in the laser cavity. The calculated intensityincident onthe intracavityetalons wassmaller thanthat in theconventional Fabry-Perotcavity withplane-parallel mirrors.

  19. Cold Cathode Electron Beam Controlled CO2 Laser Performance.

    DTIC Science & Technology

    1974-10-01

    Siegman (ref. 7), the cavity parameters are g, - 3/2, g2 3/4 so that 0he cavity will be confocal when the mirror separation is 2.5 m. The laser output was...E. Siegman , Laser Focus 7, 42, 1971. 8. W. F. Krupke and W. R. Sooy, IEEE Journal Quant. Elec. QE-5, 575, 1969. 9. 0. R. Wood, et al., Appl. Phys...U t AD/A-000 413 COLD CATHODE ELECTRON BEAM CONTROLLED C02 LASER PERFORMANCE Leslie L. McKee, 1II, et al Air Force Weapons Laboratory Kirtland Air

  20. Novel solid state lasers for Lidar applications at 2 μm

    NASA Astrophysics Data System (ADS)

    Della Valle, G.; Galzerano, G.; Toncelli, A.; Tonelli, M.; Laporta, P.

    2005-09-01

    A review on the results achieved by our group in the development of novel solid-state lasers for Lidar applications at 2 μm is presented. These lasers, based on fluoride crystals (YLF4, BaY2F8, and KYF4) doped with Tm and Ho ions, are characterized by high-efficiency and wide wavelength tunability around 2 μm. Single crystals of LiYF4, BaY2F8, and KYF4 codoped with the same Tm3+ and Ho3+ concentrations were successfully grown by the Czochralski method. The full spectroscopic characterization of the different laser crystals and the comparison between the laser performance are presented. Continuous wave operation was efficiently demonstrated by means of a CW diode-pumping. These oscillators find interesting applications in the field of remote sensing (Lidar and Dial systems) as well as in high-resolution molecular spectroscopy, frequency metrology, and biomedical applications.

  1. Data sharing system for lithography APC

    NASA Astrophysics Data System (ADS)

    Kawamura, Eiichi; Teranishi, Yoshiharu; Shimabara, Masanori

    2007-03-01

    We have developed a simple and cost-effective data sharing system between fabs for lithography advanced process control (APC). Lithography APC requires process flow, inter-layer information, history information, mask information and so on. So, inter-APC data sharing system has become necessary when lots are to be processed in multiple fabs (usually two fabs). The development cost and maintenance cost also have to be taken into account. The system handles minimum information necessary to make trend prediction for the lots. Three types of data have to be shared for precise trend prediction. First one is device information of the lots, e.g., process flow of the device and inter-layer information. Second one is mask information from mask suppliers, e.g., pattern characteristics and pattern widths. Last one is history data of the lots. Device information is electronic file and easy to handle. The electronic file is common between APCs and uploaded into the database. As for mask information sharing, mask information described in common format is obtained via Wide Area Network (WAN) from mask-vender will be stored in the mask-information data server. This information is periodically transferred to one specific lithography-APC server and compiled into the database. This lithography-APC server periodically delivers the mask-information to every other lithography-APC server. Process-history data sharing system mainly consists of function of delivering process-history data. In shipping production lots to another fab, the product-related process-history data is delivered by the lithography-APC server from the shipping site. We have confirmed the function and effectiveness of data sharing systems.

  2. Preparation and optical properties of TeO2-BaO-ZnO-ZnF2 fluoro-tellurite glass for mid-infrared fiber Raman laser applications

    NASA Astrophysics Data System (ADS)

    Li, Jie; Xiao, Xusheng; Gu, Shaoxuan; Xu, Yantao; Zhou, Zhiguang; Guo, Haitao

    2017-04-01

    A serial of novel fluoro-tellurite glasses with compositions of 60TeO2-20BaO-(20-x)ZnO-xZnF2 (x = 0, 2, 4, 5 and 6 mol%) were prepared. The compositional dependences of glass structural evaluation, Raman gain coefficient, UV-Vis transmission spectrum, IR transmission spectrum, linear refractive index and third-order nonlinearity were analyzed. The results showed that the addition of 6 mol% ZnF2 can further improve the Raman gain coefficient to as well as 52 × 10-11 cm/W and effectively decrease around 73% and 57% absorption coefficients respectively caused by free Osbnd H groups (@3.3 μm) and hydrogen-bonded Osbnd H groups (@4.5 μm) in glass. Addition of ZnF2 does not change the UV-Vis absorption edge, optical band gap energy and infrared region cut-off edge almost, while the linear refraction index and ultrafast third-nonlinearity show unmonotonic changes. These novel fluoro-tellurite glasses may be suitable candidates for using in mid-infrared Raman fiber laser and/or amplifier.

  3. Spectral properties and anti-Stokes luminescence of TeO2-BaF2:Ho3+, Ho3+/Yb3+ ceramics and glass excited by 1.9-μm radiation of a Tm:LiYF4 laser

    NASA Astrophysics Data System (ADS)

    Savikin, A. P.; Egorov, A. S.; Budruev, A. V.; Perunin, I. Yu.; Krasheninnikova, O. V.; Grishin, I. A.

    2017-07-01

    We demonstrate the up-conversion of Tm:LiYF4 infrared (IR) laser radiation with 1908-nm wavelength into visible light with a spectral maximum at 650 nm by ceramics with a composition of (100 - x)TeO2- xBaF2 - 1 wt % HoF3- yYbF3, where x = 20, 30, or 40 mol % and y = 0 or 0.5 wt %. The samples of 60TeO2-40BaF2 - 1 wt % HoF3 - 0.5 wt % YbF3 exhibited anti-Stokes luminescence at a threshold radiation power density of 1.0-1.5 W cm-2.

  4. Review on recent Developments on Fabrication Techniques of Distributed Feedback (DFB) Based Organic Lasers

    NASA Astrophysics Data System (ADS)

    Azrina Talik, Noor; Boon Kar, Yap; Noradhlia Mohamad Tukijan, Siti; Wong, Chuan Ling

    2017-10-01

    To date, the state of art organic semiconductor distributed feedback (DFB) lasers gains tremendous interest in the organic device industry. This paper presents a short reviews on the fabrication techniques of DFB based laser by focusing on the fabrication method of DFB corrugated structure and the deposition of organic gain on the nano-patterned DFB resonator. The fabrication techniques such as Laser Direct Writing (LDW), ultrafast photo excitation dynamics, Laser Interference Lithography (LIL) and Nanoimprint Lithography (NIL) for DFB patterning are presented. In addition to that, the method for gain medium deposition method is also discussed. The technical procedures of the stated fabrication techniques are summarized together with their benefits and comparisons to the traditional fabrication techniques.

  5. Laser microprocessing and nanoengineering of large-area functional micro/nanostructures

    NASA Astrophysics Data System (ADS)

    Tang, M.; Xie, X. Z.; Yang, J.; Chen, Z. C.; Xu, L.; Choo, Y. S.; Hong, M. H.

    2011-12-01

    Laser microprocessing and nanoengineering are of great interest to both scientists and engineers, since the inspired properties of functional micro/nanostructures over large areas can lead to numerous unique applications. Currently laser processing systems combined with high speed automation ensure the focused laser beam to process various materials at a high throughput and a high accuracy over large working areas. UV lasers are widely used in both laser microprocessing and nanoengineering. However by improving the processing methods, green pulsed laser is capable of replacing UV lasers to make high aspect ratio micro-grooves on fragile and transparent sapphire substrates. Laser micro-texturing can also tune the wetting property of metal surfaces from hydrophilic to super-hydrophobic at a contact angle of 161° without chemical coating. Laser microlens array (MLA) can split a laser beam into multiple laser beams and reduce the laser spot size down to sub-microns. It can be applied to fabricate split ring resonator (SRR) meta-materials for THz sensing, surface plasmonic resonance (SPR) structures for NIR and molding tools for soft lithography. Furthermore, laser interference lithography combined with thermal annealing can obtain a large area of sub-50nm nano-dot clusters used for SPR applications.

  6. Laser effect on the 248 nm KrF transition using heavy ion beam pumping

    NASA Astrophysics Data System (ADS)

    Adonin, A.; Jacoby, J.; Turtikov, V.; Fertman, A.; Golubev, A.; Hoffmann, D. H. H.; Ulrich, A.; Varentsov, D.; Wieser, J.

    2007-07-01

    In December 2005 the first successful operation of a UV excimer laser pumped with a heavy ion beam was demonstrated at GSI. It was the first experiment in which the specific power deposition was sufficient to overcome laser threshold for a UV excimer scheme. The well known KrF* excimer laser line at λ=248 nm has been chosen for this experiment, because the wavelength is short, but still in the range of usual optical diagnostic tools and the emitted light can propagate in air without attenuation. A bunch compressed U+73238 beam with a particle energy of 250 MeV/u and about 110 ns pulse duration (FWHM) was used for this experiment. Single pulses of a beam intensity up to 2.5×109 particles per bunch were focused into the laser cell along the cavity axis. Compact spectrometers, high speed UV-photodiodes and gated CCD-cameras were used for diagnostics of the spontaneous and stimulated emission. As a main result of the experiment laser effect on the 248 nm KrF* excimer laser line has been obtained and verified by temporal and spectral narrowing of the laser line as well as the threshold behaviour and exponential growth of intensity with increasing pumping power. In summary it could be shown that the pumping power of the heavy ion beam at GSI is now sufficient to pump short wavelength lasers. It is planned to extend laser experiments in near future to the VUV range of the spectrum (λ<200 nm).

  7. Fabrication of Three-Dimensional Imprint Lithography Templates by Colloidal Dispersions

    DTIC Science & Technology

    2011-03-06

    Dispersions A. Marcia Almanza-Workman, Taussig P. Carl, Albert H. Jeans, Robert L. Cobene HP Laboratories HPL-2011-32 Flexible displays, Self aligned...imprint lithography, stamps, fluorothermoplastics, latex Self -aligned imprint lithography (SAIL) enables patterning and alignment of submicron-sized...features on flexible substrates in the roll-to roll (R2R) environment. Soft molds made of elastomers have been used as stamps to pattern three

  8. Bone Ablation at 2.94 mm Using the Free-Electron Laser and Er:YAG Laser

    NASA Astrophysics Data System (ADS)

    Ivanov, Borislav; Hakimian, Arman; Peavy, G. M.; Haglund, Richard

    2002-03-01

    Bone Ablation at 2.94 microns Using the Free-Electron Laser and Er:YAG Laser in Perfluorocarbon Compounds B. Ivanov^1, A. M. Hakimian^1, G. M. Peavy^2, R. F. Haglund, Jr.1 1Department of Physics and Astronomy, W. M. Keck Foundation Free-Electron Laser Center, Vanderbilt University, Nashville, TN 37235 2Beckman Laser Institute and Medical Clinic, College of Medicine, University of California, Irvine, CA 92612 We report studies on the efficiency of mid-IR laser ablation of cow cortical bone using the Vanderbilt free-electron laser (FEL), when irrigating the ablation zone with an inert and biocompatible perfluorocarbon compounds (PFC). At 2.94 microns, the bone matrix (mainly by water) absorbs the radiation while the PFCs transmit this wavelength, dissipate heat and acoustical stress, and prevent carbonization of the bone sample. The ablation rate, as a function of laser fluence, scanning speed and the type of PFC, was investigated. The laser fluence was estimated to be 5 J/cm^2 - 100 J/cm^2 with a laser focal spot diameter of 160 microns 500 microns and a scanning speed of 40 microns/s 2960 microns/s. The ablation rate was estimated from scanning electron microscopy to be 0.5 mm/s 2.4 mm/s. Comparisons of ablation rates with the FEL and a Er:YAG laser at 2.94 microns are being evaluated.

  9. Foil cooling for rep-rated electron beam pumped KrF lasers

    NASA Astrophysics Data System (ADS)

    Giuliani, J. L.; Hegeler, F.; Sethian, J. D.; Wolford, M. F.; Myers, M. C.; Abdel-Khalik, S.; Sadowski, D.; Schoonover, K.; Novak, V.

    2006-06-01

    In rep-rated electron beam pumped lasers the foil separating the vacuum diode from the laser gas is subject to repeated heating due to partial beam stopping. Three cooling methods are examined for the Electra KrF laser at the Naval Research Laboratory (NRL). Foil temperature measurements for convective cooling by the recirculating laser gas and by spray mist cooling are reported, along with estimates for thermal conductive foil cooling to the hibachi ribs. Issues on the application of each of these approaches to laser drivers in a fusion power plant are noted. Work supported by DOE/NNSA.

  10. Reconfigurable Solid-state Dye-doped Polymer Ring Resonator Lasers

    NASA Astrophysics Data System (ADS)

    Chandrahalim, Hengky; Fan, Xudong

    2015-12-01

    This paper presents wavelength configurable on-chip solid-state ring lasers fabricated by a single-mask standard lithography. The single- and coupled-ring resonator hosts were fabricated on a fused-silica wafer and filled with 3,3‧-Diethyloxacarbocyanine iodide (CY3), Rhodamine 6G (R6G), and 3,3‧-Diethylthiadicarbocyanine iodide (CY5)-doped polymer as the reconfigurable gain media. The recorded lasing threshold was ~220 nJ/mm2 per pulse for the single-ring resonator laser with R6G, marking the lowest threshold shown by solid-state dye-doped polymer lasers fabricated with a standard lithography process on a chip. A single-mode lasing from a coupled-ring resonator system with the lasing threshold of ~360 nJ/mm2 per pulse was also demonstrated through the Vernier effect. The renewability of the dye-doped polymer was examined by removing and redepositing the dye-doped polymer on the same resonator hosts for multiple cycles. We recorded consistent emissions from the devices for all trials, suggesting the feasibility of employing this technology for numerous photonic and biochemical sensing applications that entail for sustainable, reconfigurable, and low lasing threshold coherent light sources on a chip.

  11. Reconfigurable Solid-state Dye-doped Polymer Ring Resonator Lasers

    PubMed Central

    Chandrahalim, Hengky; Fan, Xudong

    2015-01-01

    This paper presents wavelength configurable on-chip solid-state ring lasers fabricated by a single-mask standard lithography. The single- and coupled-ring resonator hosts were fabricated on a fused-silica wafer and filled with 3,3′-Diethyloxacarbocyanine iodide (CY3), Rhodamine 6G (R6G), and 3,3′-Diethylthiadicarbocyanine iodide (CY5)-doped polymer as the reconfigurable gain media. The recorded lasing threshold was ~220 nJ/mm2 per pulse for the single-ring resonator laser with R6G, marking the lowest threshold shown by solid-state dye-doped polymer lasers fabricated with a standard lithography process on a chip. A single-mode lasing from a coupled-ring resonator system with the lasing threshold of ~360 nJ/mm2 per pulse was also demonstrated through the Vernier effect. The renewability of the dye-doped polymer was examined by removing and redepositing the dye-doped polymer on the same resonator hosts for multiple cycles. We recorded consistent emissions from the devices for all trials, suggesting the feasibility of employing this technology for numerous photonic and biochemical sensing applications that entail for sustainable, reconfigurable, and low lasing threshold coherent light sources on a chip. PMID:26674508

  12. Laser Spectroscopy and AB Initio Calculations on the TaF Molecule

    NASA Astrophysics Data System (ADS)

    Ng, Kiu Fung; Zou, Wenli; Liu, Wenjian; Cheung, Allan S. C.

    2016-06-01

    Electronic transition spectrum of the tantalum monoflouride (TaF) molecule in the spectral region between 448 and 520 nm has been studied using the technique of laser-ablation/reaction free jet expansion and laser induced fluorescence spectroscopy. TaF molecule was produced by reacting laser-ablated tantalum atoms with sulfur hexafluoride gas seeded in argon. Sixteen vibrational bands with resolved rotational structure have been recorded and analyzed, which were organized into six electronic transition systems and the ground state has been identified to be the X3Σ-(0+) state with bond length, ro, and equilibrium vibrational frequency, ωe, determined to be 1.8209 Å and 700.1 wn respectively. In addition, four vibrational bands belong to another transition system involving lower state with Ω = 2 component has also been analyzed. All observed transitions are with ΔΩ = 0. Least-squares fit of the measured line positions yielded molecular constants for the electronic states involved. The Λ-S and Ω states of TaF were calculated at the state-averaged complete active space self-consistent field (SA-CASSCF) and the subsequent internally contracted multi-reference configuration interaction with singles and doubles and Davidson's cluster correction (MRCISD+Q) levels of theory with the active space of 4 electrons in 6 orbitals, that is, the molecular orbitals corresponding to Ta 5d6s are active. The spin-orbit coupling (SOC) is calculated by the state-interaction approach at the SA-CASSCF level via the relativistic effective core potentials (RECPs) spin-orbit operator, where the diagonal elements of the spin-orbit matrix are replaced by the above MRCISD+Q energies. The spectroscopic properties of the ground and many low-lying electronic states of the TaF molecule will be reported. With respect to the observed electronic states in this work, the calculated results are in good agreement with our experimental determinations. This work represents the first experimental

  13. Laser and spectroscopic properties of Sr[sub 5](PO[sub 4])[sub 3]F:Yb

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    DeLoach, L.D.; Payne, S.A.; Smith, L.K.

    Sr[sub 5](PO[sub 4])[sub 3]F (S-FAP) has been investigated as a new Yb-doped laser crystal belonging to the apatite structural family. The spectroscopy of the Yb[sup 3+] ion and the laser properties of the medium have been investigated. The maximum absorption cross section of Yb in S-FAP is 8.6 [times] 10[sup [minus]20] cm[sup 2], and the maximum emission cross section is 7.3 [times] 10[sup [minus]20] cm[sup 2]. The measured emission lifetime of Yb[sup 3+] is 1.26 ms. An Yb:S-FAP laser has been demonstrated with a Ti:sapphire laser pump operating at 899 nm. The Yb:S-FAP laser was measured to have slope efficienciesmore » as high as 71%. The spectroscopy and laser studies are reported, as well as certain thermal, mechanical, and optical properties.« less

  14. Automated aberration correction of arbitrary laser modes in high numerical aperture systems.

    PubMed

    Hering, Julian; Waller, Erik H; Von Freymann, Georg

    2016-12-12

    Controlling the point-spread-function in three-dimensional laser lithography is crucial for fabricating structures with highest definition and resolution. In contrast to microscopy, aberrations have to be physically corrected prior to writing, to create well defined doughnut modes, bottlebeams or multi foci modes. We report on a modified Gerchberg-Saxton algorithm for spatial-light-modulator based automated aberration compensation to optimize arbitrary laser-modes in a high numerical aperture system. Using circularly polarized light for the measurement and first-guess initial conditions for amplitude and phase of the pupil function our scalar approach outperforms recent algorithms with vectorial corrections. Besides laser lithography also applications like optical tweezers and microscopy might benefit from the method presented.

  15. Scanning two-photon continuous flow lithography for synthesis of high-resolution 3D microparticles.

    PubMed

    Shaw, Lucas A; Chizari, Samira; Shusteff, Maxim; Naghsh-Nilchi, Hamed; Di Carlo, Dino; Hopkins, Jonathan B

    2018-05-14

    Demand continues to rise for custom-fabricated and engineered colloidal microparticles across a breadth of application areas. This paper demonstrates an improvement in the fabrication rate of high-resolution 3D colloidal particles by using two-photon scanning lithography within a microfluidic channel. To accomplish this, we present (1) an experimental setup that supports fast, 3D scanning by synchronizing a galvanometer, piezoelectric stage, and an acousto-optic switch, and (2) a new technique for modifying the laser's scan path to compensate for the relative motion of the rapidly-flowing photopolymer medium. The result is an instrument that allows for rapid conveyor-belt-like fabrication of colloidal objects with arbitrary 3D shapes and micron-resolution features.

  16. Advanced scanning probe lithography.

    PubMed

    Garcia, Ricardo; Knoll, Armin W; Riedo, Elisa

    2014-08-01

    The nanoscale control afforded by scanning probe microscopes has prompted the development of a wide variety of scanning-probe-based patterning methods. Some of these methods have demonstrated a high degree of robustness and patterning capabilities that are unmatched by other lithographic techniques. However, the limited throughput of scanning probe lithography has prevented its exploitation in technological applications. Here, we review the fundamentals of scanning probe lithography and its use in materials science and nanotechnology. We focus on robust methods, such as those based on thermal effects, chemical reactions and voltage-induced processes, that demonstrate a potential for applications.

  17. Up-conversion multiwave (White) luminescence in the visible spectral range under excitation by IR laser diodes in the active BaY2F8:Yb3+,Pr3+ medium

    NASA Astrophysics Data System (ADS)

    Pushkar', A. A.; Uvarova, T. V.; Kiiko, V. V.

    2011-08-01

    The possibilities of occupying high-lying 4 f states of Pr3+ ions in the active BaY2F8:Yb3+,Pr3+ medium according to the photon avalanche and step-by-step sensitization mechanisms are compared. It is shown that the photon avalanche is unlikely to occur in the BaY2F8:Yb3+,Pr3+ crystal. The multiband luminescence spectra in the visible spectral range (white emission) under single- and multiwave pumping of BaY2F8:Yb3+,Pr3+ crystal by IR laser diodes are reported.

  18. Passive mode locking of an in-band-pumped Ho:YLiF4 laser at 2.06 μm.

    PubMed

    Coluccelli, Nicola; Lagatsky, Alexander; Di Lieto, Alberto; Tonelli, Mauro; Galzerano, Gianluca; Sibbett, Wilson; Laporta, Paolo

    2011-08-15

    We demonstrate the passive mode-locking operation of an in-band-pumped Ho:YLiF(4) laser at 2.06 μm using a semiconductor saturable absorber mirror based on InGaAsSb quantum wells. A transform-limited pulse train with minimum duration of 1.1 ps and average power of 0.58 W has been obtained at a repetition frequency of 122 MHz. A maximum output power of 1.7 W has been generated with a corresponding pulse duration of 1.9 ps. © 2011 Optical Society of America

  19. Continuous-wave broadly tunable Cr 2+:ZnSe laser pumped by a thulium fiber laser

    NASA Astrophysics Data System (ADS)

    Sennaroglu, Alphan; Demirbas, Umit; Vermeulen, Nathalie; Ottevaere, Heidi; Thienpont, Hugo

    2006-12-01

    We describe a compact, broadly tunable, continuous-wave (cw) Cr 2+:ZnSe laser pumped by a thulium fiber laser at 1800 nm. In the experiments, a polycrystalline ZnSe sample with a chromium concentration of 9.5 × 10 18 cm -3 was used. Free-running laser output was around 2500 nm. Output couplers with transmissions of 3%, 6%, and 15% were used to characterize the power performance of the laser. Best power performance was obtained with a 15% transmitting output coupler. In this case, as high as 640 mW of output power was obtained with 2.5 W of pump power at a wavelength of 2480 nm. The stimulated emission cross-section values determined from laser threshold data and emission measurements were in good agreement. Finally, broad, continuous tuning of the laser was demonstrated between 2240 and 2900 nm by using an intracavity Brewster cut MgF 2 prism and a single set of optics.

  20. Temperature monitoring by infrared radiation measurements during ArF excimer laser ablation with cornea

    NASA Astrophysics Data System (ADS)

    Ishihara, Miya; Arai, Tsunenori; Sato, Shunichi; Nakano, Hironori; Obara, Minoru; Kikuchi, Makoto

    1999-06-01

    We measured infrared thermal radiation from porcine cornea during various fluences ArF excimer laser ablations with 1 microsecond(s) rise time. To obtain absolute temperature by means of Stefan-Boltzman law of radiation, we carried out a collection efficiency and detective sensitivity by a pre-experiment using panel heater. We measured the time course of the thermal radiation intensity with various laser fluences. We studied the relation between the peak cornea temperature during the ablation and irradiation fluences. We found the ablation situations, i.e., sub-ablation threshold, normal thermal ablation, and over-heated ablation, may be judged by both of the measured temperature transient waveforms and peak temperature. The boundary fluences corresponding to normal thermal ablation were 90 and 160 mJ/cm2. Our fast remote temperature monitoring during cornea ablation might be useful to control ablation quality/quantity of the cornea ArF laser ablation, that is PRK.

  1. System design considerations for a production-grade, ESR-based x-ray lithography beamline

    NASA Astrophysics Data System (ADS)

    Kovacs, Stephen; Melore, Dan; Cerrina, Franco; Cole, Richard K.

    1991-08-01

    As electron storage ring (ESR) based x-ray lithography technology moves closer to becoming an industrial reality, more and more attention has been devoted to studying problem areas related to its application in the production environment. A principle component is the x-ray lithography beamline (XLBL) and its associated design requirements. XLBL, an x-ray radiation transport system, is one of the three major subunits in the ESR-based x-ray lithography system (XLS) and has a pivotal role in defining performance characteristics of the entire XLS. Its major functions are to transport the synchrotron orbital radiation (SOR) to the lithography target area with defined efficiency and to modify SOR into the spectral distribution defined by the lithography process window. These functions must be performed reliably in order to satisfy the required high production rate and ensure 0.25 micron resolution lithography conditions. In this paper the authors attempt to answer some specific questions that arise during the formulation of an XLBL system design. Three principle issues that are essential to formulating a design are (1) Radiation transport efficiency, (2) X-ray optical configurations in the beamline, (3) Beamline system configurations. Some practical solutions to thee problem areas are presented, and the effects of these parameters on lithography production rate are examined.

  2. Force-controlled inorganic crystallization lithography.

    PubMed

    Cheng, Chao-Min; LeDuc, Philip R

    2006-09-20

    Lithography plays a key role in integrated circuits, optics, information technology, biomedical applications, catalysis, and separation technologies. However, inorganic lithography techniques remain of limited utility for applications outside of the typical foci of integrated circuit manufacturing. In this communication, we have developed a novel stamping method that applies pressure on the upper surface of the stamp to regulate the dewetting process of the inorganic buffer and the evaporation rate of the solvent in this buffer between the substrate and the surface of the stamp. We focused on generating inorganic microstructures with specific locations and also on enabling the ability to pattern gradients during the crystallization of the inorganic salts. This approach utilized a combination of lithography with bottom-up growth and assembly of inorganic crystals. This work has potential applications in a variety of fields, including studying inorganic material patterning and small-scale fabrication technology.

  3. Laser Material Processing for Microengineering Applications

    NASA Technical Reports Server (NTRS)

    Helvajian, H.

    1995-01-01

    The processing of materials via laser irradiation is presented in a brief survey. Various techniques currently used in laser processing are outlined and the significance to the development of space qualified microinstrumentation are identified. In general the laser processing technique permits the transferring of patterns (i.e. lithography), machining (i.e. with nanometer precision), material deposition (e.g., metals, dielectrics), the removal of contaminants/debris/passivation layers and the ability to provide process control through spectroscopy.

  4. SOR Lithography in West Germany

    NASA Astrophysics Data System (ADS)

    Heuberger, Anton

    1989-08-01

    The 64 Mbit DRAM will represent the first generation of integrated circuits which cannot be produced reasonably by means of optical lithography techniques. X-ray lithography using synchrotron radiation seems to be the most promising method in overcoming the problems in the sub-0.5 micron range. The first year of production of the 64 Mbit DRAM will be 1995 or 1996. This means that X-ray lithography has to show its applicability in an industrial environment by 1992 and has to prove that the specifications of a 64 Mbit DRAM technology can actually be achieved. Part of this task is a demonstration of production suitable equipment such as the X-ray stepper, including an appropriate X-ray source and measurement and inspection tools. The most important bottlenecks on the way toward reaching these goals are linked to the 1 x scale mask technology, especially the pattern definition accuracy and zero level of printing defects down to the order of magnitude of 50 nm. Specifically, fast defect detection methods on the basis of high resolution e-beam techniques and repair methods have to be developed. The other problems of X-ray lithography, such as high quality single layer X-ray resists, X-ray sources and stepper including alignment are either well on the way or are already solved.

  5. Supercritical CO2 drying of poly(methyl methacrylate) photoresist for deep x-ray lithography: a brief note

    NASA Astrophysics Data System (ADS)

    Shukla, Rahul; Abhinandan, Lala; Sharma, Shivdutt

    2017-07-01

    Poly(methyl methacrylate) (PMMA) is an extensively used positive photoresist for deep x-ray lithography. The post-development release of the microstructures of PMMA becomes very critical for high aspect ratio fragile and freestanding microstructures. Release of high aspect ratio comb-drive microstructure of PMMA made by one-step x-ray lithography (OXL) is studied. The effect of low-surface tension Isopropyl alcohol (IPA) over water is investigated for release of the high aspect ratio microstructures using conventional and supercritical (SC) CO2 drying. The results of conventional drying are also compared for the samples released or dried in both in-house developed and commercial SC CO2 dryer. It is found that in all cases the microstructures of PMMA are permanently deformed and damaged while using SC CO2 for drying. For free-standing high aspect ratio microstructures of PMMA made by OXL, it is advised to use low-surface tension IPA over DI water. However, this brings a limitation on the design of the microstructure.

  6. Laser Wakefield Acceleration Experiments Using HERCULES Laser

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Matsuoka, T.; McGuffey, C.; Dollar, F.

    2009-07-25

    Laser wakefield acceleration (LWFA) in a supersonic gas-jet using a self-guided laser pulse was studied by changing laser power and plasma electron density. The recently upgraded HERCULES laser facility equipped with wavefront correction enables a peak intensity of 6.1x10{sup 19} W/cm{sup 2} at laser power of 80 TW to be delivered to the gas-jet using F/10 focusing optics. We found that electron beam charge was increased significantly with an increase of laser power from 30 TW to 80 TW and showed density threshold behavior at a fixed laser power. We also studied the influence of laser focusing conditions by changingmore » the f-number of the optics to F/15 and found an increase in density threshold for electron production compared to the F/10 configuration. The analysis of different phenomena such as betatron motion of electrons, side scattering of the laser pulse for different focusing conditions, the influence of plasma density down ramp on LWFA are shown.« less

  7. Full-chip level MEEF analysis using model based lithography verification

    NASA Astrophysics Data System (ADS)

    Kim, Juhwan; Wang, Lantian; Zhang, Daniel; Tang, Zongwu

    2005-11-01

    MEEF (Mask Error Enhancement Factor) has become a critical factor in CD uniformity control since optical lithography process moved to sub-resolution era. A lot of studies have been done by quantifying the impact of the mask CD (Critical Dimension) errors on the wafer CD errors1-2. However, the benefits from those studies were restricted only to small pattern areas of the full-chip data due to long simulation time. As fast turn around time can be achieved for the complicated verifications on very large data by linearly scalable distributed processing technology, model-based lithography verification becomes feasible for various types of applications such as post mask synthesis data sign off for mask tape out in production and lithography process development with full-chip data3,4,5. In this study, we introduced two useful methodologies for the full-chip level verification of mask error impact on wafer lithography patterning process. One methodology is to check MEEF distribution in addition to CD distribution through process window, which can be used for RET/OPC optimization at R&D stage. The other is to check mask error sensitivity on potential pinch and bridge hotspots through lithography process variation, where the outputs can be passed on to Mask CD metrology to add CD measurements on those hotspot locations. Two different OPC data were compared using the two methodologies in this study.

  8. EB and EUV lithography using inedible cellulose-based biomass resist material

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Hanabata, Makoto; Oshima, Akihiro; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2016-03-01

    The validity of our approach of inedible cellulose-based resist material derived from woody biomass has been confirmed experimentally for the use of pure water in organic solvent-free water spin-coating and tetramethylammonium hydroxide(TMAH)-free water-developable techniques of eco-conscious electron beam (EB) and extreme-ultraviolet (EUV) lithography. The water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB and EUV lithography was developed for environmental affair, safety, easiness of handling, and health of the working people. The inedible cellulose-based biomass resist material was developed by replacing the hydroxyl groups in the beta-linked disaccharides with EB and EUV sensitive groups. The 50-100 nm line and space width, and little footing profiles of cellulose-based biomass resist material on hardmask and layer were resolved at the doses of 10-30 μC/cm2. The eco-conscious lithography techniques was referred to as green EB and EUV lithography using inedible cellulose-based biomass resist material.

  9. Few-fJ/bit data transmissions using directly modulated lambda-scale embedded active region photonic-crystal lasers

    NASA Astrophysics Data System (ADS)

    Takeda, Koji; Sato, Tomonari; Shinya, Akihiko; Nozaki, Kengo; Kobayashi, Wataru; Taniyama, Hideaki; Notomi, Masaya; Hasebe, Koichi; Kakitsuka, Takaaki; Matsuo, Shinji

    2013-07-01

    A low operating energy is needed for nanocavity lasers designed for on-chip photonic network applications. On-chip nanocavity lasers must be driven by current because they act as light sources driven by electronic circuits. Here, we report the high-speed direct modulation of a lambda-scale embedded active region photonic-crystal (LEAP) laser that holds three records for any type of laser operated at room temperature: a low threshold current of 4.8 µA, a modulation current efficiency of 2.0 GHz µA-0.5 and an operating energy of 4.4 fJ bit-1. Five major technologies make this performance possible: a compact buried heterostructure, a photonic-crystal nanocavity, a lateral p-n junction realized by ion implantation and thermal diffusion, an InAlAs sacrificial layer and current-blocking trenches. We believe that an output power of 2.17 µW and an operating energy of 4.4 fJ bit-1 will enable us to realize on-chip photonic networks in combination with the recently developed highly sensitive receivers.

  10. Generation of 103 fs mode-locked pulses by a gain linewidth-variable Nd,Y:CaF2 disordered crystal.

    PubMed

    Qin, Z P; Xie, G Q; Ma, J; Ge, W Y; Yuan, P; Qian, L J; Su, L B; Jiang, D P; Ma, F K; Zhang, Q; Cao, Y X; Xu, J

    2014-04-01

    We have demonstrated a diode-pumped passively mode-locked femtosecond Nd,Y:CaF2 disordered crystal laser for the first time to our knowledge. By choosing appropriate Y-doping concentration, a broad fluorescence linewidth of 31 nm has been obtained from the gain linewidth-variable Nd,Y:CaF2 crystal. With the Nd,Y:CaF2 disordered crystal as gain medium, the mode-locked laser generated pulses with pulse duration as short as 103 fs, average output power of 89 mW, and repetition rate of 100 MHz. To our best knowledge, this is the shortest pulse generated from Nd-doped crystal lasers so far. The research results show that the Nd,Y:CaF2 disordered crystal will be a potential alternative as gain medium of repetitive chirped pulse amplification for high-peak-power lasers.

  11. ILT for double exposure lithography with conventional and novel materials

    NASA Astrophysics Data System (ADS)

    Poonawala, Amyn; Borodovsky, Yan; Milanfar, Peyman

    2007-03-01

    Multiple paths exists to provide lithography solutions pursuant to Moore's Law for next 3-5 generations of technology, yet each of those paths inevitably leads to solutions eventually requiring patterning at k I < 0.30 and below. In this article, we explore double exposure single development lithography for k I >= 0.25 (using conventional resist) and k1 < 0.25 (using new out-of-sight out-of-mind materials). For the case of k I >= 0.25, we propose a novel double exposure inverse lithography technique (ILT) to split the pattern. Our algorithm is based on our earlier proposed single exposure ILT framework, and works by decomposing the aerial image (instead of the target pattern) into two parts. It also resolves the phase conflicts automatically as part of the decomposition, and the combined aerial image obtained using the estimated masks has a superior contrast. For the case of k I < 0.25, we focus on analyzing the use of various dual patterning techniques enabled by the use of hypothetic materials with properties that allow for the violation of the linear superposition of intensities from the two exposures. We investigate the possible use of two materials: contrast enhancement layer (CEL) and two-photon absorption resists. We propose a mathematical model for CEL, define its characteristic properties, and derive fundamental bounds on the improvement in image log-slope. Simulation results demonstrate that double exposure single development lithography using CEL enables printing 80nm gratings using dry lithography. We also combine ILT, CEL, and DEL to synthesize 2-D patterns with k I = 0.185. Finally, we discuss the viability of two-photon absorption resists for double exposure lithography.

  12. Multi-shaped beam: development status and update on lithography results

    NASA Astrophysics Data System (ADS)

    Slodowski, Matthias; Doering, Hans-Joachim; Dorl, Wolfgang; Stolberg, Ines A.

    2011-04-01

    According to the ITRS [1] photo mask is a significant challenge for the 22nm technology node requirements and beyond. Mask making capability and cost escalation continue to be critical for future lithography progress. On the technological side mask specifications and complexity have increased more quickly than the half-pitch requirements on the wafer designated by the roadmap due to advanced optical proximity correction and double patterning demands. From the economical perspective mask costs have significantly increased each generation, in which mask writing represents a major portion. The availability of a multi-electron-beam lithography system for mask write application is considered a potential solution to overcome these challenges [2, 3]. In this paper an update of the development status of a full-package high-throughput multi electron-beam writer, called Multi Shaped Beam (MSB), will be presented. Lithography performance results, which are most relevant for mask writing applications, will be disclosed. The MSB technology is an evolutionary development of the matured single Variable Shaped Beam (VSB) technology. An arrangement of Multi Deflection Arrays (MDA) allows operation with multiple shaped beams of variable size, which can be deflected and controlled individually [4]. This evolutionary MSB approach is associated with a lower level of risk and a relatively short time to implementation compared to the known revolutionary concepts [3, 5, 6]. Lithography performance is demonstrated through exposed pattern. Further details of the substrate positioning platform performance will be disclosed. It will become apparent that the MSB operational mode enables lithography on the same and higher performance level compared to single VSB and that there are no specific additional lithography challenges existing beside those which have already been addressed [1].

  13. EUV lithography using water-developable resist material derived from biomass

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Oshima, Akihiro; Oyama, Tomoko G.; Ichikawa, Takumi; Sekiguchi, Atsushi; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2013-03-01

    A water-developable resist material which had specific desired properties such as high sensitivity of 5.0 μC/cm2, thermal stability of 160 °C, suitable calculated linear absorption coefficients of 13.5 nm, and acceptable CF4 etch selectivity was proposed using EB lithography for EUV lithography. A water developable resist material derived from biomass is expected for non-petroleum resources, environmental affair, safety, easiness of handling, and health of the working people, instead of the common developable process of trimethylphenylammonium hydroxide. 100 nm line and 400 nm space patterning images with exposure dose of 5.0 μC/cm2 were provided by specific process conditions of EB lithography. The developed trehalose derivatives with hydroxyl groups and EB sensitive groups in the water-developable resist material derived from biomass were applicable to future development of high-sensitive and resolution negative type of water-developable resist material as a novel chemical design.

  14. GaSb-based single-mode distributed feedback lasers for sensing (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Gupta, James A.; Bezinger, Andrew; Lapointe, Jean; Poitras, Daniel; Aers, Geof C.

    2017-02-01

    GaSb-based tunable single-mode diode lasers can enable rapid, highly-selective and highly-sensitive absorption spectroscopy systems for gas sensing. In this work, single-mode distributed feedback (DFB) laser diodes were developed for the detection of various trace gases in the 2-3.3um range, including CO2, CO, HF, H2S, H2O and CH4. The lasers were fabricated using an index-coupled grating process without epitaxial regrowth, making the process significantly less expensive than conventional DFB fabrication. The devices are based on InGaAsSb/AlGaAsSb separate confinement heterostructures grown on GaSb by molecular beam epitaxy. DFB lasers were produced using a two step etch process. Narrow ridge waveguides were first defined by optical lithography and etched into the semiconductor. Lateral gratings were then defined on both sides of the ridge using electron-beam lithography and etched to produce the index-grating. Effective index modeling was used to optimize the ridge width, etch depths and the grating pitch to ensure single-lateral-mode operation and adequate coupling strength. The effective index method was further used to simulate the DFB laser emission spectrum, based on a transfer matrix model for light transmission through the periodic structure. The fabricated lasers exhibit single-mode operation which is tunable through the absorption features of the various target gases by adjustment of the drive current. In addition to the established open-path sensing applications, these devices have great potential for optoelectronic integrated gas sensors, making use of integrated photodetectors and possibly on-chip Si photonics waveguide structures.

  15. Plasmonic direct writing lithography with a macroscopical contact probe

    NASA Astrophysics Data System (ADS)

    Huang, Yuerong; Liu, Ling; Wang, Changtao; Chen, Weidong; Liu, Yunyue; Li, Ling

    2018-05-01

    In this work, we design a plasmonic direct writing lithography system with a macroscopical contact probe to achieve nanometer scale spots. The probe with bowtie-shaped aperture array adopts spring hinge and beam deflection method (BDM) to realize near-field lithography. Lithography results show that a macroscopical plasmonic contact probe can achieve a patterning resolution of around 75 nm at 365 nm wavelength, and demonstrate that the lithography system is promising for practical applications due to beyond the diffraction limit, low cost, and simplification of system configuration. CST calculations provide a guide for the design of recording structure and the arrangement of placing polarizer.

  16. Monolithic microfabricated valves and pumps by multilayer soft lithography.

    PubMed

    Unger, M A; Chou, H P; Thorsen, T; Scherer, A; Quake, S R

    2000-04-07

    Soft lithography is an alternative to silicon-based micromachining that uses replica molding of nontraditional elastomeric materials to fabricate stamps and microfluidic channels. We describe here an extension to the soft lithography paradigm, multilayer soft lithography, with which devices consisting of multiple layers may be fabricated from soft materials. We used this technique to build active microfluidic systems containing on-off valves, switching valves, and pumps entirely out of elastomer. The softness of these materials allows the device areas to be reduced by more than two orders of magnitude compared with silicon-based devices. The other advantages of soft lithography, such as rapid prototyping, ease of fabrication, and biocompatibility, are retained.

  17. The Improvement of Electrical Characteristics of Pt/Ti Ohmic Contacts to Ga-Doped ZnO by Homogenized KrF Pulsed Excimer Laser Treatment

    NASA Astrophysics Data System (ADS)

    Oh, Min-Suk

    2018-04-01

    We investigated the effect of KrF excimer laser surface treatment on Pt/Ti ohmic contacts to Ga-doped n-ZnO ( N d = 4.3 × 1017 cm-3). The treatment of the n-ZnO surfaces by laser irradiation greatly improved the electrical characteristics of the metal contacts. The Pt/Ti ohmic layer on the laser-irradiated n-ZnO showed specific contact resistances of 2.5 × 10-4 ˜ 4.8 × 10-4 Ω cm2 depending on the laser energy density and gas ambient, which were about two orders of magnitude lower than that of the as-grown sample, 8.4 × 10-2 Ω cm2. X-ray photoelectron spectroscopy and photoluminescence measurements showed that the KrF excimer laser treatments increased the electron concentration near the surface region of the Ga-doped n-ZnO due to the preferential evaporation of oxygen atoms from the ZnO surface by the laser-induced dissociation of Zn-O bonds.

  18. Infrared and EPR Spectroscopic Studies of 2-C 2H 2F and 1-C 2H 2F Radicals Isolated in Solid Argon

    NASA Astrophysics Data System (ADS)

    Goldschleger, I. U.; Akimov, A. V.; Misochko, E. Ya.; Wight, C. A.

    2001-02-01

    2-fluorovinyl radicals were generated in solid argon by solid-state chemical reactions of mobile F atoms with acetylene and its deuterated analogues. Highly resolved EPR spectra of the stabilized radicals CHF•CH, CDF•CD, CHF•CD, and CDF•CH were obtained for the first time. The observed spectra were assigned to cis-2-fluorovinyl radical based on excellent agreement between the measured (aF = 6.50, aβH = 3.86, aαH = 0.25 mT) hyperfine constants and those calculated using density functional (B3LYP) theory. Analogous experiments carried out using infrared spectroscopy yielded a complete assignment of the vibrational frequencies. An unusual reversible photochemical conversion is observed in which cis-2-fluorovinyl radicals can be partially converted to 1-fluorovinyl radicals by pulsed laser photolysis at 532 nm. Photolysis at 355 nm converts 1-fluorovinyl back to cis-2-fluorovinyl. High-resolution EPR and infrared spectra of 1-fluorovinyl were obtained for the first time. The measured hyperfine constants (aF = 13.71, aH1 = 4.21, aH2 = 1.16 mT) are in good agreement with calculated values.

  19. Design considerations of 10 kW-scale extreme ultraviolet SASE FEL for lithography

    NASA Astrophysics Data System (ADS)

    Pagani, C.; Saldin, E. L.; Schneidmiller, E. A.; Yurkov, M. V.

    2001-05-01

    The semiconductor industry growth is driven to a large extent by steady advancements in microlithography. According to the newly updated industry roadmap, the 70 nm generation is anticipated to be available in the year 2008. However, the path to get there is not obvious. The problem of construction of Extreme Ultraviolet (EUV) quantum laser for lithography is still unsolved: progress in this field is rather moderate and we cannot expect a significant break through in the near future. Nevertheless, there is clear path for optical lithography to take us to sub- 100 nm dimensions. Theoretical and experimental work in free electron laser (FEL) and accelerator physics and technology over the last 10 years has pointed to the possibility of generation of high-power optical beams with laser-like characteristics in the EUV spectral range. Recently, there have been important advances in demonstrating a high-gain self-amplified spontaneous emission (SASE) FEL at 100 nm wavelength (Andruszkov et al., Phys. Rev. Lett. 85 (2000), 3825). In the SASE FEL powerful, coherent radiation is produced by the electron beam during single-pass of the undulator, thus there are no apparent limitations which would prevent operation at very short wavelength range and to increase the average output power of this device up to 10 kW level. The use of superconducting energy-recovery linac could produce a major, cost-effective facility with wall plug power to output optical power efficiency of about 1%. A 10-kW-scale transversely coherent radiation source with narrow bandwidth (0.5%) and variable wavelength could be an excellent tool for manufacturing computer chips with the minimum feature size below 100 nm. All components of the proposed SASE FEL equipment (injector, driver accelerator structure, energy-recovery system, undulator, etc.) have been demonstrated in practice. This is guaranteed success in the time schedule requirement.

  20. Design considerations of 10 kW-scale, extreme ultraviolet SASE FEL for lithography

    NASA Astrophysics Data System (ADS)

    Pagani, C.; Saldin, E. L.; Schneidmiller, E. A.; Yurkov, M. V.

    2001-12-01

    The semiconductor industry growth is driven to a large extent by steady advancements in microlithography. According to the newly updated industry road map, the 70 nm generation is anticipated to be available in the year 2008. However, the path to get there is not clear. The problem of construction of extreme ultraviolet (EUV) quantum lasers for lithography is still unsolved: progress in this field is rather moderate and we cannot expect a significant breakthrough in the near future. Nevertheless, there is clear path for optical lithography to take us to sub-100 nm dimensions. Theoretical and experimental work in Self-Amplified Spontaneous Emission (SASE) Free Electron Lasers (FEL) physics and the physics of superconducting linear accelerators over the last 10 years has pointed to the possibility of the generation of high-power optical beams with laser-like characteristics in the EUV spectral range. Recently, there have been important advances in demonstrating a high-gain SASE FEL at 100 nm wavelength (J. Andruszkov, et al., Phys. Rev. Lett. 85 (2000) 3821). The SASE FEL concept eliminates the need for an optical cavity. As a result, there are no apparent limitations which would prevent operating at very short wavelength range and increasing the average output power of this device up to 10-kW level. The use of super conducting energy-recovery linac could produce a major, cost-efficient facility with wall plug power to output optical power efficiency of about 1%. A 10-kW scale transversely coherent radiation source with narrow bandwidth (0.5%) and variable wavelength could be excellent tool for manufacturing computer chips with the minimum feature size below 100 nm. All components of the proposed SASE FEL equipment (injector, driver accelerator structure, energy recovery system, undulator, etc.) have been demonstrated in practice. This is guaranteed success in the time-schedule requirement.

  1. Wavelength modulation absorption spectroscopy with 2 f detection using multiplexed diode lasers for rapid temperature measurements in gaseous flows

    NASA Astrophysics Data System (ADS)

    Liu, J. T. C.; Jeffries, J. B.; Hanson, R. K.

    Multiplexed fiber-coupled diode lasers are used to probe second-harmonic line shapes of two near-infrared water absorption features, at 1343 nm and 1392 nm, in order to infer temperatures in gases containing water vapor, such as combustion flows. Wavelength modulation is performed at 170 kHz, and is superimposed on 1-kHz wavelength scans in order to recover full second-harmonic line shapes. Digital waveform generation and lock-in detection are performed using a data-acquisition card installed in a PC. An optimal selection of the modulation indices is shown to greatly simplify data interpretation over extended temperature ranges and to minimize the need for calibration when performing 2 f ratio thermometry. A theoretical discussion of this optimized strategy for 2 f ratio thermometry, as well as results from experimental validations in a heated cell, at pressures up to atmospheric, are presented in order to illustrate the utility of this technique for rapid temperature measurements in gaseous flow fields.

  2. Condenser optics, partial coherence, and imaging for soft-x-ray projection lithography.

    PubMed

    Sommargren, G E; Seppala, L G

    1993-12-01

    A condenser system couples the radiation source to an imaging system, controlling the uniformity and partial coherence at the object, which ultimately affects the characteristics of the aerial image. A soft-x-ray projection lithography system based on a ring-field imaging system and a laser-produced plasma x-ray source places considerable constraints on the design of a condenser system. Two designs are proposed, critical illumination and Köhler illumination, each of which requires three mirrors and scanning for covering the entire ring field with the required uniformity and partial coherence. Images based on Hopkins' formulation of partially coherent imaging are simulated.

  3. Pulse shaping and energy storage capabilities of angularly multiplexed KrF laser fusion drivers

    NASA Astrophysics Data System (ADS)

    Lehmberg, R. H.; Giuliani, J. L.; Schmitt, A. J.

    2009-07-01

    This paper describes a rep-rated multibeam KrF laser driver design for the 500kJ Inertial Fusion test Facility (FTF) recently proposed by NRL, then models its optical pulse shaping capabilities using the ORESTES laser kinetics code. It describes a stable and reliable iteration technique for calculating the required precompensated input pulse shape that will achieve the desired output shape, even when the amplifiers are heavily saturated. It also describes how this precompensation technique could be experimentally implemented in real time on a reprated laser system. The simulations show that this multibeam system can achieve a high fidelity pulse shaping capability, even for a high gain shock ignition pulse whose final spike requires output intensities much higher than the ˜4MW/cm2 saturation levels associated with quasi-cw operation; i.e., they show that KrF can act as a storage medium even for pulsewidths of ˜1ns. For the chosen pulse, which gives a predicted fusion energy gain of ˜120, the simulations predict the FTF can deliver a total on-target energy of 428kJ, a peak spike power of 385TW, and amplified spontaneous emission prepulse contrast ratios IASE/I<3×10-7 in intensity and FASE/F<1.5×10-5 in fluence. Finally, the paper proposes a front-end pulse shaping technique that combines an optical Kerr gate with cw 248nm light and a 1μm control beam shaped by advanced fiber optic technology, such as the one used in the National Ignition Facility (NIF) laser.

  4. On-chip tunable optofluidic dye laser

    NASA Astrophysics Data System (ADS)

    Cai, Zengyan; Shen, Zhenhua; Liu, Haigang; Yue, Huan; Zou, Yun; Chen, Xianfeng

    2016-11-01

    We demonstrate a chip-scale tunable optofluidic dye laser with Au-coated fibers as microcavity. The chip is fabricated by soft lithography. When the active region is pumped, a relatively low threshold of 6.7 μJ/mm2 is realized with multimode emission due to good confinement of the cavity mirrors, long active region, as well as total reflectivity. It is easy to tune the lasing emission wavelength by changing the solvent of laser dye. In addition, the various intensity ratios of multicolor lasing can be achieved by controlling flow rates of two fluid streams carried with different dye molecules. Furthermore, the convenience in fabrication and directional lasing emission outcoupled by the fiber make the tunable optofluidic dye laser a promising underlying coherent light source in the integrated optofluidic systems.

  5. Data Compression for Maskless Lithography Systems: Architecture, Algorithms and Implementation

    DTIC Science & Technology

    2008-05-19

    Data Compression for Maskless Lithography Systems: Architecture, Algorithms and Implementation Vito Dai Electrical Engineering and Computer Sciences...servers or to redistribute to lists, requires prior specific permission. Data Compression for Maskless Lithography Systems: Architecture, Algorithms and...for Maskless Lithography Systems: Architecture, Algorithms and Implementation Copyright 2008 by Vito Dai 1 Abstract Data Compression for Maskless

  6. Fabrication and Sintering Behavior of Er:SrF2 Transparent Ceramics using Chemically Derived Powder

    PubMed Central

    Liu, Jun; Liu, Peng; Wang, Jun; Xu, Xiaodong; Li, Dongzhen; Zhang, Jian; Nie, Xinming

    2018-01-01

    In this paper, we report the fabrication of high-quality 5 at. % Er3+ ions doped SrF2 transparent ceramics, the potential candidate materials for a mid-infrared laser-gain medium by hot-pressing at 700 °C for 40 h using a chemically-derived powder. The phase structure, densification, and microstructure evolution of the Er:SrF2 ceramics were systematically investigated. In addition, the grain growth kinetic mechanism of Er:SrF2 was clarified. The results showed lattice diffusion to be the grain growth mechanism in the Er:SrF2 transparent ceramic of which highest in-line transmittance reached 92% at 2000 nm, i.e., very close to the theoretical transmittance value of SrF2 single crystal. Furthermore, the emission spectra showed that the strongest emission band was located at 2735 nm. This means that it is possible to achieve a laser output of approximately 2.7 μm in the 5 at. % Er3+ ions doped SrF2 transparent ceramics. PMID:29565322

  7. Laser Plasma Instability (LPI) Driven Light Scattering Measurements with 44 beam-lines of Nike KrF Laser^*

    NASA Astrophysics Data System (ADS)

    Oh, J.; Weaver, J. L.; Kehne, D. M.; Phillips, L. S.; Obenschain, S. P.; Serlin, V.; McLean, E. A.; Lehmberg, R. H.; Manka, C. K.

    2009-11-01

    With short wavelength (248 nm), large bandwidth (˜1 THz), and ISI beam smoothing, Nike KrF laser provides unique opportunities of LPI research for direct-drive inertial confinement fusion. Previous experiments at intensities (10^15˜10^16 W/cm^2) exceeded two-plasmon decay (TPD) instability threshold using 12 beam-lines of Nike laser.^a,b For further experiments to study LPI excitation in bigger plasma volumes, 44 Nike main beams have been used to produce plasmas with total laser energies up to 1 kJ of ˜350 psec FWHM pulses. This talk will present results of the recent LPI experiment focusing on light emission data in spectral ranges relevant to the Raman (SRS) and TPD instabilities. The primary diagnostics were time-resolved spectrometers with an absolute-intensity-calibrated photodiode array in (0.4˜0.8)φ0 and a streak camera near 0.5φ0. Blackbody temperature and expansion speed measurements of the plasmas were also made. The experiment was conducted at laser intensities of (1˜4)x10^15 W/cm^2 on solid planar CH targets. ^a J. L. Weaver, et al, NO4.14, APS DPP (2008) ^b J. Oh, et al, NO4.15, APS DPP (2008) * Work supported by DoE/NNSA and performed at Naval Research Laboratory.

  8. Laser pattern generator challenges in airborne molecular contamination protection

    NASA Astrophysics Data System (ADS)

    Ekberg, Mats; Skotte, Per-Uno; Utterback, Tomas; Paul, Swaraj; Kishkovich, Oleg P.; Hudzik, James S.

    2003-08-01

    The introduction of photomask laser pattern generators presents new challenges to system designers and manufacturers. One of the laser pattern generator's environmental operating challenges is Airborne Molecular Contamination (AMC), which affects both chemically amplified resists (CAResist) and laser optics. Similar challenges in CAResist protection have already been addressed in semiconductor wafer lithography with reasonable solutions and experience gained by all those involved. However, photomask and photomask equipment manufacturers have not previously had a comparable experience, and some photomask AMC issues differ from those seen in semiconductor wafer lithography. Culminating years of AMC experience, the authors discuss specific requirements of Photomask AMC. Air sampling and material of construction analysis were performed to understand these particular AMC challenges and used to develop an appropriate filtration specification for different classes of contaminates. The authors portray the importance of cooperation between tool designers and AMC experts early in the design stage to assure goal attainment to maximize both process stability and machine productivity in advanced mask making. In conclusion, the authors provide valuable recommendations to both laser tool users and other equipment manufacturers.

  9. Direct write electron beam lithography: a historical overview

    NASA Astrophysics Data System (ADS)

    Pfeiffer, Hans C.

    2010-09-01

    of opportunity for EPL had closed with the quick implementation of immersion lithography and the interest of the industry has since shifted back to maskless lithography (ML2). This historical overview of EBDW will highlight opportunities and limitation of the technology with particular focus on technical challenges facing the current ML2 development efforts in Europe and the US. A brief status report and risk assessment of the ML2 approaches will be provided.

  10. A 1kW EUV source for lithography based on FEL emission in a compact storage ring

    NASA Astrophysics Data System (ADS)

    Feser, Michael; Ruth, Ron; Loewen, Rod

    2017-10-01

    EUV has long been hailed as the next generation lithography technology. Its adoption into high volume manufacturing (HVM), however, has been delayed several technology nodes due to technical issues, many of which can be attributed to the EUV source performance. Today's EUV lithography scanners are powered by laser produce plasma (LPP) sources. They have issues with power scaling beyond 300 W, reliability and contamination. Free Electron Lasers (FELs) have been considered as an alternative EUV source. Advantages of accelerator based sources are the maturity of the accelerator technology, lack of debris/contamination, and ability to provide high power. Industry turned away from this technology because of the requirement to feed up to 10 scanners from one linear FEL to make it economically feasible, the large footprint, and generation of radioactive byproducts. All of these issues are overcome in the presented concept using a compact storage ring with steady-state FEL lasing action. At 1 kW output power, comparable cost and footprint to an LPP source, this source is ideally suited for use on a single scanner and promises reliable, contamination free operation. FEL action in the storage ring is sustained by operating the FEL well below the saturation regime and preserving the equilibrium low emittance and energy distribution of the ring.

  11. Rayleigh rejection filters for 193-nm ArF laser Raman spectroscopy

    NASA Technical Reports Server (NTRS)

    Mckenzie, Robert L.

    1993-01-01

    Selected organic absorbers and their solvents are evaluated as spectral filters for the rejection of 193-nm Rayleigh light associated with the use of an ArF excimer laser for Raman spectroscopy. A simply constructed filter cell filled with 0.5 percent acetone in water and an optical path of 7 mm is shown effectively to eliminate stray Rayleigh light underlying the Raman spectrum from air while transmitting 60 percent of the Raman light scattered by O2.

  12. An investigation on defect-generation conditions in immersion lithography

    NASA Astrophysics Data System (ADS)

    Tomita, Tadatoshi; Shimoaoki, Takeshi; Enomoto, Masashi; Kyoda, Hideharu; Kitano, Junichi; Suganaga, Toshifumi

    2006-03-01

    As a powerful candidate for a lithography technique that can accommodate the scaling-down of semiconductors, 193-nm immersion lithography-which realizes a high numerical aperture (NA) and uses deionized water as the medium between the lens and wafer in the exposure system-has been developing at a rapid pace and has reached the stage of practical application. In regards to defects that are a cause for concern in the case of 193-nm immersion lithography, however, many components are still unclear and many problems remain to be solved. It has been pointed out, for example, that in the case of 193-nm immersion lithography, immersion of the resist film in deionized water during exposure causes infiltration of moisture into the resist film, internal components of the resist dissolve into the deionized water, and residual water generated during exposure affects post-processing. Moreover, to prevent this influence of directly immersing the resist in de-ionized water, application of a protective film is regarded as effective. However, even if such a film is applied, it is still highly likely that the above-mentioned defects will still occur. Accordingly, to reduce these defects, it is essential to identify the typical defects occurring in 193-nm immersion lithography and to understand the condition for generation of defects by using some kinds of protective films and resist materials. Furthermore, from now onwards, with further scaling down of semiconductors, it is important to maintain a clear understanding of the relation between defect-generation conditions and critical dimensions (CD). Aiming to extract typical defects occurring in 193-nm immersion lithography, the authors carried out a comparative study with dry exposure lithography, thereby confirming several typical defects associated with immersion lithography. We then investigated the conditions for generation of defects in the case of some kinds of protective films. In addition to that, by investigating the defect

  13. Demonstration of lithography patterns using reflective e-beam direct write

    NASA Astrophysics Data System (ADS)

    Freed, Regina; Sun, Jeff; Brodie, Alan; Petric, Paul; McCord, Mark; Ronse, Kurt; Haspeslagh, Luc; Vereecke, Bart

    2011-04-01

    Traditionally, e-beam direct write lithography has been too slow for most lithography applications. E-beam direct write lithography has been used for mask writing rather than wafer processing since the maximum blur requirements limit column beam current - which drives e-beam throughput. To print small features and a fine pitch with an e-beam tool requires a sacrifice in processing time unless one significantly increases the total number of beams on a single writing tool. Because of the uncertainty with regards to the optical lithography roadmap beyond the 22 nm technology node, the semiconductor equipment industry is in the process of designing and testing e-beam lithography tools with the potential for high volume wafer processing. For this work, we report on the development and current status of a new maskless, direct write e-beam lithography tool which has the potential for high volume lithography at and below the 22 nm technology node. A Reflective Electron Beam Lithography (REBL) tool is being developed for high throughput electron beam direct write maskless lithography. The system is targeting critical patterning steps at the 22 nm node and beyond at a capital cost equivalent to conventional lithography. Reflective Electron Beam Lithography incorporates a number of novel technologies to generate and expose lithographic patterns with a throughput and footprint comparable to current 193 nm immersion lithography systems. A patented, reflective electron optic or Digital Pattern Generator (DPG) enables the unique approach. The Digital Pattern Generator is a CMOS ASIC chip with an array of small, independently controllable lens elements (lenslets), which act as an array of electron mirrors. In this way, the REBL system is capable of generating the pattern to be written using massively parallel exposure by ~1 million beams at extremely high data rates (~ 1Tbps). A rotary stage concept using a rotating platen carrying multiple wafers optimizes the writing strategy of

  14. Vectorial mask optimization methods for robust optical lithography

    NASA Astrophysics Data System (ADS)

    Ma, Xu; Li, Yanqiu; Guo, Xuejia; Dong, Lisong; Arce, Gonzalo R.

    2012-10-01

    Continuous shrinkage of critical dimension in an integrated circuit impels the development of resolution enhancement techniques for low k1 lithography. Recently, several pixelated optical proximity correction (OPC) and phase-shifting mask (PSM) approaches were developed under scalar imaging models to account for the process variations. However, the lithography systems with larger-NA (NA>0.6) are predominant for current technology nodes, rendering the scalar models inadequate to describe the vector nature of the electromagnetic field that propagates through the optical lithography system. In addition, OPC and PSM algorithms based on scalar models can compensate for wavefront aberrations, but are incapable of mitigating polarization aberrations in practical lithography systems, which can only be dealt with under the vector model. To this end, we focus on developing robust pixelated gradient-based OPC and PSM optimization algorithms aimed at canceling defocus, dose variation, wavefront and polarization aberrations under a vector model. First, an integrative and analytic vector imaging model is applied to formulate the optimization problem, where the effects of process variations are explicitly incorporated in the optimization framework. A steepest descent algorithm is then used to iteratively optimize the mask patterns. Simulations show that the proposed algorithms can effectively improve the process windows of the optical lithography systems.

  15. Improving the Fabrication of Semiconductor Bragg Lasers

    NASA Astrophysics Data System (ADS)

    Chen, Eric Ping Chun

    Fabrication process developments for Bragg reflection lasers have been optimized in this thesis using resources available to the group. New e-beam lithography and oxide etch recipes have been developed to minimize sidewall roughness and residues. E-beam evaporated metal contacts for semiconductor diode laser utilizing oblique angle deposition have also been developed in-house for the first time. Furthermore, improvement in micro-loading effect of DFB laser etching has been demonstrated where the ratio of tapered portion of the sidewall to total etch depth is reduced by half, from 33% to 15%. Electrical, optical and thermal performance of the fabricated lasers are characterized. Comparing the results to previous generation lasers, average dynamic resistance is decreased drastically from 14 Ohms to 7 Ohms and threshold current density also reduced from 1705A/cm2 to 1383A/ cm2. Improvement in laser performance is result of reduced loss from optimized fabrication processes. BRL bow-tie tapered lasers is then fabricated for the first time and output power of 18mW at 200mA input is measured. Benefiting from the increased effective area and better carrier utilization, reduction in threshold current density from 1383A/cm 2 to 712A/cm2 is observed.

  16. A comparison of the DPSS UV laser ablation characteristic of 1024 and H10F WC-Co

    NASA Astrophysics Data System (ADS)

    See, Tian Long; Chantzis, Dimitrios; Royer, Raphael; Metsios, Ioannis; Antar, Mohammad; Marimuthu, Sundar

    2017-07-01

    An investigation on ablation characteristics of 1024 and H10F cobalt cemented tungsten carbide (WC-Co) with a DPSS nanosecond UV laser (50 ns pulse width, 355 nm wavelength, 90 W average power and 10 kHz repetition rate) is presented. The ablation characteristic parameters such as ablation threshold, incubation effect and optical penetration depth were evaluated based on the spot ablation diameter and depth. It was observed that the ablation threshold is significantly influenced by the number of pulses (NOP) and it decreases with increase NOP which is attributed to the incubation effect. Only one ablation region is observed at low laser fluence and an additional molten ablation region is observed at high laser fluence accompanied with cracks. The cracks formation is due to the thermal induced stress and changes in WC microstructure during laser beam irradiation. The crack depth is proportional to the thickness of the molten WC region. The ablation threshold of 1024 WC-Co and H10F WC-Co were found to be Fth1 =4.32 J/cm2 and Fth1 =4.26 J/cm2 respectively. The difference in chemical composition has insignificant effect on the ablation threshold value of the material. The incubation factor and optical penetration depth values of 1024 WC-Co and H10F WC-Co were found to be ξ=0.73, α-1 =411 nm and ξ=0.75, α-1 =397 nm respectively.

  17. Efficient Operation of Conductively Cooled Ho:Tm:LuLiF Laser Oscillator/Amplifier

    NASA Technical Reports Server (NTRS)

    Yu, Jirong; Bai, Yingxin; Trieu, Bo; Petros, M.; Petzar, Paul; Lee, Hyung; Singh, U.

    2008-01-01

    A conductively-cooled Ho:Tm:LuLiF laser oscillator generates 1.6J normal mode pulses at 10Hz with optical to optical efficiency of 20%. When the laser head module is used as the amplifier, the double-pass small-signal amplification excesses 25.

  18. New laser materials for laser diode pumping

    NASA Technical Reports Server (NTRS)

    Jenssen, H. P.

    1990-01-01

    The potential advantages of laser diode pumped solid state lasers are many with high overall efficiency being the most important. In order to realize these advantages, the solid state laser material needs to be optimized for diode laser pumping and for the particular application. In the case of the Nd laser, materials with a longer upper level radiative lifetime are desirable. This is because the laser diode is fundamentally a cw source, and to obtain high energy storage, a long integration time is necessary. Fluoride crystals are investigated as host materials for the Nd laser and also for IR laser transitions in other rare earths, such as the 2 micron Ho laser and the 3 micron Er laser. The approach is to investigate both known crystals, such as BaY2F8, as well as new crystals such as NaYF8. Emphasis is on the growth and spectroscopy of BaY2F8. These two efforts are parallel efforts. The growth effort is aimed at establishing conditions for obtaining large, high quality boules for laser samples. This requires numerous experimental growth runs; however, from these runs, samples suitable for spectroscopy become available.

  19. Interference lithography for optical devices and coatings

    NASA Astrophysics Data System (ADS)

    Juhl, Abigail Therese

    Interference lithography can create large-area, defect-free nanostructures with unique optical properties. In this thesis, interference lithography will be utilized to create photonic crystals for functional devices or coatings. For instance, typical lithographic processing techniques were used to create 1, 2 and 3 dimensional photonic crystals in SU8 photoresist. These structures were in-filled with birefringent liquid crystal to make active devices, and the orientation of the liquid crystal directors within the SU8 matrix was studied. Most of this thesis will be focused on utilizing polymerization induced phase separation as a single-step method for fabrication by interference lithography. For example, layered polymer/nanoparticle composites have been created through the one-step two-beam interference lithographic exposure of a dispersion of 25 and 50 nm silica particles within a photopolymerizable mixture at a wavelength of 532 nm. In the areas of constructive interference, the monomer begins to polymerize via a free-radical process and concurrently the nanoparticles move into the regions of destructive interference. The holographic exposure of the particles within the monomer resin offers a single-step method to anisotropically structure the nanoconstituents within a composite. A one-step holographic exposure was also used to fabricate self-healing coatings that use water from the environment to catalyze polymerization. Polymerization induced phase separation was used to sequester an isocyanate monomer within an acrylate matrix. Due to the periodic modulation of the index of refraction between the monomer and polymer, the coating can reflect a desired wavelength, allowing for tunable coloration. When the coating is scratched, polymerization of the liquid isocyanate is catalyzed by moisture in air; if the indices of the two polymers are matched, the coatings turn transparent after healing. Interference lithography offers a method of creating multifunctional self

  20. Surface-enhanced Raman scattering active gold nanoparticle/nanohole arrays fabricated through electron beam lithography

    NASA Astrophysics Data System (ADS)

    Wu, Tsunghsueh; Lin, Yang-Wei

    2018-03-01

    Effective surface-enhanced Raman scattering (SERS)-active substrates from gold nanoparticle and gold nanohole arrays were successfully fabricated through electron beam lithography with precise computer-aided control of the unit size and intergap distance. Their SERS performance was evaluated using 4-mercaptobenzoic acid (4-MBA). These gold arrays yielded strong SERS signals under 785 nm laser excitation. The enhancement factors for 4-MBA molecules on the prepared gold nanoparticle and nanohole arrays maxed at 1.08 × 107 and 8.61 × 106, respectively. The observed increase in SERS enhancement was attributed to the localized surface plasmon resonance (LSPR) wavelength shifting toward the near-infrared regime when the gold nanohole diameter increased, in agreement with the theoretical prediction in this study. The contribution of LSPR to the Raman enhancement from nanohole arrays deposited on fluorine-doped tin oxide glass was elucidated by comparing SERS and transmission spectra. This simple fabrication procedure, which entails employing electron beam lithography and the controllability of the intergap distance, suggests highly promising uses of nanohole arrays as functional components in sensing and photonic devices.

  1. The Osmium(VIII) Oxofluoro Cations OsO(2)F(3)(+) and F(cis-OsO(2)F(3))(2)(+): Syntheses, Characterization by (19)F NMR Spectroscopy and Raman Spectroscopy, X-ray Crystal Structure of F(cis-OsO(2)F(3))(2)(+)Sb(2)F(11)(-), and Density Functional Theory Calculations of OsO(2)F(3)(+), ReO(2)F(3), and F(cis-OsO(2)F(3))(2)(+).

    PubMed

    Casteel, William J.; Dixon, David A.; Mercier, Hélène P. A.; Schrobilgen, Gary J.

    1996-07-17

    Osmium dioxide tetrafluoride, cis-OsO(2)F(4), reacts with the strong fluoride ion acceptors AsF(5) and SbF(5) in anhydrous HF and SbF(5) solutions to form orange salts. Raman spectra are consistent with the formation of the fluorine-bridged diosmium cation F(cis-OsO(2)F(3))(2)(+), as the AsF(6)(-) and Sb(2)F(11)(-) salts, respectively. The (19)F NMR spectra of the salts in HF solution are exchange-averaged singlets occurring at higher frequency than those of the fluorine environments of cis-OsO(2)F(4). The F(cis-OsO(2)F(3))(2)(+)Sb(2)F(11)(-) salt crystallizes in the orthorhombic space group Imma. At -107 degrees C, a = 12.838(3) Å, b = 10.667(2) Å, c = 11.323(2) Å, V = 1550.7(8) Å(3), and Z = 4. Refinement converged with R = 0.0469 [R(w) = 0.0500]. The crystal structure consists of discrete fluorine-bridged F(cis-OsO(2)F(3))(2)(+) and Sb(2)F(11)(-) ions in which the fluorine bridge of the F(cis-OsO(2)F(3))(2)(+) cation is trans to an oxygen atom (Os-O 1.676 Å) of each OsO(2)F(3) group. The angle at the bridge is 155.2(8) degrees with a bridging Os---F(b) distance of 2.086(3) Å. Two terminal fluorine atoms (Os-F 1.821 Å) are cis to the two oxygen atoms (Os-O 1.750 Å), and two terminal fluorine atoms of the OsO(2)F(3) group are trans to one another (1.813 Å). The OsO(2)F(3)(+) cation was characterized by (19)F NMR and by Raman spectroscopy in neat SbF(5) solution but was not isolable in the solid state. The NMR and Raman spectroscopic findings are consistent with a trigonal bipyramidal cation in which the oxygen atoms and a fluorine atom occupy the equatorial plane and two fluorine atoms are in axial positions. Density functional theory calculations show that the crystallographic structure of F(cis-OsO(2)F(3))(2)(+) is the energy-minimized structure and the energy-minimized structures of the OsO(2)F(3)(+) cation and ReO(2)F(3) are trigonal bipyramidal having C(2)(v)() point symmetry. Attempts to prepare the OsOF(5)(+) cation by oxidative fluorination of cis

  2. Miniature low voltage beam systems producable by combined lithographies

    NASA Astrophysics Data System (ADS)

    Koops, Hans W. P.; Munro, Eric; Rouse, John; Kretz, Johannes; Rudolph, Michael; Weber, Markus; Dahm, Gerold

    The project of a miniaturized vacuum microelectronic 100 GHz switch is described. It implies the development of a field emission electron gun as well as the investigation of miniaturized lenses and deflectors. Electrostatic elements are designed and developed for this application. Connector pads and wiring pattern are created by conventional electron beam lithography and a lift-off or etching process. Wire and other 3-dimensional structures are grown using electron beam induced deposition. This additive lithography allows to form electrodes and resistors of a preset conductivity. The scanning electron microscope features positioning the structures with nm precision. An unconventional lithography system is used that is capable of controlling the pixel dwell time within a shape with different time functions. With this special function 3-dimensional structures can be generated like free standing square shaped electrodes. The switch is built by computer controlled additive lithography avoiding assembly from parts. Lenses of micrometer dimensions were investigated with numerical electron optics programs computing the 3-dimensional potential and field distribution. From the extracted axial field distribution the electron optic characteristic parameters, like focal length, chromatic and spherical aberration, were calculated for various lens excitations. The analysis reveals that miniaturized optics for low energy electrons, as low as 30 eV, are diffraction limited. For a lens with 2 μm focal length, a chromatic aberration disc of 1 nm contributes to 12 nm diffraction disc. The spherical aberration blurs the probe by 0.02 nm, assuming an aperture of 0.01 rad. Employing hydrogen ions at 100 V, a probe diameter of 0.3 nm generated by chromatic aberration is possible. Miniaturized electron optical probe forming systems and imaging systems can be constructed with those lenses. Its application as lithography systems with massive parallel beams can be forseen.

  3. MoTe2 saturable absorber for passively Q-switched Ho,Pr:LiLuF4 laser at ∼3 μm

    NASA Astrophysics Data System (ADS)

    Yan, Zhengyu; Li, Tao; Zhao, Shengzhi; Yang, Kejian; Li, Dechun; Li, Guiqiu; Zhang, Shuaiyi; Gao, Zijing

    2018-03-01

    Multilayer molybdenum ditelluride (MoTe2) nanosheets were prepared by liquid-phase exfoliation (LPE) method. A YAG-based MoTe2 saturable absorption (SA) was consequently fabricated. The MoTe2-SA was employed in a passively Q-switched Ho,Pr:LiLuF4 laser at 2.95 μm. Under the absorbed pump power of 3.8 W, an average output power of 90 mW was achieved. The shortest pulse duration of 670 ns was generated with an output power of 73 mW and a repetition rate of 76.46 kHz, corresponding to a pulse energy of 0.95 μJ.

  4. Direct nanopatterning of 100 nm metal oxide periodic structures by Deep-UV immersion lithography.

    PubMed

    Stehlin, Fabrice; Bourgin, Yannick; Spangenberg, Arnaud; Jourlin, Yves; Parriaux, Olivier; Reynaud, Stéphanie; Wieder, Fernand; Soppera, Olivier

    2012-11-15

    Deep-UV lithography using high-efficiency phase mask has been developed to print 100 nm period grating on sol-gel based thin layer. High efficiency phase mask has been designed to produce a high-contrast interferogram (periodic fringes) under water immersion conditions for 244 nm laser. The demonstration has been applied to a new developed immersion-compatible sol-gel layer. A sol-gel photoresist prepared from zirconium alkoxides caped with methacrylic acids was developed to achieve 50 nm resolution in a single step exposure. The nanostructures can be thermally annealed into ZrO(2). Such route considerably simplifies the process for elaborating nanopatterned surfaces of transition metal oxides, and opens new routes for integrating materials of interest for applications in the field of photocatalysis, photovoltaic, optics, photonics or microelectronics.

  5. Temperature-feedback direct laser reshaping of silicon nanostructures

    NASA Astrophysics Data System (ADS)

    Aouassa, M.; Mitsai, E.; Syubaev, S.; Pavlov, D.; Zhizhchenko, A.; Jadli, I.; Hassayoun, L.; Zograf, G.; Makarov, S.; Kuchmizhak, A.

    2017-12-01

    Direct laser reshaping of nanostructures is a cost-effective and fast approach to create or tune various designs for nanophotonics. However, the narrow range of required laser parameters along with the lack of in-situ temperature control during the nanostructure reshaping process limits its reproducibility and performance. Here, we present an approach for direct laser nanostructure reshaping with simultaneous temperature control. We employ thermally sensitive Raman spectroscopy during local laser melting of silicon pillar arrays prepared by self-assembly microsphere lithography. Our approach allows establishing the reshaping threshold of an individual nanostructure, resulting in clean laser processing without overheating of the surrounding area.

  6. X-ray lithography source

    DOEpatents

    Piestrup, M.A.; Boyers, D.G.; Pincus, C.

    1991-12-31

    A high-intensity, inexpensive X-ray source for X-ray lithography for the production of integrated circuits is disclosed. Foil stacks are bombarded with a high-energy electron beam of 25 to 250 MeV to produce a flux of soft X-rays of 500 eV to 3 keV. Methods of increasing the total X-ray power and making the cross section of the X-ray beam uniform are described. Methods of obtaining the desired X-ray-beam field size, optimum frequency spectrum and eliminating the neutron flux are all described. A method of obtaining a plurality of station operation is also described which makes the process more efficient and economical. The satisfying of these issues makes transition radiation an excellent moderate-priced X-ray source for lithography. 26 figures.

  7. X-ray lithography source

    DOEpatents

    Piestrup, Melvin A.; Boyers, David G.; Pincus, Cary

    1991-01-01

    A high-intensity, inexpensive X-ray source for X-ray lithography for the production of integrated circuits. Foil stacks are bombarded with a high-energy electron beam of 25 to 250 MeV to produce a flux of soft X-rays of 500 eV to 3 keV. Methods of increasing the total X-ray power and making the cross section of the X-ray beam uniform are described. Methods of obtaining the desired X-ray-beam field size, optimum frequency spectrum and elminating the neutron flux are all described. A method of obtaining a plurality of station operation is also described which makes the process more efficient and economical. The satisfying of these issues makes transition radiation an exellent moderate-priced X-ray source for lithography.

  8. Drawing lithography for microneedles: a review of fundamentals and biomedical applications.

    PubMed

    Lee, Kwang; Jung, Hyungil

    2012-10-01

    A microneedle is a three-dimensional (3D) micromechanical structure and has been in the spotlight recently as a drug delivery system (DDS). Because a microneedle delivers the target drug after penetrating the skin barrier, the therapeutic effects of microneedles proceed from its 3D structural geometry. Various types of microneedles have been fabricated using subtractive micromanufacturing methods which are based on the inherently planar two-dimensional (2D) geometries. However, traditional subtractive processes are limited for flexible structural microneedles and makes functional biomedical applications for efficient drug delivery difficult. The authors of the present study propose drawing lithography as a unique additive process for the fabrication of a microneedle directly from 2D planar substrates, thus overcoming a subtractive process shortcoming. The present article provides the first overview of the principal drawing lithography technology: fundamentals and biomedical applications. The continuous drawing technique for an ultrahigh-aspect ratio (UHAR) hollow microneedle, stepwise controlled drawing technique for a dissolving microneedle, and drawing technique with antidromic isolation for a hybrid electro-microneedle (HEM) are reviewed, and efficient biomedical applications by drawing lithography-mediated microneedles as an innovative drug and gene delivery system are described. Drawing lithography herein can provide a great breakthrough in the development of materials science and biotechnology. Copyright © 2012 Elsevier Ltd. All rights reserved.

  9. Long-term clinical evaluation of endodontically treated teeth by 15 F CO2 laser microprobe: three years clinical follow-up of 1512 root canals--in-vivo study

    NASA Astrophysics Data System (ADS)

    Kesler, Gavriel; Koren, Rumelia; Kesler, Anat; Hay, Nissim; Gal, Rivka

    1999-05-01

    The purpose of this study was to determine the efficiency of 15 F CO2 laser microprobe, in cases of periapical lesions, by eliminating the pathological reaction caused by certain species of bacteria, reduction of reinfection and stimulation of osteogenesis in the periapical region. Until now, no suitable delivery fiber existed for CO2 laser endodontic radiation in the apical region where it is most difficult to eliminate the pulp tissue using conventional methods. To overcome this problem, Sharplan laser designed a microprobe that reaches closer to the apex, distributing the energy density to a smaller area of the root canal, thus favorably increasing the thermal effects. The study was conducted on 900 teeth, divided in two groups. 468 were new case, carefully selected according to strict parameters such as: wide periapical translucency over 1mm, supported by digital x-ray, with a lesion of 3mm and more. All root canals were mechanically prepared in the conventional method up to size 35, Physiological saline solution served as finding solution and were treated by 15 F CO2 laser microprobe for 60 pulses repeatedly. The temperature at the surrounding tissue of the root did not exceed 38 degrees C filling of the canal was possible at the same appointment, without antibiotical treatment. 432 of the cases, which were referred to us by other dentists, after an unsuccessful treatment according to the classical therapy, were treated by the same laser therapy. Follow up was performed by clinical examination, and digital x-ray taken, during and after treatment as well as after 3, 6, 9, 12 month. The result demonstrate 98% success rate in both study groups, according to objective criteria for a successful treatment such as: reduction of apical translucency after 2- 6 months, freedom form clinical complains, and no need for periapical surgery.

  10. KrF laser amplifier with phase-conjugate Brillouin retroreflectors.

    PubMed

    Gower, M C

    1982-09-01

    We have demonstrated the use of phase-conjugate stimulated Brillouin scattering mirrors to produce high-quality, short-pulse KrF laser beams from angular multiplexed and regenerative amplifiers. The mirror was also shown to isolate systems optically from amplifier spontaneous emission. Automatic alignment of targets using this mirror as a retroreflector was also demonstrated.

  11. Intelligent control system based on ARM for lithography tool

    NASA Astrophysics Data System (ADS)

    Chen, Changlong; Tang, Xiaoping; Hu, Song; Wang, Nan

    2014-08-01

    The control system of traditional lithography tool is based on PC and MCU. The PC handles the complex algorithm, human-computer interaction, and communicates with MCU via serial port; The MCU controls motors and electromagnetic valves, etc. This mode has shortcomings like big volume, high power consumption, and wasting of PC resource. In this paper, an embedded intelligent control system of lithography tool, based on ARM, is provided. The control system used S5PV210 as processor, completing the functions of PC in traditional lithography tool, and provided a good human-computer interaction by using LCD and capacitive touch screen. Using Android4.0.3 as operating system, the equipment provided a cool and easy UI which made the control more user-friendly, and implemented remote control and debug, pushing video information of product by network programming. As a result, it's convenient for equipment vendor to provide technical support for users. Finally, compared with traditional lithography tool, this design reduced the PC part, making the hardware resources efficiently used and reducing the cost and volume. Introducing embedded OS and the concepts in "The Internet of things" into the design of lithography tool can be a development trend.

  12. Aqueous multiphoton lithography with multifunctional silk-centred bio-resists.

    PubMed

    Sun, Yun-Lu; Li, Qi; Sun, Si-Ming; Huang, Jing-Chun; Zheng, Bo-Yuan; Chen, Qi-Dai; Shao, Zheng-Zhong; Sun, Hong-Bo

    2015-10-16

    Silk and silk fibroin, the biomaterial from nature, nowadays are being widely utilized in many cutting-edge micro/nanodevices/systems via advanced micro/nanofabrication techniques. Herein, for the first time to our knowledge, we report aqueous multiphoton lithography of diversiform-regenerated-silk-fibroin-centric inks using noncontact and maskless femtosecond laser direct writing (FsLDW). Initially, silk fibroin was FsLDW-crosslinked into arbitrary two/three-dimensional micro/nanostructures with good elastic properties merely using proper photosensitizers. More interestingly, silk/metal composite micro/nanodevices with multidimension-controllable metal content can be FsLDW-customized through laser-induced simultaneous fibroin oxidation/crosslinking and metal photoreduction using the simplest silk/Ag(+) or silk/[AuCl4](-) aqueous resists. Noticeably, during FsLDW, fibroin functions as biological reductant and matrix, while metal ions act as the oxidant. A FsLDW-fabricated prototyping silk/Ag microelectrode exhibited 10(4)-Ω(-1 ) m(-1)-scale adjustable electric conductivity. This work not only provides a powerful development to silk micro/nanoprocessing techniques but also creates a novel way to fabricate multifunctional metal/biomacromolecule complex micro/nanodevices for applications such as micro/nanoscale mechanical and electrical bioengineering and biosystems.

  13. Aqueous multiphoton lithography with multifunctional silk-centred bio-resists

    PubMed Central

    Sun, Yun-Lu; Li, Qi; Sun, Si-Ming; Huang, Jing-Chun; Zheng, Bo-Yuan; Chen, Qi-Dai; Shao, Zheng-Zhong; Sun, Hong-Bo

    2015-01-01

    Silk and silk fibroin, the biomaterial from nature, nowadays are being widely utilized in many cutting-edge micro/nanodevices/systems via advanced micro/nanofabrication techniques. Herein, for the first time to our knowledge, we report aqueous multiphoton lithography of diversiform-regenerated-silk-fibroin-centric inks using noncontact and maskless femtosecond laser direct writing (FsLDW). Initially, silk fibroin was FsLDW-crosslinked into arbitrary two/three-dimensional micro/nanostructures with good elastic properties merely using proper photosensitizers. More interestingly, silk/metal composite micro/nanodevices with multidimension-controllable metal content can be FsLDW-customized through laser-induced simultaneous fibroin oxidation/crosslinking and metal photoreduction using the simplest silk/Ag+ or silk/[AuCl4]− aqueous resists. Noticeably, during FsLDW, fibroin functions as biological reductant and matrix, while metal ions act as the oxidant. A FsLDW-fabricated prototyping silk/Ag microelectrode exhibited 104-Ω−1 m−1-scale adjustable electric conductivity. This work not only provides a powerful development to silk micro/nanoprocessing techniques but also creates a novel way to fabricate multifunctional metal/biomacromolecule complex micro/nanodevices for applications such as micro/nanoscale mechanical and electrical bioengineering and biosystems. PMID:26472600

  14. Aqueous multiphoton lithography with multifunctional silk-centred bio-resists

    NASA Astrophysics Data System (ADS)

    Sun, Yun-Lu; Li, Qi; Sun, Si-Ming; Huang, Jing-Chun; Zheng, Bo-Yuan; Chen, Qi-Dai; Shao, Zheng-Zhong; Sun, Hong-Bo

    2015-10-01

    Silk and silk fibroin, the biomaterial from nature, nowadays are being widely utilized in many cutting-edge micro/nanodevices/systems via advanced micro/nanofabrication techniques. Herein, for the first time to our knowledge, we report aqueous multiphoton lithography of diversiform-regenerated-silk-fibroin-centric inks using noncontact and maskless femtosecond laser direct writing (FsLDW). Initially, silk fibroin was FsLDW-crosslinked into arbitrary two/three-dimensional micro/nanostructures with good elastic properties merely using proper photosensitizers. More interestingly, silk/metal composite micro/nanodevices with multidimension-controllable metal content can be FsLDW-customized through laser-induced simultaneous fibroin oxidation/crosslinking and metal photoreduction using the simplest silk/Ag+ or silk/[AuCl4]- aqueous resists. Noticeably, during FsLDW, fibroin functions as biological reductant and matrix, while metal ions act as the oxidant. A FsLDW-fabricated prototyping silk/Ag microelectrode exhibited 104-Ω-1 m-1-scale adjustable electric conductivity. This work not only provides a powerful development to silk micro/nanoprocessing techniques but also creates a novel way to fabricate multifunctional metal/biomacromolecule complex micro/nanodevices for applications such as micro/nanoscale mechanical and electrical bioengineering and biosystems.

  15. The Introduction and Early Use of Lithography in the United States.

    ERIC Educational Resources Information Center

    Barnhill, Georgia B.

    This paper discusses the use of lithography in the United States in the early 1800s. Highlights include: the development of lithography in Germany between 1796 and 1798; early expectations for lithography; competition against the existing technology for the production of images--relief prints and copper-plate engravings; examples of 18th-century…

  16. High-power, highly stable KrF laser with a 4-kHz pulse repetition rate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Borisov, V M; El'tsov, A V; Khristoforov, O B

    2015-08-31

    An electric-discharge KrF laser (248 nm) with an average output power of 300 W is developed and studied. A number of new design features are related to the use of a laser chamber based on an Al{sub 2}O{sub 3} ceramic tube. A high power and pulse repetition rate are achieved by using a volume discharge with lateral preionisation by the UV radiation of a creeping discharge in the form of a homogeneous plasma sheet on the surface of a plane sapphire plate. Various generators for pumping the laser are studied. The maximum laser efficiency is 3.1%, the maximum laser energymore » is 160 mJ pulse{sup -1}, and the pulse duration at half maximum is 7.5 ns. In the case of long-term operation at a pulse repetition rate of 4 kHz and an output power of 300 W, high stability of laser output energy (σ ≤ 0.7%) is achieved using an all-solid-state pump system. (lasers)« less

  17. Moore's law, lithography, and how optics drive the semiconductor industry

    NASA Astrophysics Data System (ADS)

    Hutcheson, G. Dan

    2018-03-01

    When the subject of Moore's Law arises, the important role that lithography plays and how advances in optics have made it all possible is seldom brought up in the world outside of lithography itself. When lithography is mentioned up in the value chain, it's often a critique of how advances are coming too slow and getting far too expensive. Yet advances in lithography are at the core of how Moore's Law is viable. This presentation lays out how technology and the economics of optics in manufacturing interleave to drive the immense value that semiconductors have brought to the world by making it smarter. Continuing these advances will be critical as electronics make the move from smart to cognitive.

  18. Detection of Hearing Loss Using 2f2-f1 and 2f1-f2 Distortion-Product Otoacoustic Emissions

    ERIC Educational Resources Information Center

    Fitzgerald, Tracy S.; Prieve, Beth A.

    2005-01-01

    Although many distortion-product otoacoustic emissions (DPOAEs) may be measured in the ear canal in response to 2 pure tone stimuli, the majority of clinical studies have focused exclusively on the DPOAE at the frequency 2f1-f2. This study investigated another DPOAE, 2f2-f1, in an attempt to determine the following: (a) the optimal stimulus…

  19. Electronic control of different generation regimes in mode-locked all-fibre F8 laser

    NASA Astrophysics Data System (ADS)

    Kobtsev, Sergey; Ivanenko, Aleksey; Kokhanovskiy, Alexey; Smirnov, Sergey

    2018-04-01

    We demonstrate for the first time an electronically controlled realisation of markedly different generation regimes in a mode-locked all-fibre figure-eight (F8) Yb-doped laser. Electronic adjustment of the ratio of pumping powers of two amplification stages in a nonlinear amplifying loop mirror enables the establishment of stable pulse generation regimes with different degrees of coherence and control over their parameters within relatively broad limits, with the pulse duration range exceeding a factor of two in the picosecond domain for coherent and incoherent pulses, the energy range exceeding an order of magnitude for incoherent pulses (2.2-24.8 nJ) and over a factor of 8 for coherent pulses (1.9-16.2 nJ). Adjustment of the pumping powers allows one to maintain the duration of the coherent pulses and to set their peak power in the range of 32.5-292.5 W. The proposed configuration of electronic control over the radiation parameters of a mode-locked all-fibre F8 laser enables reproducible generation of pulses of different types with specified parameters within a broad range of values.

  20. Visualization of corona discharge induced by UV (248 nm) pulses of a KrF excimer laser

    NASA Astrophysics Data System (ADS)

    Mizeraczyk, Jerzy; Ohkubo, Toshikazu; Kanazawa, Seiji; Nomoto, Yukiharu; Kawasaki, Toshiyuki; Kocik, Marek

    2000-11-01

    A KrF excimer laser (248 nm) was used to induce DC corona discharge streamers in air between the electrodes of a needle-to-plane geometry. The UV laser beam pulses were transformed into the form of a laser sheet (1.5 mm thick and 20 mm-wide) that was positioned along the axis directed from the needle electrode to the plane electrode. The laser pulses were time-synchronized with the exposure of an ICCD camera that record images of the corona streamers induced by the laser sheet. The laser pulse energy flux (75 MW/cm2) crossing the gap was high enough to induce corona streamers with a reliability of 100% even at relatively low operating voltages (e.g., 15 kV) at which self-sustained streamers could not occur. Due to the full synchronization of the corona streamer onset, induced by the laser pulse and the exposure of the ICCD camera, 2-D visualization of the corona streamer evolution with a time resolution of 10 ns was possible. The recorded images made possible determining such features of the corona discharge streamer as its velocity (2.5 105 m/s) and the diameters of the leader channel (200 micrometers ) and the leader streamers (100 micrometers ).

  1. Infrared spectra of MF2, MF2+, MF4-, MF3, and M2F6 molecules (M = Sc, Y, La) in solid argon.

    PubMed

    Wang, Xuefeng; Andrews, Lester

    2010-02-18

    Reactions of laser-ablated Sc, Y and La atoms with F(2) in excess argon gave new absorptions in the M-F stretching region, which are assigned to metal fluoride neutral species MF(2) and MF(3) and ions MF(2)(+) and MF(4)(-). Dibridged MF(3) dimers, M(2)F(6), were also identified through terminal M-F and bridge M-F-M stretching modes. Density functional theory (DFT) calculations substantiated the experimental assignments. Mulliken and natural charge distributions indicate significant electron transfer from metal d orbitals to F ligands that increase from Sc to La, suggesting that strong participation of La 5d orbital hybridization drives the F-La-F bond angle below 120 degrees.

  2. High Throughput Optical Lithography by Scanning a Massive Array of Bowtie Aperture Antennas at Near-Field

    DTIC Science & Technology

    2015-11-03

    scale optical projection system powered by spatial light modulators, such as digital micro-mirror device ( DMD ). Figure 4 shows the parallel lithography ...1Scientific RepoRts | 5:16192 | DOi: 10.1038/srep16192 www.nature.com/scientificreports High throughput optical lithography by scanning a massive...array of bowtie aperture antennas at near-field X. Wen1,2,3,*, A. Datta1,*, L. M. Traverso1, L. Pan1, X. Xu1 & E. E. Moon4 Optical lithography , the

  3. Matrix infrared spectra and electronic structure calculations of the first actinide borylene: FB=ThF(2).

    PubMed

    Wang, Xuefeng; Roos, Björn O; Andrews, Lester

    2010-03-14

    Laser-ablated Th atoms react with BF(3) during condensation in excess argon at 6 K to form the first actinide borylene (FB=ThF(2)) and actinide-boron multiple bond. Three new product absorptions in the B-F and Th-F stretching regions of matrix infrared spectra are assigned to FB=ThF(2) from comparison to theoretically predicted vibrational frequencies.

  4. A quantitative thermal and thermomechanical analysis for design optimization and robustness assessment of microassembled high power Yb:CaF2 thin-disk Laser

    NASA Astrophysics Data System (ADS)

    Joly, S.; Lemesre, M.-A.; Levrier, B.; Lyszyk, C.; Plano, B.; Courjaud, A.; Taira, T.; Bechou, L.

    2018-09-01

    While considerable effort in the field of high power laser research has been dedicated to evolving thin-disk Lasers into the kilowatt range, thermal and residual stress management remain a critical issue and need to be addressed. In this paper, a quantitative thermal and thermomechanical analysis is presented for design optimization and robustness of high power diode pumped thin-disk ytterbium Lasers based on thermal and thermomechanical Finite Element Method (FEM) simulations. In particular, Yb:CaF2 Lasers are examined with respect to the design and selection of the bonding interface material. Results propose the use of a metallic Au80Sn20 hard solder of greater than 20 μm thickness as a superior solution to adhesive bonding for the prevention of Laser crystal failure under high optical pumping power while maintaining sufficient resistance to mechanical strain. Thermal IR characterizations under "safe" CW pumping power validate our predicted FEM Multiphysics models. Finally, an essentially void-free Au80Sn20 soldering process has been demonstrated for the mounting of Yb:CaF2 crystals onto a CuW heat sink. He currently acts as a Full Professor in electronics and physics at the University of Bordeaux. His research field addresses characterization, physical and failure mechanisms modeling and methods for reliability prediction of optoelectronic/photonic micro and nano-assemblied devices (LEDs, laser diodes, fiber laser, optical amplifier, photodetectors, fibered optical module…) for different applications (telecommunications, medical, space...). Research interests focus on Design for Reliability at the early stage of the development of the device including design, fabrication, characterization and aging effects on packaged optoelectronic materials and functions which involves the exploration of the physics of the light-matter interactions as well as the understanding degradation processes. Since 2010 is currently the manager of the "Reliability Assessment of Micro

  5. Lithography for enabling advances in integrated circuits and devices.

    PubMed

    Garner, C Michael

    2012-08-28

    Because the transistor was fabricated in volume, lithography has enabled the increase in density of devices and integrated circuits. With the invention of the integrated circuit, lithography enabled the integration of higher densities of field-effect transistors through evolutionary applications of optical lithography. In 1994, the semiconductor industry determined that continuing the increase in density transistors was increasingly difficult and required coordinated development of lithography and process capabilities. It established the US National Technology Roadmap for Semiconductors and this was expanded in 1999 to the International Technology Roadmap for Semiconductors to align multiple industries to provide the complex capabilities to continue increasing the density of integrated circuits to nanometre scales. Since the 1960s, lithography has become increasingly complex with the evolution from contact printers, to steppers, pattern reduction technology at i-line, 248 nm and 193 nm wavelengths, which required dramatic improvements of mask-making technology, photolithography printing and alignment capabilities and photoresist capabilities. At the same time, pattern transfer has evolved from wet etching of features, to plasma etch and more complex etching capabilities to fabricate features that are currently 32 nm in high-volume production. To continue increasing the density of devices and interconnects, new pattern transfer technologies will be needed with options for the future including extreme ultraviolet lithography, imprint technology and directed self-assembly. While complementary metal oxide semiconductors will continue to be extended for many years, these advanced pattern transfer technologies may enable development of novel memory and logic technologies based on different physical phenomena in the future to enhance and extend information processing.

  6. Compact synchrotron radiation depth lithography facility

    NASA Astrophysics Data System (ADS)

    Knüppel, O.; Kadereit, D.; Neff, B.; Hormes, J.

    1992-01-01

    X-ray depth lithography allows the fabrication of plastic microstructures with heights of up to 1 mm but with the smallest possible lateral dimensions of about 1 μm. A resist is irradiated with ``white'' synchrotron radiation through a mask that is partially covered with x-ray absorbing microstructures. The plastic microstructure is then obtained by a subsequent chemical development of the irradiated resist. In order to irradiate a reasonably large resist area, the mask and the resist have to be ``scanned'' across the vertically thin beam of the synchrotron radiation. A flexible, nonexpensive and compact scanner apparatus has been built for x-ray depth lithography at the beamline BN1 at ELSA (the 3.5 GeV Electron Stretcher and Accelerator at the Physikalisches Institut of Bonn University). Measurements with an electronic water level showed that the apparatus limits the scanner-induced structure precision to not more than 0.02 μm. The whole apparatus is installed in a vacuum chamber thus allowing lithography under different process gases and pressures.

  7. Impact of CO2 laser and stannous fluoride on primary tooth erosion.

    PubMed

    Rocha, Cristiane Tomaz; Turssi, Cecilia Pedroso; Rodrigues-Júnior, Antonio Luiz; Corona, Silmara Aparecida Milori

    2016-04-01

    This study evaluated in vitro the effect of input power of CO2 laser, either associated or not to stannous fluoride (SnF2) gel, for the control of intrinsic erosion in primary teeth. One hundred four enamel slabs (3 × 3 × 2 mm) from human primary molars were flattened and polished. Adhesive tapes were placed on their surface leaving a window of 3 × 1 mm. Slabs were then cycled four times in 0.01 M hydrochloric acid (pH 2, 2 min) and in artificial saliva (2 h) for creation of erosive lesions. Specimens were randomly assigned into eight groups (n = 13) according to fluoride application [absent (control) or 0.4% stannous fluoride gel (SnF2)] and input power of CO2 laser [unlased (control), 0.5, 1.0 or 1.5 W]. The CO2 laser irradiation was performed in an ultra-pulse mode (100 μs of pulse duration), 4-mm working distance, for 10 s. Specimens were then submitted to further erosive episodes for 5 days and evaluated for enamel relative permeability. Fluoride did not show any protective effect for any of the laser-treated groups or control (p = 0.185). However, a significant effect was detected for input power of CO2 laser (p = 0.037). Tukey's test showed that there was a significant statistically difference between specimens irradiated with 0.5 and 1.5 W (p = 0.028). The input power of 0.5 W showed lower permeability. Variation of input power CO2 laser can influence enamel permeability, at the power of 1.5 W which promoted greater permeability.

  8. Difluoro-lambda(5)-phosphinonitrile F(2)P[triple bond]N: matrix isolation and photoisomerization into FP=NF.

    PubMed

    Zeng, Xiaoqing; Beckers, Helmut; Willner, Helge

    2009-01-01

    Splendid isolation: Monomeric phosphazene F(2)PN ((1)A(1)) was prepared for the first time through irradiation of F(2)PN(3) in an argon matrix with an ArF excimer laser (lambda=193 nm). Upon subsequent irradiation with a high-pressure mercury arc lamp (lambda=255 nm), F(2)PN undergoes a 1,2-fluorine shift to give iminophosphane cis-FP=NF.

  9. Fabrication of a Polymer Micro Needle Array by Mask-Dragging X-Ray Lithography and Alignment X-Ray Lithography

    NASA Astrophysics Data System (ADS)

    Li, Yi-Gui; Yang, Chun-Sheng; Liu, Jing-Quan; Sugiyama, Susumu

    2011-03-01

    Polymer materials such as transparent thermoplastic poly(methyl methacrylate) (PMMA) have been of great interest in the research and development of integrated circuits and micro-electromechanical systems due to their relatively low cost and easy process. We fabricated PMMA-based polymer hollow microneedle arrays by mask-dragging and aligning x-ray lithography. Techniques for 3D micromachining by direct lithography using x-rays are developed. These techniques are based on using image projection in which the x-ray is used to illuminate an appropriate gold pattern on a polyimide film mask. The mask is imaged onto the PMMA sample. A pattern with an area of up to 100 × 100mm2 can be fabricated with sub-micron resolution and a highly accurate order of a few microns by using a dragging mask. The fabrication technology has several advantages, such as forming complex 3D micro structures, high throughput and low cost.

  10. M&A For Lithography Of Sparse Arrays Of Sub-Micrometer Features

    DOEpatents

    Brueck, Steven R.J.; Chen, Xiaolan; Zaidi, Saleem; Devine, Daniel J.

    1998-06-02

    Methods and apparatuses are disclosed for the exposure of sparse hole and/or mesa arrays with line:space ratios of 1:3 or greater and sub-micrometer hole and/or mesa diameters in a layer of photosensitive material atop a layered material. Methods disclosed include: double exposure interferometric lithography pairs in which only those areas near the overlapping maxima of each single-period exposure pair receive a clearing exposure dose; double interferometric lithography exposure pairs with additional processing steps to transfer the array from a first single-period interferometric lithography exposure pair into an intermediate mask layer and a second single-period interferometric lithography exposure to further select a subset of the first array of holes; a double exposure of a single period interferometric lithography exposure pair to define a dense array of sub-micrometer holes and an optical lithography exposure in which only those holes near maxima of both exposures receive a clearing exposure dose; combination of a single-period interferometric exposure pair, processing to transfer resulting dense array of sub-micrometer holes into an intermediate etch mask, and an optical lithography exposure to select a subset of initial array to form a sparse array; combination of an optical exposure, transfer of exposure pattern into an intermediate mask layer, and a single-period interferometric lithography exposure pair; three-beam interferometric exposure pairs to form sparse arrays of sub-micrometer holes; five- and four-beam interferometric exposures to form a sparse array of sub-micrometer holes in a single exposure. Apparatuses disclosed include arrangements for the three-beam, five-beam and four-beam interferometric exposures.

  11. Fluorine Kα X-Ray Emission Spectra of MgF2, CaF2, SrF2 and BaF2

    NASA Astrophysics Data System (ADS)

    Sugiura, Chikara; Konishi, Wataru; Shoji, Shizuko; Kojima, Shinjiro

    1990-11-01

    The fluorine Kα emission spectra in fluorescence from a series of alkaline-earth fluorides MF2 (M=Mg, Ca, Sr and Ba) are measured with a high-resolution two-crystal vacuum spectrometer. An anomalously low intensity of the K1L1 satellite peak arising from 1s-1(2s2p)-1 initial states is observed for SrF2. The measured emission spectra are presented along with the UPS spectra of the F- 2p valence bands obtained by Poole et al. and the fluorine K absorption-edge spectra by Oizumi et al. By using these spectra, the first peak or shoulder in the fluorine K absorption-edge spectra is identified as being due to a core exciton which is formed below the bottom of the conduction band. The binding energy of the exciton is estimated to be 1.3(± 0.3), 1.1(± 0.2), 1.0(± 0.2) and 1.7(± 0.2) eV for MgF2, CaF2, SrF2 and BaF2, respectively.

  12. Microstructure-related properties at 193 nm of MgF2 and GdF3 films deposited by a resistive-heating boat.

    PubMed

    Liu, Ming-Chung; Lee, Cheng-Chung; Kaneko, Masaaki; Nakahira, Kazuhide; Takano, Yuuichi

    2006-03-01

    MgF2 and GdF3 materials, used for a single-layer coating at 193 nm, are deposited by a resistive-heating boat at specific substrate temperatures. Optical characteristics (transmittance, refractive index, extinction coefficient, and optical loss) and microstructures (morphology and crystalline structure) are investigated and discussed. Furthermore, MgF2 is used as a low-index material, and GdF3 is used as a high-index material for multilayer coatings. Reflectance, stress, and the laser-induced damage threshold (LIDT) are studied. It is shown that MgF2 and GdF3 thin films, deposited on the substrate at a temperature of 300 degrees C, obtain good quality thin films with high transmittance and little optical loss at 193 nm. For multilayer coatings, the stress mainly comes from MgF2, and the absorption comes from GdF3. Among those coatings, the sixteen-layer design, sub/(1.4L 0.6H)8/air, shows the largest LIDT.

  13. EFFECTS OF LASER RADIATION ON MATTER. LASER PLASMA Annealing of induced absorption in quartz glasses by ArF laser radiation

    NASA Astrophysics Data System (ADS)

    Sergeev, P. B.; Sergeev, A. P.

    2010-11-01

    Annealing of individual bands of electron-beam-induced absorption (IA) in the region of 150 — 400 nm in KS-4V, KU-1, and Corning 7980 (ArF Grade) quartz glasses by ArF laser radiation is studied. It is shown that the phototransformation of the IA spectra occurs mainly due to a significant decrease in the amplitudes of bands at λ = 183.5, 213, and 260 nm. The role played by interstitial oxygen, hydrogen, and chlorine in the formation and relaxation of glass defects is considered.

  14. Advancing three-dimensional MEMS by complimentary laser micro manufacturing

    NASA Astrophysics Data System (ADS)

    Palmer, Jeremy A.; Williams, John D.; Lemp, Tom; Lehecka, Tom M.; Medina, Francisco; Wicker, Ryan B.

    2006-01-01

    This paper describes improvements that enable engineers to create three-dimensional MEMS in a variety of materials. It also provides a means for selectively adding three-dimensional, high aspect ratio features to pre-existing PMMA micro molds for subsequent LIGA processing. This complimentary method involves in situ construction of three-dimensional micro molds in a stand-alone configuration or directly adjacent to features formed by x-ray lithography. Three-dimensional micro molds are created by micro stereolithography (MSL), an additive rapid prototyping technology. Alternatively, three-dimensional features may be added by direct femtosecond laser micro machining. Parameters for optimal femtosecond laser micro machining of PMMA at 800 nanometers are presented. The technical discussion also includes strategies for enhancements in the context of material selection and post-process surface finish. This approach may lead to practical, cost-effective 3-D MEMS with the surface finish and throughput advantages of x-ray lithography. Accurate three-dimensional metal microstructures are demonstrated. Challenges remain in process planning for micro stereolithography and development of buried features following femtosecond laser micro machining.

  15. Cost-effective SU-8 micro-structures by DUV excimer laser lithography for label-free biosensing

    NASA Astrophysics Data System (ADS)

    Sanza, F. J.; Laguna, M. F.; Casquel, R.; Holgado, M.; Barrios, C. A.; Ortega, F. J.; López-Romero, D.; García-Ballesteros, J. J.; Bañuls, M. J.; Maquieira, A.; Puchades, R.

    2011-04-01

    Cost-effective SU-8 micro-structures on a silicon substrate were developed using 248 nm excimer laser KrF projection, studying the influence of the different variables on the final pattern geometry, finding out that the most critical are exposure dose and post-bake condition. Also a novel and cost effective type of photomask based on commercial polyimide Kapton produced by 355 nm DPSS laser microprocessing was developed, studying the influence of the cutting conditions on the photomask. Finally, as a likely application the biosensing capability with a standard BSA/antiBSA immunoassay over a 10 × 10 micro-plates square lattice of around 10 μm in diameter, 15 μm of spacing and 400 nm in height was demonstrated, finding a limit of detection (LOD) of 33.4 ng/ml which is in the order of magnitude of bioapplications such as detection of cortisol hormone or insulin-like growth factor. Low cost fabrication and vertical interrogation characterization techniques lead to a promising future in the biosensing technology field.

  16. Statistical analysis and optimization of direct metal laser deposition of 227-F Colmonoy nickel alloy

    NASA Astrophysics Data System (ADS)

    Angelastro, A.; Campanelli, S. L.; Casalino, G.

    2017-09-01

    This paper presents a study on process parameters and building strategy for the deposition of Colmonoy 227-F powder by CO2 laser with a focal spot diameter of 0.3 mm. Colmonoy 227-F is a nickel alloy especially designed for mold manufacturing. The substrate material is a 10 mm thick plate of AISI 304 steel. A commercial CO2 laser welding machine was equipped with a low-cost powder feeding system. In this work, following another one in which laser power, scanning speed and powder flow rate had been studied, the effects of two important process parameters, i.e. hatch spacing and step height, on the properties of the built parts were analysed. The explored ranges of hatch spacing and step height were respectively 150-300 μm and 100-200 μm, whose dimensions were comparable with that of the laser spot. The roughness, adhesion, microstructure, microhardness and density of the manufactured specimens were studied for multi-layer samples, which were made of 30 layers. The statistical significance of the studied process parameters was assessed by the analysis of the variance. The process parameters used allowed to obtain both first layer-to-substrate and layer-to-layer good adhesions. The microstructure was fine and almost defect-free. The microhardness of the deposited material was about 100 HV higher than that of the starting powder. The density as high as 98% of that of the same bulk alloy was more than satisfactory. Finally, simultaneous optimization of density and roughness was performed using the contour plots.

  17. Investigation on gas medium parameters for an ArF excimer laser through orthogonal experimental design

    NASA Astrophysics Data System (ADS)

    Song, Xingliang; Sha, Pengfei; Fan, Yuanyuan; Jiang, R.; Zhao, Jiangshan; Zhou, Yi; Yang, Junhong; Xiong, Guangliang; Wang, Yu

    2018-02-01

    Due to complex kinetics of formation and loss mechanisms, such as ion-ion recombination reaction, neutral species harpoon reaction, excited state quenching and photon absorption, as well as their interactions, the performance behavior of different laser gas medium parameters for excimer laser varies greatly. Therefore, the effects of gas composition and total gas pressure on excimer laser performance attract continual research studies. In this work, orthogonal experimental design (OED) is used to investigate quantitative and qualitative correlations between output laser energy characteristics and gas medium parameters for an ArF excimer laser with plano-plano optical resonator operation. Optimized output laser energy with good pulse to pulse stability can be obtained effectively by proper selection of the gas medium parameters, which makes the most of the ArF excimer laser device. Simple and efficient method for gas medium optimization is proposed and demonstrated experimentally, which provides a global and systematic solution. By detailed statistical analysis, the significance sequence of relevant parameter factors and the optimized composition for gas medium parameters are obtained. Compared with conventional route of varying single gas parameter factor sequentially, this paper presents a more comprehensive way of considering multivariables simultaneously, which seems promising in striking an appropriate balance among various complicated parameters for power scaling study of an excimer laser.

  18. Er3+-doped transparent glass ceramics containing micron-sized SrF2 crystals for 2.7 μm emissions

    PubMed Central

    Jiang, Yiguang; Fan, Jintai; Jiang, Benxue; Mao, Xiaojian; Tang, Junzhou; Xu, Yinsheng; Dai, Shixun; Zhang, Long

    2016-01-01

    Er3+-doped transparent glass ceramics containing micron-sized SrF2 crystals were obtained by direct liquid-phase sintering of a mixture of SrF2 powders and precursor glass powders at 820 °C for 15 min. The appearance and microstructural evolution of the SrF2 crystals in the resulting glass ceramics were investigated using X-ray diffraction, field-emission scanning electron microscopy and transmission microscopy. The SrF2 crystals are ~15 μm in size and are uniformly distributed throughout the fluorophosphate glass matrix. The glass ceramics achieve an average transmittance of 75% in the visible region and more than 85% in the near-IR region. The high transmittance of the glass ceramics results from matching the refractive index of the SrF2 with that of the precursor glass. Energy dispersive spectroscopy, photoluminescence spectra, and photoluminescence lifetimes verified the incorporation of Er3+ into the micron-sized SrF2 crystals. Intense 2.7 μm emissions due to the 4I11/2 → 4I13/2 transition were observed upon excitation at 980 nm using a laser diode. The maximum value of the emission cross section of Er3+ around 2.7 μm is more than 1.2 × 10−20 cm2, which indicates the potential of using transparent glass ceramics containing micron-sized SrF2 crystals for efficient 2.7 μm lasers and amplifiers. PMID:27430595

  19. Er(3+)-doped transparent glass ceramics containing micron-sized SrF2 crystals for 2.7 μm emissions.

    PubMed

    Jiang, Yiguang; Fan, Jintai; Jiang, Benxue; Mao, Xiaojian; Tang, Junzhou; Xu, Yinsheng; Dai, Shixun; Zhang, Long

    2016-07-19

    Er(3+)-doped transparent glass ceramics containing micron-sized SrF2 crystals were obtained by direct liquid-phase sintering of a mixture of SrF2 powders and precursor glass powders at 820 °C for 15 min. The appearance and microstructural evolution of the SrF2 crystals in the resulting glass ceramics were investigated using X-ray diffraction, field-emission scanning electron microscopy and transmission microscopy. The SrF2 crystals are ~15 μm in size and are uniformly distributed throughout the fluorophosphate glass matrix. The glass ceramics achieve an average transmittance of 75% in the visible region and more than 85% in the near-IR region. The high transmittance of the glass ceramics results from matching the refractive index of the SrF2 with that of the precursor glass. Energy dispersive spectroscopy, photoluminescence spectra, and photoluminescence lifetimes verified the incorporation of Er(3+) into the micron-sized SrF2 crystals. Intense 2.7 μm emissions due to the (4)I11/2 → (4)I13/2 transition were observed upon excitation at 980 nm using a laser diode. The maximum value of the emission cross section of Er(3+) around 2.7 μm is more than 1.2 × 10(-20) cm(2), which indicates the potential of using transparent glass ceramics containing micron-sized SrF2 crystals for efficient 2.7 μm lasers and amplifiers.

  20. Quantitative measurement of carbon isotopic composition in CO2 gas reservoir by Micro-Laser Raman spectroscopy

    NASA Astrophysics Data System (ADS)

    Li, Jiajia; Li, Rongxi; Zhao, Bangsheng; Guo, Hui; Zhang, Shuan; Cheng, Jinghua; Wu, Xiaoli

    2018-04-01

    The use of Micro-Laser Raman spectroscopy technology for quantitatively determining gas carbon isotope composition is presented. In this study, 12CO2 and 13CO2 were mixed with N2 at various molar fraction ratios to obtain Raman quantification factors (F12CO2 and F13CO2), which provide a theoretical basis for calculating the δ13C value. And the corresponding values were 0.523 (0 < C12CO2/CN2 < 2) and 1.11998 (0 < C13CO2/CN2 < 1.5) respectively. It has shown that the representative Raman peak area can be used for the determination of δ13C values within the relative errors range of 0.076% to 1.154% in 13CO2/12CO2 binary mixtures when F12CO2/F13CO2 is 0.466972625. In addition, measurement of δ13C values by Micro-Laser Raman analysis were carried out on natural CO2 gas from Shengli Oil-field at room temperature under different pressures. The δ13C values obtained by Micro-Laser Raman spectroscopy technology and Isotope Ratio Mass Spectrometry (IRMS) technology are in good agreement with each other, and the relative errors range of δ13C values is 1.232%-6.964%. This research provides a fundamental analysis tool for determining gas carbon isotope composition (δ13C values) quantitatively by using Micro-Laser Raman spectroscopy. Experiment of results demonstrates that this method has the potential for obtaining δ13C values in natural CO2 gas reservoirs.

  1. Protein assay structured on paper by using lithography

    NASA Astrophysics Data System (ADS)

    Wilhelm, E.; Nargang, T. M.; Al Bitar, W.; Waterkotte, B.; Rapp, B. E.

    2015-03-01

    There are two main challenges in producing a robust, paper-based analytical device. The first one is to create a hydrophobic barrier which unlike the commonly used wax barriers does not break if the paper is bent. The second one is the creation of the (bio-)specific sensing layer. For this proteins have to be immobilized without diminishing their activity. We solve both problems using light-based fabrication methods that enable fast, efficient manufacturing of paper-based analytical devices. The first technique relies on silanization by which we create a flexible hydrophobic barrier made of dimethoxydimethylsilane. The second technique demonstrated within this paper uses photobleaching to immobilize proteins by means of maskless projection lithography. Both techniques have been tested on a classical lithography setup using printed toner masks and on a lithography system for maskless lithography. Using these setups we could demonstrate that the proposed manufacturing techniques can be carried out at low costs. The resolution of the paper-based analytical devices obtained with static masks was lower due to the lower mask resolution. Better results were obtained using advanced lithography equipment. By doing so we demonstrated, that our technique enables fabrication of effective hydrophobic boundary layers with a thickness of only 342 μm. Furthermore we showed that flourescine-5-biotin can be immobilized on the non-structured paper and be employed for the detection of streptavidinalkaline phosphatase. By carrying out this assay on a paper-based analytical device which had been structured using the silanization technique we proofed biological compatibility of the suggested patterning technique.

  2. All-Fiber Dual-Parameter Sensor Based on Cascaded Long Period Fiber Grating Pair Fabricated by Femtosecond Laser and CO2 Laser

    NASA Astrophysics Data System (ADS)

    Zhang, Wen; Hao, Jiaqi; Lou, Xiaoping; Dong, Mingli; Zhu, Lianqing

    2018-03-01

    An all-fiber dual-parameter sensor based on cascaded long period grating pair fabricated by femtosecond laser and CO2 laser has been proposed and realized both theoretically and experimentally. The resonant wavelengths of LPFGs are 1557.80 nm and 1590.88 nm. In the strain range of 0-400 με, strain sensitivities are -7.2 pm/με for C-LPFG and -1.6 pm/με for F-LPFG. In the temperature range of 30-70°C, temperature sensitivities are -41.1 pm/°C for C-LPFG and -21.2 pm/°C for F-LPFG. By analyzing the resonant wavelength characterization, the proposed sensor can be efficiently used for dual-parameters measurement with promising application prospect and great research reference value.

  3. Multi-photon lithography of 3D micro-structures in As2S3 and Ge5(As2Se3)95 chalcogenide glasses

    NASA Astrophysics Data System (ADS)

    Schwarz, Casey M.; Labh, Shreya; Barker, Jayk E.; Sapia, Ryan J.; Richardson, Gerald D.; Rivero-Baleine, Clara; Gleason, Benn; Richardson, Kathleen A.; Pogrebnyakov, Alexej; Mayer, Theresa S.; Kuebler, Stephen M.

    2016-03-01

    This work reports a detailed study of the processing and photo-patterning of two chalcogenide glasses (ChGs) - arsenic trisulfide (As2S3) and a new composition of germanium-doped arsenic triselenide Ge5(As2Se3)95 - as well as their use for creating functional optical structures. ChGs are materials with excellent infrared (IR) transparency, large index of refraction, low coefficient of thermal expansion, and low change in refractive index with temperature. These features make them well suited for a wide range of commercial and industrial applications including detectors, sensors, photonics, and acousto-optics. Photo-patternable films of As2S3 and Ge5(As2Se3)95 were prepared by thermally depositing the ChGs onto silicon substrates. For some As2S3 samples, an anti-reflection layer of arsenic triselenide (As2Se3) was first added to mitigate the effects of standing-wave interference during laser patterning. The ChG films were photo-patterned by multi-photon lithography (MPL) and then chemically etched to remove the unexposed material, leaving free-standing structures that were negative-tone replicas of the photo-pattern in networked-solid ChG. The chemical composition and refractive index of the unexposed and photo-exposed materials were examined using Raman spectroscopy and near-IR ellipsometry. Nano-structured arrays were photo-patterned and the resulting nano-structure morphology and chemical composition were characterized and correlated with the film compositions, conditions of thermal deposition, patterned irradiation, and etch processing. Photo-patterned Ge5(As2Se3)95 was found to be more resistant than As2S3 toward degradation by formation of surface oxides.

  4. Studies of new media radiation induced laser

    NASA Technical Reports Server (NTRS)

    Han, K. S.; Shiu, Y. J.; Raju, S. R.; Hwang, I. H.; Tabibi, B.

    1984-01-01

    Various lasants were investigated especially, 2-iodohepafluoropropane (i-C3F7I) for the direct solar pumped lasers. Optical pumping of iodine laser was achieved using a small flashlamp. Using i-C3F7I as a laser gain medium, threshold inversion density, small signal gain, and laser performance at the elevated temperature were measured. The experimental results and analysis are presented. The iodine laser kinetics of the C3F7I and IBr system were numerically simulated. The concept of a direct solar-pumped laser amplifier using (i-C3F7I) as the laser material was evaluated and several kinetic coefficients for i-C3F7I laser system were reexamined. The results are discussed.

  5. Deep X-ray lithography for the fabrication of microstructures at ELSA

    NASA Astrophysics Data System (ADS)

    Pantenburg, F. J.; Mohr, J.

    2001-07-01

    Two beamlines at the Electron Stretcher Accelerator (ELSA) of Bonn University are dedicated for the production of microstructures by deep X-ray lithography with synchrotron radiation. They are equipped with state-of-the-art X-ray scanners, maintained and used by Forschungszentrum Karlsruhe. Polymer microstructure heights between 30 and 3000 μm are manufactured regularly for research and industrial projects. This requires different characteristic energies. Therefore, ELSA operates routinely at 1.6, 2.3 and 2.7 GeV, for high-resolution X-ray mask fabrication, deep and ultra-deep X-ray lithography, respectively. The experimental setup, as well as the structure quality of deep and ultra deep X-ray lithographic microstructures are described.

  6. Characterization of Electron Temperature and Density Profiles of Plasmas Produced by Nike KrF Laser for Laser Plasma Instability (LPI) Research

    NASA Astrophysics Data System (ADS)

    Oh, Jaechul; Weaver, J. L.; Phillips, L.; Obenschain, S. P.; Schmitt, A. J.; Kehne, D. M.; Chan, L.-Y.; Serlin, V.

    2011-10-01

    Previous experiments with Nike KrF laser (λ = 248 nm , Δν ~ 1 THz) observed LPI signatures near quarter critical density (nc / 4) in CH plasmas, however, detailed measurement of the temperature (Te) and density (ne) profiles was missing. The current Nike LPI campaign will perform experimental determination of the plasma profiles. A side-on grid imaging refractometer (GIR) is the main diagnostic to resolve Te and ne in space taking 2D snapshots of probe laser (λ = 266 nm , Δt = 8 psec) beamlets (50 μm spacing) refracted by the plasma at laser peak time. Ray tracing of the beamlets through hydrodynamically simulated (FASTRAD3D) plasma profiles estimates the refractometer may access densities up to ~ 0 . 2nc . With the measured Te and ne profiles in the plasma corona, we will discuss analysis of light data radiated from the plasmas in spectral ranges relevant to two plasmon decay and convective Raman instabilities. Validity of the (Te ,ne) data will also be discussed for the thermal transport study. Work supported by DoE/NNSA and ONR and performed at NRL.

  7. Flow lithography in ultraviolet-curable polydimethylsiloxane microfluidic chips

    PubMed Central

    Kim, Junbeom; An, Heseong; Seo, Yoojin; Jung, Youngmee; Lee, Jong Suk; Bong, Ki Wan

    2017-01-01

    Flow Lithography (FL) is the technique used for the synthesis of hydrogel microparticles with various complex shapes and distinct chemical compositions by combining microfluidics with photolithography. Although polydimethylsiloxane (PDMS) has been used most widely as almost the sole material for FL, PDMS microfluidic chips have limitations: (1) undesired shrinkage due to the thermal expansion of masters used for replica molding and (2) interfacial delamination between two thermally cured PDMS layers. Here, we propose the utilization of ultraviolet (UV)-curable PDMS (X-34-4184) for FL as an excellent alternative material of the conventional PDMS. Our proposed utilization of the UV-curable PDMS offers three key advantages, observed in our study: (1) UV-curable PDMS exhibited almost the same oxygen permeability as the conventional PDMS. (2) The almost complete absence of shrinkage facilitated the fabrication of more precise reverse duplication of microstructures. (3) UV-cured PDMS microfluidic chips were capable of much stronger interfacial bonding so that the burst pressure increased to ∼0.9 MPa. Owing to these benefits, we demonstrated a substantial improvement of productivity in synthesizing polyethylene glycol diacrylate microparticles via stop flow lithography, by applying a flow time (40 ms) an order of magnitude shorter. Our results suggest that UV-cured PDMS chips can be used as a general platform for various types of flow lithography and also be employed readily in other applications where very precise replication of structures on micro- or sub-micrometer scales and/or strong interfacial bonding are desirable. PMID:28469763

  8. A facetless regrowth-free single mode laser based on MMI couplers

    NASA Astrophysics Data System (ADS)

    Caro, Ludovic; Kelly, Niall P.; Dernaika, Mohamad; Shayesteh, Maryam; Morrissey, Padraic E.; Alexander, Justin K.; Peters, Frank H.

    2017-09-01

    This paper presents a facetless, tunable laser operating near 1575 nm, as well as a theoretical model predicting spectral features of the laser. The lasers were fabricated without regrowth or advanced lithography techniques, and are based on MMI couplers and etched facets. Coarse vernier tuning was achieved over a range of 25 nm, while fine, thermal tuning was also demonstrated over a range of 1.5 nm. SMSR values of 25 dB and higher were observed, with a measured laser linewidth of 600 kHz.

  9. Fabricating waveguide Bragg gratings (WBGs) in bulk materials using ultrashort laser pulses

    NASA Astrophysics Data System (ADS)

    Ams, Martin; Dekker, Peter; Gross, Simon; Withford, Michael J.

    2017-01-01

    Optical waveguide Bragg gratings (WBGs) can be created in transparent materials using femtosecond laser pulses. The technique is conducted without the need for lithography, ion-beam fabrication methods, or clean room facilities. This paper reviews the field of ultrafast laser-inscribed WBGs since its inception, with a particular focus on fabrication techniques, WBG characteristics, WBG types, and WBG applications.

  10. LASER APPLICATIONS AND OTHER TOPICS IN QUANTUM ELECTRONICS: Laser-induced extreme UV radiation sources for manufacturing next-generation integrated circuits

    NASA Astrophysics Data System (ADS)

    Borisov, V. M.; Vinokhodov, A. Yu; Ivanov, A. S.; Kiryukhin, Yu B.; Mishchenko, V. A.; Prokof'ev, A. V.; Khristoforov, O. B.

    2009-10-01

    The development of high-power discharge sources emitting in the 13.5±0.135-nm spectral band is of current interest because they are promising for applications in industrial EUV (extreme ultraviolet) lithography for manufacturing integrated circuits according to technological precision standards of 22 nm and smaller. The parameters of EUV sources based on a laser-induced discharge in tin vapours between rotating disc electrodes are investigated. The properties of the discharge initiation by laser radiation at different wavelengths are established and the laser pulse parameters providing the maximum energy characteristics of the EUV source are determined. The EUV source developed in the study emits an average power of 276 W in the 13.5±0.135-nm spectral band on conversion to the solid angle 2π sr in the stationary regime at a pulse repetition rate of 3000 Hz.

  11. Spectroscopic and laser characterization of Yb,Tm:KLu(WO4)2 crystal

    NASA Astrophysics Data System (ADS)

    Loiko, P. A.; Serres, J. M.; Mateos, X.; Demesh, M. P.; Yasukevich, A. S.; Yumashev, K. V.; Petrov, V.; Griebner, U.; Aguiló, M.; Díaz, F.

    2016-01-01

    We report on a comprehensive spectroscopic and laser characterization of monoclinic Yb,Tm:KLu(WO4)2 crystals. Stimulated-emission cross-section spectra corresponding to the 3F4 → 3H6 transition of Tm3+ ions are determined. The radiative lifetime of the 3F4 state of Tm3+ ions is 0.82 ms. The maximum Yb3+ → Tm3+ energy transfer efficiency is 83.9% for 5 at.% Yb - 8 at.% Tm doping. The fractional heat loading for Yb,Tm:KLu(WO4)2 is 0.45 ± 0.05. Using a hemispherical cavity and 5 at.% Yb - 6 at.% Tm doped crystal, a maximum CW power of 227 mW is achieved at 1.983-2.011 μm with a maximum slope efficiency η = 14%. In the microchip laser set-up, the highest slope efficiency is 20% for a 5 at.% Yb- 8 at.% Tm doped crystal with a maximum output power of 201 mW at 1.99-2.007 μm. Operation of Yb,Tm:KLu(WO4)2 as a vibronic laser emitting at 2.081-2.093 μm is also demonstrated.

  12. Fabrication and characterization of a deep ultraviolet wire grid polarizer with a chromium-oxide subwavelength grating.

    PubMed

    Asano, Kosuke; Yokoyama, Satoshi; Kemmochi, Atsushi; Yatagai, Toyohiko

    2014-05-01

    A wire grid polarizer comprised of chromium oxide is designed for a micro-lithography system using an ArF excimer laser. Optical properties for some material candidates are calculated using a rigorous coupled-wave analysis. The chromium oxide wire grid polarizer with a 90 nm period is fabricated by a double-patterning technique using KrF lithography and dry etching. The extinction ratio of the grating is greater than 20 dB (100:1) at a wavelength of 193 nm. Differences between the calculated and experimental results are discussed.

  13. Quartz 9-inch size mask blanks for ArF PSM (Phase Shift Mask)

    NASA Astrophysics Data System (ADS)

    Harashima, Noriyuki; Isozaki, Tatsuya; Kawanishi, Arata; Kanai, Shuichiro; Kageyama, Kagehiro; Iso, Hiroyuki; Chishima, Tatsuya

    2017-07-01

    Semiconductor technology nodes are steadily miniaturizing. On the other hand, various efforts have been made to reduce costs, mass production lines have shifted from 200 mmφ of Si wafer to 300 mmφ, and technology development of Si wafer 450 mmφ is also in progress. As a photomask, 6-inch size binary Cr mask has been used for many years, but in recent years, the use of 9-inch binary Cr masks for Proximity Lithography Process in automotive applications, MEMS, packages, etc. has increased, and cost reduction has been taken. Since the miniaturization will progress in the above applications in the future, products corresponding to miniaturization are also desired in 9-inch photomasks. The high grade Cr - binary mask blanks used in proximity exposure process, there is a prospect of being able to use it by ULVAC COATING CORPORATION's tireless research. As further demands for miniaturization, KrF and ArF Lithography Process, which are used for steppers and scanners , there are also a demand for 9-inch size Mask Blanks. In ULVAC COATING CORPORATION, we developed a 9 - inch size KrF PSM mask Blanks prototype in 2016 and proposed a new high grade 9 - inch photomask. This time, we have further investigated and developed 9-inch size ArF PSM Mask Blanks corresponding to ArF Lithography Process, so we report it.

  14. Collisionless dissociation and isotopic enrichment of SF6 using high-powered CO2 laser radiation

    NASA Technical Reports Server (NTRS)

    Gower, M. C.; Billman, K. W.

    1977-01-01

    Dissociation of S-32F6 and the resultant isotopic enrichment of S-34F6 using high-powered CO2 laser radiation has been studied with higher experimental sensitivity than previously reported. Enrichment factors have been measured as a function of laser pulse number, wavelength, energy and time duration. A geometry independent dissociation cross section is introduced and measured values are presented. Threshold energy densities, below which no dissociation was observed, were also determined.

  15. The fabrication of nanopatterns with Au nanoparticles-embedded micelles via nanoimprint lithography.

    PubMed

    Lee, Jung-Pil; Kim, Eun-Uk; Koh, Haeng-Deog; Kang, Nam-Goo; Jung, Gun-Young; Lee, Jae-Suk

    2009-09-09

    We fabricated nanopatterns with Au nanoparticles-embedded micelles (Au-micelles) by self-assembly of block copolymers via nanoimprint lithography. The micelle structure prepared by self-assembled block copolymers was used as a template for the synthesis of Au nanoparticles (Au NPs). Au NPs were synthesized in situ inside the micelles of polystyrene-block-poly(2-vinylpyridine) (PS- b-P2VP). Au-micelles were arranged on the trenches of the polymer template, which was imprinted by nanoimprint lithography. The fabrication of line-type and dot-type nanopatterns was carried out by the combined method. In addition, multilayer nanopatterns of the Au-micelles were also proposed.

  16. Phosphate base laser glasses

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Izumitani, T.; Tsuru, M.

    1980-12-16

    A phosphate base laser glass comprising 55-70% P2O5, 1-15% Al2O3, 0-25% Li2O, 0-25% Na2O, 0-8% K2O, the total proportion of Li2O, Na2O, and K2O being 10-25%, 0-15% BaO, 0-15% ZnO, 0-15% CaO , 0-15%, sro, 0-15% MgO, the total proportion of BaO, ZnO, CaO, SrO, and MgO being 5-15%, 0-5% Y2O3, 0-5% La2O3, 0-5% GeO2, 0-5% CeO2, 0-3% Nb2O5, 0-3% MnO2, 0-2% Ta2O5, 0-1% Sb2O3, and 0.01-5% Nd2O3, all % being mole %. The phosphate base laser glass of this invention has a high induced emission cross section, a low non-linear refractive index coefficient, and excellent acid resistance and divitrificationmore » resistance. By replacing partially or wholely one or more of LiO2, Na2O, K2O, BaO, ZnO, CaO, SrO, MgO or Al2O3 by LiF, NaF, KF , BaF2ZnF2, CaF2, SrF2, MgF2 or AlF3, respectively, the above properties of the laser glass are further improved.« less

  17. Quantitative measurement of carbon isotopic composition in CO2 gas reservoir by Micro-Laser Raman spectroscopy.

    PubMed

    Li, Jiajia; Li, Rongxi; Zhao, Bangsheng; Guo, Hui; Zhang, Shuan; Cheng, Jinghua; Wu, Xiaoli

    2018-04-15

    The use of Micro-Laser Raman spectroscopy technology for quantitatively determining gas carbon isotope composition is presented. In this study, 12 CO 2 and 13 CO 2 were mixed with N 2 at various molar fraction ratios to obtain Raman quantification factors (F 12CO2 and F 13CO2 ), which provide a theoretical basis for calculating the δ 13 C value. And the corresponding values were 0.523 (02 /C N2 <2) and 1.11998 (02 /C N2 <1.5) respectively. It has shown that the representative Raman peak area can be used for the determination of δ 13 C values within the relative errors range of 0.076% to 1.154% in 13 CO 2 / 12 CO 2 binary mixtures when F 12CO2 /F 13CO2 is 0.466972625. In addition, measurement of δ 13 C values by Micro-Laser Raman analysis were carried out on natural CO 2 gas from Shengli Oil-field at room temperature under different pressures. The δ 13 C values obtained by Micro-Laser Raman spectroscopy technology and Isotope Ratio Mass Spectrometry (IRMS) technology are in good agreement with each other, and the relative errors range of δ 13 C values is 1.232%-6.964%. This research provides a fundamental analysis tool for determining gas carbon isotope composition (δ 13 C values) quantitatively by using Micro-Laser Raman spectroscopy. Experiment of results demonstrates that this method has the potential for obtaining δ 13 C values in natural CO 2 gas reservoirs. Copyright © 2018. Published by Elsevier B.V.

  18. Lithography with MeV Energy Ions for Biomedical Applications: Accelerator Considerations

    NASA Astrophysics Data System (ADS)

    Sangyuenyongpipat, S.; Whitlow, H. J.; Nakagawa, S. T.; Yoshida, E.

    2009-03-01

    MeV ion beam lithographies are very powerful techniques for 3D direct writing in positive or negtive photoresist materials. Nanometer-scale rough structures, or clear areas with straight vertical sidewalls as thin as a few 10's of nm in a resist of a few nm to 100 μm thickness can be made. These capabilities are particularly useful for lithography in cellular- and sub-cellular level biomedical research and technology applications. It can be used for tailor making special structures such as optical waveguides, biosensors, DNA sorters, spotting plates, systems for DNA, protein and cell separation, special cell-growth substrates and microfluidic lab-on-a-chip devices. Furthermore MeV ion beam lithography can be used for rapid prototyping, and also making master stamps and moulds for mass production by hot embossing and nanoimprint lithography. The accelerator requirements for three different high energy ion beam lithography techniques are overviewed. We consider the special requirements placed on the accelerator and how this is achieved for a commercial proton beam writing tool.

  19. Low resistance nonalloyed Ni/Au Ohmic contacts to p-GaN irradiated by KrF excimer laser

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Oh, Min-Suk; Hwang, Dae-Kue; Lim, Jae-Hong

    2006-07-24

    A specific contact resistance of 8.9x10{sup -5} {omega} cm{sup 2} was obtained for a Ni/Au Ohmic layer on the KrF laser-irradiated p-GaN. It was found that laser irradiation increases the hole concentration from 4.1x10{sup 17} to 9.7x10{sup 17} cm{sup -3} by removing hydrogen atoms from p-GaN layer. The native oxide was also removed as evidenced by the Ga 2p peak shift and the decrease in the intensity of O 1s peak in the x-ray photoelectron spectra. The formation of a low resistance is attributed to the increase in the hole concentration and the removal of native oxide from p-GaN bymore » laser irradiation.« less

  20. Femtosecond diode-pumped mode-locked neodymium lasers

    NASA Astrophysics Data System (ADS)

    Kubeček, Václav; Jelínek, Michal; Čech, Miroslav; Vyhlídal, David; Su, Liangbi; Jiang, Dapeng; Ma, Fengkai; Qian, Xiaobo; Wang, Jingya; Xu, Jun

    2016-12-01

    Fluoride-type crystals (CaF2, SrF2) doped with neodymium Nd3+ and codoped with buffer ions for breaking clusters of active ions and increasing fluorescence efficiency, present interesting alternative as laser active media for the diode-pumped mode-locked lasers. In comparison with widely used materials as Nd:YAG or Nd:YVO4, they have broad emission spectra as well as longer fluorescence lifetime, in comparison with Nd:glass, SrF2 and CaF2 have better thermal conductivity. In spite of the fact, that this thermal conductivity decreases with Nd3+ doping concentration, these crystals are alternative for the Nd:glass in subpicosecond mode-locked laser systems. In this paper we review the basic results reported recently on these active materials and in the second part we present our results achieved in low power diode pumped passively mode locked lasers with Nd,La:CaF2 and Nd,Y:SrF2 crystals. The pulses as short as 258 fs at wavelength of 1057 nm were obtained in the first case, while 5 ps long pulses at 1065 nm were generated from the second laser system.

  1. Image grating metrology using phase-stepping interferometry in scanning beam interference lithography

    NASA Astrophysics Data System (ADS)

    Li, Minkang; Zhou, Changhe; Wei, Chunlong; Jia, Wei; Lu, Yancong; Xiang, Changcheng; Xiang, XianSong

    2016-10-01

    Large-sized gratings are essential optical elements in laser fusion and space astronomy facilities. Scanning beam interference lithography is an effective method to fabricate large-sized gratings. To minimize the nonlinear phase written into the photo-resist, the image grating must be measured to adjust the left and right beams to interfere at their waists. In this paper, we propose a new method to conduct wavefront metrology based on phase-stepping interferometry. Firstly, a transmission grating is used to combine the two beams to form an interferogram which is recorded by a charge coupled device(CCD). Phase steps are introduced by moving the grating with a linear stage monitored by a laser interferometer. A series of interferograms are recorded as the displacement is measured by the laser interferometer. Secondly, to eliminate the tilt and piston error during the phase stepping, the iterative least square phase shift method is implemented to obtain the wrapped phase. Thirdly, we use the discrete cosine transform least square method to unwrap the phase map. Experiment results indicate that the measured wavefront has a nonlinear phase around 0.05 λ@404.7nm. Finally, as the image grating is acquired, we simulate the print-error written into the photo-resist.

  2. Graphic Arts/Offset Lithography.

    ERIC Educational Resources Information Center

    Hoisington, James; Metcalf, Joseph

    This revised curriculum for graphic arts is designed to provide secondary and postsecondary students with entry-level skills and an understanding of current printing technology. It contains lesson plans based on entry-level competencies for offset lithography as identified by educators and industry representatives. The guide is divided into 15…

  3. Carbon dioxide gas purification and analytical measurement for leading edge 193nm lithography

    NASA Astrophysics Data System (ADS)

    Riddle Vogt, Sarah; Landoni, Cristian; Applegarth, Chuck; Browning, Matt; Succi, Marco; Pirola, Simona; Macchi, Giorgio

    2015-03-01

    The use of purified carbon dioxide (CO2) has become a reality for leading edge 193 nm immersion lithography scanners. Traditionally, both dry and immersion 193 nm lithographic processes have constantly purged the optics stack with ultrahigh purity compressed dry air (UHPCDA). CO2 has been utilized for a similar purpose as UHPCDA. Airborne molecular contamniation (AMC) purification technologies and analytical measurement methods have been extensively developed to support the Lithography Tool Manufacturers purity requirements. This paper covers the analytical tests and characterizations carried out to assess impurity removal from 3.0 N CO2 (beverage grade) for its final utilization in 193 nm and EUV scanners.

  4. Eco-friendly electron beam lithography using water-developable resist material derived from biomass

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Oshima, Akihiro; Wakabayashi, Takanori; Kozawa, Takahiro; Tagawa, Seiichi

    2012-07-01

    We investigated the eco-friendly electron beam (EB) lithography using a high-sensitive negative type of water-developable resist material derived from biomass on hardmask layer for tri-layer processes. A water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB lithography was developed for environmental affair, safety, easiness of handling, and health of the working people, instead of the common developable process of trimethylphenylammonium hydroxide. The images of 200 nm line and 800 nm space pattern with exposure dose of 7.0 μC/cm2 and CF4 etching selectivity of 2.2 with hardmask layer were provided by specific process conditions.

  5. Tuning extreme ultraviolet emission for optimum coupling with multilayer mirrors for future lithography through control of ionic charge states

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ohashi, Hayato, E-mail: ohashi@cc.utsunomiya-u.ac.jp; Higashiguchi, Takeshi, E-mail: higashi@cc.utsunomiya-u.ac.jp; Suzuki, Yuhei

    2014-01-21

    We report on the identification of the optimum plasma conditions for a laser-produced plasma source for efficient coupling with multilayer mirrors at 6.x nm for beyond extreme ultraviolet lithography. A small shift to lower energies of the peak emission for Nd:YAG laser-produced gadolinium plasmas was observed with increasing laser power density. Charge-defined emission spectra were observed in electron beam ion trap (EBIT) studies and the charge states responsible identified by use of the flexible atomic code (FAC). The EBIT spectra displayed a larger systematic shift of the peak wavelength of intense emission at 6.x nm to longer wavelengths with increasingmore » ionic charge. This combination of spectra enabled the key ion stage to be confirmed as Gd{sup 18+}, over a range of laser power densities, with contributions from Gd{sup 17+} and Gd{sup 19+} responsible for the slight shift to longer wavelengths in the laser-plasma spectra. The FAC calculation also identified the origin of observed out-of-band emission and the charge states responsible.« less

  6. Photo-triggering and secondary electron produced ionization in electric discharge ArF* excimer lasers

    NASA Astrophysics Data System (ADS)

    Xiong, Zhongmin; Kushner, Mark J.

    2011-10-01

    Electric discharge excimer lasers are sustained in multi-atmosphere attaching gas mixtures that are typically preionized to enable a reproducible, uniform glow, which maximizes optical quality and gain. This preionization is often accomplished using UV light produced by a corona discharge within the plasma cavity. To quantify the relationship between corona discharge properties and those of the laser discharge, the triggering of electron avalanche by preionizing UV light in an electric discharge-pumped ArF* excimer laser was numerically investigated using a two-dimensional model. The preionizing UV fluxes were generated by a corona-bar discharge driven by the same voltage pulse as the main discharge sustained in a multi-atmospheric Ne/Ar/Xe/F2 gas mixture. The resulting peak photo-electron density in the inter-electrode spacing is around 108 cm-3, and its distribution is biased toward the UV source. The preionization density increases with increasing dielectric constant and capacitance of the corona bar. The symmetry and uniformity of the discharge are, however, improved significantly once the main avalanche develops. In addition to bulk electron impact ionization, the ionization generated by sheath accelerated secondary electrons was found to be important in sustaining the discharge current at experimentally observed values. At peak current, the magnitude of the ionization by sheath accelerated electrons is comparable to that from bulk electron impact in the vicinity of the cathode.

  7. A Miniaturized, 1.9F Integrated Optical Fiber and Stone Basket for Use in Thulium Fiber Laser Lithotripsy.

    PubMed

    Wilson, Christopher R; Hutchens, Thomas C; Hardy, Luke A; Irby, Pierce B; Fried, Nathaniel M

    2015-10-01

    The thulium fiber laser (TFL) is being explored as an alternative laser lithotripter to the standard holmium:yttrium-aluminum-garnet laser. The more uniform beam profile of the TFL enables higher power transmission through smaller fibers. In this study, a 100-μm core, 140-μm outer-diameter (OD) silica fiber with 5-mm length hollow steel tip was integrated with 1.3F (0.433-mm OD) nitinol wire basket to form a 1.9F (0.633-mm OD) device. TFL energy of 30 mJ, 500 μs pulse duration, and 500 Hz pulse rate was delivered to human uric acid stones, ex vivo. Stone ablation rates measured 1.5 ± 0.2 mg/s, comparable to 1.7 ± 0.3 mg/s using bare fiber tips separately with stone basket. With further development, this device may minimize stone retropulsion, allowing more efficient TFL lithotripsy at higher pulse rates. It may also provide increased flexibility, higher saline irrigation rates through the ureteroscope working channel, reduce fiber degradation compared with separate fiber and basket manipulation, and reduce laser-induced nitinol wire damage.

  8. Designs for optimizing depth of focus and spot size for UV laser ablation

    NASA Astrophysics Data System (ADS)

    Wei, An-Chi; Sze, Jyh-Rou; Chern, Jyh-Long

    2010-11-01

    The proposed optical systems are designed for extending the depths of foci (DOF) of UV lasers, which can be exploited in the laser-ablation technologies, such as laser machining and lithography. The designed systems are commonly constructed by an optical module that has at least one aspherical surface. Two configurations of optical module, lens-only and lens-reflector, are presented with the designs of 2-lens and 1-lens-1-reflector demonstrated by commercially optical software. Compared with conventional DOF-enhanced systems, which required the chromatic aberration lenses and the light sources with multiple wavelengths, the proposed designs are adapted to the single-wavelength systems, leading to more economical and efficient systems.

  9. Nearly amorphous Mo-N gratings for ultimate resolution in extreme ultraviolet interference lithography

    NASA Astrophysics Data System (ADS)

    Wang, L.; Kirk, E.; Wäckerlin, C.; Schneider, C. W.; Hojeij, M.; Gobrecht, J.; Ekinci, Y.

    2014-06-01

    We present fabrication and characterization of high-resolution and nearly amorphous Mo1 - xNx transmission gratings and their use as masks for extreme ultraviolet (EUV) interference lithography. During sputter deposition of Mo, nitrogen is incorporated into the film by addition of N2 to the Ar sputter gas, leading to suppression of Mo grain growth and resulting in smooth and homogeneous thin films with a negligible grain size. The obtained Mo0.8N0.2 thin films, as determined by x-ray photoelectron spectroscopy, are characterized to be nearly amorphous using x-ray diffraction. We demonstrate a greatly reduced Mo0.8N0.2 grating line edge roughness compared with pure Mo grating structures after e-beam lithography and plasma dry etching. The amorphous Mo0.8N0.2 thin films retain, to a large extent, the benefits of Mo as a phase grating material for EUV wavelengths, providing great advantages for fabrication of highly efficient diffraction gratings with extremely low roughness. Using these grating masks, well-resolved dense lines down to 8 nm half-pitch are fabricated with EUV interference lithography.

  10. Nearly amorphous Mo-N gratings for ultimate resolution in extreme ultraviolet interference lithography.

    PubMed

    Wang, L; Kirk, E; Wäckerlin, C; Schneider, C W; Hojeij, M; Gobrecht, J; Ekinci, Y

    2014-06-13

    We present fabrication and characterization of high-resolution and nearly amorphous Mo1 - xNx transmission gratings and their use as masks for extreme ultraviolet (EUV) interference lithography. During sputter deposition of Mo, nitrogen is incorporated into the film by addition of N2 to the Ar sputter gas, leading to suppression of Mo grain growth and resulting in smooth and homogeneous thin films with a negligible grain size. The obtained Mo0.8N0.2 thin films, as determined by x-ray photoelectron spectroscopy, are characterized to be nearly amorphous using x-ray diffraction. We demonstrate a greatly reduced Mo0.8N0.2 grating line edge roughness compared with pure Mo grating structures after e-beam lithography and plasma dry etching. The amorphous Mo0.8N0.2 thin films retain, to a large extent, the benefits of Mo as a phase grating material for EUV wavelengths, providing great advantages for fabrication of highly efficient diffraction gratings with extremely low roughness. Using these grating masks, well-resolved dense lines down to 8 nm half-pitch are fabricated with EUV interference lithography.

  11. The F2 wind tunnel at Fauga-Mauzac

    NASA Technical Reports Server (NTRS)

    Afchain, D.; Broussaud, P.; Frugier, M.; Rancarani, G.

    1984-01-01

    Details on the French subsonic wind-tunnel F2 that becomes operational on July 1983 are presented. Some of the requirements were: (1) installation of models on any wall of the facility, (2) good observation points due to transparent walls, (3) smooth flow, (4) a laser velocimeter, and (5) easy access and handling. The characteristics include a nonpressurized return circuit, dimensions of 5 x 1.4 x 1.8 m, maximum velocity of 100 m/s and a variable speed fan of 683 kW.

  12. Hard-tip, soft-spring lithography.

    PubMed

    Shim, Wooyoung; Braunschweig, Adam B; Liao, Xing; Chai, Jinan; Lim, Jong Kuk; Zheng, Gengfeng; Mirkin, Chad A

    2011-01-27

    Nanofabrication strategies are becoming increasingly expensive and equipment-intensive, and consequently less accessible to researchers. As an alternative, scanning probe lithography has become a popular means of preparing nanoscale structures, in part owing to its relatively low cost and high resolution, and a registration accuracy that exceeds most existing technologies. However, increasing the throughput of cantilever-based scanning probe systems while maintaining their resolution and registration advantages has from the outset been a significant challenge. Even with impressive recent advances in cantilever array design, such arrays tend to be highly specialized for a given application, expensive, and often difficult to implement. It is therefore difficult to imagine commercially viable production methods based on scanning probe systems that rely on conventional cantilevers. Here we describe a low-cost and scalable cantilever-free tip-based nanopatterning method that uses an array of hard silicon tips mounted onto an elastomeric backing. This method-which we term hard-tip, soft-spring lithography-overcomes the throughput problems of cantilever-based scanning probe systems and the resolution limits imposed by the use of elastomeric stamps and tips: it is capable of delivering materials or energy to a surface to create arbitrary patterns of features with sub-50-nm resolution over centimetre-scale areas. We argue that hard-tip, soft-spring lithography is a versatile nanolithography strategy that should be widely adopted by academic and industrial researchers for rapid prototyping applications.

  13. Two novel nonlinear optical carbonates in the deep-ultraviolet region: KBeCO3F and RbAlCO3F2

    PubMed Central

    Kang, Lei; Lin, Zheshuai; Qin, Jingui; Chen, Chuangtian

    2013-01-01

    With the rapid developments of the all-solid-state deep-ultraviolet (deep-UV) lasers, the good nonlinear optical (NLO) crystal applied in this spectral region is currently lacking. Here, we design two novel NLO carbonates KBeCO3F and RbAlCO3F2 from the first-principles theory implemented in the molecular engineering expert system especially for NLO crystals. Both structurally stable crystals possess very large energy band gaps and optical anisotropy, so they would become the very promising deep-UV NLO crystals alternative to KBBF. Recent experimental results on MNCO3F (M = K, Rb, Cs; N = Ca, Sr, Ba) not only confirm our calculations, but also suggest that the synthesis of the KBeCO3F and RbAlCO3F2 crystals is feasible. PMID:23455618

  14. Optical spectroscopy of BaY2F8:Dy3+

    NASA Astrophysics Data System (ADS)

    Parisi, Daniela; Toncelli, Alessandra; Tonelli, Mauro; Cavalli, Enrico; Bovero, Enrico; Belletti, Alessandro

    2005-05-01

    The optical spectra of the BaY2F8:Dy3+ laser crystal have been investigated in the 5000-30 000 cm-1 range. The Judd-Ofelt parametrization scheme has been applied to the analysis of the room temperature absorption spectra. The calculated radiative lifetime of the 4F9/2 state is 1.48 ms. Decay curves of the visible emission have been measured as a function of the temperature for two different Dy3+ concentrations (0.5 and 4.4%). In the case of the diluted crystal the emission profiles are single exponential with decay times consistent with the radiative lifetime. The decay curves of the concentrated crystal are not exponential and they obey the Inokuti-Hirayama model for energy transfer for an electric dipole-dipole interaction in the absence of diffusion among the donors. The emission cross section at 575 nm has been estimated using the integral β-τ method in order to assess the potentialities of this compound as a solid state laser material in the yellow region.

  15. Investigation of the 2f1-f2 and 2f2-f1 distortion product otoacoustic emissions using a computational model of the gerbil ear.

    PubMed

    Wen, Haiqi; Bowling, Thomas; Meaud, Julien

    2018-05-19

    In this work, a three-dimensional computational model of the gerbil ear is used to investigate the generation of the 2f 1 -f 2 and 2f 2 -f 1 distortion product otoacoustic emissions (DPOAEs). In order to predict both the distortion and reflection sources, cochlear roughness is modeled by introducing random inhomogeneities in the outer hair cell properties. The model was used to simulate the generation of DPOAEs in response to a two-tone stimulus for various primary stimulus levels and frequency ratios. As in published experiments, the 2f 1 -f 2 DPOAEs are mostly dominated by the distortion component while the 2f 2 -f 1 DPOAEs are dominated by the reflection component; furthermore, the influence of the levels and frequency ratio of the primaries are consistent with measurements. Analysis of the intracochlear response shows that the distortion component has the highest magnitude at all longitudinal locations for the 2f 1 -f 2 distortion product (DP) while the distortion component only dominates close to the DP best place in the case of the 2f 2 -f 1 DP. Decomposition of the intracochlear DPs into forward and reverse waves demonstrates that the 2f 1 -f 2 DP generates reverse waves for both the distortion and reflection components; however, a reverse wave is only generated for the reflection component in the case of the 2f 2 -f 1 DP. As in experiments in the gerbil, the group delay of the reflection component of the DPOAE is between 1× and 2× the forward group delay, which is consistent with the propagation of DP towards the stapes as slow reverse waves. Copyright © 2018 Elsevier B.V. All rights reserved.

  16. Proton irradiation of [18O]O2: production of [18F]F2 and [18F]F2 + [18F] OF2.

    PubMed

    Bishop, A; Satyamurthy, N; Bida, G; Hendry, G; Phelps, M; Barrio, J R

    1996-04-01

    The production of 18F electrophilic reagents via the 18O(p,n)18F reaction has been investigated in small-volume target bodies made of aluminum, copper, gold-plated copper and nickel, having straight or conical bore shapes. Three irradiation protocols-single-step, two-step and modified two-step-were used for the recovery of the 18F activity. The single-step irradiation protocol was tested in all the target bodies. Based on the single-step performance, aluminum targets were utilized extensively in the investigation of the two-step and modified two-step irradiation protocols. With an 11-MeV cyclotron and using the two-step irradiation protocol, > 1Ci [18F]F2 was recovered reproducibly from an aluminum target body. Probable radical mechanisms for the formation of OF2 and FONO2 (fluorine nitrate) in the single-step and modified two-step targets are proposed based on the amount of ozone generated and the nitrogen impurity present in the target gases, respectively.

  17. Kinetics of the Reactions of F((sup 2)P) and Cl((sup 2)P) with HNO3

    NASA Technical Reports Server (NTRS)

    Wine, P. H.; Wells, J. R.; Nicovich, J. M.

    1997-01-01

    The kinetics of the reactions of HNO3 with fluorine (k(sub 1)) and Chlorine (k(sub 2)) atoms have been studied by using a time-resolved long-path laser absorption technique to monitor the appearance of product NO3 radicals following 351-nm pulsed laser photolysis of X2/HNO3/He mixtures (X = F,Cl). Absolute rate coefficients for the F((sup 2)P) + HNO reaction have been determined over the temperature range 260-373 K. Between 260 and 320 K, the data are adequately represented by the Arrhenius expression k(sub 1)(T) = (6.0 +/- 2.6) x 10(exp -12) exp[(40 +/- 120)/T]cu cm/(molecule.s). Between 335 and 373 K, the rate coefficient is found to be (2.0 +/- 0.3) x 10(exp -11)cu cm/(molecule.s) independent of temperature. The observed temperature dependence suggests that reaction proceeds via competing direct abstraction and complex pathways. No NO3 production was observed in the experiments with X equals Cl, thus establishing that k(sub 2)(298 K) is less than 2 x 10(exp -16) cu cm/(molecule.s). The Cl((sup 2)P) + HNO reaction was also investigated by using a pulsed laser photolysis-resonance fluorescence technique to monitor the decay of Cl((sup 2)P). Upper limit values for k(sub 2) obtained from these experiments, in units of 10(exp -16)cu cm/(molecule.s), are 13 at 298 K and 10 at 400 K.

  18. Lithographic process window optimization for mask aligner proximity lithography

    NASA Astrophysics Data System (ADS)

    Voelkel, Reinhard; Vogler, Uwe; Bramati, Arianna; Erdmann, Andreas; Ünal, Nezih; Hofmann, Ulrich; Hennemeyer, Marc; Zoberbier, Ralph; Nguyen, David; Brugger, Juergen

    2014-03-01

    We introduce a complete methodology for process window optimization in proximity mask aligner lithography. The commercially available lithography simulation software LAB from GenISys GmbH was used for simulation of light propagation and 3D resist development. The methodology was tested for the practical example of lines and spaces, 5 micron half-pitch, printed in a 1 micron thick layer of AZ® 1512HS1 positive photoresist on a silicon wafer. A SUSS MicroTec MA8 mask aligner, equipped with MO Exposure Optics® was used in simulation and experiment. MO Exposure Optics® is the latest generation of illumination systems for mask aligners. MO Exposure Optics® provides telecentric illumination and excellent light uniformity over the full mask field. MO Exposure Optics® allows the lithography engineer to freely shape the angular spectrum of the illumination light (customized illumination), which is a mandatory requirement for process window optimization. Three different illumination settings have been tested for 0 to 100 micron proximity gap. The results obtained prove, that the introduced process window methodology is a major step forward to obtain more robust processes in mask aligner lithography. The most remarkable outcome of the presented study is that a smaller exposure gap does not automatically lead to better print results in proximity lithography - what the "good instinct" of a lithographer would expect. With more than 5'000 mask aligners installed in research and industry worldwide, the proposed process window methodology might have significant impact on yield improvement and cost saving in industry.

  19. Micro-fabrication method of graphite mesa microdevices based on optical lithography technology

    NASA Astrophysics Data System (ADS)

    Zhang, Cheng; Wen, Donghui; Zhu, Huamin; Zhang, Xiaorui; Yang, Xing; Shi, Yunsheng; Zheng, Tianxiang

    2017-12-01

    Graphite mesa microdevices have incommensurate contact nanometer interfaces, superlubricity, high-speed self-retraction, and other characteristics, which have potential applications in high-performance oscillators and micro-scale switches, memory devices, and gyroscopes. However, the current method of fabricating graphite mesa microdevices is mainly based on high-cost, low efficiency electron beam lithography technology. In this paper, the processing technologies of graphite mesa microdevices with various shapes and sizes were investigated by a low-cost micro-fabrication method, which was mainly based on optical lithography technology. The characterization results showed that the optical lithography technology could realize a large-area of patterning on the graphite surface, and the graphite mesa microdevices, which have a regular shape, neat arrangement, and high verticality could be fabricated in large batches through optical lithography technology. The experiments and analyses showed that the graphite mesa microdevices fabricated through optical lithography technology basically have the same self-retracting characteristics as those fabricated through electron beam lithography technology, and the maximum size of the graphite mesa microdevices with self-retracting phenomenon can reach 10 µm  ×  10 µm. Therefore, the proposed method of this paper can realize the high-efficiency and low-cost processing of graphite mesa microdevices, which is significant for batch fabrication and application of graphite mesa microdevices.

  20. Measurements of Electron Temperature and Density Profiles of Plasmas Produced by Nike KrF Laser for Laser Plasma Instability (LPI) Research

    NASA Astrophysics Data System (ADS)

    Oh, Jaechul; Weaver, J. L.; Obenschain, S. P.; Schmitt, A. J.; Kehne, D. M.; Karasik, M.; Chan, L.-Y.; Serlin, V.; Phillips, L.

    2012-10-01

    ExperimentsfootnotetextJ. Oh, et al, GO5.4, APS DPP (2010).^,footnotetextJ. L. Weaver, et al, GO5.3, APS DPP (2010). using Nike KrF laser observed LPI signatures from CH plasmas at the laser intensities above ˜1x10^15 W/cm^2. Knowing spatial profiles of temperature (Te) and density (ne) in the underdense coronal region (0 < n < nc/4) of the plasma is essential to understanding the LPI observation. However, numerical simulation was the only way to access the profiles for the previous experiments. In the current Nike LPI experiment, a side-on grid imaging refractometer (GIR)footnotetextR. S. Craxton, et al, Phys. Fluids B 5, 4419 (1993). is being deployed for measuring the underdense plasma profiles. The GIR will resolve Te and ne in space taking a 2D snapshot of probe laser (λ= 263 nm, δt = 10 psec) beamlets (50μm spacing) refracted by the plasma at a selected time during the laser illumination. Time-resolved spectrometers with an absolute-intensity-calibrated photodiode array and a streak camera will simultaneously monitor light emission from the plasma in spectral ranges relevant to Raman (SRS) and two plasmon decay (TDP) instabilities. The experimental study of effects of the plasma profiles on the LPI initiation will be presented.

  1. The fabrication of ordered arrays of exchange biased Ni/FeF2 nanostructures.

    PubMed

    Kovylina, M; Erekhinsky, M; Morales, R; Schuller, I K; Labarta, A; Batlle, X

    2010-04-30

    The fabrication of ordered arrays of exchange biased Ni/FeF(2) nanostructures by focused ion beam lithography is reported. High quality nano-elements, with controlled removal depth and no significant re-deposition, were carved using small ion beam currents (30 pA), moderate dwell times (1 micros) and repeated passages over the same area. Two types of nanostructures were fabricated: square arrays of circular dots with diameters from 125 +/- 8 to 500 +/- 12 nm and periodicities ranging from 200 +/- 8 to 1000 +/- 12 nm, and square arrays of square antidots (207 +/- 8 nm in edge length) with periodicities ranging from 300 +/- 8 to 1200 +/- 12 nm. The arrays were characterized using scanning ion and electron microscopy, and atomic force microscopy. The effect of the patterning on the exchange bias field (i.e., the shift in the hysteresis loop of ferromagnetic Ni due to proximity to antiferromagnetic FeF(2)) was studied using magneto-transport measurements. These high quality nanostructures offer a unique method to address some of the open questions regarding the microscopic origin of exchange bias. This is not only of major relevance in the fabrication and miniaturization of magnetic devices but it is also one of the important proximity phenomena in nanoscience and materials science.

  2. Electron-beam lithography for micro and nano-optical applications

    NASA Technical Reports Server (NTRS)

    Wilson, Daniel W.; Muller, Richard E.; Echternach, Pierre M.

    2005-01-01

    Direct-write electron-beam lithography has proven to be a powerful technique for fabricating a variety of micro- and nano-optical devices. Binary E-beam lithography is the workhorse technique for fabricating optical devices that require complicated precision nano-scale features. We describe a bi-layer resist system and virtual-mark height measurement for improving the reliability of fabricating binary patterns. Analog E-beam lithography is a newer technique that has found significant application in the fabrication of diffractive optical elements. We describe our techniques for fabricating analog surface-relief profiles in E-beam resist, including some discussion regarding overcoming the problems of resist heating and charging. We also describe a multiple-field-size exposure scheme for suppression of field-stitch induced ghost diffraction orders produced by blazed diffraction gratings on non-flat substrates.

  3. Spectroscopic and energy transfer behavior of Dy3+ ions in B2O3sbnd TeO2sbnd PbOsbnd PbF2sbnd Bi2O3sbnd CdO glasses for laser and WLED applications

    NASA Astrophysics Data System (ADS)

    Arunkumar, S.; Venkataiah, G.; Marimuthu, K.

    2015-02-01

    A new series of white light emitting Dy3+ doped Lead tellurofluoroborate glasses have been prepared and their spectroscopic and energy transfer behavior were explored through analyzing XRD, FTIR, Raman, SEM, EDAX, optical absorption, photoluminescence and lifetime measurements. The fundamental stretching of the various borate and tellurite networks were identified using FTIR and Raman spectral analysis. The bonding parameter studies reveal the ionic nature of the Dysbnd O bond in the present glasses. The Judd-Ofelt (JO) intensity parameters determined from the absorption spectra have been used to investigate the nature of bonding and symmetry orientation of the Dy-ligand field environment. The luminescence intensity increases with increasing Dy3+ ion concentration up to 0.5 wt%, beyond that luminescence quenching is observed. The JO parameters have been used to determine the transition probability (A), stimulated emission cross-section (σPE), radiative lifetime (τR) and branching ratios (βR) for the different emission transitions from the 4F9/2 excited level. The higher σPE and βR values of the 4F9/2 → 6H15/2 and 4F9/2 → 6H13/2 transitions suggest the possible laser action in the visible region. The Y/B ratio, CIE chromaticity color coordinates (x, y) and Color correlated temperature (CCT) were also estimated from the luminescence spectra for different concentration as well as pumping wavelengths. The x, y chromaticity color coordinates fall within the white light region and the white light can be tuned by varying the excitation wavelengths. The lifetime of the 4F9/2 excited state were measured and is found to decrease with increasing Dy3+ ion content. The non-exponential behavior is predominant in higher Dy3+ ion content glasses and is due to the efficient energy transfer between Dy3+sbnd Dy3+ ions. The decay curves were fitted to the Inokuti-Hirayama (IH) model to understand the nature of energy transfer. Among the prepared glasses, 0.5DPTFB glass

  4. Mask-induced aberration in EUV lithography

    NASA Astrophysics Data System (ADS)

    Nakajima, Yumi; Sato, Takashi; Inanami, Ryoichi; Nakasugi, Tetsuro; Higashiki, Tatsuhiko

    2009-04-01

    We estimated aberrations using Zernike sensitivity analysis. We found the difference of the tolerated aberration with line direction for illumination. The tolerated aberration of perpendicular line for illumination is much smaller than that of parallel line. We consider this difference to be attributable to the mask 3D effect. We call it mask-induced aberration. In the case of the perpendicular line for illumination, there was a difference in CD between right line and left line without aberration. In this report, we discuss the possibility of pattern formation in NA 0.25 generation EUV lithography tool. In perpendicular pattern for EUV light, the dominant part of aberration is mask-induced aberration. In EUV lithography, pattern correction based on the mask topography effect will be more important.

  5. Cracking and Exfoliation of TiO2 Film Irradiated with Excimer Laser

    NASA Astrophysics Data System (ADS)

    Qian, H. X.; Zhou, W.; Zheng, H. Y.

    TiO2 film deposited on glass was irradiated in air with single-shot KrF excimer laser pulse. The surface roughened as the result of the laser ablation. It is further noted that single-pulse irradiation with fluence ranging from 400 to 1200 mJ/cm2 gave rise to protrusion of the irradiated surface above the original surface, which is in contrast to usual expectation that irradiated surface is below the unirradiated surface. The surface protrusion is mainly attributed to the effect of surface tension. At the laser fluence of 1000 mJ/cm2, cracks were formed in the irradiated area and severe film exfoliation was observed at the periphery of the irradiated area due to the release of internal stress. With higher laser fluence above 1000 mJ/cm2, patches of film were observed to peel off within the irradiated areas. Hydrodynamic ablation is proposed to account for film exfoliation. The observed phenomenon is useful for further understanding how TiO2 film reacts to strong UV laser irradiation.

  6. Tunability range of 245 nm in a diode-pumped Tm:BaY2F8 laser at 1.9 μm: a theoretical and experimental investigation

    NASA Astrophysics Data System (ADS)

    Coluccelli, N.; Gatti, D.; Galzerano, G.; Cornacchia, F.; Parisi, D.; Toncelli, A.; Tonelli, M.; Laporta, P.

    2006-12-01

    Extremely wide wavelength tuning ranges of up to ˜300 nm around 1.9 μm are theoretically predicted in a Tm-doped BaY2F8 crystal, on the basis of near-infrared measurements of emission and absorption cross sections. A tunability interval of 245 nm, from 1849 nm to 2059 nm, has been demonstrated by room-temperature laser experiments using a 8% Tm-doped crystal.

  7. Trace element analysis of synthetic mono- and poly-crystalline CaF 2 by ultraviolet laser ablation inductively coupled plasma mass spectrometry at 266 and 193 nm

    NASA Astrophysics Data System (ADS)

    Koch, J.; Feldmann, I.; Hattendorf, B.; Günther, D.; Engel, U.; Jakubowski, N.; Bolshov, M.; Niemax, K.; Hergenröder, R.

    2002-06-01

    The analytical figures of merit for ultraviolet laser ablation-inductively coupled plasma mass spectrometry (UV-LA-ICP-MS) at 266 nm with respect to the trace element analysis of high-purity, UV-transmitting alkaline earth halides are investigated and discussed. Ablation threshold energy density values and ablation rates for mono- and poly-crystalline CaF 2 samples were determined. Furthermore, Pb-, Rb-, Sr-, Ba- and Yb-specific analysis was performed. For these purposes, a pulsed Nd:YAG laser operated at the fourth harmonic of the fundamental wavelength (λ=266 nm) and a double-focusing sector field ICP-MS detector were employed. Depending on the background noise and isotope-specific sensitivity, the detection limits typically varied from 0.7 ng/g for Sr to 7 ng/g in the case of Pb. The concentrations were determined using a glass standard reference material (SRM NIST612). In order to demonstrate the sensitivity of the arrangement described, comparative measurements by means of a commercial ablation system consisting of an ArF excimer laser (λ=193 nm) and a quadrupole-type ICP-MS (ICP-QMS) instrument were carried out. The accuracy of both analyses was in good agreement, whereas ablation at 266 nm and detection using sector-field ICP-MS led to a sensitivity that was one order of magnitude above that obtained at 193 nm with ICP-QMS.

  8. Efficient dielectric metasurface collimating lenses for mid-infrared quantum cascade lasers.

    PubMed

    Arbabi, Amir; Briggs, Ryan M; Horie, Yu; Bagheri, Mahmood; Faraon, Andrei

    2015-12-28

    Light emitted from single-mode semiconductor lasers generally has large divergence angles, and high numerical aperture lenses are required for beam collimation. Visible and near infrared lasers are collimated using aspheric glass or plastic lenses, yet collimation of mid-infrared quantum cascade lasers typically requires more costly aspheric lenses made of germanium, chalcogenide compounds, or other infrared-transparent materials. Here we report mid-infrared dielectric metasurface flat lenses that efficiently collimate the output beam of single-mode quantum cascade lasers. The metasurface lenses are composed of amorphous silicon posts on a flat sapphire substrate and can be fabricated at low cost using a single step conventional UV binary lithography. Mid-infrared radiation from a 4.8 μm distributed-feedback quantum cascade laser is collimated using a polarization insensitive metasurface lens with 0.86 numerical aperture and 79% transmission efficiency. The collimated beam has a half divergence angle of 0.36° and beam quality factor of M2=1.02.

  9. ILT optimization of EUV masks for sub-7nm lithography

    NASA Astrophysics Data System (ADS)

    Hooker, Kevin; Kuechler, Bernd; Kazarian, Aram; Xiao, Guangming; Lucas, Kevin

    2017-06-01

    The 5nm and 7nm technology nodes will continue recent scaling trends and will deliver significantly smaller minimum features, standard cell areas and SRAM cell areas vs. the 10nm node. There are tremendous economic pressures to shrink each subsequent technology, though in a cost-effective and performance enhancing manner. IC manufacturers are eagerly awaiting EUV so that they can more aggressively shrink their technology than they could by using complicated MPT. The current 0.33NA EUV tools and processes also have their patterning limitations. EUV scanner lenses, scanner sources, masks and resists are all relatively immature compared to the current lithography manufacturing baseline of 193i. For example, lens aberrations are currently several times larger (as a function of wavelength) in EUV scanners than for 193i scanners. Robustly patterning 16nm L/S fully random logic metal patterns and 40nm pitch random logic rectangular contacts with 0.33NA EUV are tough challenges that will benefit from advanced OPC/RET. For example, if an IC manufacturer can push single exposure device layer resolution 10% tighter using improved ILT to avoid using DPT, there will be a significant cost and process complexity benefit to doing so. ILT is well known to have considerable benefits in finding flexible 193i mask pattern solutions to improve process window, improve 2D CD control, improve resolution in low K1 lithography regime and help to delay the introduction of DPT. However, ILT has not previously been applied to EUV lithography. In this paper, we report on new developments which extend ILT method to EUV lithography and we characterize the benefits seen vs. traditional EUV OPC/RET methods.

  10. Fusion of Renewable Ring Resonator Lasers and Ultrafast Laser Inscribed Photonic Waveguides

    PubMed Central

    Chandrahalim, Hengky; Rand, Stephen C.; Fan, Xudong

    2016-01-01

    We demonstrated the monolithic integration of reusable and wavelength reconfigurable ring resonator lasers and waveguides of arbitrary shapes to out-couple and guide laser emission on the same fused-silica chip. The ring resonator hosts were patterned by a single-mask standard lithography, whereas the waveguides were inscribed in the proximity of the ring resonator by using 3-dimensional femtosecond laser inscription technology. Reusability of the integrated ring resonator – waveguide system was examined by depositing, removing, and re-depositing dye-doped SU-8 solid polymer, SU-8 liquid polymer, and liquid solvent (toluene). The wavelength reconfigurability was validated by employing Rhodamine 6G (R6G) and 3,3′-Diethyloxacarbocyanine iodide (CY3) as exemplary gain media. In all above cases, the waveguide was able to couple out and guide the laser emission. This work opens a door to reconfigurable active and passive photonic devices for on-chip coherent light sources, optical signal processing, and the investigation of new optical phenomena. PMID:27600872

  11. Fusion of Renewable Ring Resonator Lasers and Ultrafast Laser Inscribed Photonic Waveguides.

    PubMed

    Chandrahalim, Hengky; Rand, Stephen C; Fan, Xudong

    2016-09-07

    We demonstrated the monolithic integration of reusable and wavelength reconfigurable ring resonator lasers and waveguides of arbitrary shapes to out-couple and guide laser emission on the same fused-silica chip. The ring resonator hosts were patterned by a single-mask standard lithography, whereas the waveguides were inscribed in the proximity of the ring resonator by using 3-dimensional femtosecond laser inscription technology. Reusability of the integrated ring resonator - waveguide system was examined by depositing, removing, and re-depositing dye-doped SU-8 solid polymer, SU-8 liquid polymer, and liquid solvent (toluene). The wavelength reconfigurability was validated by employing Rhodamine 6G (R6G) and 3,3'-Diethyloxacarbocyanine iodide (CY3) as exemplary gain media. In all above cases, the waveguide was able to couple out and guide the laser emission. This work opens a door to reconfigurable active and passive photonic devices for on-chip coherent light sources, optical signal processing, and the investigation of new optical phenomena.

  12. CO2 laser modeling

    NASA Technical Reports Server (NTRS)

    Johnson, Barry

    1992-01-01

    The topics covered include the following: (1) CO2 laser kinetics modeling; (2) gas lifetimes in pulsed CO2 lasers; (3) frequency chirp and laser pulse spectral analysis; (4) LAWS A' Design Study; and (5) discharge circuit components for LAWS. The appendices include LAWS Memos, computer modeling of pulsed CO2 lasers for lidar applications, discharge circuit considerations for pulsed CO2 lidars, and presentation made at the Code RC Review.

  13. Advanced electric-field scanning probe lithography on molecular resist using active cantilever

    NASA Astrophysics Data System (ADS)

    Kaestner, Marcus; Aydogan, Cemal; Lipowicz, Hubert-Seweryn; Ivanov, Tzvetan; Lenk, Steve; Ahmad, Ahmad; Angelov, Tihomir; Reum, Alexander; Ishchuk, Valentyn; Atanasov, Ivaylo; Krivoshapkina, Yana; Hofer, Manuel; Holz, Mathias; Rangelow, Ivo W.

    2015-03-01

    The routine "on demand" fabrication of features smaller than 10 nm opens up new possibilities for the realization of many novel nanoelectronic, NEMS, optical and bio-nanotechnology-based devices. Based on the thermally actuated, piezoresistive cantilever technology we have developed a first prototype of a scanning probe lithography (SPL) platform able to image, inspect, align and pattern features down to single digit nano regime. The direct, mask-less patterning of molecular resists using active scanning probes represents a promising path circumventing the problems in today's radiation-based lithography. Here, we present examples of practical applications of the previously published electric field based, current-controlled scanning probe lithography on molecular glass resist calixarene by using the developed tabletop SPL system. We demonstrate the application of a step-and-repeat scanning probe lithography scheme including optical as well as AFM based alignment and navigation. In addition, sequential read-write cycle patterning combining positive and negative tone lithography is shown. We are presenting patterning over larger areas (80 x 80 μm) and feature the practical applicability of the lithographic processes.

  14. The Role of the CO2 Laser and Fractional CO2 Laser in Dermatology

    PubMed Central

    Omi, Tokuya; Numano, Kayoko

    2014-01-01

    Background: Tremendous advances have been made in the medical application of the laser in the past few decades. Many diseases in the dermatological field are now indications for laser treatment that qualify for reimbursement by many national health insurance systems. Among laser types, the carbon dioxide (CO2) laser remains an important system for the dermatologist. Rationale: The lasers used in photosurgery have wavelengths that differ according to their intended use and are of various types, but the CO2 laser is one of the most widely used lasers in the dermatology field. With its wavelength in the mid-infrared at 10,600 nm, CO2 laser energy is wellabsorbed in water. As skin contains a very high water percentage, this makes the CO2 laser ideal for precise, safe ablation with good hemostasis. In addition to its efficacy in ablating benign raised lesions, the CO2 laser has been reported to be effective in the field of esthetic dermatology in the revision of acne scars as well as in photorejuvenation. With the addition of fractionation of the beam of energy into myriad microbeams, the fractional CO2 laser has offered a bridge between the frankly full ablative indications and the nonablative skin rejuvenation systems of the 2000s in the rejuvenation of photoaged skin on and off the face. Conclusions: The CO2 laser remains an efficient, precise and safe system for the dermatologist. Technological advances in CO2 laser construction have meant smaller spot sizes and greater precision for laser surgery, and more flexibility in tip sizes and protocols for fractional CO2 laser treatment. The range of dermatological applications of the CO2 laser is expected to continue to increase in the future. PMID:24771971

  15. The Role of the CO2 Laser and Fractional CO2 Laser in Dermatology.

    PubMed

    Omi, Tokuya; Numano, Kayoko

    2014-03-27

    Tremendous advances have been made in the medical application of the laser in the past few decades. Many diseases in the dermatological field are now indications for laser treatment that qualify for reimbursement by many national health insurance systems. Among laser types, the carbon dioxide (CO2) laser remains an important system for the dermatologist. The lasers used in photosurgery have wavelengths that differ according to their intended use and are of various types, but the CO2 laser is one of the most widely used lasers in the dermatology field. With its wavelength in the mid-infrared at 10,600 nm, CO2 laser energy is wellabsorbed in water. As skin contains a very high water percentage, this makes the CO2 laser ideal for precise, safe ablation with good hemostasis. In addition to its efficacy in ablating benign raised lesions, the CO2 laser has been reported to be effective in the field of esthetic dermatology in the revision of acne scars as well as in photorejuvenation. With the addition of fractionation of the beam of energy into myriad microbeams, the fractional CO2 laser has offered a bridge between the frankly full ablative indications and the nonablative skin rejuvenation systems of the 2000s in the rejuvenation of photoaged skin on and off the face. The CO2 laser remains an efficient, precise and safe system for the dermatologist. Technological advances in CO2 laser construction have meant smaller spot sizes and greater precision for laser surgery, and more flexibility in tip sizes and protocols for fractional CO2 laser treatment. The range of dermatological applications of the CO2 laser is expected to continue to increase in the future.

  16. High Quality 3D Photonics using Nano Imprint Lithography of Fast Sol-gel Materials.

    PubMed

    Bar-On, Ofer; Brenner, Philipp; Siegle, Tobias; Gvishi, Raz; Kalt, Heinz; Lemmer, Uli; Scheuer, Jacob

    2018-05-18

    A method for the realization of low-loss integrated optical components is proposed and demonstrated. This approach is simple, fast, inexpensive, scalable for mass production, and compatible with both 2D and 3D geometries. The process is based on a novel dual-step soft nano imprint lithography process for producing devices with smooth surfaces, combined with fast sol-gel technology providing highly transparent materials. As a concrete example, this approach is demonstrated on a micro ring resonator made by direct laser writing (DLW) to achieve a quality factor improvement from one hundred thousand to more than 3 million. To the best of our knowledge this also sets a Q-factor record for UV-curable integrated micro-ring resonators. The process supports the integration of many types of materials such as light-emitting, electro-optic, piezo-electric, and can be readily applied to a wide variety of devices such as waveguides, lenses, diffractive elements and more.

  17. F-16XL Ship #2 wing glove close-up, laser cut holes, with dime for scale

    NASA Technical Reports Server (NTRS)

    1995-01-01

    This June 1995 photograph of a test panel similiar to the one attached to the surface of an F-16XL research aircraft's left wing at NASA's Dryden Flight Research Center, Edwards, California, shows the size of the more than 10 million laser-cut holes in the panel, called a glove, as compared with a dime. Below the titanium panel into which holes are cut is a suction system linked to a compressor. During research flights with the modified, delta-winged F-16XL, the suction system pulled a small part of the boundary layer of air through the glove's porous surface to expand the extent of smooth (laminar) flow. Researchers believe that laminar flow conditions can reduce aerodynamic drag (friction) and contribute to reduced operating costs by improving fuel consumption and lowering aircraft weight. This Supersonic Laminar Flow Control (SLFC) experiment represents a collaborative effort between NASA and aerospace industry (specifically Boeing, Rockwell, and McDonnell Douglas), with Boeing assembling the panel and McDonnell Douglas designing the suction system.

  18. L2F and LDV velocimetry measurement and analysis of the 3-D flow field in a centrifugal compressor

    NASA Technical Reports Server (NTRS)

    Fagan, John R., Jr.; Fleeter, Sanford

    1989-01-01

    The flow field in the Purdue Research Centrifugal Compressor is studied using a laser two-focus (L2F) velocimeter. L2F data are obtained which quantify: (1) the compressor inlet flow field; (2) the steady-state velocity field in the impeller blade passages; and (3) the flow field in the radial diffuser. The L2F data are compared with both laser Doppler velocimetry (LDV) data and predictions from three-dimensional inviscid and viscous flow models. In addition, a model is developed to calculate the effect on the measurement volume geometry of refraction by curved windows. Finally, the advantages and disadvantages of using the L2F for turbomachinery measurements is discussed in terms of measurement accuracy, ease of use, including sample time per correlated event and the ability to make measurements in regions of high noise due to stray radiation from wall reflections.

  19. Nanostructured 2D cellular materials in silicon by sidewall transfer lithography NEMS

    NASA Astrophysics Data System (ADS)

    Syms, Richard R. A.; Liu, Dixi; Ahmad, Munir M.

    2017-07-01

    Sidewall transfer lithography (STL) is demonstrated as a method for parallel fabrication of 2D nanostructured cellular solids in single-crystal silicon. The linear mechanical properties of four lattices (perfect and defected diamond; singly and doubly periodic honeycomb) with low effective Young’s moduli and effective Poisson’s ratio ranging from positive to negative are modelled using analytic theory and the matrix stiffness method with an emphasis on boundary effects. The lattices are fabricated with a minimum feature size of 100 nm and an aspect ratio of 40:1 using single- and double-level STL and deep reactive ion etching of bonded silicon-on-insulator. Nanoelectromechanical systems (NEMS) containing cellular materials are used to demonstrate stretching, bending and brittle fracture. Predicted edge effects are observed, theoretical values of Poisson’s ratio are verified and failure patterns are described.

  20. High-energy, 2µm laser transmitter for coherent wind LIDAR

    NASA Astrophysics Data System (ADS)

    Singh, Upendra N.; Yu, Jirong; Kavaya, Michael J.; Koch, Grady J.

    2017-11-01

    A coherent Doppler lidar at 2μm wavelength has been built with higher output energy (300 mJ) than previously available. The laser transmitter is based on the solid-state Ho:Tm:LuLiF, a NASA Langley Research Center invented laser material for higher extraction efficiency. This diode pumped injection seeded MOPA has a transform limited line width and diffraction limited beam quality. NASA Langley Research Center is developing coherent wind lidar transmitter technology at eye-safe wavelength for satellite-based observation of wind on a global scale. The ability to profile wind is a key measurement for understanding and predicting atmospheric dynamics and is a critical measurement for improving weather forecasting and climate modeling. We would describe the development and performance of an engineering hardened 2μm laser transmitter for coherent Doppler wind measurement from ground/aircraft/space platform.

  1. Pulsed Gas Lasers Pumped by a Runaway Electron Initiated Discharge

    NASA Astrophysics Data System (ADS)

    Panchenko, A. N.; Tarasenko, V. F.; Panchenko, N. A.

    2017-12-01

    The generation parameters are investigated in a runaway electron preionized diffuse discharge (REP DD). Laser generation is produced in different spectral bands from the IR to VUV range. New modes of the nitrogen laser operation are obtained. Ultimate efficiencies of N2- and nonchain HF(DF)-lasers are achieved. A possibility of increasing the pulse durations of XeF-, KrF-, ArF- and VUV F2- lasers (157 nm) in an oscillating REP DD is shown. The efficiencies of VUV- and UV-generation comparable with that of a laser pumped by a self-sustained volume discharge with preionization are gained.

  2. Syntheses, Raman spectra, and X-ray crystal structures of [XeF(5)][mu-F(OsO(3)F(2))(2)] and [M][OsO(3)F(3)] (M = XeF(5)(+), Xe(2)F(11)(+)).

    PubMed

    Hughes, Michael J; Mercier, Hélène P A; Schrobilgen, Gary J

    2010-04-05

    Stoichiometric amounts of XeF(6) and (OsO(3)F(2))(infinity) react at 25-50 degrees C to form salts of the known XeF(5)(+) and Xe(2)F(11)(+) cations, namely, [XeF(5)][mu-F(OsO(3)F(2))(2)], [XeF(5)][OsO(3)F(3)], and [Xe(2)F(11)][OsO(3)F(3)]. Although XeF(6) is oxophilic toward a number of transition metal and main-group oxides and oxide fluorides, fluoride/oxide metathesis was not observed. The series provides the first examples of noble-gas cations that are stabilized by metal oxide fluoride anions and the first example of a mu-F(OsO(3)F(2))(2)(-) salt. Both [XeF(5)][mu-F(OsO(3)F(2))(2)] and [Xe(2)F(11)][OsO(3)F(3)] are orange solids at room temperature. The [XeF(5)][OsO(3)F(3)] salt is an orange liquid at room temperature that solidifies at 5-0 degrees C. When the salts are heated at 50 degrees C under 1 atm of N(2) for more than 2 h, significant XeF(6) loss occurs. The X-ray crystal structures (-173 degrees C) show that the salts exist as discrete ion pairs and that the osmium coordination spheres in OsO(3)F(3)(-) and mu-F(OsO(3)F(2))(2)(-) are pseudo-octahedral OsO(3)F(3)-units having facial arrangements of oxygen and fluorine atoms. The mu-F(OsO(3)F(2))(2)(-) anion is comprised of two symmetry-related OsO(3)F(2)-groups that are fluorine-bridged to one another. Ion pairing results from secondary bonding interactions between the fluorine/oxygen atoms of the anions and the xenon atom of the cation, with the Xe...F/O contacts occurring opposite the axial fluorine and from beneath the equatorial XeF(4)-planes of the XeF(5)(+) and Xe(2)F(11)(+) cations so as to avoid the free valence electron lone pairs of the xenon atoms. The xenon atoms of [XeF(5)][mu-F(OsO(3)F(2))(2)] and [Xe(2)F(11)][OsO(3)F(3)] are nine-coordinate and the xenon atom of [XeF(5)][OsO(3)F(3)] is eight-coordinate. Quantum-chemical calculations at SVWN and B3LYP levels of theory were used to obtain the gas-phase geometries, vibrational frequencies, and NBO bond orders, valencies, and NPA charges of

  3. The partial coherence modulation transfer function in testing lithography lens

    NASA Astrophysics Data System (ADS)

    Huang, Jiun-Woei

    2018-03-01

    Due to the lithography demanding high performance in projection of semiconductor mask to wafer, the lens has to be almost free in spherical and coma aberration, thus, in situ optical testing for diagnosis of lens performance has to be established to verify the performance and to provide the suggesting for further improvement of the lens, before the lens has been build and integrated with light source. The measurement of modulation transfer function of critical dimension (CD) is main performance parameter to evaluate the line width of semiconductor platform fabricating ability for the smallest line width of producing tiny integrated circuits. Although the modulation transfer function (MTF) has been popularly used to evaluation the optical system, but in lithography, the contrast of each line-pair is in one dimension or two dimensions, analytically, while the lens stand along in the test bench integrated with the light source coherent or near coherent for the small dimension near the optical diffraction limit, the MTF is not only contributed by the lens, also by illumination of platform. In the study, the partial coherence modulation transfer function (PCMTF) for testing a lithography lens is suggested by measuring MTF in the high spatial frequency of in situ lithography lens, blended with the illumination of partial and in coherent light source. PCMTF can be one of measurement to evaluate the imperfect lens of lithography lens for further improvement in lens performance.

  4. High-Resolution Graphene Films for Electrochemical Sensing via Inkjet Maskless Lithography.

    PubMed

    Hondred, John A; Stromberg, Loreen R; Mosher, Curtis L; Claussen, Jonathan C

    2017-10-24

    Solution-phase printing of nanomaterial-based graphene inks are rapidly gaining interest for fabrication of flexible electronics. However, scalable manufacturing techniques for high-resolution printed graphene circuits are still lacking. Here, we report a patterning technique [i.e., inkjet maskless lithography (IML)] to form high-resolution, flexible, graphene films (line widths down to 20 μm) that significantly exceed the current inkjet printing resolution of graphene (line widths ∼60 μm). IML uses an inkjet printed polymer lacquer as a sacrificial pattern, viscous spin-coated graphene, and a subsequent graphene lift-off to pattern films without the need for prefabricated stencils, templates, or cleanroom technology (e.g., photolithography). Laser annealing is employed to increase conductivity on thermally sensitive, flexible substrates [polyethylene terephthalate (PET)]. Laser annealing and subsequent platinum nanoparticle deposition substantially increases the electroactive nature of graphene as illustrated by electrochemical hydrogen peroxide (H 2 O 2 ) sensing [rapid response (5 s), broad linear sensing range (0.1-550 μm), high sensitivity (0.21 μM/μA), and low detection limit (0.21 μM)]. Moreover, high-resolution, complex graphene circuits [i.e., interdigitated electrodes (IDE) with varying finger width and spacing] were created with IML and characterized via potassium chloride (KCl) electrochemical impedance spectroscopy (EIS). Results indicated that sensitivity directly correlates to electrode feature size as the IDE with the smallest finger width and spacing (50 and 50 μm) displayed the largest response to changes in KCl concentration (∼21 kΩ). These results indicate that the developed IML patterning technique is well-suited for rapid, solution-phase graphene film prototyping on flexible substrates for numerous applications including electrochemical sensing.

  5. The development of 8 inch roll-to-plate nanoimprint lithography (8-R2P-NIL) system

    NASA Astrophysics Data System (ADS)

    Lee, Lai Seng; Mohamed, Khairudin; Ooi, Su Guan

    2017-07-01

    Growth in semiconductor and integrated circuit industry was observed in the past decennium of years for industrial technology which followed Moore's law. The line width of nanostructure to be exposed was influenced by the essential technology of photolithography. Thus, it is crucial to have a low cost and high throughput manufacturing process for nanostructures. Nanoimprint Lithography technique invented by Stephen Y. Chou was considered as major nanolithography process to be used in future integrated circuit and integrated optics. The drawbacks of high imprint pressure, high imprint temperature, air bubbles formation, resist sticking to mold and low throughput of thermal nanoimprint lithography on silicon wafer have yet to be solved. Thus, the objectives of this work is to develop a high throughput, low imprint force, room temperature UV assisted 8 inch roll to plate nanoimprint lithography system capable of imprinting nanostructures on 200 mm silicon wafer using roller imprint with flexible mold. A piece of resist spin coated silicon wafer was placed onto vacuum chuck drives forward by a stepper motor. A quartz roller wrapped with a piece of transparent flexible mold was used as imprint roller. The imprinted nanostructures were cured by 10 W, 365 nm UV LED which situated inside the quartz roller. Heat generated by UV LED was dissipated by micro heat pipe. The flexible mold detaches from imprinted nanostructures in a 'line peeling' pattern and imprint pressure was measured by ultra-thin force sensors. This system has imprinting speed capability ranging from 0.19 mm/s to 5.65 mm/s, equivalent to imprinting capability of 3 to 20 pieces of 8 inch wafers per hour. Speed synchronization between imprint roller and vacuum chuck was achieved by controlling pulse rate supplied to stepper motor which drive the vacuum chuck. The speed different ranging from 2 nm/s to 98 nm/s is achievable. Vacuum chuck height was controlled by stepper motor with displacement of 5 nm/step.

  6. In Vitro Comparison of the Effects of Diode Laser and CO2 Laser on Topical Fluoride Uptake in Primary Teeth.

    PubMed

    Bahrololoomi, Zahra; Fotuhi Ardakani, Faezeh; Sorouri, Milad

    2015-08-01

    Fluoride therapy is important for control and prevention of dental caries. Laser irradiation can increase fluoride uptake especially when combined with topical fluoride application. The objective of this study was to compare the effects of CO2 and diode lasers on enamel fluoride uptake in primary teeth. Forty human primary molars were randomly assigned to four groups (n=10). The roots were removed and the crowns were sectioned mesiodistally into buccal and lingual halves as the experimental and control groups. All samples were treated with 5% sodium fluoride (NaF) varnish. The experimental samples in the four groups were irradiated with 5 or 7W diode or 1 or 2W CO2 laser for 15 seconds and were compared with the controls in terms of fluoride uptake, which was determined using an ion selective electrode after acid dissolution of the specimens. Data were analyzed by SPSS version 16 using ANOVA treating the control measurements as covariates. The estimated amount of fluoride uptake was 59.5± 16.31 ppm, 66.5± 14.9 ppm, 78.6± 12.43 ppm and 90.4± 11.51 ppm for 5W and 7 W diode and 1W and 2 W CO2 lasers, respectively, which were significantly greater than the values in the conventional topical fluoridation group (P<0.005). There were no significant differences between 7W diode laser and 1W CO2 laser, 5W and 7W diode laser, or 1W and 2W CO2 laser in this regard. The results showed that enamel surface irradiation by CO2 and diode lasers increases the fluoride uptake.

  7. Research on radiation induced laser plasmas

    NASA Technical Reports Server (NTRS)

    Schneider, R. T.; Rowe, M. J.; Carter, B. D.; Walters, R. A.; Cox, J. D.; Liang, R.; Roxey, T.; Zapata, L.

    1979-01-01

    The development of high power nuclear pumped lasers is discussed. The excitation mechanism of continuous wave (CW) HeNe nuclear pumped lasers is studied and a CO2 nuclear pumped laser is used to demonstrate the CW output in the order of watts. The assumption that high power densities are only achievable by volume fission fragment sources is used to identify laser gases which are compatible with UF6 by excited states lifetime measurements. The examination of Xe2, XeF, and KrF under nuclear irradiation to determine if they are good candidates for nuclear-pumped lasers is described.

  8. Combination photo and electron beam lithography with polymethyl methacrylate (PMMA) resist.

    PubMed

    Carbaugh, Daniel J; Pandya, Sneha G; Wright, Jason T; Kaya, Savas; Rahman, Faiz

    2017-11-10

    We describe techniques for performing photolithography and electron beam lithography in succession on the same resist-covered substrate. Larger openings are defined in the resist film through photolithography whereas smaller openings are defined through conventional electron beam lithography. The two processes are carried out one after the other and without an intermediate wet development step. At the conclusion of the two exposures, the resist film is developed once to reveal both large and small openings. Interestingly, these techniques are applicable to both positive and negative tone lithographies with both optical and electron beam exposure. Polymethyl methacrylate, by itself or mixed with a photocatalytic cross-linking agent, is used for this purpose. We demonstrate that such resists are sensitive to both ultraviolet and electron beam irradiation. All four possible combinations, consisting of optical and electron beam lithographies, carried out in positive and negative tone modes have been described. Demonstration grating structures have been shown and process conditions have been described for all four cases.

  9. Controlling bridging and pinching with pixel-based mask for inverse lithography

    NASA Astrophysics Data System (ADS)

    Kobelkov, Sergey; Tritchkov, Alexander; Han, JiWan

    2016-03-01

    Inverse Lithography Technology (ILT) has become a viable computational lithography candidate in recent years as it can produce mask output that results in process latitude and CD control in the fab that is hard to match with conventional OPC/SRAF insertion approaches. An approach to solving the inverse lithography problem as a nonlinear, constrained minimization problem over a domain mask pixels was suggested in the paper by Y. Granik "Fast pixel-based mask optimization for inverse lithography" in 2006. The present paper extends this method to satisfy bridging and pinching constraints imposed on print contours. Namely, there are suggested objective functions expressing penalty for constraints violations, and their minimization with gradient descent methods is considered. This approach has been tested with an ILT-based Local Printability Enhancement (LPTM) tool in an automated flow to eliminate hotspots that can be present on the full chip after conventional SRAF placement/OPC and has been applied in 14nm, 10nm node production, single and multiple-patterning flows.

  10. Photomask quality evaluation using lithography simulation and multi-detector MVM-SEM

    NASA Astrophysics Data System (ADS)

    Ito, Keisuke; Murakawa, Tsutomu; Fukuda, Naoki; Shida, Soichi; Iwai, Toshimichi; Matsumoto, Jun; Nakamura, Takayuki; Matsushita, Shohei; Hagiwara, Kazuyuki; Hara, Daisuke

    2013-06-01

    The detection and management of mask defects which are transferred onto wafer becomes more important day by day. As the photomask patterns becomes smaller and more complicated, using Inverse Lithography Technology (ILT) and Source Mask Optimization (SMO) with Optical Proximity Correction (OPC). To evaluate photomask quality, the current method uses aerial imaging by optical inspection tools. This technique at 1Xnm node has a resolution limit because small defects will be difficult to detect. We already reported the MEEF influence of high-end photomask using wide FOV SEM contour data of "E3630 MVM-SEM®" and lithography simulator "TrueMask® DS" of D2S Inc. in the prior paper [1]. In this paper we evaluate the correlation between our evaluation method and optical inspection tools as ongoing assessment. Also in order to reduce the defect classification work, we can compose the 3 Dimensional (3D) information of defects and can judge whether repairs of defects would be required. Moreover, we confirm the possibility of wafer plane CD measurement based on the combination between E3630 MVM-SEM® and 3D lithography simulation.

  11. Optical properties of BaY2F8:Ce3+

    NASA Astrophysics Data System (ADS)

    Fabeni, P.; di Martino, D.; Nikl, M.; Pazzi, G. P.; Sani, E.; Toncelli, A.; Tonelli, M.; Vedda, A.

    2005-01-01

    The optical properties of Ce3+-doped BaY2F8 crystals were investigated under selective laser excitation and X-ray irradiation. In both cases, the emission spectrum is dominated by the characteristic doublet transition from the lowest energy level of the 5d configuration to the spin-orbit split 2F ground state of Ce3+. Excitation bands at 4.1, 5.0, 5.9 and 6.2 eV, due to transitions between 4f and split 5d levels were observed. The emission time decay was satisfactorily analyzed by a single exponential component, characterized by a decay time of approximately 28 ns at 10 K and slightly increasing with temperature. A monotonic temperature quenching of the photo-luminescence intensity was observed; on the other hand, the temperature dependence of radio-luminescence intensity is modulated by the presence of shallow traps competing with Ce3+ ions in carrier trapping during irradiation.

  12. Materials Design for Block Copolymer Lithography

    NASA Astrophysics Data System (ADS)

    Sweat, Daniel Patrick

    Block copolymers (BCPs) have attracted a great deal of scientific and technological interest due to their ability to spontaneously self-assemble into dense periodic nanostructures with a typical length scale of 5 to 50 nm. The use of self-assembled BCP thin-films as templates to form nanopatterns over large-area is referred to as BCP lithography. Directed self-assembly of BCPs is now viewed as a viable candidate for sub-20 nm lithography by the semiconductor industry. However, there are multiple aspects of assembly and materials design that need to be addressed in order for BCP lithography to be successful. These include substrate modification with polymer brushes or mats, tailoring of the block copolymer chemistry, understanding thin-film assembly and developing epitaxial like methods to control long range alignment. The rational design, synthesis and self-assembly of block copolymers with large interaction parameters (chi) is described in the first part of this dissertation. Two main blocks were chosen for introducing polarity into the BCP system, namely poly(4-hydroxystyrene) and poly(2-vinylpyridine). Each of these blocks are capable of ligating Lewis acids which can increase the etch contrast between the blocks allowing for facile pattern transfer to the underlying substrate. These BCPs were synthesized by living anionic polymerization and showed excellent control over molecular weight and dispersity, providing access to sub 5-nm domain sizes. Polymer brushes consist of a polymer chain with one end tethered to the surface and have wide applicability in tuning surface energy, forming responsive surfaces and increasing biocompatibility. In the second part of the dissertation, we present a universal method to grow dense polymer brushes on a wide range of substrates and combine this chemistry with BCP assembly to fabricate nanopatterned polymer brushes. This is the first demonstration of introducing additional functionality into a BCP directing layer and opens up

  13. Surface phenomena related to mirror degradation in extreme ultraviolet (EUV) lithography

    NASA Astrophysics Data System (ADS)

    Madey, Theodore E.; Faradzhev, Nadir S.; Yakshinskiy, Boris V.; Edwards, N. V.

    2006-12-01

    One of the most promising methods for next generation device manufacturing is extreme ultraviolet (EUV) lithography, which uses 13.5 nm wavelength radiation generated from freestanding plasma-based sources. The short wavelength of the incident illumination allows for a considerable decrease in printed feature size, but also creates a range of technological challenges not present for traditional optical lithography. Contamination and oxidation form on multilayer reflecting optics surfaces that not only reduce system throughput because of the associated reduction in EUV reflectivity, but also introduce wavefront aberrations that compromise the ability to print uniform features. Capping layers of ruthenium, films ∼2 nm thick, are found to extend the lifetime of Mo/Si multilayer mirrors used in EUV lithography applications. However, reflectivities of even the Ru-coated mirrors degrade in time during exposure to EUV radiation. Ruthenium surfaces are chemically reactive and are very effective as heterogeneous catalysts. In the present paper we summarize the thermal and radiation-induced surface chemistry of bare Ru exposed to gases; the emphasis is on H2O vapor, a dominant background gas in vacuum processing chambers. Our goal is to provide insights into the fundamental physical processes that affect the reflectivity of Ru-coated Mo/Si multilayer mirrors exposed to EUV radiation. Our ultimate goal is to identify and recommend practices or antidotes that may extend mirror lifetimes.

  14. Biocompatible Au@Carbynoid/Pluronic-F127 nanocomposites synthesized by pulsed laser ablation assisted CO2 recycling

    NASA Astrophysics Data System (ADS)

    Del Rosso, T.; Louro, S. R. W.; Deepak, F. L.; Romani, E. C.; Zaman, Q.; Tahir; Pandoli, O.; Cremona, M.; Freire Junior, F. L.; De Beule, P. A. A.; De St. Pierre, T.; Aucelio, R. Q.; Mariotto, G.; Gemini-Piperni, S.; Ribeiro, A. R.; Landi, S. M.; Magalhães, A.

    2018-05-01

    Ligand-free carbynoid-encapsulated gold nanocomposites (Au@Carbynoid NCs) with blue-shifted localized surface plasmon resonance (LSPR) have been synthesized by CO2 recycling induced by pulsed laser ablation (PLA) of a solid gold target in aqueous solution with NaOH at pH 7.0. High Resolution Transmission Electron Microscopy (HRTEM) images at not destructive acceleration voltage of 80 kV revealed carbynoid nanocrystals around the gold core, associated to the intense bond length alternation (BLA) Raman mode of the carbon atomic wires (CAWs), centered at 2124 cm-1, observed in the Surface Enhanced Raman Scattering (SERS) spectra. It was verified that interlinking process with sp to sp2 conversion of the CAWs is induced both by high acceleration voltage in HRTEM and high irradiance of the excitation beam used in SERS measurements. Post synthesis mixing of Pluronic-F127 copolymer with pre-synthesized Au@Carbynoid NCs allows the formation of a fully biocompatible colloidal solution of Au@Carbynoid/Copolymer NCs. SERS investigation highlights that the Raman band of the BLA mode can be used as efficient Raman tag to monitor the functionalization of the NCs with the copolymer. The biocompatibility of the NCs was demonstrated performing a study of cytotoxicity using human skin fibroblasts. As proof of principle, it was demonstrated that the photodynamic activity of the bifunctional Au@Carbynoid/PF127 NCs in the presence of chlorin e6 (Ce6) drug can be enhanced inducing the aggregation state of the colloidal suspension. The stability of the colloidal dispersions of Au@Carbynoid NCs functionalized with Pluronic-F127 is verified after centrifugation in PBS (0.15 mol L-1 NaCl) solutions, confirming the possibility to use the green carbynoid based NCs as drug-carrier in biological applications.

  15. The effects of Nd2O3 concentration in the laser emission of TeO2-ZnO glasses

    NASA Astrophysics Data System (ADS)

    Moreira, L. M.; Anjos, V.; Bell, M. J. V.; Ramos, C. A. R.; Kassab, L. R. P.; Doualan, D. J. L.; Camy, P.; Moncorgé, R.

    2016-08-01

    The present work reports the modification introduced by different Nd2O3 concentration on optical properties and the laser operation of Nd3+ doped (TeO2-ZnO) bulk tellurite glass. The spectroscopic data are analyzed within the Judd Ofelt formalism framework and the results are compared to the fluorescence lifetime and emission measurements to derive values for the quantum efficiency and the stimulated emission cross section of the considered 4F3/2 → 4I11/2 infrared laser transition around 1062.5 nm. Continuous-wave laser action is achieved with this bulk tellurite glass by pumping the sample inside a standard plan-concave mirror laser cavity with different output couplers. It is possible to observe coherent emission only for the lower concentration (0.5%(wt.) of Nd2 O3). Also laser action could only be observed for this sample with threshold pump power of 73 mW associated with a laser slope efficiency of 8% for an output coupler transmission of 4% indicating that TeO2-ZnO are potential materials for laser action. The results presented in this work together with those previously reported with higher concentration (1.0% (wt) of Nd2O3) determine the adequate Nd2O3 concentration for laser action and guide the correct experimental procedure for TeO2-ZnO glasses preparation.

  16. Tm:GGAG crystal for 2μm tunable diode-pumped laser

    NASA Astrophysics Data System (ADS)

    Šulc, Jan; Boháček, Pavel; Němec, Michal; Fibrich, Martin; Jelínková, Helena; Trunda, Bohumil; Havlák, Lubomír.; Jurek, Karel; Nikl, Martin

    2016-04-01

    The spectroscopy properties and wavelength tunability of diode pumped laser based on Tm-doped mixed gadolinium-gallium-aluminium garnet Gd3(GaxAl1-x)5O12 (Tm:GGAG) single crystal were investigated for the first time. The crystal was grown by Czochralski method in a slightly oxidative atmosphere using an iridium crucible. The tested Tm:GGAG sample was cut from the grown crystal boule perpendicularly to growth direction (c-axis). The composition of sample was determined using electron microprobe X-ray elemental analysis. For spectroscopy and laser experiments 3.5mm thick plane-parallel face-polished plate (without AR coatings) with composition Gd2.76Tm0.0736Ga2.67Al2.50O12 (2.67 at.% Tm/Gd) was used. A fiber (core diameter 400 μm, NA= 0.22) coupled laser diode (emission wavelength 786 nm) was used for longitudinal Tm:GGAG pumping. The laser diode was operating in the pulsed regime (10 ms pulse length, 10 Hz repetition rate, maximum power amplitude 18 W). The 145mm long semi-hemispherical laser resonator consisted of a flat pumping mirror (HR @ 1.8- 2.10 μm, HT @ 0.78 μm) and curved (r = 150mm) output coupler with a reflectivity of » 97% @ 1.8- 2.10 µm. The maximum laser output power amplitude 1.14W was obtained at wavelength 2003nm for absorbed pump power amplitude 4.12W. The laser slope efficiency was 37% in respect to absorbed pumping power. Wavelength tuning was accomplished by using 2mm thick MgF2 birefringent filter placed inside the laser resonator at the Brewster angle. The laser was continuously tunable over 180nm in a spectral region from 1856nm to 2036 nm.

  17. Ablation and cone formation mechanism on CR-39 by ArF laser irradiation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shakeri Jooybari, B., E-mail: baninshakery@gmail.com, E-mail: hafarideh@aut.ac.ir; Nuclear Science and Technology Research Institute NSRT, Tehran; Afarideh, H., E-mail: baninshakery@gmail.com, E-mail: hafarideh@aut.ac.ir

    In this work, chemical properties, surface modification, and micro structures formation on ablated polyallyl di-glycol carbonate (CR-39) polymer by ArF laser irradiation (λ = 193 nm) at various fluences and pulse number were investigated. CR-39 samples have been irradiated with an ArF laser (193 nm) at a repetition rate of 1 Hz. Threshold fluence of ablation and effective absorption coefficient of CR-39 were determined. Conical microstructures (Taylor cone) formed on laser-ablated CR-39 exhibit: smooth, Taylor cone shape walls and sharp tips together with interference and well defined fringe-structure with a period of 230 nm, around cone base. Mechanism of cone formation and cone evolution of CR-39more » ablated surface were investigated by change of fluences (at a given pulse number) and pulse number (at a given fluence). Cone height, cone base, and region of interface were increased in micrometer steps by increasing the total fluence. Depression on the base of the cone and the circular fringe were simulated. FTIR spectra were measured and energy dispersive x-ray analysis of irradiated and un-irradiated samples was performed.« less

  18. Wavelength stabilized DBR high power diode laser using EBL optical confining grating technology

    NASA Astrophysics Data System (ADS)

    Paoletti, R.; Codato, S.; Coriasso, C.; Gotta, P.; Meneghini, G.; Morello, G.; De Melchiorre, P.; Riva, E.; Rosso, M.; Stano, A.; Gattiglio, M.

    2018-02-01

    This paper reports a DBR High Power Diode Laser (DBR-HPDL) realization, emitting up to 10W in the 920 nm range. High spectral purity (90% power in about 0.5 nm), and wavelength stability versus injected current (about 5 times more than standard FP laser) candidates DBR-HPDL as a suitable device for wavelength stabilized pump source, and high brightness applications exploiting Wavelength Division Multiplexing. Key design aspect is a multiple-orders Electron Beam Lithography (EBL) optical confining grating, stabilizing on same wafer multiple wavelengths by a manufacturable and reliable technology. Present paper shows preliminary demonstration of wafer with 3 pitches, generating DBRHPDLs 2.5 nm spaced.

  19. Fabrication of biomimetic dry-adhesion structures through nanosphere lithography

    NASA Astrophysics Data System (ADS)

    Kuo, P. C.; Chang, N. W.; Suen, Y.; Yang, S. Y.

    2018-03-01

    Components with surface nanostructures suitable for biomimetic dry adhesion have a great potential in applications such as gecko tape, climbing robots, and skin patches. In this study, a nanosphere lithography technique with self-assembly nanospheres was developed to achieve effective and efficient fabrication of dry-adhesion structures. Self-assembled monolayer nanospheres with high regularity were obtained through tilted dip-coating. Reactive-ion etching of the self-assembled nanospheres was used to fabricate nanostructures of different shapes and aspect ratios by varying the etching time. Thereafter, nickel molds with inverse nanostructures were replicated using the electroforming process. Polydimethylsiloxane (PDMS) nanostructures were fabricated through a gas-assisted hot-embossing method. The pulling test was performed to measure the shear adhesion on the glass substrate of a sample, and the static contact angle was measured to verify the hydrophobic property of the structure. The enhancement of the structure indicates that the adhesion force increased from 1.2 to 4.05 N/cm2 and the contact angle increased from 118.6° to 135.2°. This columnar structure can effectively enhance the adhesion ability of PDMS, demonstrating the potential of using nanosphere lithography for the fabrication of adhesive structures.

  20. Comparison of ionospheric F2 peak parameters foF2 and hmF2 with IRI2001 at Hainan

    NASA Astrophysics Data System (ADS)

    Wang, X.; Shi, J. K.; Wang, G. J.; Gong, Y.

    2009-06-01

    Monthly median values of foF2, hmF2 and M(3000)F2 parameters, with quarter-hourly time interval resolution for the diurnal variation, obtained with DPS4 digisonde at Hainan (19.5°N, 109.1°E; Geomagnetic coordinates: 178.95°E, 8.1°N) are used to investigate the low-latitude ionospheric variations and comparisons with the International Reference Ionosphere (IRI) model predictions. The data used for the present study covers the period from February 2002 to April 2007, which is characterized by a wide range of solar activity, ranging from high solar activity (2002) to low solar activity (2007). The results show that (1) Generally, IRI predictions follow well the diurnal and seasonal variation patterns of the experimental values of foF2, especially in the summer of 2002. However, there are systematic deviation between experimental values and IRI predictions with either CCIR or URSI coefficients. Generally IRI model greatly underestimate the values of foF2 from about noon to sunrise of next day, especially in the afternoon, and slightly overestimate them from sunrise to about noon. It seems that there are bigger deviations between IRI Model predictions and the experimental observations for the moderate solar activity. (2) Generally the IRI-predicted hmF2 values using CCIR M(3000)F2 option shows a poor agreement with the experimental results, but there is a relatively good agreement in summer at low solar activity. The deviation between the IRI-predicted hmF2 using CCIR M(3000)F2 and observed hmF2 is bigger from noon to sunset and around sunrise especially at high solar activity. The occurrence time of hmF2 peak (about 1200 LT) of the IRI model predictions is earlier than that of observations (around 1500 LT). The agreement between the IRI hmF2 obtained with the measured M(3000)F2 and the observed hmF2 is very good except that IRI overestimates slightly hmF2 in the daytime in summer at high solar activity and underestimates it in the nighttime with lower values near

  1. Rapid prototyping of 2D glass microfluidic devices based on femtosecond laser assisted selective etching process

    NASA Astrophysics Data System (ADS)

    Kim, Sung-Il; Kim, Jeongtae; Koo, Chiwan; Joung, Yeun-Ho; Choi, Jiyeon

    2018-02-01

    Microfluidics technology which deals with small liquid samples and reagents within micro-scale channels has been widely applied in various aspects of biological, chemical, and life-scientific research. For fabricating microfluidic devices, a silicon-based polymer, PDMS (Polydimethylsiloxane), is widely used in soft lithography, but it has several drawbacks for microfluidic applications. Glass has many advantages over PDMS due to its excellent optical, chemical, and mechanical properties. However, difficulties in fabrication of glass microfluidic devices that requires multiple skilled steps such as MEMS technology taking several hours to days, impedes broad application of glass based devices. Here, we demonstrate a rapid and optical prototyping of a glass microfluidic device by using femtosecond laser assisted selective etching (LASE) and femtosecond laser welding. A microfluidic droplet generator was fabricated as a demonstration of a microfluidic device using our proposed prototyping. The fabrication time of a single glass chip containing few centimeter long and complex-shaped microfluidic channels was drastically reduced in an hour with the proposed laser based rapid and simple glass micromachining and hermetic packaging technique.

  2. Analyses of surface coloration on TiO 2 film irradiated with excimer laser

    NASA Astrophysics Data System (ADS)

    Zheng, H. Y.; Qian, H. X.; Zhou, W.

    2008-01-01

    TiO 2 film of around 850 nm in thickness was deposited on a soda-lime glass by PVD sputtering and irradiated using one pulse of krypton-fluorine (KrF) excimer laser (wavelength of 248 nm and pulse duration of 25 ns) with varying fluence. The color of the irradiated area became darker with increasing laser fluence. Irradiated surfaces were characterized using optical microscopy, scanning electron microscopy, Raman spectroscopy and atomic force microscopy. Surface undergoes thermal annealing at low laser fluence of 400 and 590 mJ/cm 2. Microcracks at medium laser fluence of 1000 mJ/cm 2 are attributed to surface melting and solidification. Hydrodynamic ablation is proposed to explain the formation of micropores and networks at higher laser fluence of 1100 and 1200 mJ/cm 2. The darkening effect is explained in terms of trapping of light in the surface defects formed rather than anatase to rutile phase transformation as reported by others. Controlled darkening of TiO 2 film might be used for adjustable filters.

  3. Measurements of Electron Density Profiles of Plasmas Produced by Nike KrF Laser for Laser Plasma Instability (LPI) Research

    NASA Astrophysics Data System (ADS)

    Oh, Jaechul; Weaver, J. L.; Obenschain, S. P.; Schmitt, A. J.; Kehne, D. M.; Karasik, M.; Chan, L.-Y.; Serlin, V.; Phillips, L.

    2013-10-01

    Knowing spatial profiles of electron density (ne) in the underdense coronal region (n F laser with total energies up to 1 kJ of 0.5 ~ 1 nsec FWHM pulses. The GIR resolved ne up to 3 ×1021 /cm3 in space taking 2D snapshot images of probe laser (λ = 263 nm, Δt = 10 ps) beamlets (50 μm spacing) refracted by the plasma at a selected time during the laser illumination. The individual beamlet transmittances were also measured for Te estimation. Time-resolved spectrometers with an absolute-intensity-calibrated photodiode array and a streak camera simultaneously detected light emission from the plasma in spectral ranges relevant to Raman (SRS) and two plasmon decay instabilities. The measured spatial profiles are compared with simulation results from the FAST3D radiation hydrocode and their effects on the LPI observations are investigated. Work supported by DoE/NNSA and performed at Naval Research Laboratory.

  4. Two-photon absorption of KBe2BO3F2 and CsLiB6O10 at 193 nm

    NASA Astrophysics Data System (ADS)

    Nakazato, Tomoharu; Wang, Xiaoyang; Chen, Chuangtian; Watanabe, Shuntaro

    2017-12-01

    We measured the two-photon absorption coefficients of KBe2BO3F2 (KBBF) and CsLiB6O10 (CLBO) at 193.5 nm using CaF2 as a reference. This is the first report about KBBF measurement at any wavelength. The two-photon absorption coefficients of KBBF, CLBO, and CaF2 were 1.3 × 10-9, 1.0 × 10-9, and 0.8 × 10-9 cm/W, respectively. We also measured the fluorescence spectra of KBBF, CLBO, and CaF2 excited by 193.5 nm light. The observed spectrum of KBBF had a broad peak at 322 nm, similar to that of CaF2. The luminescence intensity showed a quadratic dependence on incident laser intensity for KBBF and CaF2, indicating a two-photon process, but showed a linear dependence for CLBO. Taken together, we conclude that the two-photon fluorescence of KBBF originates, as in the case of CaF2, from the transition of a self-trapped exciton formed by a F2 - ion (self-trapped hole), which captures an electron.

  5. Spectroscopic investigations of ThF and ThF+.

    PubMed

    Barker, Beau J; Antonov, Ivan O; Heaven, Michael C; Peterson, Kirk A

    2012-03-14

    The electronic spectra of ThF and ThF(+) have been examined using laser induced fluorescence and resonant two-photon ionization techniques. The results from high-level ab initio calculations have been used to guide the assignment of these data. Spectra for ThF show that the molecule has an X (2)Δ(3/2) ground state. The upper spin-orbit component, X (2)Δ(5/2) was found at an energy of 2575(15) cm(-1). The low-lying states of ThF(+) were probed using dispersed fluorescence and pulsed field ionization-zero kinetic energy (PFI-ZEKE) photoelectron spectroscopy. Vibronic progressions belonging to four electronic states were identified. The lowest energy states were clearly (1)Σ(+) and (3)Δ(1). Although the energy ordering could not be rigorously determined, the evidence favors assignment of (1)Σ(+) as the ground state. The (3)Δ(1) state, of interest for investigation of the electron electric dipole moment, is just 315.0(5) cm(-1) above the ground state. The PFI-ZEKE measurements for ThF yielded an ionization energy of 51 581(3) cm(-1). Molecular constants show that the vibrational constant increases and the bond length shortens on ionization. This is consistent with removal of a non-bonding Th-centered 6d or 7s electron. Laser excitation of ThF(+) was used to probe electronically excited states in the range of 19,000-21,500 cm(-1).

  6. In Vitro Comparison of the Effects of Diode Laser and CO2 Laser on Topical Fluoride Uptake in Primary Teeth

    PubMed Central

    Bahrololoomi, Zahra; Sorouri, Milad

    2015-01-01

    Objectives: Fluoride therapy is important for control and prevention of dental caries. Laser irradiation can increase fluoride uptake especially when combined with topical fluoride application. The objective of this study was to compare the effects of CO2 and diode lasers on enamel fluoride uptake in primary teeth. Materials and Methods: Forty human primary molars were randomly assigned to four groups (n=10). The roots were removed and the crowns were sectioned mesiodistally into buccal and lingual halves as the experimental and control groups. All samples were treated with 5% sodium fluoride (NaF) varnish. The experimental samples in the four groups were irradiated with 5 or 7W diode or 1 or 2W CO2 laser for 15 seconds and were compared with the controls in terms of fluoride uptake, which was determined using an ion selective electrode after acid dissolution of the specimens. Data were analyzed by SPSS version 16 using ANOVA treating the control measurements as covariates. Results: The estimated amount of fluoride uptake was 59.5± 16.31 ppm, 66.5± 14.9 ppm, 78.6± 12.43 ppm and 90.4± 11.51 ppm for 5W and 7 W diode and 1W and 2 W CO2 lasers, respectively, which were significantly greater than the values in the conventional topical fluoridation group (P<0.005). There were no significant differences between 7W diode laser and 1W CO2 laser, 5W and 7W diode laser, or 1W and 2W CO2 laser in this regard. Conclusion: The results showed that enamel surface irradiation by CO2 and diode lasers increases the fluoride uptake. PMID:27123018

  7. Theoretical study of fabrication of line-and-space patterns with 7 nm quarter-pitch using electron beam lithography with chemically amplified resist process: III. Post exposure baking on quartz substrates

    NASA Astrophysics Data System (ADS)

    Kozawa, Takahiro

    2015-09-01

    Electron beam (EB) lithography is a key technology for the fabrication of photomasks for ArF immersion and extreme ultraviolet (EUV) lithography and molds for nanoimprint lithography. In this study, the temporal change in the chemical gradient of line-and-space patterns with a 7 nm quarter-pitch (7 nm space width and 21 nm line width) was calculated until it became constant, independently of postexposure baking (PEB) time, to clarify the feasibility of single nano patterning on quartz substrates using EB lithography with chemically amplified resist processes. When the quencher diffusion constant is the same as the acid diffusion constant, the maximum chemical gradient of the line-and-space pattern with a 7 nm quarter-pitch did not differ much from that with a 14 nm half-pitch under the condition described above. Also, from the viewpoint of process control, a low quencher diffusion constant is considered to be preferable for the fabrication of line-and-space patterns with a 7 nm quarter-pitch on quartz substrates.

  8. Laser Slowing of CaF Molecules and Progress towards a Dual-MOT for Li and CaF

    NASA Astrophysics Data System (ADS)

    Chae, Eunmi

    Diatomic molecules are considered good candidates for the study of strongly correlated systems and precision measurement searches due to their combination of complex internal states and strong long-range interactions. Cooling molecules down to ultracold temperatures is often a necessary step for fully utilizing the power of the molecule. This requires a trap for molecules and the ability to cool molecules to the mK regime and below. A magneto-optical trap (MOT) is a good tool for achieving mK temperatures. However, extra care is needed for molecules to form the necessary quasi-closed cycling transitions due to molecule's complicated energy structure. In our work with CaF, we use two repump lasers to block vibrational leakage and selection rules for the rotational degree of freedom to achieve about 105 photon cycles. The two-stage buffer gas beam source is a general method to generate a cold and slow beam of molecules with a forward velocity of about 50 m/s. The compatibility of the buffer-gas source with a MOT is studied and we confirm that such beams can be nicely compatible with MOTs using various atomic species. In order to load molecules into a MOT from even such a slow beam, additional slowing is required due to the low capture velocity of a molecular MOT (< 10 m/s). We apply a frequency-broadened "white-light" slowing on CaF from a two-stage source, demonstrating slowing of CaF below 10 m/s. An AC MOT, which provides active remixing of dark substates, is also developed and Li atoms are slowed and trapped. These are crucial ingredients for co-trapping CaF molecules and Li atoms and study their collisional properties, which would lead to sympathetic cooling of molecules down to ultracold temperatures. The achievement of slowing and development of this system allowed for the detailed study of the CaF laser cooling system, as well as physical processes involved with AC MOTs and the proposed MOT for CaF. Crucial knowledge of this archetypal system provides

  9. 1887 nm lasing in Tm3+-doped TeO2-BaF2-Y2O3 glass microstructured fibers

    NASA Astrophysics Data System (ADS)

    Wang, Shunbin; Yao, Chuanfei; Jia, Zhixu; Qin, Guanshi; Qin, Weiping

    2017-04-01

    In this paper, we demonstrate ∼2 μm lasing in Tm3+-doped fluorotellurite microstructured fibers. The Tm3+-doped fibers are based on TeO2-BaF2-Y2O3 glasses and fabricated by using a rod-in-tube method. Under the pump of a 1570 nm Er3+-doped fiber laser, lasing at 1887 nm is obtained in a ∼42.5 cm long Tm3+-doped fiber with a threshold pump power of 94 mW. As the pump power increases to 780 mW, the obtained maximum unsaturated power reaches up to ∼408 mW with a slop efficiency of ∼58.1%. This result indicates that the Tm3+-doped fluorotellurite fibers are promising gain media for ∼2 μm fiber lasers.

  10. Conductive polymer nanowire gas sensor fabricated by nanoscale soft lithography.

    PubMed

    Tang, Ning; Jiang, Yang; Qu, Hemi; Duan, Xuexin

    2017-12-01

    Resistive devices composed of one-dimensional nanostructures are promising candidates for the next generation of gas sensors. However, the large-scale fabrication of nanowires is still challenging, which restricts the commercialization of such devices. Here, we report a highly efficient and facile approach to fabricating poly(3,4-ethylenedioxythiophene)-poly(styrenesulfonate) (PEDOT:PSS) nanowire chemiresistive gas sensors by nanoscale soft lithography. Well-defined sub-100 nm nanowires are fabricated on silicon substrate, which facilitates device integration. The nanowire chemiresistive gas sensor is demonstrated for NH 3 and NO 2 detection at room temperature and shows a limit of detection at ppb level, which is compatible with nanoscale PEDOT:PSS gas sensors fabricated with the conventional lithography technique. In comparison with PEDOT:PSS thin-film gas sensors, the nanowire gas sensor exhibits higher sensitivity and a much faster response to gas molecules.

  11. Conductive polymer nanowire gas sensor fabricated by nanoscale soft lithography

    NASA Astrophysics Data System (ADS)

    Tang, Ning; Jiang, Yang; Qu, Hemi; Duan, Xuexin

    2017-12-01

    Resistive devices composed of one-dimensional nanostructures are promising candidates for the next generation of gas sensors. However, the large-scale fabrication of nanowires is still challenging, which restricts the commercialization of such devices. Here, we report a highly efficient and facile approach to fabricating poly(3,4-ethylenedioxythiophene)-poly(styrenesulfonate) (PEDOT:PSS) nanowire chemiresistive gas sensors by nanoscale soft lithography. Well-defined sub-100 nm nanowires are fabricated on silicon substrate, which facilitates device integration. The nanowire chemiresistive gas sensor is demonstrated for NH3 and NO2 detection at room temperature and shows a limit of detection at ppb level, which is compatible with nanoscale PEDOT:PSS gas sensors fabricated with the conventional lithography technique. In comparison with PEDOT:PSS thin-film gas sensors, the nanowire gas sensor exhibits higher sensitivity and a much faster response to gas molecules.

  12. Challenges of anamorphic high-NA lithography and mask making

    NASA Astrophysics Data System (ADS)

    Hsu, Stephen D.; Liu, Jingjing

    2017-06-01

    Chip makers are actively working on the adoption of 0.33 numerical aperture (NA) EUV scanners for the 7-nm and 5-nm nodes (B. Turko, S. L. Carson, A. Lio, T. Liang, M. Phillips, et al., in `Proc. SPIE9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 977602 (2016) doi: 10.1117/12.2225014; A. Lio, in `Proc. SPIE9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 97760V (2016) doi: 10.1117/12.2225017). In the meantime, leading foundries and integrated device manufacturers are starting to investigate patterning options beyond the 5-nm node (O. Wood, S. Raghunathan, P. Mangat, V. Philipsen, V. Luong, et al., in `Proc. SPIE. 9422, Extreme Ultraviolet (EUV) Lithography VI', vol. 94220I (2015) doi: 10.1117/12.2085022). To minimize the cost and process complexity of multiple patterning beyond the 5-nm node, EUV high-NA single-exposure patterning is a preferred method over EUV double patterning (O. Wood, S. Raghunathan, P. Mangat, V. Philipsen, V. Luong, et al., in `Proc. SPIE. 9422, Extreme Ultraviolet (EUV) Lithography VI', vol. 94220I (2015) doi: 10.1117/12.2085022; J. van Schoot, K. van Ingen Schenau, G. Bottiglieri, K. Troost, J. Zimmerman, et al., `Proc. SPIE. 9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 97761I (2016) doi: 10.1117/12.2220150). The EUV high-NA scanner equipped with a projection lens of 0.55 NA is designed to support resolutions below 10 nm. The high-NA system is beneficial for enhancing resolution, minimizing mask proximity correction bias, improving normalized image log slope (NILS), and controlling CD uniformity (CDU). However, increasing NA from 0.33 to 0.55 reduces the depth of focus (DOF) significantly. Therefore, the source mask optimization (SMO) with sub-resolution assist features (SRAFs) are needed to increase DOF to meet the demanding full chip process control requirements (S. Hsu, R. Howell, J. Jia, H.-Y. Liu, K. Gronlund, et al., EUV `Proc. SPIE9048, Extreme Ultraviolet (EUV) Lithography VI', (2015) doi: 10

  13. Surface patterning of multilayer graphene by ultraviolet laser irradiation in biomolecule sensing devices

    NASA Astrophysics Data System (ADS)

    Chang, Tien-Li; Chen, Zhao-Chi

    2015-12-01

    The study presents a direct process for surface patterning of multilayer graphene on the glass substrate as a biosensing device. In contrast to lithography with etching, the proposed process provides simultaneous surface patterning of multilayer graphene through nanosecond laser irradiation. In this study, the multilayer graphene was prepared by a screen printing process. Additionally, the wavelength of the laser beam was 355 nm. To perform the effective laser process with the small heat affected zone, the surface patterns on the sensing devices could be directly fabricated using the laser with optimal control of the pulse overlap at a fluence threshold of 0.63 J/cm2. The unique patterning of the laser-ablated surface exhibits their electrical and hydrophilic characteristics. The hydrophilic surface of graphene-based sensing devices was achieved in the process with the pulse overlap of 90%. Furthermore, the sensing devices for controlling the electrical response of glucose by using glucose oxidase can be used in sensors in commercial medical applications.

  14. Diode-Pumped Long-Pulse-Length Ho:Tm:YLiF4 Laser at 10 Hz

    NASA Technical Reports Server (NTRS)

    Jani, Mahendra G.; Naranjo, Felipe L.; Barnes, Norman P.; Murray, Keith E.; Lockard, George E.

    1995-01-01

    An optical efficiency of 0.052 under normal mode operation for diode-pumped Ho:Tm:YLiF4 at a pulse repetition frequency of 10 Hz has been achieved. Laser output energy of 30 mJ in single Q-switched pulses with 600-ns pulse length were obtained for an input energy of 3 J. A diffusion-bonded birefringent laser rod consisting of Ho:Tm-doped and undoped pieces of YLF was utilized for 10-Hz operation.

  15. OML: optical maskless lithography for economic design prototyping and small-volume production

    NASA Astrophysics Data System (ADS)

    Sandstrom, Tor; Bleeker, Arno; Hintersteiner, Jason; Troost, Kars; Freyer, Jorge; van der Mast, Karel

    2004-05-01

    The business case for Maskless Lithography is more compelling than ever before, due to more critical processes, rising mask costs and shorter product cycles. The economics of Maskless Lithography gives a crossover volume from Maskless to mask-based lithography at surprisingly many wafers per mask for surprisingly few wafers per hour throughput. Also, small-volume production will in many cases be more economical with Maskless Lithography, even when compared to "shuttle" schemes, reticles with multiple layers, etc. The full benefit of Maskless Lithography is only achievable by duplicating processes that are compatible with volume production processes on conventional scanners. This can be accomplished by the integration of pattern generators based on spatial light modulator technology with state-of-the-art optical scanner systems. This paper reports on the system design of an Optical Maskless Scanner in development by ASML and Micronic: small-field optics with high demagnification, variable NA and illumination schemes, spatial light modulators with millions of MEMS mirrors on CMOS drivers, a data path with a sustained data flow of more than 250 GPixels per second, stitching of sub-fields to scanner fields, and rasterization and writing strategies for throughput and good image fidelity. Predicted lithographic performance based on image simulations is also shown.

  16. Plastic masters-rigid templates for soft lithography.

    PubMed

    Desai, Salil P; Freeman, Dennis M; Voldman, Joel

    2009-06-07

    We demonstrate a simple process for the fabrication of rigid plastic master molds for soft lithography directly from (poly)dimethysiloxane devices. Plastics masters (PMs) provide a cost-effective alternative to silicon-based masters and can be easily replicated without the need for cleanroom facilities. We have successfully demonstrated the use of plastics micromolding to generate both single and dual-layer plastic structures, and have characterized the fidelity of the molding process. Using the PM fabrication technique, world-to-chip connections can be integrated directly into the master enabling devices with robust, well-aligned fluidic ports directly after molding. PMs provide an easy technique for the fabrication of microfluidic devices and a simple route for the scaling-up of fabrication of robust masters for soft lithography.

  17. Synthesis and X-ray crystal structure of (OsO(3)F(2))(2)2XeOF(4) and the Raman spectra of (OsO(3)F(2))(infinity), (OsO(3)F(2))(2), and (OsO(3)F(2))(2)2XeOF(4).

    PubMed

    Hughes, Michael J; Mercier, Hélène P A; Schrobilgen, Gary J

    2009-05-18

    The adduct, (OsO(3)F(2))(2)2XeOF(4), was synthesized by dissolution of the infinite chain polymer, (OsO(3)F(2))(infinity), in XeOF(4) solvent at room temperature followed by removal of excess XeOF(4) under dynamic vacuum at 0 degrees C. Continued pumping at 0 degrees C resulted in removal of associated XeOF(4), yielding (OsO(3)F(2))(2), a new low-temperature phase of OsO(3)F(2). Upon standing at 25 degrees C for 1(1)/(2) h, (OsO(3)F(2))(2) underwent a phase transition to the known monoclinic phase, (OsO(3)F(2))(infinity). The title compounds, (OsO(3)F(2))(infinity), (OsO(3)F(2))(2), and (OsO(3)F(2))(2)2XeOF(4) have been characterized by low-temperature (-150 degrees C) Raman spectroscopy. Crystallization of (OsO(3)F(2))(2)2XeOF(4) from XeOF(4) solution at 0 degrees C yielded crystals suitable for X-ray structure determination. The structural unit contains the (OsO(3)F(2))(2) dimer in which the OsO(3)F(3) units are joined by two Os---F---Os bridges having fluorine bridge atoms that are equidistant from the osmium centers (2.117(5) and 2.107(4) A). The dimer coordinates to two XeOF(4) molecules through Os-F...Xe bridges in which the Xe...F distances (2.757(5) A) are significantly less than the sum of the Xe and F van der Waals radii (3.63 A). The (OsO(3)F(2))(2) dimer has C(i) symmetry in which each pseudo-octahedral OsO(3)F(3) unit has a facial arrangement of oxygen ligands with XeOF(4) molecules that are only slightly distorted from their gas-phase C(4v) symmetry. Quantum-chemical calculations using SVWN and B3LYP methods were employed to calculate the gas-phase geometries, natural bond orbital analyses, and vibrational frequencies of (OsO(3)F(2))(2), (OsO(3)F(2))(2)2XeOF(4), XeOF(4), OsO(2)F(4), and (mu-FOsO(3)F(2))(2)OsO(3)F(-) to aid in the assignment of the experimental vibrational frequencies of (OsO(3)F(2))(2), (OsO(3)F(2))(2)2XeOF(4), and (OsO(3)F(2))(infinity). The vibrational modes of the low-temperature polymeric phase, (OsO(3)F(2))(infinity), have been

  18. Identification of F impurities in F-doped ZnO by synchrotron X-ray absorption near edge structures

    NASA Astrophysics Data System (ADS)

    Na-Phattalung, Sutassana; Limpijumnong, Sukit; Min, Chul-Hee; Cho, Deok-Yong; Lee, Seung-Ran; Char, Kookrin; Yu, Jaejun

    2018-04-01

    Synchrotron X-ray absorption near edge structure (XANES) measurements of F K-edge in conjunction with first-principles calculations are used to identify the local structure of the fluorine (F) atom in F-doped ZnO. The ZnO film was grown by pulsed laser deposition with an Nd:YAG laser, and an oxyfluoridation method was used to introduce F ions into the ZnO films. The measured XANES spectrum of the sample was compared against the first-principles XANES calculations based on various models for local atomic structures surrounding F atoms. The observed spectral features are attributed to ZnF2 and FO defects in wurtzite bulk ZnO.

  19. Line edge roughness (LER) mitigation studies specific to interference-like lithography

    NASA Astrophysics Data System (ADS)

    Baylav, Burak; Estroff, Andrew; Xie, Peng; Smith, Bruce W.

    2013-04-01

    Line edge roughness (LER) is a common problem to most lithography approaches and is seen as the main resolution limiter for advanced technology nodes1. There are several contributors to LER such as chemical/optical shot noise, random nature of acid diffusion, development process, and concentration of acid generator/base quencher. Since interference-like lithography (IL) is used to define one directional gridded patterns, some LER mitigation approaches specific to IL-like imaging can be explored. Two methods investigated in this work for this goal are (i) translational image averaging along the line direction and (ii) pupil plane filtering. Experiments regarding the former were performed on both interferometric and projection lithography systems. Projection lithography experiments showed a small amount of reduction in low/mid frequency LER value for image averaged cases at pitch of 150 nm (193 nm illumination, 0.93 NA) with less change for smaller pitches. Aerial image smearing did not significantly increase LER since it was directional. Simulation showed less than 1% reduction in NILS (compared to a static, smooth mask equivalent) with ideal alignment. In addition, description of pupil plane filtering on the transfer of mask roughness is given. When astigmatism-like aberrations were introduced in the pupil, transfer of mask roughness is decreased at best focus. It is important to exclude main diffraction orders from the filtering to prevent contrast and NILS loss. These ideas can be valuable as projection lithography approaches to conditions similar to IL (e.g. strong RET methods).

  20. 16 nm-resolution lithography using ultra-small-gap bowtie apertures

    NASA Astrophysics Data System (ADS)

    Chen, Yang; Qin, Jin; Chen, Jianfeng; Zhang, Liang; Ma, Chengfu; Chu, Jiaru; Xu, Xianfan; Wang, Liang

    2017-02-01

    Photolithography has long been a critical technology for nanoscale manufacturing, especially in the semiconductor industry. However, the diffractive nature of light has limited the continuous advance of optical lithography resolution. To overcome this obstacle, near-field scanning optical lithography (NSOL) is an alternative low-cost technique, whose resolution is determined by the near-field localization that can be achieved. Here, we apply the newly-developed backside milling method to fabricate bowtie apertures with a sub-15 nm gap, which can substantially improve the resolution of NSOL. A highly confined electric near field is produced by localized surface plasmon excitation and nanofocusing of the closely-tapered gap. We show contact lithography results with a record 16 nm resolution (FWHM). This photolithography scheme promises potential applications in data storage, high-speed computation, energy harvesting, and other nanotechnology areas.

  1. Matrix infrared spectroscopy and quantum-chemical calculations for the coinage-metal fluorides: comparisons of Ar-AuF, Ne-AuF, and Molecules MF2 and MF3.

    PubMed

    Wang, Xuefeng; Andrews, Lester; Brosi, Felix; Riedel, Sebastian

    2013-01-21

    The reactions of laser-ablated Au, Ag, and Cu atoms with F(2) in excess argon and neon gave new absorptions in the M-F stretching region of their IR spectra, which were assigned to metal-fluoride species. For gold, a Ng-AuF bond was identified in mixed neon/argon samples. However, this bonding was much weaker with AgF and CuF. Molecules MF(2) and MF(3) (M=Au, Ag, Cu) were identified from the isotopic distribution of the Cu and Ag atoms, comparison of the frequencies for three metal fluorides, and theoretical frequency calculations. The AuF(5) molecule was characterized by its strongest stretching mode and theoretical frequency calculations. Additional evidence was observed for the formation of the Au(2) F(6) molecule. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Imprint lithography: lab curiosity or the real NGL

    NASA Astrophysics Data System (ADS)

    Resnick, Douglas J.; Dauksher, William J.; Mancini, David P.; Nordquist, Kevin J.; Bailey, Todd C.; Johnson, Stephen C.; Stacey, Nicholas A.; Ekerdt, John G.; Willson, C. Grant; Sreenivasan, S. V.; Schumaker, Norman E.

    2003-06-01

    The escalating cost for Next Generation Lithography (NGL) tools is driven in part by the need for complex sources and optics. The cost for a single NGL tool could exceed $50M in the next few years, a prohibitive number for many companies. As a result, several researchers are looking at low cost alternative methods for printing sub-100 nm features. In the mid-1990s, several resarech groups started investigating different methods for imprinting small features. Many of these methods, although very effective at printing small features across an entire wafer, are limited in their ability to do precise overlay. In 1999, Willson and Sreenivasan discovered that imprinting could be done at low pressures and at room temperatures by using low viscosity UV curable monomers. The technology is typically referred to as Step and Flash Imprint Lithography. The use of a quartz template enabled the photocuring process to occur and also opened up the potential for optical alignment of teh wafer and template. This paper traces the development of nanoimprint lithography and addresses the issues that must be solved if this type of technology is to be applied to high-density silicon integrated circuitry.

  3. VUV lithography

    DOEpatents

    George, Edward V.; Oster, Yale; Mundinger, David C.

    1990-01-01

    Deep UV projection lithography can be performed using an e-beam pumped solid excimer UV source, a mask, and a UV reduction camera. The UV source produces deep UV radiation in the range 1700-1300A using xenon, krypton or argon; shorter wavelengths of 850-650A can be obtained using neon or helium. A thin solid layer of the gas is formed on a cryogenically cooled plate and bombarded with an e-beam to cause fluorescence. The UV reduction camera utilizes multilayer mirrors having high reflectivity at the UV wavelength and images the mask onto a resist coated substrate at a preselected demagnification. The mask can be formed integrally with the source as an emitting mask.

  4. Precision process calibration and CD predictions for low-k1 lithography

    NASA Astrophysics Data System (ADS)

    Chen, Ting; Park, Sangbong; Berger, Gabriel; Coskun, Tamer H.; de Vocht, Joep; Chen, Fung; Yu, Linda; Hsu, Stephen; van den Broeke, Doug; Socha, Robert; Park, Jungchul; Gronlund, Keith; Davis, Todd; Plachecki, Vince; Harris, Tom; Hansen, Steve; Lambson, Chuck

    2005-06-01

    Leading resist calibration for sub-0.3 k1 lithography demands accuracy <2nm for CD through pitch. An accurately calibrated resist process is the prerequisite for establishing production-worthy manufacturing under extreme low k1. From an integrated imaging point of view, the following key components must be simultaneously considered during the calibration - high numerical aperture (NA>0.8) imaging characteristics, customized illuminations (measured vs. modeled pupil profiles), resolution enhancement technology (RET) mask with OPC, reticle metrology, and resist thin film substrate. For imaging at NA approaching unity, polarized illumination can impact significantly the contrast formation in the resist film stack, and therefore it is an important factor to consider in the CD-based resist calibration. For aggressive DRAM memory core designs at k1<0.3, pattern-specific illumination optimization has proven to be critical for achieving the required imaging performance. Various optimization techniques from source profile optimization with fixed mask design to the combined source and mask optimization have been considered for customer designs and available imaging capabilities. For successful low-k1 process development, verification of the optimization results can only be made with a sufficiently tunable resist model that can predicate the wafer printing accurately under various optimized process settings. We have developed, for resist patterning under aggressive low-k1 conditions, a novel 3D diffusion model equipped with double-Gaussian convolution in each dimension. Resist calibration with the new diffusion model has demonstrated a fitness and CD predication accuracy that rival or outperform the traditional 3D physical resist models. In this work, we describe our empirical approach to achieving the nm-scale precision for advanced lithography process calibrations, using either measured 1D CD through-pitch or 2D memory core patterns. We show that for ArF imaging, the

  5. Histological changes induced by CO2 laser microprobe specially designed for root canal sterilization: in vivo study.

    PubMed

    Kesler, G; Koren, R; Kesler, A; Hay, N; Gal, R

    1998-10-01

    Until now, no suitable delivery fiber has existed for CO2 laser endodontic radiation in the apical region, where it is most difficult to eliminate the pulp tissue using conventional methods. To overcome this problem, we have designed a microprobe that reaches closer to the apex, distributing the energy density to a smaller area of the root canal and thus favorably increasing the thermal effects. A CO2 laser microprobe coupled onto a special hand piece was attached to the delivery fiber of a Sharplan 15-F CO2 laser. The study was conducted on 30 vital maxillary or mandibulary, central, lateral, or premolar teeth destined for extraction due to periodontal problems. Twenty were experimentally treated with pulsed CO2 laser delivered by this newly developed fiber after conventional root canal preparation. Temperature measured at three points on the root surface during laser treatment did not exceed 38 degrees C. Ten teeth represented the control group, in which only root canal preparation was performed in the conventional method. Histological examination of the laser-treated teeth showed coagulation necrosis and vacuolization of the remaining pulp tissue in the root canal periphery. Primary and secondary dentin appeared normal in all cases treated with 15-F CO2 laser. Gram stain and bacteriologic examination revealed complete sterilization. These results demonstrate the unique capabilities of this special microprobe in sterilization of the root canal, with no thermal damage to the surrounding tissue. The combination of classical root canal preparation with CO2 laser irradiation using this special microprobe before closing the canal can drastically change the quality of root canal fillings.

  6. Pressure-induced photoluminescence in Mn2+-doped BaF2 and SrF2 fluorites

    NASA Astrophysics Data System (ADS)

    Hernández, Ignacio; Rodríguez, Fernando

    2003-01-01

    This work reports an effective way for inducing room temperature photoluminescence (PL) in Mn2+-doped BaF2 and SrF2 using high-pressure techniques. The aim is to understand the surprising PL behavior exhibited by Mn2+ at the cubal site of the fluorite structure. While Mn2+-doped CaF2 shows a green PL with quantum yield close to 1 at room temperature, Mn2+-doped MF2 (M=Ba,Sr) is not PL either at room temperature (SrF2) or at any temperature (BaF2) at ambient pressure. We associate the loss of Mn2+ PL on passing from CaF2 to SrF2 or BaF2 with nonradiative multiphonon relaxation whose thermal activation energy decreases along the series CaF2→SrF2→BaF2. A salient feature of this work deals with the increase of activation energy induced by pressure. It leads to a quantum yield enhancement, which favors PL recovery. Furthermore, the activation energy mainly depends on the crystal volume per molecule irrespective of the crystal structure or the local symmetry around the impurity. In this way, the relevance of the fluorite-to-cotunnite phase transition is analyzed in connection with the PL properties of the investigated compounds. The PL spectrum and the corresponding lifetime are reported for both structural phases as a function of pressure.

  7. Fabrication of unique 3D microparticles in non-rectangular microchannels with flow lithography

    NASA Astrophysics Data System (ADS)

    Nam, Sung Min; Kim, Kibeom; Park, Wook; Lee, Wonhee

    Invention of flow lithography has offered a simple yet effective method of fabricating micro-particles. However particles produced with conventional techniques were largely limited to 2-dimensional shapes projected to form a column. We proposed inexpensive and simple soft-lithography techniques to fabricate micro-channels with various cross-sectional shapes. The non-rectangular channels are then used to fabricate micro-particles using flow lithography resulting in interesting 3D shapes such as tetrahedrals or half-pyramids. In addition, a microfluidic device capable of fabricating multi-layered micro-particles was developed. On-chip PDMS valves are used to trap and position the particle at the precise location in microchannel with varying cross-section. Multilayer particles are generated by sequential monomer exchange and polymerization along the channel. While conventional multi-layered particles made with droplet generators require their layer materials be dissolved in immiscible fluids, the new method allows diverse choice of materials, not limited to their diffusibility. The multilayer 3D particles can be applied in areas such as drug delivery and tissue engineering.

  8. Fiber-coupled 2.7 µm laser absorption sensor for CO2 in harsh combustion environments

    NASA Astrophysics Data System (ADS)

    Spearrin, R. M.; Goldenstein, C. S.; Jeffries, J. B.; Hanson, R. K.

    2013-05-01

    A tunable diode laser absorption sensor near 2.7 µm, based on 1f-normalized wavelength-modulation spectroscopy with second-harmonic detection (WMS-2f), was developed to measure CO2 concentration in harsh combustion flows. Wavelength selection at 3733.48 cm-1 exploited the overlap of two CO2 transitions in the ν1 + ν3 vibrational band at 3733.468 cm-1 and 3733.498 cm-1. Primary factors influencing wavelength selection were isolation and strength of the CO2 absorption lines relative to infrared water absorption at elevated pressures and temperatures. The HITEMP 2010 database was used to model the combined CO2 and H2O absorption spectra, and key line-strength and line-broadening spectroscopic parameters were verified by high-temperature static cell measurements. To validate the accuracy and precision of the WMS-based sensor, measurements of CO2 concentration were carried out in non-reactive shock-tube experiments (P ˜ 3-12 atm, T ˜ 1000-2600 K). The laser was then free-space fiber-coupled with a zirconium fluoride single-mode fiber for remote light delivery to harsh combustion environments, and demonstrated on an ethylene/air pulse detonation combustor at pressures up to 10 atm and temperatures up to 2500 K. To our knowledge, this work represents the first time-resolved in-stream measurements of CO2 concentration in a detonation-based engine.

  9. Aberration correction for charged particle lithography

    NASA Astrophysics Data System (ADS)

    Munro, Eric; Zhu, Xieqing; Rouse, John A.; Liu, Haoning

    2001-12-01

    At present, the throughput of projection-type charge particle lithography systems, such as PREVAIL and SCALPEL, is limited primarily by the combined effects of field curvature in the projection lenses and Coulomb interaction in the particle beam. These are fundamental physical limitations, inherent in charged particle optics, so there seems little scope for significantly improving the design of such systems, using conventional rotationally symmetric electron lenses. This paper explores the possibility of overcoming the field aberrations of round electron lense, by using a novel aberration corrector, proposed by Professor H. Rose of University of Darmstadt, called a hexapole planator. In this scheme, a set of round lenses is first used to simultaneously correct distortion and coma. The hexapole planator is then used to correct the field curvature and astigmatism, and to create a negative spherical aberration. The size of the transfer lenses around the planator can then be adjusted to zero the residual spherical aberration. In a way, an electron optical projection system is obtained that is free of all primary geometrical aberrations. In this paper, the feasibility of this concept has been studied with a computer simulation. The simulations verify that this scheme can indeed work, for both electrostatic and magnetic projection systems. Two design studies have been carried out. The first is for an electrostatic system that could be used for ion beam lithography, and the second is for a magnetic projection system for electron beam lithography. In both cases, designs have been achieved in which all primary third-order geometrical aberrations are totally eliminated.

  10. Ultraviolet optical and microstructural properties of MgF2 and LaF3 coatings deposited by ion-beam sputtering and boat and electron-beam evaporation.

    PubMed

    Ristau, Detlev; Günster, Stefan; Bosch, Salvador; Duparré, Angela; Masetti, Enrico; Ferré-Borrull, Josep; Kiriakidis, George; Peiró, Francesca; Quesnel, Etienne; Tikhonravov, Alexander

    2002-06-01

    Single layers of MgF2 and LaF3 were deposited upon superpolished fused-silica and CaF2 substrates by ion-beam sputtering (IBS) as well as by boat and electron beam (e-beam) evaporation and were characterized by a variety of complementary analytical techniques. Besides undergoing photometric and ellipsometric inspection, the samples were investigated at 193 and 633 nm by an optical scatter measurement facility. The structural properties were assessed with atomic-force microscopy, x-ray diffraction, TEM techniques that involved conventional thinning methods for the layers. For measurement of mechanical stress in the coatings, special silicon substrates were coated and analyzed. The dispersion behavior of both deposition materials, which was determined on the basis of various independent photometric measurements and data reduction techniques, is in good agreement with that published in the literature and with the bulk properties of the materials. The refractive indices of the MgF2 coatings ranged from 1.415 to 1.440 for the wavelength of the ArF excimer laser (193 nm) and from 1.435 to 1.465 for the wavelength of the F2 excimer laser (157 nm). For single layers of LaF3 the refractive indices extended from 1.67 to 1.70 at 193 nm to approximately 1.80 at 157 nm. The IBS process achieves the best homogeneity and the lowest surface roughness values (close to 1 nm(rms)) of the processes compared in the joint experiment. In contrast to MgF2 boat and e-beam evaporated coatings, which exhibit tensile mechanical stress ranging from 300 to 400 MPa, IBS coatings exhibit high compressive stress of as much as 910 MPa. A similar tendency was found for coating stress in LaF3 single layers. Experimental results are discussed with respect to the microstructural and compositional properties as well as to the surface topography of the coatings.

  11. Ultraviolet optical and microstructural properties of MgF2 and LaF3 coatings deposited by ion-beam sputtering and boat and electron-beam evaporation

    NASA Astrophysics Data System (ADS)

    Ristau, Detlev; Gunster, Stefan; Bosch, Salvador; Duparre, Angela; Masetti, Enrico; Ferre-Borrull, Josep; Kiriakidis, George; Peiro, Francesca; Quesnel, Etienne; Tikhonravov, Alexander

    2002-06-01

    Single layers of MgF2 and LaF3 were deposited upon superpolished fused-silica and CaF2 substrates by ion-beam sputtering (IBS) as well as by boat and electron beam (e-beam) evaporation and were characterized by a variety of complementary analytical techniques. Besides undergoing photometric and ellipsometric inspection, the samples were investigated at 193 and 633 nm by an optical scatter measurement facility. The structural properties were assessed with atomic-force microscopy, x-ray diffraction, TEM techniques that involved conventional thinning methods for the layers. For measurement of mechanical stress in the coatings, special silicon substrates were coated and analyzed. The dispersion behavior of both deposition materials, which was determined on the basis of various independent photometric measurements and data reduction techniques, is in good agreement with that published in the literature and with the bulk properties of the materials. The refractive indices of the MgF2 coatings ranged from 1.415 to 1.440 for the wavelength of the ArF excimer laser (193 nm) and from 1.435 to 1.465 for the wavelength of the F2 excimer laser (157 nm). For single layers of LaF3 the refractive indices extended from 1.67 to 1.70 at 193 nm to approx1.80 at 157 nm. The IBS process achieves the best homogeneity and the lowest surface roughness values (close to 1 nmrms) of the processes compared in the joint experiment. In contrast to MgF2 boat and e-beam evaporated coatings, which exhibit tensile mechanical stress ranging from 300 to 400 MPa, IBS coatings exhibit high compressive stress of as much as 910 MPa. A similar tendency was found for coating stress in LaF3 single layers. Experimental results are discussed with respect to the microstructural and compositional properties as well as to the surface topography of the coatings.

  12. Diode-pumped ytterbium-doped Sr{sub 5}(PO{sub 4}){sub 3}F laser performance

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Marshall, C.D.; Smith, L.K.; Beach, R.J.

    The performance of the first diode-pumped Yb{sup 3+}-doped Sr{sub 5}(PO{sub 4}){sup 3}F (Yb:S-FAP) solid-state laser is discussed. An InGaAs diode array has been fabricated that has suitable specifications for pumping a 3 x 3 x 30 mm Yb:S-FAP rod. The saturation fluence for diode pumping was deduced to be 5.5 J/cm{sup 2} for the particular 2.8 kW peak power diode array utilized in the studies. This is 2.5{times} higher than the intrinsic 2.2 J/cm{sup 2} saturation fluence as is attributed to the 6.5 nm bandwidth of the diode pump array. The small signal gain is consistent with the previously measuredmore » emission cross section of 6.0 {times} 10{sup {minus}20} cm{sup 2}, obtained from a narrowband-laser pumped gain experiment. Up to 1.7 J/cm{sup 3} of stored energy density was achieved in a 6 x 6 x 44 mm Yb:S-FAP amplifier rod. In a free running configuration, diode-pumped slope efficiencies up to 43% (laser output energy/absorbed pump energy) were observed with output energies up to {approximately}0.5 J per 1 ms pulse. When the rod was mounted in a copper block for cooling, 13 W of average power was produced with power supply limited operation at 70 Hz with 500 {micro}s pulses.« less

  13. Parameters to Maximize 2f2-f1 Distortion Product Otoacoustic Emission Levels

    ERIC Educational Resources Information Center

    Horn, Jennifer H.; Pratt, Shiela R.; Durrant, John D.

    2008-01-01

    Purpose: Past research has established parameters for the 2f1-f2 distortion product otoacoustic emissions (DPOAEs) that enhance response levels (e.g., L1 - L2 = 10 dB; f2/f1 = 1.22; L1, L2 = 65, 55 dB SPL). These same parameters do not optimize 2f2-f1 DPOAEs. Therefore, this study was conducted to evaluate more completely those parameters that…

  14. F 2 excimer laser (157 nm) radiation modification and surface ablation of PHEMA hydrogels and the effects on bioactivity: Surface attachment and proliferation of human corneal epithelial cells

    NASA Astrophysics Data System (ADS)

    Zainuddin; Chirila, Traian V.; Barnard, Zeke; Watson, Gregory S.; Toh, Chiong; Blakey, Idriss; Whittaker, Andrew K.; Hill, David J. T.

    2011-02-01

    Physical and chemical changes at the surface of poly(2-hydroxyethyl methacrylate) (PHEMA) hydrogels modified by ablation with an F 2 excimer laser were investigated experimentally. An important observation was that only the outer exposed surface layers of the hydrogel were affected by the exposure to 157 nm radiation. The effect of the surface changes on the tendency of cells to adhere to the PHEMA was also investigated. A 0.5 cm 2 area of the hydrogel surfaces was exposed to laser irradiation at 157 nm to fluences of 0.8 and 4 J cm -2. The changes in surface topography were analysed by light microscopy and atomic force microscopy, while the surface chemistry was characterized by attenuated total reflection infrared and X-ray photoelectron spectroscopies. Cell-interfacial interactions were examined based on the proliferation of human corneal limbal epithelial (HLE) cells cultured on the laser-modified hydrogels, and on the unexposed hydrogels and tissue culture plastic for comparison. It was observed that the surface topography of laser-exposed hydrogels showed rippled patterns with a surface roughness increasing at the higher exposure dose. The changes in surface chemistry were affected not only by an indirect effect of hydrogen and hydroxyl radicals, formed by water photolysis, on the PHEMA, but also by the direct action of laser radiation on PHEMA if the surface layers of the gel become depleted of water. The laser treatment led to a change in the surface characteristics, with a lower concentration of ester side-chains and the formation of new oxygenated species at the surface. The surface also became more hydrophobic. Most importantly, the surface chemistry and the newly created surface topographical features were able to improve the attachment, spreading and growth of HLE cells.

  15. Laser myringotomy with the CO2 Otoscan laser

    NASA Astrophysics Data System (ADS)

    Sedlmaier, Benedikt W.; Jivanjee, Antonio; Schoenfeld, Uwe; Jovanovic, Sergije

    2000-06-01

    Tympanic ventilation is the treatment of choice for otitis media with effusion (OME). CO2 laser myringotomy has already proven its value and is finding increasing clinical application. The ventilation time in the middle ear is essentially determined by the size of the laser perforation. Perforations exceeding 2 mm in diameter enable tympanic ventilation for about three weeks and thus compete with the ventilation tube in the treatment of OME. IN a prospective study, laser myringotomy is performed in 84 children with OME with the new CO2 laser otoscope Otoscan. The closure time was 17 days in average for a preformation diameter of 2 mm. In the further clinical course, the ear-drums healed without atrophic scar formation. In an observation period of six month the recurrency rate of effusion was approximately 10 percent. Laser myringotomy seems to be an useful method in the operative therapy of secretory otitis media.

  16. Portable, low-cost NMR with laser-lathe lithography produced microcoils.

    PubMed

    Demas, Vasiliki; Herberg, Julie L; Malba, Vince; Bernhardt, Anthony; Evans, Lee; Harvey, Christopher; Chinn, Sarah C; Maxwell, Robert S; Reimer, Jeffrey

    2007-11-01

    Nuclear Magnetic Resonance (NMR) is unsurpassed in its ability to non-destructively probe chemical identity. Portable, low-cost NMR sensors would enable on-site identification of potentially hazardous substances, as well as the study of samples in a variety of industrial applications. Recent developments in RF microcoil construction (i.e. coils much smaller than the standard 5mm NMR RF coils), have dramatically increased NMR sensitivity and decreased the limits-of-detection (LOD). We are using advances in laser pantographic microfabrication techniques, unique to LLNL, to produce RF microcoils for field deployable, high sensitivity NMR-based detectors. This same fabrication technique can be used to produce imaging coils for MRI as well as for standard hardware shimming or "ex-situ" shimming of field inhomogeneities typically associated with inexpensive magnets. This paper describes a portable NMR system based on the use of a 2 kg hand-held permanent magnet, laser-fabricated microcoils, and a compact spectrometer. The main limitations for such a system are the low resolution and sensitivity associated with the low field values and quality of small permanent magnets, as well as the lack of large amounts of sample of interest in most cases. The focus of the paper is on the setting up of this system, initial results, sensitivity measurements, discussion of the limitations and future plans. The results, even though preliminary, are promising and provide the foundation for developing a portable, inexpensive NMR system for chemical analysis. Such a system will be ideal for chemical identification of trace substances on site.

  17. Simulation of the effect of incline incident angle in DMD Maskless Lithography

    NASA Astrophysics Data System (ADS)

    Liang, L. W.; Zhou, J. Y.; Xiang, L. L.; Wang, B.; Wen, K. H.; Lei, L.

    2017-06-01

    The aim of this study is to provide a simulation method for investigation of the intensity fluctuation caused by the inclined incident angle in DMD (digital micromirror device) maskless lithography. The simulation consists of eight main processes involving the simplification of the DMD aperture function and light propagation utilizing the non-parallel angular spectrum method. These processes provide a possibility of co-simulation in the spatial frequency domain, which combines the microlens array and DMD in the maskless lithography system. The simulation provided the spot shape and illumination distribution. These two parameters are crucial in determining the exposure dose in the existing maskless lithography system.

  18. Design and Adaptation of an Optical System for Slit Lamp Delivery of a CO2 Laser Beam.

    DTIC Science & Technology

    1981-12-01

    laser’s efficacy to serve as a photocoagulator. A non-linear barium sodium niobate crystal converted the near infrared radiation (1.064 pm) to green...recommendations. 10 II. Theoretical Development and Predictions Corneal tissue is an excellent absorber of infrared radiation. Therefore, when high power CO2...in general, that the waist will be formed slightly to one Lde of the focal plane, as shown in Eq (4) (Ref 18:31). f2 (zl-f) 2. = + wW2 (4) (zl-f) 2

  19. Relative energies and collisional kinetics of the B(. cap omega. = 1/2) and C(. cap omega. = 3/2) excited states of xenon fluoride as studied by laser-induced fluorescence

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gedanken, A.; Smith, A.L.

    1981-09-17

    A pulsed nitrogen laser photodissociated F/sub 2/ in the presence of Xe, and the resulting ground-state XeF was excited by a second pulsed, tunable dye laser in the 0,4 and 0,5 bands of the B(1/2)-X(1/2) transition. Both dispersed fluorescence spectra and tunable laser excitation spectra, taken by using a gated detection system, show that the C state is lower in energy than the lowest vibrational level of the B state. The ratio of fluorescence intensities in the C-A and B-X transitions was measured as a function of xenon and argon pressure. An analytical model was developed for the time dependencemore » of the B and C state concentrations after instantaneous excitation and in the presence of intersystem crossing, quenching, and radiative decay. Published rate constants for the excited state kinetics of XeF(B) and XeF(C) are reviewed, and model calculations of the measured intensity ratio are used to assess these rate constants.« less

  20. Raman scattering measurements in flames using a tunable KrF excimer laser

    NASA Technical Reports Server (NTRS)

    Wehrmeyer, Joseph A.; Cheng, Tsarng-Sheng; Pitz, Robert W.

    1992-01-01

    A narrow-band tunable KrF excimer laser is used as a spontaneous vibrational Raman scattering source to demonstrate that single-pulse concentration and temperature measurements, with only minimal fluorescence interference, are possible for all major species (O2, N2, H2O, and H2) at all stoichiometries (fuel-lean to fuel rich) of H2-air flames. Photon-statistics-limited precisions in these instantaneous and spatially resolved single-pulse measurements are typically 5 percent, which are based on the relative standard deviations of single-pulse probability distributions. In addition to the single-pulse N2 Stokes/anti-Stokes ratio temperature measurement technique, a time-averaged temperature measurement technique is presented that matches the N2 Stokes Raman spectrum to theoretical spectra by using a single intermediate state frequency to account for near-resonance enhancement. Raman flame spectra in CH4-air flames are presented that have good signal-to-noise characteristics and show promise for single-pulse UV Raman measurements in hydrocarbon flames.