Sample records for fabricating customizable vlsi

  1. A Coherent VLSI Design Environment

    DTIC Science & Technology

    1987-12-31

    contract the total research volume in VLSI rose from an estimated $3,000,000 to over 3 $10,000,000, and a state-of-the-art VLSI fabrication facility costing...Research" 11:30 John Melngailic , "Submicron Structures Research at M.I.T." 11:55 Dimitri A. Antoniadis, "Status of the M.I.T. LSI Fabrication Facility ...1984. Contributions were made by Prof. Antoniadis and, to a small degree, Pro£ Glasser. Objective: • To develop techniques for fabricating integrated

  2. NASA Space Engineering Research Center for VLSI System Design

    NASA Technical Reports Server (NTRS)

    1993-01-01

    This annual report outlines the activities of the past year at the NASA SERC on VLSI Design. Highlights for this year include the following: a significant breakthrough was achieved in utilizing commercial IC foundries for producing flight electronics; the first two flight qualified chips were designed, fabricated, and tested and are now being delivered into NASA flight systems; and a new technology transfer mechanism has been established to transfer VLSI advances into NASA and commercial systems.

  3. On testing VLSI chips for the big Viterbi decoder

    NASA Technical Reports Server (NTRS)

    Hsu, I. S.

    1989-01-01

    A general technique that can be used in testing very large scale integrated (VLSI) chips for the Big Viterbi Decoder (BVD) system is described. The test technique is divided into functional testing and fault-coverage testing. The purpose of functional testing is to verify that the design works functionally. Functional test vectors are converted from outputs of software simulations which simulate the BVD functionally. Fault-coverage testing is used to detect and, in some cases, to locate faulty components caused by bad fabrication. This type of testing is useful in screening out bad chips. Finally, design for testability, which is included in the BVD VLSI chip design, is described in considerable detail. Both the observability and controllability of a VLSI chip are greatly enhanced by including the design for the testability feature.

  4. VLSI neuroprocessors

    NASA Technical Reports Server (NTRS)

    Kemeny, Sabrina E.

    1994-01-01

    Electronic and optoelectronic hardware implementations of highly parallel computing architectures address several ill-defined and/or computation-intensive problems not easily solved by conventional computing techniques. The concurrent processing architectures developed are derived from a variety of advanced computing paradigms including neural network models, fuzzy logic, and cellular automata. Hardware implementation technologies range from state-of-the-art digital/analog custom-VLSI to advanced optoelectronic devices such as computer-generated holograms and e-beam fabricated Dammann gratings. JPL's concurrent processing devices group has developed a broad technology base in hardware implementable parallel algorithms, low-power and high-speed VLSI designs and building block VLSI chips, leading to application-specific high-performance embeddable processors. Application areas include high throughput map-data classification using feedforward neural networks, terrain based tactical movement planner using cellular automata, resource optimization (weapon-target assignment) using a multidimensional feedback network with lateral inhibition, and classification of rocks using an inner-product scheme on thematic mapper data. In addition to addressing specific functional needs of DOD and NASA, the JPL-developed concurrent processing device technology is also being customized for a variety of commercial applications (in collaboration with industrial partners), and is being transferred to U.S. industries. This viewgraph p resentation focuses on two application-specific processors which solve the computation intensive tasks of resource allocation (weapon-target assignment) and terrain based tactical movement planning using two extremely different topologies. Resource allocation is implemented as an asynchronous analog competitive assignment architecture inspired by the Hopfield network. Hardware realization leads to a two to four order of magnitude speed-up over conventional

  5. Towards an Analogue Neuromorphic VLSI Instrument for the Sensing of Complex Odours

    NASA Astrophysics Data System (ADS)

    Ab Aziz, Muhammad Fazli; Harun, Fauzan Khairi Che; Covington, James A.; Gardner, Julian W.

    2011-09-01

    Almost all electronic nose instruments reported today employ pattern recognition algorithms written in software and run on digital processors, e.g. micro-processors, microcontrollers or FPGAs. Conversely, in this paper we describe the analogue VLSI implementation of an electronic nose through the design of a neuromorphic olfactory chip. The modelling, design and fabrication of the chip have already been reported. Here a smart interface has been designed and characterised for thisneuromorphic chip. Thus we can demonstrate the functionality of the a VLSI neuromorphic chip, producing differing principal neuron firing patterns to real sensor response data. Further work is directed towards integrating 9 separate neuromorphic chips to create a large neuronal network to solve more complex olfactory problems.

  6. Very Large Scale Integration (VLSI).

    ERIC Educational Resources Information Center

    Yeaman, Andrew R. J.

    Very Large Scale Integration (VLSI), the state-of-the-art production techniques for computer chips, promises such powerful, inexpensive computing that, in the future, people will be able to communicate with computer devices in natural language or even speech. However, before full-scale VLSI implementation can occur, certain salient factors must be…

  7. The Fifth NASA Symposium on VLSI Design

    NASA Technical Reports Server (NTRS)

    1993-01-01

    The fifth annual NASA Symposium on VLSI Design had 13 sessions including Radiation Effects, Architectures, Mixed Signal, Design Techniques, Fault Testing, Synthesis, Signal Processing, and other Featured Presentations. The symposium provides insights into developments in VLSI and digital systems which can be used to increase data systems performance. The presentations share insights into next generation advances that will serve as a basis for future VLSI design.

  8. Optical printed circuit board (O-PCB) and VLSI photonic integrated circuits: visions, challenges, and progresses

    NASA Astrophysics Data System (ADS)

    Lee, El-Hang; Lee, S. G.; O, B. H.; Park, S. G.; Noh, H. S.; Kim, K. H.; Song, S. H.

    2006-09-01

    A collective overview and review is presented on the original work conducted on the theory, design, fabrication, and in-tegration of micro/nano-scale optical wires and photonic devices for applications in a newly-conceived photonic systems called "optical printed circuit board" (O-PCBs) and "VLSI photonic integrated circuits" (VLSI-PIC). These are aimed for compact, high-speed, multi-functional, intelligent, light-weight, low-energy and environmentally friendly, low-cost, and high-volume applications to complement or surpass the capabilities of electrical PCBs (E-PCBs) and/or VLSI electronic integrated circuit (VLSI-IC) systems. These consist of 2-dimensional or 3-dimensional planar arrays of micro/nano-optical wires and circuits to perform the functions of all-optical sensing, storing, transporting, processing, switching, routing and distributing optical signals on flat modular boards or substrates. The integrated optical devices include micro/nano-scale waveguides, lasers, detectors, switches, sensors, directional couplers, multi-mode interference devices, ring-resonators, photonic crystal devices, plasmonic devices, and quantum devices, made of polymer, silicon and other semiconductor materials. For VLSI photonic integration, photonic crystals and plasmonic structures have been used. Scientific and technological issues concerning the processes of miniaturization, interconnection and integration of these systems as applicable to board-to-board, chip-to-chip, and intra-chip integration, are discussed along with applications for future computers, telecommunications, and sensor-systems. Visions and challenges toward these goals are also discussed.

  9. Architecture for VLSI design of Reed-Solomon encoders

    NASA Technical Reports Server (NTRS)

    Liu, K. Y.

    1981-01-01

    The logic structure of a universal VLSI chip called the symbol-slice Reed-Solomon (RS) encoder chip is discussed. An RS encoder can be constructed by cascading and properly interconnecting a group of such VLSI chips. As a design example, it is shown that a (255,223) RD encoder requiring around 40 discrete CMOS ICs may be replaced by an RS encoder consisting of four identical interconnected VLSI RS encoder chips. Besides the size advantage, the VLSI RS encoder also has the potential advantages of requiring less power and having a higher reliability.

  10. An engineering methodology for implementing and testing VLSI (Very Large Scale Integrated) circuits

    NASA Astrophysics Data System (ADS)

    Corliss, Walter F., II

    1989-03-01

    The engineering methodology for producing a fully tested VLSI chip from a design layout is presented. A 16-bit correlator, NPS CORN88, that was previously designed, was used as a vehicle to demonstrate this methodology. The study of the design and simulation tools, MAGIC and MOSSIM II, was the focus of the design and validation process. The design was then implemented and the chip was fabricated by MOSIS. This fabricated chip was then used to develop a testing methodology for using the digital test facilities at NPS. NPS CORN88 was the first full custom VLSI chip, designed at NPS, to be tested with the NPS digital analysis system, Tektronix DAS 9100 series tester. The capabilities and limitations of these test facilities are examined. NPS CORN88 test results are included to demonstrate the capabilities of the digital test system. A translator, MOS2DAS, was developed to convert the MOSSIM II simulation program to the input files required by the DAS 9100 device verification software, 91DVS. Finally, a tutorial for using the digital test facilities, including the DAS 9100 and associated support equipments, is included as an appendix.

  11. Generation of Customizable Micro-wavy Pattern through Grayscale Direct Image Lithography

    PubMed Central

    He, Ran; Wang, Shunqiang; Andrews, Geoffrey; Shi, Wentao; Liu, Yaling

    2016-01-01

    With the increasing amount of research work in surface studies, a more effective method of producing patterned microstructures is highly desired due to the geometric limitations and complex fabricating process of current techniques. This paper presents an efficient and cost-effective method to generate customizable micro-wavy pattern using direct image lithography. This method utilizes a grayscale Gaussian distribution effect to model inaccuracies inherent in the polymerization process, which are normally regarded as trivial matters or errors. The measured surface profiles and the mathematical prediction show a good agreement, demonstrating the ability of this method to generate wavy patterns with precisely controlled features. An accurate pattern can be generated with customizable parameters (wavelength, amplitude, wave shape, pattern profile, and overall dimension). This mask-free photolithography approach provides a rapid fabrication method that is capable of generating complex and non-uniform 3D wavy patterns with the wavelength ranging from 12 μm to 2100 μm and an amplitude-to-wavelength ratio as large as 300%. Microfluidic devices with pure wavy and wavy-herringbone patterns suitable for capture of circulating tumor cells are made as a demonstrative application. A completely customized microfluidic device with wavy patterns can be created within a few hours without access to clean room or commercial photolithography equipment. PMID:26902520

  12. The 1991 3rd NASA Symposium on VLSI Design

    NASA Technical Reports Server (NTRS)

    Maki, Gary K.

    1991-01-01

    Papers from the symposium are presented from the following sessions: (1) featured presentations 1; (2) very large scale integration (VLSI) circuit design; (3) VLSI architecture 1; (4) featured presentations 2; (5) neural networks; (6) VLSI architectures 2; (7) featured presentations 3; (8) verification 1; (9) analog design; (10) verification 2; (11) design innovations 1; (12) asynchronous design; and (13) design innovations 2.

  13. Electro-optic techniques for VLSI interconnect

    NASA Astrophysics Data System (ADS)

    Neff, J. A.

    1985-03-01

    A major limitation to achieving significant speed increases in very large scale integration (VLSI) lies in the metallic interconnects. They are costly not only from the charge transport standpoint but also from capacitive loading effects. The Defense Advanced Research Projects Agency, in pursuit of the fifth generation supercomputer, is investigating alternatives to the VLSI metallic interconnects, especially the use of optical techniques to transport the information either inter or intrachip. As the on chip performance of VLSI continues to improve via the scale down of the logic elements, the problems associated with transferring data off and onto the chip become more severe. The use of optical carriers to transfer the information within the computer is very appealing from several viewpoints. Besides the potential for gigabit propagation rates, the conversion from electronics to optics conveniently provides a decoupling of the various circuits from one another. Significant gains will also be realized in reducing cross talk between the metallic routings, and the interconnects need no longer be constrained to the plane of a thin film on the VLSI chip. In addition, optics can offer an increased programming flexibility for restructuring the interconnect network.

  14. Overlay Tolerances For VLSI Using Wafer Steppers

    NASA Astrophysics Data System (ADS)

    Levinson, Harry J.; Rice, Rory

    1988-01-01

    In order for VLSI circuits to function properly, the masking layers used in the fabrication of those devices must overlay each other to within the manufacturing tolerance incorporated in the circuit design. The capabilities of the alignment tools used in the masking process determine the overlay tolerances to which circuits can be designed. It is therefore of considerable importance that these capabilities be well characterized. Underestimation of the overlay accuracy results in unnecessarily large devices, resulting in poor utilization of wafer area and possible degradation of device performance. Overestimation will result in significant yield loss because of the failure to conform to the tolerances of the design rules. The proper methodology for determining the overlay capabilities of wafer steppers, the most commonly used alignment tool for the production of VLSI circuits, is the subject of this paper. Because cost-effective manufacturing process technology has been the driving force of VLSI, the impact on productivity is a primary consideration in all discussions. Manufacturers of alignment tools advertise the capabilities of their equipment. It is notable that no manufacturer currently characterizes his aligners in a manner consistent with the requirements of producing very large integrated circuits, as will be discussed. This has resulted in the situation in which the evaluation and comparison of the capabilities of alignment tools require the attention of a lithography specialist. Unfortunately, lithographic capabilities must be known by many other people, particularly the circuit designers and the managers responsible for the financial consequences of the high prices of modern alignment tools. All too frequently, the designer or manager is confronted with contradictory data, one set coming from his lithography specialist, and the other coming from a sales representative of an equipment manufacturer. Since the latter generally attempts to make his

  15. Recursive computer architecture for VLSI

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Treleaven, P.C.; Hopkins, R.P.

    1982-01-01

    A general-purpose computer architecture based on the concept of recursion and suitable for VLSI computer systems built from replicated (lego-like) computing elements is presented. The recursive computer architecture is defined by presenting a program organisation, a machine organisation and an experimental machine implementation oriented to VLSI. The experimental implementation is being restricted to simple, identical microcomputers each containing a memory, a processor and a communications capability. This future generation of lego-like computer systems are termed fifth generation computers by the Japanese. 30 references.

  16. Additive Manufacturing of Patient-Customizable Scaffolds for Tubular Tissues Using the Melt-Drawing Method.

    PubMed

    Tan, Yu Jun; Tan, Xipeng; Yeong, Wai Yee; Tor, Shu Beng

    2016-11-03

    Polymeric fibrous scaffolds for guiding cell growth are designed to be potentially used for the tissue engineering (TE) of tubular organs including esophagi, blood vessels, tracheas, etc. Tubular scaffolds were fabricated via melt-drawing of highly elastic poly(l-lactide-co-ε-caprolactone) (PLC) fibers layer-by-layer on a cylindrical mandrel. The diameter and length of the scaffolds are customizable via 3D printing of the mandrel. Thickness of the scaffolds was varied by changing the number of layers of the melt-drawing process. The morphology and tensile properties of the PLC fibers were investigated. The fibers were highly aligned with a uniform diameter. Their diameters and tensile properties were tunable by varying the melt-drawing speeds. These tailorable topographies and tensile properties show that the additive-based scaffold fabrication technique is customizable at the micro- and macro-scale for different tubular tissues. The merits of these scaffolds in TE were further shown by the finding that myoblast and fibroblast cells seeded onto the scaffolds in vitro showed appropriate cell proliferation and distribution. Human mesenchymal stem cells (hMSCs) differentiated to smooth muscle lineage on the microfibrous scaffolds in the absence of soluble induction factors, showing cellular shape modulation and scaffold elasticity may encourage the myogenic differentiation of stem cells.

  17. Cascaded VLSI Chips Help Neural Network To Learn

    NASA Technical Reports Server (NTRS)

    Duong, Tuan A.; Daud, Taher; Thakoor, Anilkumar P.

    1993-01-01

    Cascading provides 12-bit resolution needed for learning. Using conventional silicon chip fabrication technology of VLSI, fully connected architecture consisting of 32 wide-range, variable gain, sigmoidal neurons along one diagonal and 7-bit resolution, electrically programmable, synaptic 32 x 31 weight matrix implemented on neuron-synapse chip. To increase weight nominally from 7 to 13 bits, synapses on chip individually cascaded with respective synapses on another 32 x 32 matrix chip with 7-bit resolution synapses only (without neurons). Cascade correlation algorithm varies number of layers effectively connected into network; adds hidden layers one at a time during learning process in such way as to optimize overall number of neurons and complexity and configuration of network.

  18. Assimilation of Biophysical Neuronal Dynamics in Neuromorphic VLSI.

    PubMed

    Wang, Jun; Breen, Daniel; Akinin, Abraham; Broccard, Frederic; Abarbanel, Henry D I; Cauwenberghs, Gert

    2017-12-01

    Representing the biophysics of neuronal dynamics and behavior offers a principled analysis-by-synthesis approach toward understanding mechanisms of nervous system functions. We report on a set of procedures assimilating and emulating neurobiological data on a neuromorphic very large scale integrated (VLSI) circuit. The analog VLSI chip, NeuroDyn, features 384 digitally programmable parameters specifying for 4 generalized Hodgkin-Huxley neurons coupled through 12 conductance-based chemical synapses. The parameters also describe reversal potentials, maximal conductances, and spline regressed kinetic functions for ion channel gating variables. In one set of experiments, we assimilated membrane potential recorded from one of the neurons on the chip to the model structure upon which NeuroDyn was designed using the known current input sequence. We arrived at the programmed parameters except for model errors due to analog imperfections in the chip fabrication. In a related set of experiments, we replicated songbird individual neuron dynamics on NeuroDyn by estimating and configuring parameters extracted using data assimilation from intracellular neural recordings. Faithful emulation of detailed biophysical neural dynamics will enable the use of NeuroDyn as a tool to probe electrical and molecular properties of functional neural circuits. Neuroscience applications include studying the relationship between molecular properties of neurons and the emergence of different spike patterns or different brain behaviors. Clinical applications include studying and predicting effects of neuromodulators or neurodegenerative diseases on ion channel kinetics.

  19. Image processing via VLSI: A concept paper

    NASA Technical Reports Server (NTRS)

    Nathan, R.

    1982-01-01

    Implementing specific image processing algorithms via very large scale integrated systems offers a potent solution to the problem of handling high data rates. Two algorithms stand out as being particularly critical -- geometric map transformation and filtering or correlation. These two functions form the basis for data calibration, registration and mosaicking. VLSI presents itself as an inexpensive ancillary function to be added to almost any general purpose computer and if the geometry and filter algorithms are implemented in VLSI, the processing rate bottleneck would be significantly relieved. A set of image processing functions that limit present systems to deal with future throughput needs, translates these functions to algorithms, implements via VLSI technology and interfaces the hardware to a general purpose digital computer is developed.

  20. VLSI Implementation of Neuromorphic Learning Networks

    DTIC Science & Technology

    1993-03-31

    AND DATES COVEREDFINAL/O1 AUG 90 TO 31 MAR 93 4. TITLE AND SUBTII1L S. FUNDING NUMBERS VLSI IMPLEMENTATION OF NEUROMORPHIC LEARNING NETWORKS (U) 6...Standard Form 298 (Rev 2-89) rtrfbc byv nN$I A Z’Si - 8 9- A* qip. COVER SHEET VLSI Implementation of Neuromorphic Learning Networks Contract Number... Neuromorphic Learning Networks Sponsored by Defense Advanced Research Projects Agency DARPA Order No. 7013 Monitored by AFOSR Under Contract No. F49620-90-C

  1. VLSI research

    NASA Astrophysics Data System (ADS)

    Brodersen, R. W.

    1984-04-01

    A scaled version of the RISC II chip has been fabricated and tested and these new chips have a cycle time that would outperform a VAX 11/780 by about a factor of two on compiled integer C programs. The architectural work on a RISC chip designed for a Smalltalk implementation has been completed. This chip, called SOAR (Smalltalk On a RISC), should run program s4-15 times faster than the Xerox 1100 (Dolphin), a TTL minicomputer, and about as fast as the Xerox 1132 (Dorado), a $100,000 ECL minicomputer. The 1983 VLSI tools tape has been converted for use under the latest UNIX release (4.2). The Magic (formerly called Caddy) layout system will be a unified set of highly automated tools that cover all aspects of the layout process, including stretching, compaction, tiling and routing. A multiple window package and design rule checker for this system have just been completed and compaction and stretching are partially implemented. New slope-based timing models for the Crystal timing analyzer are now fully implemented and in regular use. In an accuracy test using a dozen critical paths from the RISC II processor and cache chips it was found that Crystal's estimates were within 5-10% of SPICE's estimates, while being a factor of 10,000 times faster.

  2. Parallel optimization algorithms and their implementation in VLSI design

    NASA Technical Reports Server (NTRS)

    Lee, G.; Feeley, J. J.

    1991-01-01

    Two new parallel optimization algorithms based on the simplex method are described. They may be executed by a SIMD parallel processor architecture and be implemented in VLSI design. Several VLSI design implementations are introduced. An application example is reported to demonstrate that the algorithms are effective.

  3. Rod-based Fabrication of Customizable Soft Robotic Pneumatic Gripper Devices for Delicate Tissue Manipulation.

    PubMed

    Low, Jin-Huat; Yeow, Chen-Hua

    2016-08-02

    Soft compliant gripping is essential in delicate surgical manipulation for minimizing the risk of tissue grip damage caused by high stress concentrations at the point of contact. It can be achieved by complementing traditional rigid grippers with soft robotic pneumatic gripper devices. This manuscript describes a rod-based approach that combined both 3D-printing and a modified soft lithography technique to fabricate the soft pneumatic gripper. In brief, the pneumatic featureless mold with chamber component is 3D-printed and the rods were used to create the pneumatic channels that connect to the chamber. This protocol eliminates the risk of channels occluding during the sealing process and the need for external air source or related control circuit. The soft gripper consists of a chamber filled with air, and one or more gripper arms with a pneumatic channel in each arm connected to the chamber. The pneumatic channel is positioned close to the outer wall to create different stiffness in the gripper arm. Upon compression of the chamber which generates pressure on the pneumatic channel, the gripper arm will bend inward to form a close grip posture because the outer wall area is more compliant. The soft gripper can be inserted into a 3D-printed handling tool with two different control modes for chamber compression: manual gripper mode with a movable piston, and robotic gripper mode with a linear actuator. The double-arm gripper with two actuatable arms was able to pick up objects of sizes up to 2 mm and yet generate lower compressive forces as compared to elastomer-coated and non-coated rigid grippers. The feasibility of having other designs, such as single-arm or hook gripper, was also demonstrated, which further highlighted the customizability of the soft gripper device, and it's potential to be used in delicate surgical manipulation to reduce the risk of tissue grip damage.

  4. VLSI chips for vision-based vehicle guidance

    NASA Astrophysics Data System (ADS)

    Masaki, Ichiro

    1994-02-01

    Sensor-based vehicle guidance systems are gathering rapidly increasing interest because of their potential for increasing safety, convenience, environmental friendliness, and traffic efficiency. Examples of applications include intelligent cruise control, lane following, collision warning, and collision avoidance. This paper reviews the research trends in vision-based vehicle guidance with an emphasis on VLSI chip implementations of the vision systems. As an example of VLSI chips for vision-based vehicle guidance, a stereo vision system is described in detail.

  5. Artificial immune system algorithm in VLSI circuit configuration

    NASA Astrophysics Data System (ADS)

    Mansor, Mohd. Asyraf; Sathasivam, Saratha; Kasihmuddin, Mohd Shareduwan Mohd

    2017-08-01

    In artificial intelligence, the artificial immune system is a robust bio-inspired heuristic method, extensively used in solving many constraint optimization problems, anomaly detection, and pattern recognition. This paper discusses the implementation and performance of artificial immune system (AIS) algorithm integrated with Hopfield neural networks for VLSI circuit configuration based on 3-Satisfiability problems. Specifically, we emphasized on the clonal selection technique in our binary artificial immune system algorithm. We restrict our logic construction to 3-Satisfiability (3-SAT) clauses in order to outfit with the transistor configuration in VLSI circuit. The core impetus of this research is to find an ideal hybrid model to assist in the VLSI circuit configuration. In this paper, we compared the artificial immune system (AIS) algorithm (HNN-3SATAIS) with the brute force algorithm incorporated with Hopfield neural network (HNN-3SATBF). Microsoft Visual C++ 2013 was used as a platform for training, simulating and validating the performances of the proposed network. The results depict that the HNN-3SATAIS outperformed HNN-3SATBF in terms of circuit accuracy and CPU time. Thus, HNN-3SATAIS can be used to detect an early error in the VLSI circuit design.

  6. Hybrid VLSI/QCA Architecture for Computing FFTs

    NASA Technical Reports Server (NTRS)

    Fijany, Amir; Toomarian, Nikzad; Modarres, Katayoon; Spotnitz, Matthew

    2003-01-01

    A data-processor architecture that would incorporate elements of both conventional very-large-scale integrated (VLSI) circuitry and quantum-dot cellular automata (QCA) has been proposed to enable the highly parallel and systolic computation of fast Fourier transforms (FFTs). The proposed circuit would complement the QCA-based circuits described in several prior NASA Tech Briefs articles, namely Implementing Permutation Matrices by Use of Quantum Dots (NPO-20801), Vol. 25, No. 10 (October 2001), page 42; Compact Interconnection Networks Based on Quantum Dots (NPO-20855) Vol. 27, No. 1 (January 2003), page 32; and Bit-Serial Adder Based on Quantum Dots (NPO-20869), Vol. 27, No. 1 (January 2003), page 35. The cited prior articles described the limitations of very-large-scale integrated (VLSI) circuitry and the major potential advantage afforded by QCA. To recapitulate: In a VLSI circuit, signal paths that are required not to interact with each other must not cross in the same plane. In contrast, for reasons too complex to describe in the limited space available for this article, suitably designed and operated QCAbased signal paths that are required not to interact with each other can nevertheless be allowed to cross each other in the same plane without adverse effect. In principle, this characteristic could be exploited to design compact, coplanar, simple (relative to VLSI) QCA-based networks to implement complex, advanced interconnection schemes.

  7. The 1992 4th NASA SERC Symposium on VLSI Design

    NASA Technical Reports Server (NTRS)

    Whitaker, Sterling R.

    1992-01-01

    Papers from the fourth annual NASA Symposium on VLSI Design, co-sponsored by the IEEE, are presented. Each year this symposium is organized by the NASA Space Engineering Research Center (SERC) at the University of Idaho and is held in conjunction with a quarterly meeting of the NASA Data System Technology Working Group (DSTWG). One task of the DSTWG is to develop new electronic technologies that will meet next generation electronic data system needs. The symposium provides insights into developments in VLSI and digital systems which can be used to increase data systems performance. The NASA SERC is proud to offer, at its fourth symposium on VLSI design, presentations by an outstanding set of individuals from national laboratories, the electronics industry, and universities. These speakers share insights into next generation advances that will serve as a basis for future VLSI design.

  8. VLSI technology for smaller, cheaper, faster return link systems

    NASA Technical Reports Server (NTRS)

    Nanzetta, Kathy; Ghuman, Parminder; Bennett, Toby; Solomon, Jeff; Dowling, Jason; Welling, John

    1994-01-01

    Very Large Scale Integration (VLSI) Application-specific Integrated Circuit (ASIC) technology has enabled substantially smaller, cheaper, and more capable telemetry data systems. However, the rapid growth in available ASIC fabrication densities has far outpaced the application of this technology to telemetry systems. Available densities have grown by well over an order magnitude since NASA's Goddard Space Flight Center (GSFC) first began developing ASIC's for ground telemetry systems in 1985. To take advantage of these higher integration levels, a new generation of ASIC's for return link telemetry processing is under development. These new submicron devices are designed to further reduce the cost and size of NASA return link processing systems while improving performance. This paper describes these highly integrated processing components.

  9. Parallel VLSI architecture emulation and the organization of APSA/MPP

    NASA Technical Reports Server (NTRS)

    Odonnell, John T.

    1987-01-01

    The Applicative Programming System Architecture (APSA) combines an applicative language interpreter with a novel parallel computer architecture that is well suited for Very Large Scale Integration (VLSI) implementation. The Massively Parallel Processor (MPP) can simulate VLSI circuits by allocating one processing element in its square array to an area on a square VLSI chip. As long as there are not too many long data paths, the MPP can simulate a VLSI clock cycle very rapidly. The APSA circuit contains a binary tree with a few long paths and many short ones. A skewed H-tree layout allows every processing element to simulate a leaf cell and up to four tree nodes, with no loss in parallelism. Emulation of a key APSA algorithm on the MPP resulted in performance 16,000 times faster than a Vax. This speed will make it possible for the APSA language interpreter to run fast enough to support research in parallel list processing algorithms.

  10. Summary of workshop on the application of VLSI for robotic sensing

    NASA Technical Reports Server (NTRS)

    Brooks, T.; Wilcox, B.

    1984-01-01

    It was one of the objectives of the considered workshop to identify near, mid, and far-term applications of VLSI for robotic sensing and sensor data preprocessing. The workshop was also to indicate areas in which VLSI technology can provide immediate and future payoffs. A third objective is related to the promotion of dialog and collaborative efforts between research communities, industry, and government. The workshop was held on March 24-25, 1983. Conclusions and recommendations are discussed. Attention is given to the need for a pixel correction chip, an image sensor with 10,000 dynamic range, VLSI enhanced architectures, the need for a high-density serpentine memory, an LSI-tactile sensing program, an analog-signal preprocessor chip, a smart strain gage, a protective proximity envelope, a VLSI-proximity sensor program, a robot-net chip, and aspects of silicon micromechanics.

  11. VLSI Research

    DTIC Science & Technology

    1984-04-01

    Ousterhout, G.T. Hamachi, R.N. Mayo, W.S. Scott, and G.S. Taylor , "A Collection of Papers on Magic," Technical Report No. UCB/CSD 83/154, Computer Science...Division, University of California, Berkeley, December 1983. (3) J.K Ousterhout, G.T. Hamachi, R.N. Mayo, W.S. Scott, and G.S. Taylor , "Magic: A...VLSI Layout System." to appear, Slst Design Automation Confer- ence, June 1984. (4) G.S. Taylor and J.K Ousterhout, "Magic’s Incremental Design-Rule

  12. A new VLSI complex integer multiplier which uses a quadratic-polynomial residue system with Fermat numbers

    NASA Technical Reports Server (NTRS)

    Truong, T. K.; Hsu, I. S.; Chang, J. J.; Shyu, H. C.; Reed, I. S.

    1986-01-01

    A quadratic-polynomial Fermat residue number system (QFNS) has been used to compute complex integer multiplications. The advantage of such a QFNS is that a complex integer multiplication requires only two integer multiplications. In this article, a new type Fermat number multiplier is developed which eliminates the initialization condition of the previous method. It is shown that the new complex multiplier can be implemented on a single VLSI chip. Such a chip is designed and fabricated in CMOS-pw technology.

  13. A new VLSI complex integer multiplier which uses a quadratic-polynomial residue system with Fermat numbers

    NASA Technical Reports Server (NTRS)

    Shyu, H. C.; Reed, I. S.; Truong, T. K.; Hsu, I. S.; Chang, J. J.

    1987-01-01

    A quadratic-polynomial Fermat residue number system (QFNS) has been used to compute complex integer multiplications. The advantage of such a QFNS is that a complex integer multiplication requires only two integer multiplications. In this article, a new type Fermat number multiplier is developed which eliminates the initialization condition of the previous method. It is shown that the new complex multiplier can be implemented on a single VLSI chip. Such a chip is designed and fabricated in CMOS-Pw technology.

  14. Architecture for VLSI design of Reed-Solomon encoders

    NASA Technical Reports Server (NTRS)

    Liu, K. Y.

    1982-01-01

    A description is given of the logic structure of the universal VLSI symbol-slice Reed-Solomon (RS) encoder chip, from a group of which an RS encoder may be constructed through cascading and proper interconnection. As a design example, it is shown that an RS encoder presently requiring approximately 40 discrete CMOS ICs may be replaced by an RS encoder consisting of four identical, interconnected VLSI RS encoder chips, offering in addition to greater compactness both a lower power requirement and greater reliability.

  15. Architecture for VLSI design of Reed-Solomon encoders

    NASA Astrophysics Data System (ADS)

    Liu, K. Y.

    1982-02-01

    A description is given of the logic structure of the universal VLSI symbol-slice Reed-Solomon (RS) encoder chip, from a group of which an RS encoder may be constructed through cascading and proper interconnection. As a design example, it is shown that an RS encoder presently requiring approximately 40 discrete CMOS ICs may be replaced by an RS encoder consisting of four identical, interconnected VLSI RS encoder chips, offering in addition to greater compactness both a lower power requirement and greater reliability.

  16. A single VLSI chip for computing syndromes in the (225, 223) Reed-Solomon decoder

    NASA Technical Reports Server (NTRS)

    Hsu, I. S.; Truong, T. K.; Shao, H. M.; Deutsch, L. J.

    1986-01-01

    A description of a single VLSI chip for computing syndromes in the (255, 223) Reed-Solomon decoder is presented. The architecture that leads to this single VLSI chip design makes use of the dual basis multiplication algorithm. The same architecture can be applied to design VLSI chips to compute various kinds of number theoretic transforms.

  17. VLSI (Very Large Scale Integration) Design Tools, Reference Manual, Release 3.0.

    DTIC Science & Technology

    1985-08-01

    generators/mult prior to running mult. The generated layout is output in directory 1ca in caesar cells with names of the form "caesarame*oca. Mut is a cft ...vlsa) spice(1.vlsi), User’s Guide to AML VLSI Dodgen Tools Reference Manual, UW/NW VLSI Consortium, University of Washington, (Christopher Terman, MIT...of the form ’caesarname..ca. Muls is a cft -based program and therefore also produces *.bd fiIls ’Caesaramew may not begin with the string mule. The

  18. NASA Space Engineering Research Center Symposium on VLSI Design

    NASA Technical Reports Server (NTRS)

    Maki, Gary K.

    1990-01-01

    The NASA Space Engineering Research Center (SERC) is proud to offer, at its second symposium on VLSI design, presentations by an outstanding set of individuals from national laboratories and the electronics industry. These featured speakers share insights into next generation advances that will serve as a basis for future VLSI design. Questions of reliability in the space environment along with new directions in CAD and design are addressed by the featured speakers.

  19. A single chip VLSI Reed-Solomon decoder

    NASA Technical Reports Server (NTRS)

    Shao, H. M.; Truong, T. K.; Hsu, I. S.; Deutsch, L. J.; Reed, I. S.

    1986-01-01

    A new VLSI design of a pipeline Reed-Solomon decoder is presented. The transform decoding technique used in a previous design is replaced by a time domain algorithm. A new architecture that implements such an algorithm permits efficient pipeline processing with minimum circuitry. A systolic array is also developed to perform erasure corrections in the new design. A modified form of Euclid's algorithm is implemented by a new architecture that maintains the throughput rate with less circuitry. Such improvements result in both enhanced capability and a significant reduction in silicon area, therefore making it possible to build a pipeline (31,15)RS decoder on a single VLSI chip.

  20. Associative Pattern Recognition In Analog VLSI Circuits

    NASA Technical Reports Server (NTRS)

    Tawel, Raoul

    1995-01-01

    Winner-take-all circuit selects best-match stored pattern. Prototype cascadable very-large-scale integrated (VLSI) circuit chips built and tested to demonstrate concept of electronic associative pattern recognition. Based on low-power, sub-threshold analog complementary oxide/semiconductor (CMOS) VLSI circuitry, each chip can store 128 sets (vectors) of 16 analog values (vector components), vectors representing known patterns as diverse as spectra, histograms, graphs, or brightnesses of pixels in images. Chips exploit parallel nature of vector quantization architecture to implement highly parallel processing in relatively simple computational cells. Through collective action, cells classify input pattern in fraction of microsecond while consuming power of few microwatts.

  1. VLSI 'smart' I/O module development

    NASA Astrophysics Data System (ADS)

    Kirk, Dan

    The developmental history, design, and operation of the MIL-STD-1553A/B discrete and serial module (DSM) for the U.S. Navy AN/AYK-14(V) avionics computer are described and illustrated with diagrams. The ongoing preplanned product improvement for the AN/AYK-14(V) includes five dual-redundant MIL-STD-1553 channels based on DSMs. The DSM is a front-end processor for transferring data to and from a common memory, sharing memory with a host processor to provide improved 'smart' input/output performance. Each DSM comprises three hardware sections: three VLSI-6000 semicustomized CMOS arrays, memory units to support the arrays, and buffers and resynchronization circuits. The DSM hardware module design, VLSI-6000 design tools, controlware and test software, and checkout procedures (using a hardware simulator) are characterized in detail.

  2. A multichip aVLSI system emulating orientation selectivity of primary visual cortical cells.

    PubMed

    Shimonomura, Kazuhiro; Yagi, Tetsuya

    2005-07-01

    In this paper, we designed and fabricated a multichip neuromorphic analog very large scale integrated (aVLSI) system, which emulates the orientation selective response of the simple cell in the primary visual cortex. The system consists of a silicon retina and an orientation chip. An image, which is filtered by a concentric center-surround (CS) antagonistic receptive field of the silicon retina, is transferred to the orientation chip. The image transfer from the silicon retina to the orientation chip is carried out with analog signals. The orientation chip selectively aggregates multiple pixels of the silicon retina, mimicking the feedforward model proposed by Hubel and Wiesel. The chip provides the orientation-selective (OS) outputs which are tuned to 0 degrees, 60 degrees, and 120 degrees. The feed-forward aggregation reduces the fixed pattern noise that is due to the mismatch of the transistors in the orientation chip. The spatial properties of the orientation selective response were examined in terms of the adjustable parameters of the chip, i.e., the number of aggregated pixels and size of the receptive field of the silicon retina. The multichip aVLSI architecture used in the present study can be applied to implement higher order cells such as the complex cell of the primary visual cortex.

  3. SSI/MSI/LSI/VLSI/ULSI.

    ERIC Educational Resources Information Center

    Alexander, George

    1984-01-01

    Discusses small-scale integrated (SSI), medium-scale integrated (MSI), large-scale integrated (LSI), very large-scale integrated (VLSI), and ultra large-scale integrated (ULSI) chips. The development and properties of these chips, uses of gallium arsenide, Josephson devices (two superconducting strips sandwiching a thin insulator), and future…

  4. vPELS: An E-Learning Social Environment for VLSI Design with Content Security Using DRM

    ERIC Educational Resources Information Center

    Dewan, Jahangir; Chowdhury, Morshed; Batten, Lynn

    2014-01-01

    This article provides a proposal for personal e-learning system (vPELS [where "v" stands for VLSI: very large scale integrated circuit])) architecture in the context of social network environment for VLSI Design. The main objective of vPELS is to develop individual skills on a specific subject--say, VLSI--and share resources with peers.…

  5. Verification of VLSI designs

    NASA Technical Reports Server (NTRS)

    Windley, P. J.

    1991-01-01

    In this paper we explore the specification and verification of VLSI designs. The paper focuses on abstract specification and verification of functionality using mathematical logic as opposed to low-level boolean equivalence verification such as that done using BDD's and Model Checking. Specification and verification, sometimes called formal methods, is one tool for increasing computer dependability in the face of an exponentially increasing testing effort.

  6. A special purpose silicon compiler for designing supercomputing VLSI systems

    NASA Technical Reports Server (NTRS)

    Venkateswaran, N.; Murugavel, P.; Kamakoti, V.; Shankarraman, M. J.; Rangarajan, S.; Mallikarjun, M.; Karthikeyan, B.; Prabhakar, T. S.; Satish, V.; Venkatasubramaniam, P. R.

    1991-01-01

    Design of general/special purpose supercomputing VLSI systems for numeric algorithm execution involves tackling two important aspects, namely their computational and communication complexities. Development of software tools for designing such systems itself becomes complex. Hence a novel design methodology has to be developed. For designing such complex systems a special purpose silicon compiler is needed in which: the computational and communicational structures of different numeric algorithms should be taken into account to simplify the silicon compiler design, the approach is macrocell based, and the software tools at different levels (algorithm down to the VLSI circuit layout) should get integrated. In this paper a special purpose silicon (SPS) compiler based on PACUBE macrocell VLSI arrays for designing supercomputing VLSI systems is presented. It is shown that turn-around time and silicon real estate get reduced over the silicon compilers based on PLA's, SLA's, and gate arrays. The first two silicon compiler characteristics mentioned above enable the SPS compiler to perform systolic mapping (at the macrocell level) of algorithms whose computational structures are of GIPOP (generalized inner product outer product) form. Direct systolic mapping on PLA's, SLA's, and gate arrays is very difficult as they are micro-cell based. A novel GIPOP processor is under development using this special purpose silicon compiler.

  7. Soak Up the Rain Customizable Outreach Tools

    EPA Pesticide Factsheets

    Get customizable Soak Up the Rain business card, posters, & a banner that can be downloaded & copied for use by citizens, municipalities, watershed & planning organizations & others in their stormwater/green infrastructure education & outreach efforts.

  8. An efficient interpolation filter VLSI architecture for HEVC standard

    NASA Astrophysics Data System (ADS)

    Zhou, Wei; Zhou, Xin; Lian, Xiaocong; Liu, Zhenyu; Liu, Xiaoxiang

    2015-12-01

    The next-generation video coding standard of High-Efficiency Video Coding (HEVC) is especially efficient for coding high-resolution video such as 8K-ultra-high-definition (UHD) video. Fractional motion estimation in HEVC presents a significant challenge in clock latency and area cost as it consumes more than 40 % of the total encoding time and thus results in high computational complexity. With aims at supporting 8K-UHD video applications, an efficient interpolation filter VLSI architecture for HEVC is proposed in this paper. Firstly, a new interpolation filter algorithm based on the 8-pixel interpolation unit is proposed in this paper. It can save 19.7 % processing time on average with acceptable coding quality degradation. Based on the proposed algorithm, an efficient interpolation filter VLSI architecture, composed of a reused data path of interpolation, an efficient memory organization, and a reconfigurable pipeline interpolation filter engine, is presented to reduce the implement hardware area and achieve high throughput. The final VLSI implementation only requires 37.2k gates in a standard 90-nm CMOS technology at an operating frequency of 240 MHz. The proposed architecture can be reused for either half-pixel interpolation or quarter-pixel interpolation, which can reduce the area cost for about 131,040 bits RAM. The processing latency of our proposed VLSI architecture can support the real-time processing of 4:2:0 format 7680 × 4320@78fps video sequences.

  9. Noise-margin limitations on gallium-arsenide VLSI

    NASA Technical Reports Server (NTRS)

    Long, Stephen I.; Sundaram, Mani

    1988-01-01

    Two factors which limit the complexity of GaAs MESFET VLSI circuits are considered. Power dissipation sets an upper complexity limit for a given logic circuit implementation and thermal design. Uniformity of device characteristics and the circuit configuration determines the electrical functional yield. Projection of VLSI complexity based on these factors indicates that logic chips of 15,000 gates are feasible with the most promising static circuits if a maximum power dissipation of 5 W per chip is assumed. While lower power per gate and therefore more gates per chip can be obtained by using a popular E/D FET circuit, yields are shown to be small when practical device parameter tolerances are applied. Further improvements in materials, devices, and circuits wil be needed to extend circuit complexity to the range currently dominated by silicon.

  10. Systolic VLSI Reed-Solomon Decoder

    NASA Technical Reports Server (NTRS)

    Shao, H. M.; Truong, T. K.; Deutsch, L. J.; Yuen, J. H.

    1986-01-01

    Decoder for digital communications provides high-speed, pipelined ReedSolomon (RS) error-correction decoding of data streams. Principal new feature of proposed decoder is modification of Euclid greatest-common-divisor algorithm to avoid need for time-consuming computations of inverse of certain Galois-field quantities. Decoder architecture suitable for implementation on very-large-scale integrated (VLSI) chips with negative-channel metaloxide/silicon circuitry.

  11. Impact of VLSI/VHSIC on satellite on-board signal processing

    NASA Astrophysics Data System (ADS)

    Aanstoos, J. V.; Ruedger, W. H.; Snyder, W. E.; Kelly, W. L.

    Forecasted improvements in IC fabrication techniques, such as the use of X-ray lithography, are expected to yield submicron circuit feature sizes within the decade of the 1980s. As dimensions decrease, reliability, cost, speed, power consumption and density improvements will be realized which have a significant impact on the capabilities of onboard spacecraft signal processing functions. This will in turn result in increases of the intelligence that may be deployed on spaceborne remote sensing platforms. Among programs oriented toward such goals are the silicon-based Very High Speed Integrated Circuit (VHSIC) researches sponsored by the U.S. Department of Defense, and efforts toward the development of GaAs devices which will compete with silicon VLSI technology for future applications. GaAs has an electron mobility which is five to six times that of silicon, and promises commensurate computation speed increases under low field conditions.

  12. Spike Neuromorphic VLSI-Based Bat Echolocation for Micro-Aerial Vehicle Guidance

    DTIC Science & Technology

    2007-03-31

    IFinal 03/01/04 - 02/28/07 4. TITLE AND SUBTITLE 5a. CONTRACT NUMBER Neuromorphic VLSI-based Bat Echolocation for Micro-aerial 5b.GRANTNUMBER Vehicle...uncovered interesting new issues in our choice for representing the intensity of signals. We have just finished testing the first chip version of an echo...timing-based algorithm (’openspace’) for sonar-guided navigation amidst multiple obstacles. 15. SUBJECT TERMS Neuromorphic VLSI, bat echolocation

  13. Trusted Fabrication through 3D Integration

    DTIC Science & Technology

    2017-03-01

    contiguous and thus identifiable. The concept of a “smart partitioner” is introduced for a second experiment. Keywords: Trusted Fab ; VLSI; 3DIC...to the fabrication facility. One solution is the split- fab concept in which the design is split into two separate fabs early in the metal stack, and...possible solution is proposed herein whereby a three chip stack is formed, two built in normal semiconductor fabs and one in an interposer fab . This

  14. Convolving optically addressed VLSI liquid crystal SLM

    NASA Astrophysics Data System (ADS)

    Jared, David A.; Stirk, Charles W.

    1994-03-01

    We designed, fabricated, and tested an optically addressed spatial light modulator (SLM) that performs a 3 X 3 kernel image convolution using ferroelectric liquid crystal on VLSI technology. The chip contains a 16 X 16 array of current-mirror-based convolvers with a fixed kernel for finding edges. The pixels are located on 75 micron centers, and the modulators are 20 microns on a side. The array successfully enhanced edges in illumination patterns. We developed a high-level simulation tool (CON) for analyzing the performance of convolving SLM designs. CON has a graphical interface and simulates SLM functions using SPICE-like device models. The user specifies the pixel function along with the device parameters and nonuniformities. We discovered through analysis, simulation and experiment that the operation of current-mirror-based convolver pixels is degraded at low light levels by the variation of transistor threshold voltages inherent to CMOS chips. To function acceptable, the test SLM required the input image to have an minimum irradiance of 10 (mu) W/cm2. The minimum required irradiance can be further reduced by adding a photodarlington near the photodetector or by increasing the size of the transistors used to calculate the convolution.

  15. Fault Tolerance for VLSI Multicomputers

    DTIC Science & Technology

    1985-08-01

    that consists of hundreds or thousands of VLSI computation nodes interconnected by dedicated links. Some important applications of high-end computers...technology, and intended applications . A proposed fault tolerance scheme combines hardware that performs error detection and system-level protocols for...order to recover from the error and resume correct operation, a valid system state must be restored. A low-overhead, application -transparent error

  16. A VLSI implementation for synthetic aperture radar image processing

    NASA Technical Reports Server (NTRS)

    Premkumar, A.; Purviance, J.

    1990-01-01

    A simple physical model for the Synthetic Aperture Radar (SAR) is presented. This model explains the one dimensional and two dimensional nature of the received SAR signal in the range and azimuth directions. A time domain correlator, its algorithm, and features are explained. The correlator is ideally suited for VLSI implementation. A real time SAR architecture using these correlators is proposed. In the proposed architecture, the received SAR data is processed using one dimensional correlators for determining the range while two dimensional correlators are used to determine the azimuth of a target. The architecture uses only three different types of custom VLSI chips and a small amount of memory.

  17. NASA Space Engineering Research Center for VLSI systems design

    NASA Technical Reports Server (NTRS)

    1991-01-01

    This annual review reports the center's activities and findings on very large scale integration (VLSI) systems design for 1990, including project status, financial support, publications, the NASA Space Engineering Research Center (SERC) Symposium on VLSI Design, research results, and outreach programs. Processor chips completed or under development are listed. Research results summarized include a design technique to harden complementary metal oxide semiconductors (CMOS) memory circuits against single event upset (SEU); improved circuit design procedures; and advances in computer aided design (CAD), communications, computer architectures, and reliability design. Also described is a high school teacher program that exposes teachers to the fundamentals of digital logic design.

  18. A second generation 50 Mbps VLSI level zero processing system prototype

    NASA Technical Reports Server (NTRS)

    Harris, Jonathan C.; Shi, Jeff; Speciale, Nick; Bennett, Toby

    1994-01-01

    Level Zero Processing (LZP) generally refers to telemetry data processing functions performed at ground facilities to remove all communication artifacts from instrument data. These functions typically include frame synchronization, error detection and correction, packet reassembly and sorting, playback reversal, merging, time-ordering, overlap deletion, and production of annotated data sets. The Data Systems Technologies Division (DSTD) at Goddard Space Flight Center (GSFC) has been developing high-performance Very Large Scale Integration Level Zero Processing Systems (VLSI LZPS) since 1989. The first VLSI LZPS prototype demonstrated 20 Megabits per second (Mbp's) capability in 1992. With a new generation of high-density Application-specific Integrated Circuits (ASIC) and a Mass Storage System (MSS) based on the High-performance Parallel Peripheral Interface (HiPPI), a second prototype has been built that achieves full 50 Mbp's performance. This paper describes the second generation LZPS prototype based upon VLSI technologies.

  19. On the VLSI design of a pipeline Reed-Solomon decoder using systolic arrays

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shao, H.M.; Reed, I.S.

    A new VLSI design of a pipeline Reed-Solomon decoder is presented. The transform decoding technique used in a previous paper is replaced by a time domain algorithm through a detailed comparison of their VLSI implementations. A new architecture that implements the time domain algorithm permits efficient pipeline processing with reduced circuitry. Erasure correction capability is also incorporated with little additional complexity. By using a multiplexing technique, a new implementation of Euclid's algorithm maintains the throughput rate with less circuitry. Such improvements result in both enhanced capability and significant reduction in silicon area, therefore making it possible to build a pipelinemore » Reed-Solomon decoder on a single VLSI chip.« less

  20. A VLSI Neural Monitoring System With Ultra-Wideband Telemetry for Awake Behaving Subjects.

    PubMed

    Greenwald, E; Mollazadeh, M; Hu, C; Wei Tang; Culurciello, E; Thakor, V

    2011-04-01

    Long-term monitoring of neuronal activity in awake behaving subjects can provide fundamental information about brain dynamics for neuroscience and neuroengineering applications. Here, we present a miniature, lightweight, and low-power recording system for monitoring neural activity in awake behaving animals. The system integrates two custom designed very-large-scale integrated chips, a neural interface module fabricated in 0.5 μm complementary metal-oxide semiconductor technology and an ultra-wideband transmitter module fabricated in a 0.5 μm silicon-on-sapphire (SOS) technology. The system amplifies, filters, digitizes, and transmits 16 channels of neural data at a rate of 1 Mb/s. The entire system, which includes the VLSI circuits, a digital interface board, a battery, and a custom housing, is small and lightweight (24 g) and, thus, can be chronically mounted on small animals. The system consumes 4.8 mA and records continuously for up to 40 h powered by a 3.7-V, 200-mAh rechargeable lithium-ion battery. Experimental benchtop characterizations as well as in vivo multichannel neural recordings from awake behaving rats are presented here.

  1. Orientation-selective aVLSI spiking neurons.

    PubMed

    Liu, S C; Kramer, J; Indiveri, G; Delbrück, T; Burg, T; Douglas, R

    2001-01-01

    We describe a programmable multi-chip VLSI neuronal system that can be used for exploring spike-based information processing models. The system consists of a silicon retina, a PIC microcontroller, and a transceiver chip whose integrate-and-fire neurons are connected in a soft winner-take-all architecture. The circuit on this multi-neuron chip approximates a cortical microcircuit. The neurons can be configured for different computational properties by the virtual connections of a selected set of pixels on the silicon retina. The virtual wiring between the different chips is effected by an event-driven communication protocol that uses asynchronous digital pulses, similar to spikes in a neuronal system. We used the multi-chip spike-based system to synthesize orientation-tuned neurons using both a feedforward model and a feedback model. The performance of our analog hardware spiking model matched the experimental observations and digital simulations of continuous-valued neurons. The multi-chip VLSI system has advantages over computer neuronal models in that it is real-time, and the computational time does not scale with the size of the neuronal network.

  2. GaAs VLSI for aerospace electronics

    NASA Technical Reports Server (NTRS)

    Larue, G.; Chan, P.

    1990-01-01

    Advanced aerospace electronics systems require high-speed, low-power, radiation-hard, digital components for signal processing, control, and communication applications. GaAs VLSI devices provide a number of advantages over silicon devices including higher carrier velocities, ability to integrate with high performance optical devices, and high-resistivity substrates that provide very short gate delays, good isolation, and tolerance to many forms of radiation. However, III-V technologies also have disadvantages, such as lower yield compared to silicon MOS technology. Achieving very large scale integration (VLSI) is particularly important for fast complex systems. At very short gate delays (less than 100 ps), chip-to-chip interconnects severely degrade circuit clock rates. Complex systems, therefore, benefit greatly when as many gates as possible are placed on a single chip. To fully exploit the advantages of GaAs circuits, attention must be focused on achieving high integration levels by reducing power dissipation, reducing the number of devices per logic function, and providing circuit designs that are more tolerant to process and environmental variations. In addition, adequate noise margin must be maintained to ensure a practical yield.

  3. Editable Supercapacitors with Customizable Stretchability Based on Mechanically Strengthened Ultralong MnO2 Nanowire Composite.

    PubMed

    Lv, Zhisheng; Luo, Yifei; Tang, Yuxin; Wei, Jiaqi; Zhu, Zhiqiang; Zhou, Xinran; Li, Wenlong; Zeng, Yi; Zhang, Wei; Zhang, Yanyan; Qi, Dianpeng; Pan, Shaowu; Loh, Xian Jun; Chen, Xiaodong

    2018-01-01

    Although some progress has been made on stretchable supercapacitors, traditional stretchable supercapacitors fabricated by predesigning structured electrodes for device assembling still lack the device-level editability and programmability. To adapt to wearable electronics with arbitrary configurations, it is highly desirable to develop editable supercapacitors that can be directly transferred into desirable shapes and stretchability. In this work, editable supercapacitors for customizable shapes and stretchability using electrodes based on mechanically strengthened ultralong MnO 2 nanowire composites are developed. A supercapacitor edited with honeycomb-like structure shows a specific capacitance of 227.2 mF cm -2 and can be stretched up to 500% without degradation of electrochemical performance, which is superior to most of the state-of-the-art stretchable supercapacitors. In addition, it maintains nearly 98% of the initial capacitance after 10 000 stretch-and-release cycles under 400% tensile strain. As a representative of concept for system integration, the editable supercapacitors are integrated with a strain sensor, and the system exhibits a stable sensing performance even under arm swing. Being highly stretchable, easily programmable, as well as connectable in series and parallel, an editable supercapacitor with customizable stretchability is promising to produce stylish energy storage devices to power various portable, stretchable, and wearable devices. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  4. Emerging Applications for High K Materials in VLSI Technology

    PubMed Central

    Clark, Robert D.

    2014-01-01

    The current status of High K dielectrics in Very Large Scale Integrated circuit (VLSI) manufacturing for leading edge Dynamic Random Access Memory (DRAM) and Complementary Metal Oxide Semiconductor (CMOS) applications is summarized along with the deposition methods and general equipment types employed. Emerging applications for High K dielectrics in future CMOS are described as well for implementations in 10 nm and beyond nodes. Additional emerging applications for High K dielectrics include Resistive RAM memories, Metal-Insulator-Metal (MIM) diodes, Ferroelectric logic and memory devices, and as mask layers for patterning. Atomic Layer Deposition (ALD) is a common and proven deposition method for all of the applications discussed for use in future VLSI manufacturing. PMID:28788599

  5. Using Ant Colony Optimization for Routing in VLSI Chips

    NASA Astrophysics Data System (ADS)

    Arora, Tamanna; Moses, Melanie

    2009-04-01

    Rapid advances in VLSI technology have increased the number of transistors that fit on a single chip to about two billion. A frequent problem in the design of such high performance and high density VLSI layouts is that of routing wires that connect such large numbers of components. Most wire-routing problems are computationally hard. The quality of any routing algorithm is judged by the extent to which it satisfies routing constraints and design objectives. Some of the broader design objectives include minimizing total routed wire length, and minimizing total capacitance induced in the chip, both of which serve to minimize power consumed by the chip. Ant Colony Optimization algorithms (ACO) provide a multi-agent framework for combinatorial optimization by combining memory, stochastic decision and strategies of collective and distributed learning by ant-like agents. This paper applies ACO to the NP-hard problem of finding optimal routes for interconnect routing on VLSI chips. The constraints on interconnect routing are used by ants as heuristics which guide their search process. We found that ACO algorithms were able to successfully incorporate multiple constraints and route interconnects on suite of benchmark chips. On an average, the algorithm routed with total wire length 5.5% less than other established routing algorithms.

  6. Asynchronous transfer mode distribution network by use of an optoelectronic VLSI switching chip.

    PubMed

    Lentine, A L; Reiley, D J; Novotny, R A; Morrison, R L; Sasian, J M; Beckman, M G; Buchholz, D B; Hinterlong, S J; Cloonan, T J; Richards, G W; McCormick, F B

    1997-03-10

    We describe a new optoelectronic switching system demonstration that implements part of the distribution fabric for a large asynchronous transfer mode (ATM) switch. The system uses a single optoelectronic VLSI modulator-based switching chip with more than 4000 optical input-outputs. The optical system images the input fibers from a two-dimensional fiber bundle onto this chip. A new optomechanical design allows the system to be mounted in a standard electronic equipment frame. A large section of the switch was operated as a 208-Mbits/s time-multiplexed space switch, which can serve as part of an ATM switch by use of an appropriate out-of-band controller. A larger section with 896 input light beams and 256 output beams was operated at 160 Mbits/s as a slowly reconfigurable space switch.

  7. VLSI Based Multiprocessor Communications Networks.

    DTIC Science & Technology

    1982-09-01

    year of the contract. Research plans for year three are also presented. Need for a research effort in the area of VLSI based communication networks... plans for year three of the contract. Section 4 concludes with a summary discussion of the research thus far. A number of appendices follow the main...pin constraints. We plan to investigate some -12- of these issues during the coming year in addition to developing similar models and bandwidth

  8. VLSI design of a single chip reed-solomon encoder

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Truong, T.K.; Deutsch, L.J.; Reed, I.S.

    A design for a single chip implementation of a Reed-Solomon encoder is presented. The architecture that leads to this single VLSI chip design makes use of a bit serial finite field multiplication algorithm.

  9. A procedural method for the efficient implementation of full-custom VLSI designs

    NASA Technical Reports Server (NTRS)

    Belk, P.; Hickey, N.

    1987-01-01

    An imbedded language system for the layout of very large scale integration (VLSI) circuits is examined. It is shown that through the judicious use of this system, a large variety of circuits can be designed with circuit density and performance comparable to traditional full-custom design methods, but with design costs more comparable to semi-custom design methods. The high performance of this methodology is attributable to the flexibility of procedural descriptions of VLSI layouts and to a number of automatic and semi-automatic tools within the system.

  10. A Knowledge Based Approach to VLSI CAD

    DTIC Science & Technology

    1983-09-01

    Avail-and/or Dist ISpecial L| OI. SEICURITY CLASIIrCATION OP THIS IPA.lErllm S Daene." A KNOwLEDE BASED APPROACH TO VLSI CAD’ Louis L Steinberg and...major issues lies in building up and managing the knowledge base of oesign expertise. We expect that, as with many recent expert systems, in order to

  11. IMp: The customizable LEGO® Pinned Insect Manipulator

    PubMed Central

    Dupont, Steen; Price, Benjamin; Blagoderov, Vladimir

    2015-01-01

    Abstract We present a pinned insect manipulator (IMp) constructed of LEGO® building bricks with two axes of movement and two axes of rotation. In addition we present three variants of the IMp to emphasise the modular design, which facilitates resizing to meet the full range of pinned insect specimens, is fully customizable, collapsible, affordable and does not require specialist tools or knowledge to assemble. PMID:25685035

  12. Customizable scientific web-portal for DIII-D nuclear fusion experiment

    NASA Astrophysics Data System (ADS)

    Abla, G.; Kim, E. N.; Schissel, D. P.

    2010-04-01

    Increasing utilization of the Internet and convenient web technologies has made the web-portal a major application interface for remote participation and control of scientific instruments. While web-portals have provided a centralized gateway for multiple computational services, the amount of visual output often is overwhelming due to the high volume of data generated by complex scientific instruments and experiments. Since each scientist may have different priorities and areas of interest in the experiment, filtering and organizing information based on the individual user's need can increase the usability and efficiency of a web-portal. DIII-D is the largest magnetic nuclear fusion device in the US. A web-portal has been designed to support the experimental activities of DIII-D researchers worldwide. It offers a customizable interface with personalized page layouts and list of services for users to select. Each individual user can create a unique working environment to fit his own needs and interests. Customizable services are: real-time experiment status monitoring, diagnostic data access, interactive data analysis and visualization. The web-portal also supports interactive collaborations by providing collaborative logbook, and online instant announcement services. The DIII-D web-portal development utilizes multi-tier software architecture, and Web 2.0 technologies and tools, such as AJAX and Django, to develop a highly-interactive and customizable user interface.

  13. UW VLSI chip tester

    NASA Astrophysics Data System (ADS)

    McKenzie, Neil

    1989-12-01

    We present a design for a low-cost, functional VLSI chip tester. It is based on the Apple MacIntosh II personal computer. It tests chips that have up to 128 pins. All pin drivers of the tester are bidirectional; each pin is programmed independently as an input or an output. The tester can test both static and dynamic chips. Rudimentary speed testing is provided. Chips are tested by executing C programs written by the user. A software library is provided for program development. Tests run under both the Mac Operating System and A/UX. The design is implemented using Xilinx Logic Cell Arrays. Price/performance tradeoffs are discussed.

  14. VLSI Microsystem for Rapid Bioinformatic Pattern Recognition

    NASA Technical Reports Server (NTRS)

    Fang, Wai-Chi; Lue, Jaw-Chyng

    2009-01-01

    A system comprising very-large-scale integrated (VLSI) circuits is being developed as a means of bioinformatics-oriented analysis and recognition of patterns of fluorescence generated in a microarray in an advanced, highly miniaturized, portable genetic-expression-assay instrument. Such an instrument implements an on-chip combination of polymerase chain reactions and electrochemical transduction for amplification and detection of deoxyribonucleic acid (DNA).

  15. A parallel VLSI architecture for a digital filter using a number theoretic transform

    NASA Technical Reports Server (NTRS)

    Truong, T. K.; Reed, I. S.; Yeh, C. S.; Shao, H. M.

    1983-01-01

    The advantages of a very large scalee integration (VLSI) architecture for implementing a digital filter using fermat number transforms (FNT) are the following: It requires no multiplication. Only additions and bit rotations are needed. It alleviates the usual dynamic range limitation for long sequence FNT's. It utilizes the FNT and inverse FNT circuits 100% of the time. The lengths of the input data and filter sequences can be arbitraty and different. It is regular, simple, and expandable, and as a consequence suitable for VLSI implementation.

  16. Smart fabric sensors and e-textile technologies: a review

    NASA Astrophysics Data System (ADS)

    Castano, Lina M.; Flatau, Alison B.

    2014-05-01

    This paper provides a review of recent developments in the rapidly changing and advancing field of smart fabric sensor and electronic textile technologies. It summarizes the basic principles and approaches employed when building fabric sensors as well as the most commonly used materials and techniques used in electronic textiles. This paper shows that sensing functionality can be created by intrinsic and extrinsic modifications to textile substrates depending on the level of integration into the fabric platform. The current work demonstrates that fabric sensors can be tailored to measure force, pressure, chemicals, humidity and temperature variations. Materials, connectors, fabric circuits, interconnects, encapsulation and fabrication methods associated with fabric technologies prove to be customizable and versatile but less robust than their conventional electronics counterparts. The findings of this survey suggest that a complete smart fabric system is possible through the integration of the different types of textile based functional elements. This work intends to be a starting point for standardization of smart fabric sensing techniques and e-textile fabrication methods.

  17. The VLSI design of a single chip Reed-Solomon encoder

    NASA Technical Reports Server (NTRS)

    Truong, T. K.; Deutsch, L. J.; Reed, I. S.

    1982-01-01

    A design for a single chip implementation of a Reed-Solomon encoder is presented. The architecture that leads to this single VLSI chip design makes use of a bit serial finite field multiplication algorithm.

  18. Princeton VLSI Project: Semi-Annual Report.

    DTIC Science & Technology

    1982-11-01

    already fully defined the new language and implementation is now under way o [7]. AMl differs from AU in two essential ways. First, it is based on...Our main thesis is that the VLSI design task can be profitably thought of as a progremmiW task, as opposed to a geometric editing task. We believe...S. Thesis , MIT, EECS Department, June, 1980. [4] Batali, J., Mayle, N., Shrobe, H., Sussman, G., Weise, D., "The DPL/Daedalus Design Environment

  19. Design and Fabrication of High-Efficiency CMOS/CCD Imagers

    NASA Technical Reports Server (NTRS)

    Pain, Bedabrata

    2007-01-01

    An architecture for back-illuminated complementary metal oxide/semiconductor (CMOS) and charge-coupled-device (CCD) ultraviolet/visible/near infrared- light image sensors, and a method of fabrication to implement the architecture, are undergoing development. The architecture and method are expected to enable realization of the full potential of back-illuminated CMOS/CCD imagers to perform with high efficiency, high sensitivity, excellent angular response, and in-pixel signal processing. The architecture and method are compatible with next-generation CMOS dielectric-forming and metallization techniques, and the process flow of the method is compatible with process flows typical of the manufacture of very-large-scale integrated (VLSI) circuits. The architecture and method overcome all obstacles that have hitherto prevented high-yield, low-cost fabrication of back-illuminated CMOS/CCD imagers by use of standard VLSI fabrication tools and techniques. It is not possible to discuss the obstacles in detail within the space available for this article. Briefly, the obstacles are posed by the problems of generating light-absorbing layers having desired uniform and accurate thicknesses, passivation of surfaces, forming structures for efficient collection of charge carriers, and wafer-scale thinning (in contradistinction to diescale thinning). A basic element of the present architecture and method - the element that, more than any other, makes it possible to overcome the obstacles - is the use of an alternative starting material: Instead of starting with a conventional bulk-CMOS wafer that consists of a p-doped epitaxial silicon layer grown on a heavily-p-doped silicon substrate, one starts with a special silicon-on-insulator (SOI) wafer that consists of a thermal oxide buried between a lightly p- or n-doped, thick silicon layer and a device silicon layer of appropriate thickness and doping. The thick silicon layer is used as a handle: that is, as a mechanical support for the

  20. An Analogue VLSI Implementation of the Meddis Inner Hair Cell Model

    NASA Astrophysics Data System (ADS)

    McEwan, Alistair; van Schaik, André

    2003-12-01

    The Meddis inner hair cell model is a widely accepted, but computationally intensive computer model of mammalian inner hair cell function. We have produced an analogue VLSI implementation of this model that operates in real time in the current domain by using translinear and log-domain circuits. The circuit has been fabricated on a chip and tested against the Meddis model for (a) rate level functions for onset and steady-state response, (b) recovery after masking, (c) additivity, (d) two-component adaptation, (e) phase locking, (f) recovery of spontaneous activity, and (g) computational efficiency. The advantage of this circuit, over other electronic inner hair cell models, is its nearly exact implementation of the Meddis model which can be tuned to behave similarly to the biological inner hair cell. This has important implications on our ability to simulate the auditory system in real time. Furthermore, the technique of mapping a mathematical model of first-order differential equations to a circuit of log-domain filters allows us to implement real-time neuromorphic signal processors for a host of models using the same approach.

  1. IMp: The customizable LEGO(®) Pinned Insect Manipulator.

    PubMed

    Dupont, Steen; Price, Benjamin; Blagoderov, Vladimir

    2015-01-01

    We present a pinned insect manipulator (IMp) constructed of LEGO® building bricks with two axes of movement and two axes of rotation. In addition we present three variants of the IMp to emphasise the modular design, which facilitates resizing to meet the full range of pinned insect specimens, is fully customizable, collapsible, affordable and does not require specialist tools or knowledge to assemble.

  2. Demographic and socioeconomic factors associated with disparity in wheelchair customizability among people with traumatic spinal cord injury.

    PubMed

    Hunt, Peter Cody; Boninger, Michael L; Cooper, Rory A; Zafonte, Ross D; Fitzgerald, Shirley G; Schmeler, Mark R

    2004-11-01

    To determine if a standard of care for wheelchair provision exists within the participating centers and if there is disparity in wheelchair customizability among the study sample. Convenience sample survey. Thirteen Model Spinal Cord Injury Systems that provide comprehensive rehabilitation for people with traumatic spinal cord injury (SCI) and that are part of the national database funded through the US Department of Education. A total of 412 people with SCI who use wheelchairs over 40 hours a week. Survey information was obtained from subjects via telephone and in-person interviews and from the national database. Collected information included age, race, education, level of injury, and wheelchair funding source. Number and type (manual or power) of wheelchairs. Wheelchair customizability as defined by design features (eg, adjustable axle position, programmable controls). Ninety-seven percent of manual wheelchair users and 54% of power wheelchair users had customizable wheelchairs. No power wheelchair user received a wheelchair without programmable controls. Minorities with low socioeconomic backgrounds (low income, Medicaid/Medicare recipients, less educated) were more likely to have standard manual and standard programmable power wheelchairs. Older subjects were also more likely to have standard programmable power wheelchairs. The standard of care for manual wheelchair users with SCI is a lightweight and customizable wheelchair. The standard of care for power wheelchairs users has programmable controls. Unfortunately, socioeconomically disadvantaged people were less likely to receive customizable wheelchairs.

  3. Functional Abstraction from Structure in VLSI Simulation Models,

    DTIC Science & Technology

    1987-05-01

    wide vari- ety of powerful tools, designed around the Y model proposed by Gajski and Kuhn [11]. The heart of the system is the data representation...34Fuictional Models for VLSI Design", 20th IEEE Design Automation Conference (DAC󈨗), 1983, paper 32.2, pp. 506-514. * 21 [11] Gajski , Daniel D., Kuhn, Robert H

  4. Specification and Design Methodologies for High-Speed Fault-Tolerant Array Algorithms and Structures for VLSI.

    DTIC Science & Technology

    1987-06-01

    evaluation and chip layout planning for VLSI digital systems. A high-level applicative (functional) language, implemented at UCLA, allows combining of...operating system. 2.1 Introduction The complexity of VLSI requires the application of CAD tools at all levels of the design process. In order to be...effective, these tools must be adaptive to the specific design. In this project we studied a design method based on the use of applicative languages

  5. A subthreshold aVLSI implementation of the Izhikevich simple neuron model.

    PubMed

    Rangan, Venkat; Ghosh, Abhishek; Aparin, Vladimir; Cauwenberghs, Gert

    2010-01-01

    We present a circuit architecture for compact analog VLSI implementation of the Izhikevich neuron model, which efficiently describes a wide variety of neuron spiking and bursting dynamics using two state variables and four adjustable parameters. Log-domain circuit design utilizing MOS transistors in subthreshold results in high energy efficiency, with less than 1pJ of energy consumed per spike. We also discuss the effects of parameter variations on the dynamics of the equations, and present simulation results that replicate several types of neural dynamics. The low power operation and compact analog VLSI realization make the architecture suitable for human-machine interface applications in neural prostheses and implantable bioelectronics, as well as large-scale neural emulation tools for computational neuroscience.

  6. VLSI architectures for computing multiplications and inverses in GF(2m)

    NASA Technical Reports Server (NTRS)

    Wang, C. C.; Truong, T. K.; Shao, H. M.; Deutsch, L. J.; Omura, J. K.

    1985-01-01

    Finite field arithmetic logic is central in the implementation of Reed-Solomon coders and in some cryptographic algorithms. There is a need for good multiplication and inversion algorithms that are easily realized on VLSI chips. Massey and Omura recently developed a new multiplication algorithm for Galois fields based on a normal basis representation. A pipeline structure is developed to realize the Massey-Omura multiplier in the finite field GF(2m). With the simple squaring property of the normal-basis representation used together with this multiplier, a pipeline architecture is also developed for computing inverse elements in GF(2m). The designs developed for the Massey-Omura multiplier and the computation of inverse elements are regular, simple, expandable and, therefore, naturally suitable for VLSI implementation.

  7. VLSI architectures for computing multiplications and inverses in GF(2-m)

    NASA Technical Reports Server (NTRS)

    Wang, C. C.; Truong, T. K.; Shao, H. M.; Deutsch, L. J.; Omura, J. K.; Reed, I. S.

    1983-01-01

    Finite field arithmetic logic is central in the implementation of Reed-Solomon coders and in some cryptographic algorithms. There is a need for good multiplication and inversion algorithms that are easily realized on VLSI chips. Massey and Omura recently developed a new multiplication algorithm for Galois fields based on a normal basis representation. A pipeline structure is developed to realize the Massey-Omura multiplier in the finite field GF(2m). With the simple squaring property of the normal-basis representation used together with this multiplier, a pipeline architecture is also developed for computing inverse elements in GF(2m). The designs developed for the Massey-Omura multiplier and the computation of inverse elements are regular, simple, expandable and, therefore, naturally suitable for VLSI implementation.

  8. On the VLSI design of a pipeline Reed-Solomon decoder using systolic arrays

    NASA Technical Reports Server (NTRS)

    Shao, H. M.; Deutsch, L. J.; Reed, I. S.

    1987-01-01

    A new very large scale integration (VLSI) design of a pipeline Reed-Solomon decoder is presented. The transform decoding technique used in a previous article is replaced by a time domain algorithm through a detailed comparison of their VLSI implementations. A new architecture that implements the time domain algorithm permits efficient pipeline processing with reduced circuitry. Erasure correction capability is also incorporated with little additional complexity. By using a multiplexing technique, a new implementation of Euclid's algorithm maintains the throughput rate with less circuitry. Such improvements result in both enhanced capability and significant reduction in silicon area.

  9. On the VLSI design of a pipeline Reed-Solomon decoder using systolic arrays

    NASA Technical Reports Server (NTRS)

    Shao, Howard M.; Reed, Irving S.

    1988-01-01

    A new very large scale integration (VLSI) design of a pipeline Reed-Solomon decoder is presented. The transform decoding technique used in a previous article is replaced by a time domain algorithm through a detailed comparison of their VLSI implementations. A new architecture that implements the time domain algorithm permits efficient pipeline processing with reduced circuitry. Erasure correction capability is also incorporated with little additional complexity. By using multiplexing technique, a new implementation of Euclid's algorithm maintains the throughput rate with less circuitry. Such improvements result in both enhanced capability and significant reduction in silicon area.

  10. Off-plane x-ray reflection grating fabrication

    NASA Astrophysics Data System (ADS)

    Peterson, Thomas J.; DeRoo, Casey T.; Marlowe, Hannah; McEntaffer, Randall L.; Miles, Drew M.; Tutt, James H.; Schultz, Ted B.

    2015-09-01

    Off-plane X-ray diffraction gratings with precision groove profiles at the submicron scale will be used in next generation X-ray spectrometers. Such gratings will be used on a current NASA suborbital rocket mission, the Off-plane Grating Rocket Experiment (OGRE), and have application for future grating missions. The fabrication of these gratings does not come without challenges. High performance off-plane gratings must be fabricated with precise radial grating patterns, optically at surfaces, and specific facet angles. Such gratings can be made using a series of common micro-fabrication techniques. The resulting process is highly customizable, making it useful for a variety of different mission architectures. In this paper, we detail the fabrication method used to produce high performance off-plane gratings and report the results of a preliminary qualification test of a grating fabricated in this manner. The grating was tested in the off-plane `Littrow' configuration, for which the grating is most efficient for a given diffraction order, and found to achieve 42% relative efficiency in the blaze order with respect to all diffracted light.

  11. Optical Interconnections for VLSI Computational Systems Using Computer-Generated Holography.

    NASA Astrophysics Data System (ADS)

    Feldman, Michael Robert

    Optical interconnects for VLSI computational systems using computer generated holograms are evaluated in theory and experiment. It is shown that by replacing particular electronic connections with free-space optical communication paths, connection of devices on a single chip or wafer and between chips or modules can be improved. Optical and electrical interconnects are compared in terms of power dissipation, communication bandwidth, and connection density. Conditions are determined for which optical interconnects are advantageous. Based on this analysis, it is shown that by applying computer generated holographic optical interconnects to wafer scale fine grain parallel processing systems, dramatic increases in system performance can be expected. Some new interconnection networks, designed to take full advantage of optical interconnect technology, have been developed. Experimental Computer Generated Holograms (CGH's) have been designed, fabricated and subsequently tested in prototype optical interconnected computational systems. Several new CGH encoding methods have been developed to provide efficient high performance CGH's. One CGH was used to decrease the access time of a 1 kilobit CMOS RAM chip. Another was produced to implement the inter-processor communication paths in a shared memory SIMD parallel processor array.

  12. Laser Microchemistry : A Powerful Tool For VLSI

    NASA Astrophysics Data System (ADS)

    Tonneau, Didier; Guern, Yves; Pelous, Gerard

    1989-01-01

    Interconnection direct writing on ICs is possible by localized laser-assisted Chemical Vapor Deposition. Recently we have developed and marketed a new laser microchemistry tool particularly designed for VLSI prototypes rewiring. By dissociating Ni(CO)4 molecules, Ni lines can be written at speeds higher than 5 gm/s under laser induced temperature as low as 400°C. At the same temperature tungsten stripes can be driven from decomposition of WF6-H2 mixtures. However the tungsten deposition rate is about two orders of magnitude lower than the nickel growth rate in the same temperature conditions. The resistivities of the deposits are in both cases around 10 μΩ.cm. Silicon dioxide layers can be promoted from dissociation of a Si2H6-N20 mixture under surface temperature around 500°C. These metal and insulator deposition basic steps have been integrated in a complete metal bridging process suitable for the last interconnection level of a VLSI circuit. This process has been firstly estimated from a functional point of view, by electrical characterizations realized on test patterns entirely drawn by laser chemistry. At least, by measuring the time necessary to perform a metal bridge, the process has been evaluated from an economical point of view.

  13. Leak detection utilizing analog binaural (VLSI) techniques

    NASA Technical Reports Server (NTRS)

    Hartley, Frank T. (Inventor)

    1995-01-01

    A detection method and system utilizing silicon models of the traveling wave structure of the human cochlea to spatially and temporally locate a specific sound source in the presence of high noise pandemonium. The detection system combines two-dimensional stereausis representations, which are output by at least three VLSI binaural hearing chips, to generate a three-dimensional stereausis representation including both binaural and spectral information which is then used to locate the sound source.

  14. VLSI architectures for computing multiplications and inverses in GF(2m).

    PubMed

    Wang, C C; Truong, T K; Shao, H M; Deutsch, L J; Omura, J K; Reed, I S

    1985-08-01

    Finite field arithmetic logic is central in the implementation of Reed-Solomon coders and in some cryptographic algorithms. There is a need for good multiplication and inversion algorithms that can be easily realized on VLSI chips. Massey and Omura recently developed a new multiplication algorithm for Galois fields based on a normal basis representation. In this paper, a pipeline structure is developed to realize the Massey-Omura multiplier in the finite field GF(2m). With the simple squaring property of the normal basis representation used together with this multiplier, a pipeline architecture is developed for computing inverse elements in GF(2m). The designs developed for the Massey-Omura multiplier and the computation of inverse elements are regular, simple, expandable, and therefore, naturally suitable for VLSI implementation.

  15. Customizable tool for ecological data entry, assessment, monitoring, and interpretation

    USDA-ARS?s Scientific Manuscript database

    The Database for Inventory, Monitoring and Assessment (DIMA) is a highly customizable tool for data entry, assessment, monitoring, and interpretation. DIMA is a Microsoft Access database that can easily be used without Access knowledge and is available at no cost. Data can be entered for common, nat...

  16. The test of VLSI circuits

    NASA Astrophysics Data System (ADS)

    Baviere, Ph.

    Tests which have proven effective for evaluating VLSI circuits for space applications are described. It is recommended that circuits be examined after each manfacturing step to gain fast feedback on inadequacies in the production system. Data from failure modes which occur during operational lifetimes of circuits also permit redefinition of the manufacturing and quality control process to eliminate the defects identified. Other tests include determination of the operational envelope of the circuits, examination of the circuit response to controlled inputs, and the performance and functional speeds of ROM and RAM memories. Finally, it is desirable that all new circuits be designed with testing in mind.

  17. Recovery Act - CAREER: Sustainable Silicon -- Energy-Efficient VLSI Interconnect for Extreme-Scale Computing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chiang, Patrick

    2014-01-31

    The research goal of this CAREER proposal is to develop energy-efficient, VLSI interconnect circuits and systems that will facilitate future massively-parallel, high-performance computing. Extreme-scale computing will exhibit massive parallelism on multiple vertical levels, from thou­ sands of computational units on a single processor to thousands of processors in a single data center. Unfortunately, the energy required to communicate between these units at every level (on­ chip, off-chip, off-rack) will be the critical limitation to energy efficiency. Therefore, the PI's career goal is to become a leading researcher in the design of energy-efficient VLSI interconnect for future computing systems.

  18. Testing interconnected VLSI circuits in the Big Viterbi Decoder

    NASA Technical Reports Server (NTRS)

    Onyszchuk, I. M.

    1991-01-01

    The Big Viterbi Decoder (BVD) is a powerful error-correcting hardware device for the Deep Space Network (DSN), in support of the Galileo and Comet Rendezvous Asteroid Flyby (CRAF)/Cassini Missions. Recently, a prototype was completed and run successfully at 400,000 or more decoded bits per second. This prototype is a complex digital system whose core arithmetic unit consists of 256 identical very large scale integration (VLSI) gate-array chips, 16 on each of 16 identical boards which are connected through a 28-layer, printed-circuit backplane using 4416 wires. Special techniques were developed for debugging, testing, and locating faults inside individual chips, on boards, and within the entire decoder. The methods are based upon hierarchical structure in the decoder, and require that chips or boards be wired themselves as Viterbi decoders. The basic procedure consists of sending a small set of known, very noisy channel symbols through a decoder, and matching observables against values computed by a software simulation. Also, tests were devised for finding open and short-circuited wires which connect VLSI chips on the boards and through the backplane.

  19. CMOS VLSI Layout and Verification of a SIMD Computer

    NASA Technical Reports Server (NTRS)

    Zheng, Jianqing

    1996-01-01

    A CMOS VLSI layout and verification of a 3 x 3 processor parallel computer has been completed. The layout was done using the MAGIC tool and the verification using HSPICE. Suggestions for expanding the computer into a million processor network are presented. Many problems that might be encountered when implementing a massively parallel computer are discussed.

  20. A Customizable Language Learning Support System Using Ontology-Driven Engine

    ERIC Educational Resources Information Center

    Wang, Jingyun; Mendori, Takahiko; Xiong, Juan

    2013-01-01

    This paper proposes a framework for web-based language learning support systems designed to provide customizable pedagogical procedures based on the analysis of characteristics of both learner and course. This framework employs a course-centered ontology and a teaching method ontology as the foundation for the student model, which includes learner…

  1. VLSI Design of Trusted Virtual Sensors.

    PubMed

    Martínez-Rodríguez, Macarena C; Prada-Delgado, Miguel A; Brox, Piedad; Baturone, Iluminada

    2018-01-25

    This work presents a Very Large Scale Integration (VLSI) design of trusted virtual sensors providing a minimum unitary cost and very good figures of size, speed and power consumption. The sensed variable is estimated by a virtual sensor based on a configurable and programmable PieceWise-Affine hyper-Rectangular (PWAR) model. An algorithm is presented to find the best values of the programmable parameters given a set of (empirical or simulated) input-output data. The VLSI design of the trusted virtual sensor uses the fast authenticated encryption algorithm, AEGIS, to ensure the integrity of the provided virtual measurement and to encrypt it, and a Physical Unclonable Function (PUF) based on a Static Random Access Memory (SRAM) to ensure the integrity of the sensor itself. Implementation results of a prototype designed in a 90-nm Complementary Metal Oxide Semiconductor (CMOS) technology show that the active silicon area of the trusted virtual sensor is 0.86 mm 2 and its power consumption when trusted sensing at 50 MHz is 7.12 mW. The maximum operation frequency is 85 MHz, which allows response times lower than 0.25 μ s. As application example, the designed prototype was programmed to estimate the yaw rate in a vehicle, obtaining root mean square errors lower than 1.1%. Experimental results of the employed PUF show the robustness of the trusted sensing against aging and variations of the operation conditions, namely, temperature and power supply voltage (final value as well as ramp-up time).

  2. VLSI Design of Trusted Virtual Sensors

    PubMed Central

    2018-01-01

    This work presents a Very Large Scale Integration (VLSI) design of trusted virtual sensors providing a minimum unitary cost and very good figures of size, speed and power consumption. The sensed variable is estimated by a virtual sensor based on a configurable and programmable PieceWise-Affine hyper-Rectangular (PWAR) model. An algorithm is presented to find the best values of the programmable parameters given a set of (empirical or simulated) input-output data. The VLSI design of the trusted virtual sensor uses the fast authenticated encryption algorithm, AEGIS, to ensure the integrity of the provided virtual measurement and to encrypt it, and a Physical Unclonable Function (PUF) based on a Static Random Access Memory (SRAM) to ensure the integrity of the sensor itself. Implementation results of a prototype designed in a 90-nm Complementary Metal Oxide Semiconductor (CMOS) technology show that the active silicon area of the trusted virtual sensor is 0.86 mm2 and its power consumption when trusted sensing at 50 MHz is 7.12 mW. The maximum operation frequency is 85 MHz, which allows response times lower than 0.25 μs. As application example, the designed prototype was programmed to estimate the yaw rate in a vehicle, obtaining root mean square errors lower than 1.1%. Experimental results of the employed PUF show the robustness of the trusted sensing against aging and variations of the operation conditions, namely, temperature and power supply voltage (final value as well as ramp-up time). PMID:29370141

  3. An Interactive Multimedia Learning Environment for VLSI Built with COSMOS

    ERIC Educational Resources Information Center

    Angelides, Marios C.; Agius, Harry W.

    2002-01-01

    This paper presents Bigger Bits, an interactive multimedia learning environment that teaches students about VLSI within the context of computer electronics. The system was built with COSMOS (Content Oriented semantic Modelling Overlay Scheme), which is a modelling scheme that we developed for enabling the semantic content of multimedia to be used…

  4. A new VLSI architecture for a single-chip-type Reed-Solomon decoder

    NASA Technical Reports Server (NTRS)

    Hsu, I. S.; Truong, T. K.

    1989-01-01

    A new very large scale integration (VLSI) architecture for implementing Reed-Solomon (RS) decoders that can correct both errors and erasures is described. This new architecture implements a Reed-Solomon decoder by using replication of a single VLSI chip. It is anticipated that this single chip type RS decoder approach will save substantial development and production costs. It is estimated that reduction in cost by a factor of four is possible with this new architecture. Furthermore, this Reed-Solomon decoder is programmable between 8 bit and 10 bit symbol sizes. Therefore, both an 8 bit Consultative Committee for Space Data Systems (CCSDS) RS decoder and a 10 bit decoder are obtained at the same time, and when concatenated with a (15,1/6) Viterbi decoder, provide an additional 2.1-dB coding gain.

  5. Learning and optimization with cascaded VLSI neural network building-block chips

    NASA Technical Reports Server (NTRS)

    Duong, T.; Eberhardt, S. P.; Tran, M.; Daud, T.; Thakoor, A. P.

    1992-01-01

    To demonstrate the versatility of the building-block approach, two neural network applications were implemented on cascaded analog VLSI chips. Weights were implemented using 7-b multiplying digital-to-analog converter (MDAC) synapse circuits, with 31 x 32 and 32 x 32 synapses per chip. A novel learning algorithm compatible with analog VLSI was applied to the two-input parity problem. The algorithm combines dynamically evolving architecture with limited gradient-descent backpropagation for efficient and versatile supervised learning. To implement the learning algorithm in hardware, synapse circuits were paralleled for additional quantization levels. The hardware-in-the-loop learning system allocated 2-5 hidden neurons for parity problems. Also, a 7 x 7 assignment problem was mapped onto a cascaded 64-neuron fully connected feedback network. In 100 randomly selected problems, the network found optimal or good solutions in most cases, with settling times in the range of 7-100 microseconds.

  6. Customizable PCR-microplate array for differential identification of multiple pathogens

    PubMed Central

    Woubit, Abdela; Yehualaeshet, Teshome; Roberts, Sherrelle; Graham, Martha; Kim, Moonil; Samuel, Temesgen

    2014-01-01

    Customizable PCR-microplate arrays were developed for the rapid identification of Francisella tularensis subsp. tularensis, Salmonella Typhi, Shigella dysenteriae, Yersinia pestis, Vibrio cholerae Escherichia coli O157:H7, Salmonella Typhimurium, Salmonella Saintpaul, Francisella tularensis subsp. novicida, Vibrio parahaemolyticus, and Yersinia pseudotuberculosis. Previously, we identified highly specific primers targeting each of the pathogens above. Here, we report the development of customizable PCR-microplate arrays for simultaneous identification of the pathogens using the primers. A mixed aliquot of genomic DNA from 38 different strains was used to validate three PCR-microplate array formats. Identical PCR conditions were used to run all the samples on the three formats. Results show specific amplifications on all the three custom plates. In a preliminary test to evaluate the sensitivity of these assays in laboratory-inoculated samples, detection limits as low as 9 cfu/g/ml S. Typhimurium were obtained from beef hot dog, and 78 cfu/ml from milk. Such microplate arrays could serve as valuable tools for initial identification or secondary confirmation of these pathogens. PMID:24215700

  7. Customizable PCR-microplate array for differential identification of multiple pathogens.

    PubMed

    Woubit, Abdela; Yehualaeshet, Teshome; Roberts, Sherrelle; Graham, Martha; Kim, Moonil; Samuel, Temesgen

    2013-11-01

    Customizable PCR-microplate arrays were developed for the rapid identification of Salmonella Typhimurium, Salmonella Saintpaul, Salmonella Typhi, Shigella dysenteriae, Escherichia coli O157:H7, Francisella tularensis subsp. tularensis, Francisella tularensis subsp. novicida, Vibrio cholerae, Vibrio parahaemolyticus, Yersinia pestis, and Yersinia pseudotuberculosis. Previously, we identified highly specific primers targeting each of these pathogens. Here, we report the development of customizable PCR-microplate arrays for simultaneous identification of the pathogens using the primers identified. A mixed aliquot of genomic DNA from 38 strains was used to validate three PCR-microplate array formats. Identical PCR conditions were used to run all the samples on the three formats. Specific amplifications were obtained on all three custom plates. In preliminary tests performed to evaluate the sensitivity of these assays in samples inoculated in the laboratory with Salmonella Typhimurium, amplifications were obtained from 1 g of beef hot dog inoculated at as low as 9 CFU/ml or from milk inoculated at as low as 78 CFU/ml. Such microplate arrays could be valuable tools for initial identification or secondary confirmation of contamination by these pathogens.

  8. Design of Tactile Sensor Using Dynamic Wafer Technology Based on VLSI Technique

    DTIC Science & Technology

    2001-10-25

    Charles Noback, Rober Carola," Human Anatomy and Physiology" third edition, 1995. [5] M.H. Raibert and John E. Tanner, "Design and Implementation of VLSI Tactile Sensing Computer" Robotics Research vol 1, 1983.

  9. VLSI chip-set for data compression using the Rice algorithm

    NASA Technical Reports Server (NTRS)

    Venbrux, J.; Liu, N.

    1990-01-01

    A full custom VLSI implementation of a data compression encoder and decoder which implements the lossless Rice data compression algorithm is discussed in this paper. The encoder and decoder reside on single chips. The data rates are to be 5 and 10 Mega-samples-per-second for the decoder and encoder respectively.

  10. Mixed-Dimensionality VLSI-Type Configurable Tools for Virtual Prototyping of Biomicrofluidic Devices and Integrated Systems

    NASA Astrophysics Data System (ADS)

    Makhijani, Vinod B.; Przekwas, Andrzej J.

    2002-10-01

    This report presents results of a DARPA/MTO Composite CAD Project aimed to develop a comprehensive microsystem CAD environment, CFD-ACE+ Multiphysics, for bio and microfluidic devices and complete microsystems. The project began in July 1998, and was a three-year team effort between CFD Research Corporation, California Institute of Technology (CalTech), University of California, Berkeley (UCB), and Tanner Research, with Mr. Don Verlee from Abbott Labs participating as a consultant on the project. The overall objective of this project was to develop, validate and demonstrate several applications of a user-configurable VLSI-type mixed-dimensionality software tool for design of biomicrofluidics devices and integrated systems. The developed tool would provide high fidelity 3-D multiphysics modeling capability, l-D fluidic circuits modeling, and SPICE interface for system level simulations, and mixed-dimensionality design. It would combine tools for layouts and process fabrication, geometric modeling, and automated grid generation, and interfaces to EDA tools (e.g. Cadence) and MCAD tools (e.g. ProE).

  11. Mixed-mode VLSI optic flow sensors for micro air vehicles

    NASA Astrophysics Data System (ADS)

    Barrows, Geoffrey Louis

    We develop practical, compact optic flow sensors. To achieve the desired weight of 1--2 grams, mixed-mode and mixed-signal VLSI techniques are used to develop compact circuits that directly perform computations necessary to measure optic flow. We discuss several implementations, including a version fully integrated in VLSI, and several "hybrid sensors" in which the front end processing is performed with an analog chip and the back end processing is performed with a microcontroller. We extensively discuss one-dimensional optic flow sensors based on the linear competitive feature tracker (LCFT) algorithm. Hardware implementations of this algorithm are shown able to measure visual motion with contrast levels on the order of several percent. We argue that the development of one-dimensional optic flow sensors is therefore reduced to a problem of engineering. We also introduce two related two-dimensional optic flow algorithms that are amenable to implementation in VLSI. This includes the planar competitive feature tracker (PCFT) algorithm and the trajectory method. These sensors are being developed to solve small-scale navigation problems in micro air vehicles, which are autonomous aircraft whose maximum dimension is on the order of 15 cm. We obtain a proof-of-principle of small-scale navigation by mounting a prototype sensor onto a toy glider and programming the sensor to control a rudder or an elevator to affect the glider's path during flight. We demonstrate the determination of altitude by measuring optic flow in the downward direction. We also demonstrate steering to avoid a collision with a wall, when the glider is tossed towards the wall at a shallow angle, by measuring the optic flow in the direction of the glider's left and right side.

  12. A VLSI VAX chip set

    NASA Astrophysics Data System (ADS)

    Johnson, W. N.; Herrick, W. V.; Grundmann, W. J.

    1984-10-01

    For the first time, VLSI technology is used to compress the full functinality and comparable performance of the VAX 11/780 super-minicomputer into a 1.2 M transistor microprocessor chip set. There was no subsetting of the 304 instruction set and the 17 data types, nor reduction in hardware support for the 4 Gbyte virtual memory management architecture. The chipset supports an integral 8 kbyte memory cache, a 13.3 Mbyte/s system bus, and sophisticated multiprocessing. High performance is achieved through microcode optimizations afforded by the large control store, tightly coupled address and data caches, the use of internal and external 32 bit datapaths, the extensive aplication of both microlevel and macrolevel pipelining, and the use of specialized hardware assists.

  13. A VLSI design of a pipeline Reed-Solomon decoder

    NASA Technical Reports Server (NTRS)

    Shao, H. M.; Truong, T. K.; Deutsch, L. J.; Yuen, J. H.; Reed, I. S.

    1985-01-01

    A pipeline structure of a transform decoder similar to a systolic array was developed to decode Reed-Solomon (RS) codes. An important ingredient of this design is a modified Euclidean algorithm for computing the error locator polynomial. The computation of inverse field elements is completely avoided in this modification of Euclid's algorithm. The new decoder is regular and simple, and naturally suitable for VLSI implementation.

  14. On VLSI Design of Rank-Order Filtering using DCRAM Architecture

    PubMed Central

    Lin, Meng-Chun; Dung, Lan-Rong

    2009-01-01

    This paper addresses on VLSI design of rank-order filtering (ROF) with a maskable memory for real-time speech and image processing applications. Based on a generic bit-sliced ROF algorithm, the proposed design uses a special-defined memory, called the dual-cell random-access memory (DCRAM), to realize major operations of ROF: threshold decomposition and polarization. Using the memory-oriented architecture, the proposed ROF processor can benefit from high flexibility, low cost and high speed. The DCRAM can perform the bit-sliced read, partial write, and pipelined processing. The bit-sliced read and partial write are driven by maskable registers. With recursive execution of the bit-slicing read and partial write, the DCRAM can effectively realize ROF in terms of cost and speed. The proposed design has been implemented using TSMC 0.18 μm 1P6M technology. As shown in the result of physical implementation, the core size is 356.1 × 427.7μm2 and the VLSI implementation of ROF can operate at 256 MHz for 1.8V supply. PMID:19865599

  15. WARP: Weight Associative Rule Processor. A dedicated VLSI fuzzy logic megacell

    NASA Technical Reports Server (NTRS)

    Pagni, A.; Poluzzi, R.; Rizzotto, G. G.

    1992-01-01

    During the last five years Fuzzy Logic has gained enormous popularity in the academic and industrial worlds. The success of this new methodology has led the microelectronics industry to create a new class of machines, called Fuzzy Machines, to overcome the limitations of traditional computing systems when utilized as Fuzzy Systems. This paper gives an overview of the methods by which Fuzzy Logic data structures are represented in the machines (each with its own advantages and inefficiencies). Next, the paper introduces WARP (Weight Associative Rule Processor) which is a dedicated VLSI megacell allowing the realization of a fuzzy controller suitable for a wide range of applications. WARP represents an innovative approach to VLSI Fuzzy controllers by utilizing different types of data structures for characterizing the membership functions during the various stages of the Fuzzy processing. WARP dedicated architecture has been designed in order to achieve high performance by exploiting the computational advantages offered by the different data representations.

  16. Image and Video Compression with VLSI Neural Networks

    NASA Technical Reports Server (NTRS)

    Fang, W.; Sheu, B.

    1993-01-01

    An advanced motion-compensated predictive video compression system based on artificial neural networks has been developed to effectively eliminate the temporal and spatial redundancy of video image sequences and thus reduce the bandwidth and storage required for the transmission and recording of the video signal. The VLSI neuroprocessor for high-speed high-ratio image compression based upon a self-organization network and the conventional algorithm for vector quantization are compared. The proposed method is quite efficient and can achieve near-optimal results.

  17. A VLSI chip set for real time vector quantization of image sequences

    NASA Technical Reports Server (NTRS)

    Baker, Richard L.

    1989-01-01

    The architecture and implementation of a VLSI chip set that vector quantizes (VQ) image sequences in real time is described. The chip set forms a programmable Single-Instruction, Multiple-Data (SIMD) machine which can implement various vector quantization encoding structures. Its VQ codebook may contain unlimited number of codevectors, N, having dimension up to K = 64. Under a weighted least squared error criterion, the engine locates at video rates the best code vector in full-searched or large tree searched VQ codebooks. The ability to manipulate tree structured codebooks, coupled with parallelism and pipelining, permits searches in as short as O (log N) cycles. A full codebook search results in O(N) performance, compared to O(KN) for a Single-Instruction, Single-Data (SISD) machine. With this VLSI chip set, an entire video code can be built on a single board that permits realtime experimentation with very large codebooks.

  18. Opto-VLSI-based photonic true-time delay architecture for broadband adaptive nulling in phased array antennas.

    PubMed

    Juswardy, Budi; Xiao, Feng; Alameh, Kamal

    2009-03-16

    This paper proposes a novel Opto-VLSI-based tunable true-time delay generation unit for adaptively steering the nulls of microwave phased array antennas. Arbitrary single or multiple true-time delays can simultaneously be synthesized for each antenna element by slicing an RF-modulated broadband optical source and routing specific sliced wavebands through an Opto-VLSI processor to a high-dispersion fiber. Experimental results are presented, which demonstrate the principle of the true-time delay unit through the generation of 5 arbitrary true-time delays of up to 2.5 ns each. (c) 2009 Optical Society of America

  19. GaAs VLSI technology and circuit elements for DSP

    NASA Astrophysics Data System (ADS)

    Mikkelson, James M.

    1990-10-01

    Recent progress in digital GaAs circuit performance and complexity is presented to demonstrate the current capabilities of GaAs components. High density GaAs process technology and circuit design techniques are described and critical issues for achieving favorable complexity speed power and cost tradeoffs are reviewed. Some DSP building blocks are described to provide examples of what types of DSP systems could be implemented with present GaAs technology. DIGITAL GaAs CIRCUIT CAPABILITIES In the past few years the capabilities of digital GaAs circuits have dramatically increased to the VLSI level. Major gains in circuit complexity and power-delay products have been achieved by the use of silicon-like process technologies and simple circuit topologies. The very high speed and low power consumption of digital GaAs VLSI circuits have made GaAs a desirable alternative to high performance silicon in hardware intensive high speed system applications. An example of the performance and integration complexity available with GaAs VLSI circuits is the 64x64 crosspoint switch shown in figure 1. This switch which is the most complex GaAs circuit currently available is designed on a 30 gate GaAs gate array. It operates at 200 MHz and dissipates only 8 watts of power. The reasons for increasing the level of integration of GaAs circuits are similar to the reasons for the continued increase of silicon circuit complexity. The market factors driving GaAs VLSI are system design methodology system cost power and reliability. System designers are hesitant or unwilling to go backwards to previous design techniques and lower levels of integration. A more highly integrated system in a lower performance technology can often approach the performance of a system in a higher performance technology at a lower level of integration. Higher levels of integration also lower the system component count which reduces the system cost size and power consumption while improving the system reliability

  20. A Systolic VLSI Design of a Pipeline Reed-solomon Decoder

    NASA Technical Reports Server (NTRS)

    Shao, H. M.; Truong, T. K.; Deutsch, L. J.; Yuen, J. H.; Reed, I. S.

    1984-01-01

    A pipeline structure of a transform decoder similar to a systolic array was developed to decode Reed-Solomon (RS) codes. An important ingredient of this design is a modified Euclidean algorithm for computing the error locator polynomial. The computation of inverse field elements is completely avoided in this modification of Euclid's algorithm. The new decoder is regular and simple, and naturally suitable for VLSI implementation.

  1. The VLSI design of error-trellis syndrome decoding for convolutional codes

    NASA Technical Reports Server (NTRS)

    Reed, I. S.; Jensen, J. M.; Truong, T. K.; Hsu, I. S.

    1985-01-01

    A recursive algorithm using the error-trellis decoding technique is developed to decode convolutional codes (CCs). An example, illustrating the very large scale integration (VLSI) architecture of such a decode, is given for a dual-K CC. It is demonstrated that such a decoder can be realized readily on a single chip with metal-nitride-oxide-semiconductor technology.

  2. A cost-effective methodology for the design of massively-parallel VLSI functional units

    NASA Technical Reports Server (NTRS)

    Venkateswaran, N.; Sriram, G.; Desouza, J.

    1993-01-01

    In this paper we propose a generalized methodology for the design of cost-effective massively-parallel VLSI Functional Units. This methodology is based on a technique of generating and reducing a massive bit-array on the mask-programmable PAcube VLSI array. This methodology unifies (maintains identical data flow and control) the execution of complex arithmetic functions on PAcube arrays. It is highly regular, expandable and uniform with respect to problem-size and wordlength, thereby reducing the communication complexity. The memory-functional unit interface is regular and expandable. Using this technique functional units of dedicated processors can be mask-programmed on the naked PAcube arrays, reducing the turn-around time. The production cost of such dedicated processors can be drastically reduced since the naked PAcube arrays can be mass-produced. Analysis of the the performance of functional units designed by our method yields promising results.

  3. Individual customizable in-store textile production

    NASA Astrophysics Data System (ADS)

    Kemper, M.; Bücher, D.; Gloy, Y.-S.; Gries, T.

    2017-10-01

    The target of every company is to satisfy customer demands. Especially the clothing industry has to serve individual customer requirements. Textile products always have been and still are the defining attributes of people’s appearance. Consumer’s demands towards commercial clothing companies have been changing rapidly during the recent years. Two global megatrends have supported this change: Individualization and digitalization. Individualization created demand for frequent collection changes, while still keeping availability high. Digitalization supported the quick distribution of new trends and forced a higher amount of request during peak periods. This paper outlines how a highly individual and customizable fashion product can be produced in a store environment. It focuses on the conceptual design, taking into account the interdisciplinary approach combining production technology with IT-systems, but also addresses the economical challenge with help of a value stream analysis.

  4. VLSI Technology for Cognitive Radio

    NASA Astrophysics Data System (ADS)

    VIJAYALAKSHMI, B.; SIDDAIAH, P.

    2017-08-01

    One of the most challenging tasks of cognitive radio is the efficiency in the spectrum sensing scheme to overcome the spectrum scarcity problem. The popular and widely used spectrum sensing technique is the energy detection scheme as it is very simple and doesn’t require any previous information related to the signal. We propose one such approach which is an optimised spectrum sensing scheme with reduced filter structure. The optimisation is done in terms of area and power performance of the spectrum. The simulations of the VLSI structure of the optimised flexible spectrum is done using verilog coding by using the XILINX ISE software. Our method produces performance with 13% reduction in area and 66% reduction in power consumption in comparison to the flexible spectrum sensing scheme. All the results are tabulated and comparisons are made. A new scheme for optimised and effective spectrum sensing opens up with our model.

  5. A Compact VLSI System for Bio-Inspired Visual Motion Estimation.

    PubMed

    Shi, Cong; Luo, Gang

    2018-04-01

    This paper proposes a bio-inspired visual motion estimation algorithm based on motion energy, along with its compact very-large-scale integration (VLSI) architecture using low-cost embedded systems. The algorithm mimics motion perception functions of retina, V1, and MT neurons in a primate visual system. It involves operations of ternary edge extraction, spatiotemporal filtering, motion energy extraction, and velocity integration. Moreover, we propose the concept of confidence map to indicate the reliability of estimation results on each probing location. Our algorithm involves only additions and multiplications during runtime, which is suitable for low-cost hardware implementation. The proposed VLSI architecture employs multiple (frame, pixel, and operation) levels of pipeline and massively parallel processing arrays to boost the system performance. The array unit circuits are optimized to minimize hardware resource consumption. We have prototyped the proposed architecture on a low-cost field-programmable gate array platform (Zynq 7020) running at 53-MHz clock frequency. It achieved 30-frame/s real-time performance for velocity estimation on 160 × 120 probing locations. A comprehensive evaluation experiment showed that the estimated velocity by our prototype has relatively small errors (average endpoint error < 0.5 pixel and angular error < 10°) for most motion cases.

  6. Tunable multi-wavelength fiber lasers based on an Opto-VLSI processor and optical amplifiers.

    PubMed

    Xiao, Feng; Alameh, Kamal; Lee, Yong Tak

    2009-12-07

    A multi-wavelength tunable fiber laser based on the use of an Opto-VLSI processor in conjunction with different optical amplifiers is proposed and experimentally demonstrated. The Opto-VLSI processor can simultaneously select any part of the gain spectrum from each optical amplifier into its associated fiber ring, leading to a multiport tunable fiber laser source. We experimentally demonstrate a 3-port tunable fiber laser source, where each output wavelength of each port can independently be tuned within the C-band with a wavelength step of about 0.05 nm. Experimental results demonstrate a laser linewidth as narrow as 0.05 nm and an optical side-mode-suppression-ratio (SMSR) of about 35 dB. The demonstrated three fiber lasers have excellent stability at room temperature and output power uniformity less than 0.5 dB over the whole C-band.

  7. VLSI Design of SVM-Based Seizure Detection System With On-Chip Learning Capability.

    PubMed

    Feng, Lichen; Li, Zunchao; Wang, Yuanfa

    2018-02-01

    Portable automatic seizure detection system is very convenient for epilepsy patients to carry. In order to make the system on-chip trainable with high efficiency and attain high detection accuracy, this paper presents a very large scale integration (VLSI) design based on the nonlinear support vector machine (SVM). The proposed design mainly consists of a feature extraction (FE) module and an SVM module. The FE module performs the three-level Daubechies discrete wavelet transform to fit the physiological bands of the electroencephalogram (EEG) signal and extracts the time-frequency domain features reflecting the nonstationary signal properties. The SVM module integrates the modified sequential minimal optimization algorithm with the table-driven-based Gaussian kernel to enable efficient on-chip learning. The presented design is verified on an Altera Cyclone II field-programmable gate array and tested using the two publicly available EEG datasets. Experiment results show that the designed VLSI system improves the detection accuracy and training efficiency.

  8. Periodically Self Restoring Redundant Systems for VLSI Based Highly Reliable Design,

    DTIC Science & Technology

    1984-01-01

    fault tolerance technique for realizing highly reliable computer systems for critical control applications . However, VL.SI technology has imposed a...operating correctly; failed critical real time control applications . n modules are discarded from the vote. the classical "static" voted redundancy...redundant modules are failure number of InterconnecttIon3. This results In f aree. However, for applications requiring higm modular complexity because

  9. ABrowse--a customizable next-generation genome browser framework.

    PubMed

    Kong, Lei; Wang, Jun; Zhao, Shuqi; Gu, Xiaocheng; Luo, Jingchu; Gao, Ge

    2012-01-05

    With the rapid growth of genome sequencing projects, genome browser is becoming indispensable, not only as a visualization system but also as an interactive platform to support open data access and collaborative work. Thus a customizable genome browser framework with rich functions and flexible configuration is needed to facilitate various genome research projects. Based on next-generation web technologies, we have developed a general-purpose genome browser framework ABrowse which provides interactive browsing experience, open data access and collaborative work support. By supporting Google-map-like smooth navigation, ABrowse offers end users highly interactive browsing experience. To facilitate further data analysis, multiple data access approaches are supported for external platforms to retrieve data from ABrowse. To promote collaborative work, an online user-space is provided for end users to create, store and share comments, annotations and landmarks. For data providers, ABrowse is highly customizable and configurable. The framework provides a set of utilities to import annotation data conveniently. To build ABrowse on existing annotation databases, data providers could specify SQL statements according to database schema. And customized pages for detailed information display of annotation entries could be easily plugged in. For developers, new drawing strategies could be integrated into ABrowse for new types of annotation data. In addition, standard web service is provided for data retrieval remotely, providing underlying machine-oriented programming interface for open data access. ABrowse framework is valuable for end users, data providers and developers by providing rich user functions and flexible customization approaches. The source code is published under GNU Lesser General Public License v3.0 and is accessible at http://www.abrowse.org/. To demonstrate all the features of ABrowse, a live demo for Arabidopsis thaliana genome has been built at http://arabidopsis.cbi.edu.cn/.

  10. Periodic binary sequence generators: VLSI circuits considerations

    NASA Technical Reports Server (NTRS)

    Perlman, M.

    1984-01-01

    Feedback shift registers are efficient periodic binary sequence generators. Polynomials of degree r over a Galois field characteristic 2(GF(2)) characterize the behavior of shift registers with linear logic feedback. The algorithmic determination of the trinomial of lowest degree, when it exists, that contains a given irreducible polynomial over GF(2) as a factor is presented. This corresponds to embedding the behavior of an r-stage shift register with linear logic feedback into that of an n-stage shift register with a single two-input modulo 2 summer (i.e., Exclusive-OR gate) in its feedback. This leads to Very Large Scale Integrated (VLSI) circuit architecture of maximal regularity (i.e., identical cells) with intercell communications serialized to a maximal degree.

  11. SeWeR: a customizable and integrated dynamic HTML interface to bioinformatics services.

    PubMed

    Basu, M K

    2001-06-01

    Sequence analysis using Web Resources (SeWeR) is an integrated, Dynamic HTML (DHTML) interface to commonly used bioinformatics services available on the World Wide Web. It is highly customizable, extendable, platform neutral, completely server-independent and can be hosted as a web page as well as being used as stand-alone software running within a web browser.

  12. Lexicon Sextant: Modeling a Mnemonic System for Customizable Browser Information Organization and Management

    ERIC Educational Resources Information Center

    Shen, Siu-Tsen

    2016-01-01

    This paper presents an ongoing study of the development of a customizable web browser information organization and management system, which the author has named Lexicon Sextant (LS). LS is a user friendly, graphical web based add-on to the latest generation of web browsers, such as Google Chrome, making it easier and more intuitive to store and…

  13. The design plan of a VLSI single chip (255, 223) Reed-Solomon decoder

    NASA Technical Reports Server (NTRS)

    Hsu, I. S.; Shao, H. M.; Deutsch, L. J.

    1987-01-01

    The very large-scale integration (VLSI) architecture of a single chip (255, 223) Reed-Solomon decoder for decoding both errors and erasures is described. A decoding failure detection capability is also included in this system so that the decoder will recognize a failure to decode instead of introducing additional errors. This could happen whenever the received word contains too many errors and erasures for the code to correct. The number of transistors needed to implement this decoder is estimated at about 75,000 if the delay for received message is not included. This is in contrast to the older transform decoding algorithm which needs about 100,000 transistors. However, the transform decoder is simpler in architecture than the time decoder. It is therefore possible to implement a single chip (255, 223) Reed-Solomon decoder with today's VLSI technology. An implementation strategy for the decoder system is presented. This represents the first step in a plan to take advantage of advanced coding techniques to realize a 2.0 dB coding gain for future space missions.

  14. Implementation of a VLSI Level Zero Processing system utilizing the functional component approach

    NASA Technical Reports Server (NTRS)

    Shi, Jianfei; Horner, Ward P.; Grebowsky, Gerald J.; Chesney, James R.

    1991-01-01

    A high rate Level Zero Processing system is currently being prototyped at NASA/Goddard Space Flight Center (GSFC). Based on state-of-the-art VLSI technology and the functional component approach, the new system promises capabilities of handling multiple Virtual Channels and Applications with a combined data rate of up to 20 Megabits per second (Mbps) at low cost.

  15. The VLSI design of an error-trellis syndrome decoder for certain convolutional codes

    NASA Technical Reports Server (NTRS)

    Reed, I. S.; Jensen, J. M.; Hsu, I.-S.; Truong, T. K.

    1986-01-01

    A recursive algorithm using the error-trellis decoding technique is developed to decode convolutional codes (CCs). An example, illustrating the very large scale integration (VLSI) architecture of such a decode, is given for a dual-K CC. It is demonstrated that such a decoder can be realized readily on a single chip with metal-nitride-oxide-semiconductor technology.

  16. Customizable Computer-Based Interaction Analysis for Coaching and Self-Regulation in Synchronous CSCL Systems

    ERIC Educational Resources Information Center

    Lonchamp, Jacques

    2010-01-01

    Computer-based interaction analysis (IA) is an automatic process that aims at understanding a computer-mediated activity. In a CSCL system, computer-based IA can provide information directly to learners for self-assessment and regulation and to tutors for coaching support. This article proposes a customizable computer-based IA approach for a…

  17. Routing channels in VLSI layout

    NASA Astrophysics Data System (ADS)

    Cai, Hong

    A number of algorithms for the automatic routing of interconnections in Very Large Scale Integration (VLSI) building-block layouts are presented. Algorithms for the topological definition of channels, the global routing and the geometrical definition of channels are presented. In contrast to traditional approaches the definition and ordering of the channels is done after the global routing. This approach has the advantage that global routing information can be taken into account to select the optimal channel structure. A polynomial algorithm for the channel definition and ordering problem is presented. The existence of a conflict-free channel structure is guaranteed by enforcing a sliceable placement. Algorithms for finding the shortest connection path are described. A separate algorithm is developed for the power net routing, because the two power nets must be planarly routed with variable wire width. An integrated placement and routing system for generating building-block layout is briefly described. Some experimental results and design experiences in using the system are also presented. Very good results are obtained.

  18. Built-in self-repair of VLSI memories employing neural nets

    NASA Astrophysics Data System (ADS)

    Mazumder, Pinaki

    1998-10-01

    The decades of the Eighties and the Nineties have witnessed the spectacular growth of VLSI technology, when the chip size has increased from a few hundred devices to a staggering multi-millon transistors. This trend is expected to continue as the CMOS feature size progresses towards the nanometric dimension of 100 nm and less. SIA roadmap projects that, where as the DRAM chips will integrate over 20 billion devices in the next millennium, the future microprocessors may incorporate over 100 million transistors on a single chip. As the VLSI chip size increase, the limited accessibility of circuit components poses great difficulty for external diagnosis and replacement in the presence of faulty components. For this reason, extensive work has been done in built-in self-test techniques, but little research is known concerning built-in self-repair. Moreover, the extra hardware introduced by conventional fault-tolerance techniques is also likely to become faulty, therefore causing the circuit to be useless. This research demonstrates the feasibility of implementing electronic neural networks as intelligent hardware for memory array repair. Most importantly, we show that the neural network control possesses a robust and degradable computing capability under various fault conditions. Overall, a yield analysis performed on 64K DRAM's shows that the yield can be improved from as low as 20 percent to near 99 percent due to the self-repair design, with overhead no more than 7 percent.

  19. Rapid large area fabrication of multiscale through-hole membranes.

    PubMed

    Tahk, Dongha; Paik, Sang-Min; Lim, Jungeun; Bang, Seokyoung; Oh, Soojung; Ryu, Hyunryul; Jeon, Noo Li

    2017-05-16

    There are many proposed mechanisms by which single cells can be trapped; among them is the through-hole membrane for the characterization of individual microorganisms. Due to the small scale of the fabricated pores, the construction of through-hole membranes on a large scale and with relatively large areas faces many difficulties. This paper describes novel fabrication methods for a large-area, freestanding micro/nano through-hole membrane constructed from versatile membrane materials using through-hole membranes on a microfluidic chip (THMMC). This process can rapidly (<20 min) fabricate membranes with high fidelity multiscale hole size without residual layers. The through-hole site was easily customizable from the micro to the nanoscale, with a low or high aspect ratio giving rise to reliable membranes. Also, the rigidity and biocompatibility of the through-hole membrane are easily tunable by simple injection of versatile membrane materials to obtain a large area (up to 3600 mm 2 ). Membranes produced in this manner were then applied as a proof of concept for the isolation, cultivation, and quantification of individual micro-algal cells for selection with respect to the growth rate, while controlling the quorum sensing mediated metabolic and proliferative changes.

  20. Parallel algorithms for placement and routing in VLSI design. Ph.D. Thesis

    NASA Technical Reports Server (NTRS)

    Brouwer, Randall Jay

    1991-01-01

    The computational requirements for high quality synthesis, analysis, and verification of very large scale integration (VLSI) designs have rapidly increased with the fast growing complexity of these designs. Research in the past has focused on the development of heuristic algorithms, special purpose hardware accelerators, or parallel algorithms for the numerous design tasks to decrease the time required for solution. Two new parallel algorithms are proposed for two VLSI synthesis tasks, standard cell placement and global routing. The first algorithm, a parallel algorithm for global routing, uses hierarchical techniques to decompose the routing problem into independent routing subproblems that are solved in parallel. Results are then presented which compare the routing quality to the results of other published global routers and which evaluate the speedups attained. The second algorithm, a parallel algorithm for cell placement and global routing, hierarchically integrates a quadrisection placement algorithm, a bisection placement algorithm, and the previous global routing algorithm. Unique partitioning techniques are used to decompose the various stages of the algorithm into independent tasks which can be evaluated in parallel. Finally, results are presented which evaluate the various algorithm alternatives and compare the algorithm performance to other placement programs. Measurements are presented on the parallel speedups available.

  1. PLA realizations for VLSI state machines

    NASA Technical Reports Server (NTRS)

    Gopalakrishnan, S.; Whitaker, S.; Maki, G.; Liu, K.

    1990-01-01

    A major problem associated with state assignment procedures for VLSI controllers is obtaining an assignment that produces minimal or near minimal logic. The key item in Programmable Logic Array (PLA) area minimization is the number of unique product terms required by the design equations. This paper presents a state assignment algorithm for minimizing the number of product terms required to implement a finite state machine using a PLA. Partition algebra with predecessor state information is used to derive a near optimal state assignment. A maximum bound on the number of product terms required can be obtained by inspecting the predecessor state information. The state assignment algorithm presented is much simpler than existing procedures and leads to the same number of product terms or less. An area-efficient PLA structure implemented in a 1.0 micron CMOS process is presented along with a summary of the performance for a controller implemented using this design procedure.

  2. Off-line, built-in test techniques for VLSI circuits

    NASA Technical Reports Server (NTRS)

    Buehler, M. G.; Sievers, M. W.

    1982-01-01

    It is shown that the use of redundant on-chip circuitry improves the testability of an entire VLSI circuit. In the study described here, five techniques applied to a two-bit ripple carry adder are compared. The techniques considered are self-oscillation, self-comparison, partition, scan path, and built-in logic block observer. It is noted that both classical stuck-at faults and nonclassical faults, such as bridging faults (shorts), stuck-on x faults where x may be 0, 1, or vary between the two, and parasitic flip-flop faults occur in IC structures. To simplify the analysis of the testing techniques, however, a stuck-at fault model is assumed.

  3. A VLSI architecture for simplified arithmetic Fourier transform algorithm

    NASA Technical Reports Server (NTRS)

    Reed, Irving S.; Shih, Ming-Tang; Truong, T. K.; Hendon, E.; Tufts, D. W.

    1992-01-01

    The arithmetic Fourier transform (AFT) is a number-theoretic approach to Fourier analysis which has been shown to perform competitively with the classical FFT in terms of accuracy, complexity, and speed. Theorems developed in a previous paper for the AFT algorithm are used here to derive the original AFT algorithm which Bruns found in 1903. This is shown to yield an algorithm of less complexity and of improved performance over certain recent AFT algorithms. A VLSI architecture is suggested for this simplified AFT algorithm. This architecture uses a butterfly structure which reduces the number of additions by 25 percent of that used in the direct method.

  4. Application of a VLSI vector quantization processor to real-time speech coding

    NASA Technical Reports Server (NTRS)

    Davidson, G.; Gersho, A.

    1986-01-01

    Attention is given to a working vector quantization processor for speech coding that is based on a first-generation VLSI chip which efficiently performs the pattern-matching operation needed for the codebook search process (CPS). Using this chip, the CPS architecture has been successfully incorporated into a compact, single-board Vector PCM implementation operating at 7-18 kbits/sec. A real time Adaptive Vector Predictive Coder system using the CPS has also been implemented.

  5. Analog VLSI system for active drag reduction

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gupta, B.; Goodman, R.; Jiang, F.

    1996-10-01

    In today`s cost-conscious air transportation industry, fuel costs are a substantial economic concern. Drag reduction is an important way to reduce costs. Even a 5% reduction in drag translates into estimated savings of millions of dollars in fuel costs. Drawing inspiration from the structure of shark skin, the authors are building a system to reduce drag along a surface. Our analog VLSI system interfaces with microfabricated, constant-temperature shear stress sensors. It detects regions of high shear stress and outputs a control signal to activate a microactuator. We are in the process of verifying the actual drag reduction by controlling microactuatorsmore » in wind tunnel experiments. We are encouraged that an approach similar to one that biology employs provides a very useful contribution to the problem of drag reduction. 9 refs., 21 figs.« less

  6. VLSI Technology: Impact and Promise. Identifying Emerging Issues and Trends in Technology for Special Education.

    ERIC Educational Resources Information Center

    Bayoumi, Magdy

    As part of a 3-year study to identify emerging issues and trends in technology for special education, this paper addresses the implications of very large scale integrated (VLSI) technology. The first section reviews the development of educational technology, particularly microelectronics technology, from the 1950s to the present. The implications…

  7. An Application of Multi-Criteria Shortest Path to a Customizable Hex-Map Environment

    DTIC Science & Technology

    2015-03-26

    forces which could act as intermediate destinations or obstacles to movement through the network. This is similar to a traveling salesman problem ...118 Abstract The shortest path problem of finding the optimal path through a complex network is well-studied in the field of operations research. This...research presents an applica- tion of the shortest path problem to a customizable map with terrain features and enemy engagement risk. The PathFinder

  8. Fabrication of triple-layered bifurcated vascular scaffold with a certain degree of three-dimensional structure

    NASA Astrophysics Data System (ADS)

    Liu, Yuanyuan; Jiang, Weijian; Yang, Yang; Pu, Huayan; Peng, Yan; Xin, Liming; Zhang, Yi; Sun, Yu

    2018-01-01

    Constructing vascular scaffolds is important in tissue engineering. However, scaffolds with characteristics such as multiple layers and a certain degree of spatial morphology still cannot be readily constructed by current vascular scaffolds fabrication techniques. This paper presents a three-layered bifurcated vascular scaffold with a curved structure. The technique combines 3D printed molds and casting hydrogel and fugitive ink to create vessel-mimicking constructs with customizable structural parameters. Compared with other fabrication methods, the technique can create more native-like 3D geometries. The diameter and wall thickness of the fabricated constructs can be independently controlled, providing a feasible approach for vascular scaffold construction. Enzymatically-crosslinked gelatin was used as the scaffold material. The morphology and mechanical properties were evaluated. Human umbilical cord derived endothelial cells (HUVECs) were seeded on the scaffolds and cultured for 72 h. Cell viability and morphology were assessed. The results showed that the proposed process had good application potentials, and will hopefully provide a feasible approach for constructing vascular scaffolds.

  9. Local bipolar-transistor gain measurement for VLSI devices

    NASA Astrophysics Data System (ADS)

    Bonnaud, O.; Chante, J. P.

    1981-08-01

    A method is proposed for measuring the gain of a bipolar transistor region as small as possible. The measurement then allows the evaluation particularly of the effect of the emitter-base junction edge and the technology-process influence of VLSI-technology devices. The technique consists in the generation of charge carriers in the transistor base layer by a focused laser beam in order to bias the device in as small a region as possible. To reduce the size of the conducting area, a transversal reverse base current is forced through the base layer resistance in order to pinch in the emitter current in the illuminated region. Transistor gain is deduced from small signal measurements. A model associated with this technique is developed, and this is in agreement with the first experimental results.

  10. Adaptive neuro fuzzy inference system-based power estimation method for CMOS VLSI circuits

    NASA Astrophysics Data System (ADS)

    Vellingiri, Govindaraj; Jayabalan, Ramesh

    2018-03-01

    Recent advancements in very large scale integration (VLSI) technologies have made it feasible to integrate millions of transistors on a single chip. This greatly increases the circuit complexity and hence there is a growing need for less-tedious and low-cost power estimation techniques. The proposed work employs Back-Propagation Neural Network (BPNN) and Adaptive Neuro Fuzzy Inference System (ANFIS), which are capable of estimating the power precisely for the complementary metal oxide semiconductor (CMOS) VLSI circuits, without requiring any knowledge on circuit structure and interconnections. The ANFIS to power estimation application is relatively new. Power estimation using ANFIS is carried out by creating initial FIS modes using hybrid optimisation and back-propagation (BP) techniques employing constant and linear methods. It is inferred that ANFIS with the hybrid optimisation technique employing the linear method produces better results in terms of testing error that varies from 0% to 0.86% when compared to BPNN as it takes the initial fuzzy model and tunes it by means of a hybrid technique combining gradient descent BP and mean least-squares optimisation algorithms. ANFIS is the best suited for power estimation application with a low RMSE of 0.0002075 and a high coefficient of determination (R) of 0.99961.

  11. New dynamic FET logic and serial memory circuits for VLSI GaAs technology

    NASA Technical Reports Server (NTRS)

    Eldin, A. G.

    1991-01-01

    The complexity of GaAs field effect transistor (FET) very large scale integration (VLSI) circuits is limited by the maximum power dissipation while the uniformity of the device parameters determines the functional yield. In this work, digital GaAs FET circuits are presented that eliminate the DC power dissipation and reduce the area to 50% of that of the conventional static circuits. Its larger tolerance to device parameter variations results in higher functional yield.

  12. VLSI processors for signal detection in SETI

    NASA Technical Reports Server (NTRS)

    Duluk, J. F.; Linscott, I. R.; Peterson, A. M.; Burr, J.; Ekroot, B.; Twicken, J.

    1989-01-01

    The objective of the Search for Extraterrestrial Intelligence (SETI) is to locate an artificially created signal coming from a distant star. This is done in two steps: (1) spectral analysis of an incoming radio frequency band, and (2) pattern detection for narrow-band signals. Both steps are computationally expensive and require the development of specially designed computer architectures. To reduce the size and cost of the SETI signal detection machine, two custom VLSI chips are under development. The first chip, the SETI DSP Engine, is used in the spectrum analyzer and is specially designed to compute Discrete Fourier Transforms (DFTs). It is a high-speed arithmetic processor that has two adders, one multiplier-accumulator, and three four-port memories. The second chip is a new type of Content-Addressable Memory. It is the heart of an associative processor that is used for pattern detection. Both chips incorporate many innovative circuits and architectural features.

  13. VLSI processors for signal detection in SETI.

    PubMed

    Duluk, J F; Linscott, I R; Peterson, A M; Burr, J; Ekroot, B; Twicken, J

    1989-01-01

    The objective of the Search for Extraterrestrial Intelligence (SETI) is to locate an artificially created signal coming from a distant star. This is done in two steps: (1) spectral analysis of an incoming radio frequency band, and (2) pattern detection for narrow-band signals. Both steps are computationally expensive and require the development of specially designed computer architectures. To reduce the size and cost of the SETI signal detection machine, two custom VLSI chips are under development. The first chip, the SETI DSP Engine, is used in the spectrum analyzer and is specially designed to compute Discrete Fourier Transforms (DFTs). It is a high-speed arithmetic processor that has two adders, one multiplier-accumulator, and three four-port memories. The second chip is a new type of Content-Addressable Memory. It is the heart of an associative processor that is used for pattern detection. Both chips incorporate many innovative circuits and architectural features.

  14. ProperCAD: A portable object-oriented parallel environment for VLSI CAD

    NASA Technical Reports Server (NTRS)

    Ramkumar, Balkrishna; Banerjee, Prithviraj

    1993-01-01

    Most parallel algorithms for VLSI CAD proposed to date have one important drawback: they work efficiently only on machines that they were designed for. As a result, algorithms designed to date are dependent on the architecture for which they are developed and do not port easily to other parallel architectures. A new project under way to address this problem is described. A Portable object-oriented parallel environment for CAD algorithms (ProperCAD) is being developed. The objectives of this research are (1) to develop new parallel algorithms that run in a portable object-oriented environment (CAD algorithms using a general purpose platform for portable parallel programming called CARM is being developed and a C++ environment that is truly object-oriented and specialized for CAD applications is also being developed); and (2) to design the parallel algorithms around a good sequential algorithm with a well-defined parallel-sequential interface (permitting the parallel algorithm to benefit from future developments in sequential algorithms). One CAD application that has been implemented as part of the ProperCAD project, flat VLSI circuit extraction, is described. The algorithm, its implementation, and its performance on a range of parallel machines are discussed in detail. It currently runs on an Encore Multimax, a Sequent Symmetry, Intel iPSC/2 and i860 hypercubes, a NCUBE 2 hypercube, and a network of Sun Sparc workstations. Performance data for other applications that were developed are provided: namely test pattern generation for sequential circuits, parallel logic synthesis, and standard cell placement.

  15. The VLSI design of a Reed-Solomon encoder using Berlekamps bit-serial multiplier algorithm

    NASA Technical Reports Server (NTRS)

    Truong, T. K.; Deutsch, L. J.; Reed, I. S.; Hsu, I. S.; Wang, K.; Yeh, C. S.

    1982-01-01

    Realization of a bit-serial multiplication algorithm for the encoding of Reed-Solomon (RS) codes on a single VLSI chip using NMOS technology is demonstrated to be feasible. A dual basis (255, 223) over a Galois field is used. The conventional RS encoder for long codes ofter requires look-up tables to perform the multiplication of two field elements. Berlekamp's algorithm requires only shifting and exclusive-OR operations.

  16. Vlsi implementation of flexible architecture for decision tree classification in data mining

    NASA Astrophysics Data System (ADS)

    Sharma, K. Venkatesh; Shewandagn, Behailu; Bhukya, Shankar Nayak

    2017-07-01

    The Data mining algorithms have become vital to researchers in science, engineering, medicine, business, search and security domains. In recent years, there has been a terrific raise in the size of the data being collected and analyzed. Classification is the main difficulty faced in data mining. In a number of the solutions developed for this problem, most accepted one is Decision Tree Classification (DTC) that gives high precision while handling very large amount of data. This paper presents VLSI implementation of flexible architecture for Decision Tree classification in data mining using c4.5 algorithm.

  17. Fabrication and application of heterogeneous printed mouse phantoms for whole animal optical imaging

    PubMed Central

    Bentz, Brian Z.; Chavan, Anmol V.; Lin, Dergan; Tsai, Esther H. R.; Webb, Kevin J.

    2017-01-01

    This work demonstrates the usefulness of 3D printing for optical imaging applications. Progress in developing optical imaging for biomedical applications requires customizable and often complex objects for testing and evaluation. There is therefore high demand for what have become known as tissue-simulating “phantoms.” We present a new optical phantom fabricated using inexpensive 3D printing methods with multiple materials, allowing for the placement of complex inhomogeneities in complex or anatomically realistic geometries, as opposed to previous phantoms, which were limited to simple shapes formed by molds or machining. We use diffuse optical imaging to reconstruct optical parameters in 3D space within a printed mouse to show the applicability of the phantoms for developing whole animal optical imaging methods. This phantom fabrication approach is versatile, can be applied to optical imaging methods besides diffusive imaging, and can be used in the calibration of live animal imaging data. PMID:26835763

  18. A novel configurable VLSI architecture design of window-based image processing method

    NASA Astrophysics Data System (ADS)

    Zhao, Hui; Sang, Hongshi; Shen, Xubang

    2018-03-01

    Most window-based image processing architecture can only achieve a certain kind of specific algorithms, such as 2D convolution, and therefore lack the flexibility and breadth of application. In addition, improper handling of the image boundary can cause loss of accuracy, or consume more logic resources. For the above problems, this paper proposes a new VLSI architecture of window-based image processing operations, which is configurable and based on consideration of the image boundary. An efficient technique is explored to manage the image borders by overlapping and flushing phases at the end of row and the end of frame, which does not produce new delay and reduce the overhead in real-time applications. Maximize the reuse of the on-chip memory data, in order to reduce the hardware complexity and external bandwidth requirements. To perform different scalar function and reduction function operations in pipeline, this can support a variety of applications of window-based image processing. Compared with the performance of other reported structures, the performance of the new structure has some similarities to some of the structures, but also superior to some other structures. Especially when compared with a systolic array processor CWP, this structure at the same frequency of approximately 12.9% of the speed increases. The proposed parallel VLSI architecture was implemented with SIMC 0.18-μm CMOS technology, and the maximum clock frequency, power consumption, and area are 125Mhz, 57mW, 104.8K Gates, respectively, furthermore the processing time is independent of the different window-based algorithms mapped to the structure

  19. Techniques for Computing the DFT Using the Residue Fermat Number Systems and VLSI

    NASA Technical Reports Server (NTRS)

    Truong, T. K.; Chang, J. J.; Hsu, I. S.; Pei, D. Y.; Reed, I. S.

    1985-01-01

    The integer complex multiplier and adder over the direct sum of two copies of a finite field is specialized to the direct sum of the rings of integers modulo Fermat numbers. Such multiplications and additions can be used in the implementation of a discrete Fourier transform (DFT) of a sequence of complex numbers. The advantage of the present approach is that the number of multiplications needed for the DFT can be reduced substantially over the previous approach. The architectural designs using this approach are regular, simple, expandable and, therefore, naturally suitable for VLSI implementation.

  20. VLSI-based video event triggering for image data compression

    NASA Astrophysics Data System (ADS)

    Williams, Glenn L.

    1994-02-01

    Long-duration, on-orbit microgravity experiments require a combination of high resolution and high frame rate video data acquisition. The digitized high-rate video stream presents a difficult data storage problem. Data produced at rates of several hundred million bytes per second may require a total mission video data storage requirement exceeding one terabyte. A NASA-designed, VLSI-based, highly parallel digital state machine generates a digital trigger signal at the onset of a video event. High capacity random access memory storage coupled with newly available fuzzy logic devices permits the monitoring of a video image stream for long term (DC-like) or short term (AC-like) changes caused by spatial translation, dilation, appearance, disappearance, or color change in a video object. Pre-trigger and post-trigger storage techniques are then adaptable to archiving only the significant video images.

  1. VLSI-based Video Event Triggering for Image Data Compression

    NASA Technical Reports Server (NTRS)

    Williams, Glenn L.

    1994-01-01

    Long-duration, on-orbit microgravity experiments require a combination of high resolution and high frame rate video data acquisition. The digitized high-rate video stream presents a difficult data storage problem. Data produced at rates of several hundred million bytes per second may require a total mission video data storage requirement exceeding one terabyte. A NASA-designed, VLSI-based, highly parallel digital state machine generates a digital trigger signal at the onset of a video event. High capacity random access memory storage coupled with newly available fuzzy logic devices permits the monitoring of a video image stream for long term (DC-like) or short term (AC-like) changes caused by spatial translation, dilation, appearance, disappearance, or color change in a video object. Pre-trigger and post-trigger storage techniques are then adaptable to archiving only the significant video images.

  2. Collective behavior of networks with linear (VLSI) integrate-and-fire neurons.

    PubMed

    Fusi, S; Mattia, M

    1999-04-01

    We analyze in detail the statistical properties of the spike emission process of a canonical integrate-and-fire neuron, with a linear integrator and a lower bound for the depolarization, as often used in VLSI implementations (Mead, 1989). The spike statistics of such neurons appear to be qualitatively similar to conventional (exponential) integrate-and-fire neurons, which exhibit a wide variety of characteristics observed in cortical recordings. We also show that, contrary to current opinion, the dynamics of a network composed of such neurons has two stable fixed points, even in the purely excitatory network, corresponding to two different states of reverberating activity. The analytical results are compared with numerical simulations and are found to be in good agreement.

  3. Controlling state explosion during automatic verification of delay-insensitive and delay-constrained VLSI systems using the POM verifier

    NASA Technical Reports Server (NTRS)

    Probst, D.; Jensen, L.

    1991-01-01

    Delay-insensitive VLSI systems have a certain appeal on the ground due to difficulties with clocks; they are even more attractive in space. We answer the question, is it possible to control state explosion arising from various sources during automatic verification (model checking) of delay-insensitive systems? State explosion due to concurrency is handled by introducing a partial-order representation for systems, and defining system correctness as a simple relation between two partial orders on the same set of system events (a graph problem). State explosion due to nondeterminism (chiefly arbitration) is handled when the system to be verified has a clean, finite recurrence structure. Backwards branching is a further optimization. The heart of this approach is the ability, during model checking, to discover a compact finite presentation of the verified system without prior composition of system components. The fully-implemented POM verification system has polynomial space and time performance on traditional asynchronous-circuit benchmarks that are exponential in space and time for other verification systems. We also sketch the generalization of this approach to handle delay-constrained VLSI systems.

  4. A technique for evaluating the application of the pin-level stuck-at fault model to VLSI circuits

    NASA Technical Reports Server (NTRS)

    Palumbo, Daniel L.; Finelli, George B.

    1987-01-01

    Accurate fault models are required to conduct the experiments defined in validation methodologies for highly reliable fault-tolerant computers (e.g., computers with a probability of failure of 10 to the -9 for a 10-hour mission). Described is a technique by which a researcher can evaluate the capability of the pin-level stuck-at fault model to simulate true error behavior symptoms in very large scale integrated (VLSI) digital circuits. The technique is based on a statistical comparison of the error behavior resulting from faults applied at the pin-level of and internal to a VLSI circuit. As an example of an application of the technique, the error behavior of a microprocessor simulation subjected to internal stuck-at faults is compared with the error behavior which results from pin-level stuck-at faults. The error behavior is characterized by the time between errors and the duration of errors. Based on this example data, the pin-level stuck-at fault model is found to deliver less than ideal performance. However, with respect to the class of faults which cause a system crash, the pin-level, stuck-at fault model is found to provide a good modeling capability.

  5. Testing Methods for Integrated Circuit Chips.

    DTIC Science & Technology

    1986-03-27

    DWf <I IAV ~IMi MORY OUT LOGIC~~ IPOGRAM ASYC S’E4i E...* 16o, CO% T ROL CO%TROL 32 Figure 2 . 14 VLSI Tester Block Diagram. registers, memory and test...neral-pIurpos’ processor wi th standard bus- inte-rfaco se-rves as,- th- test control Ii’r and ( 2 ) a c-ustom VLSI test Controller inti-rfacing direc(_t1...Engineering 2 WTWTY ABSTRACT Provision for the functional testing of fabricated VLSI chips frequently involves as much design effort as the orig- _ inal

  6. A VLSI implementation of DCT using pass transistor technology

    NASA Technical Reports Server (NTRS)

    Kamath, S.; Lynn, Douglas; Whitaker, Sterling

    1992-01-01

    A VLSI design for performing the Discrete Cosine Transform (DCT) operation on image blocks of size 16 x 16 in a real time fashion operating at 34 MHz (worst case) is presented. The process used was Hewlett-Packard's CMOS26--A 3 metal CMOS process with a minimum feature size of 0.75 micron. The design is based on Multiply-Accumulate (MAC) cells which make use of a modified Booth recoding algorithm for performing multiplication. The design of these cells is straight forward, and the layouts are regular with no complex routing. Two versions of these MAC cells were designed and their layouts completed. Both versions were simulated using SPICE to estimate their performance. One version is slightly faster at the cost of larger silicon area and higher power consumption. An improvement in speed of almost 20 percent is achieved after several iterations of simulation and re-sizing.

  7. Modeling selective attention using a neuromorphic analog VLSI device.

    PubMed

    Indiveri, G

    2000-12-01

    Attentional mechanisms are required to overcome the problem of flooding a limited processing capacity system with information. They are present in biological sensory systems and can be a useful engineering tool for artificial visual systems. In this article we present a hardware model of a selective attention mechanism implemented on a very large-scale integration (VLSI) chip, using analog neuromorphic circuits. The chip exploits a spike-based representation to receive, process, and transmit signals. It can be used as a transceiver module for building multichip neuromorphic vision systems. We describe the circuits that carry out the main processing stages of the selective attention mechanism and provide experimental data for each circuit. We demonstrate the expected behavior of the model at the system level by stimulating the chip with both artificially generated control signals and signals obtained from a saliency map, computed from an image containing several salient features.

  8. Compact VLSI neural computer integrated with active pixel sensor for real-time ATR applications

    NASA Astrophysics Data System (ADS)

    Fang, Wai-Chi; Udomkesmalee, Gabriel; Alkalai, Leon

    1997-04-01

    A compact VLSI neural computer integrated with an active pixel sensor has been under development to mimic what is inherent in biological vision systems. This electronic eye- brain computer is targeted for real-time machine vision applications which require both high-bandwidth communication and high-performance computing for data sensing, synergy of multiple types of sensory information, feature extraction, target detection, target recognition, and control functions. The neural computer is based on a composite structure which combines Annealing Cellular Neural Network (ACNN) and Hierarchical Self-Organization Neural Network (HSONN). The ACNN architecture is a programmable and scalable multi- dimensional array of annealing neurons which are locally connected with their local neurons. Meanwhile, the HSONN adopts a hierarchical structure with nonlinear basis functions. The ACNN+HSONN neural computer is effectively designed to perform programmable functions for machine vision processing in all levels with its embedded host processor. It provides a two order-of-magnitude increase in computation power over the state-of-the-art microcomputer and DSP microelectronics. A compact current-mode VLSI design feasibility of the ACNN+HSONN neural computer is demonstrated by a 3D 16X8X9-cube neural processor chip design in a 2-micrometers CMOS technology. Integration of this neural computer as one slice of a 4'X4' multichip module into the 3D MCM based avionics architecture for NASA's New Millennium Program is also described.

  9. A VLSI architecture for performing finite field arithmetic with reduced table look-up

    NASA Technical Reports Server (NTRS)

    Hsu, I. S.; Truong, T. K.; Reed, I. S.

    1986-01-01

    A new table look-up method for finding the log and antilog of finite field elements has been developed by N. Glover. In his method, the log and antilog of a field element is found by the use of several smaller tables. The method is based on a use of the Chinese Remainder Theorem. The technique often results in a significant reduction in the memory requirements of the problem. A VLSI architecture is developed for a special case of this new algorithm to perform finite field arithmetic including multiplication, division, and the finding of an inverse element in the finite field.

  10. An Efficient VLSI Architecture of the Enhanced Three Step Search Algorithm

    NASA Astrophysics Data System (ADS)

    Biswas, Baishik; Mukherjee, Rohan; Saha, Priyabrata; Chakrabarti, Indrajit

    2016-09-01

    The intense computational complexity of any video codec is largely due to the motion estimation unit. The Enhanced Three Step Search is a popular technique that can be adopted for fast motion estimation. This paper proposes a novel VLSI architecture for the implementation of the Enhanced Three Step Search Technique. A new addressing mechanism has been introduced which enhances the speed of operation and reduces the area requirements. The proposed architecture when implemented in Verilog HDL on Virtex-5 Technology and synthesized using Xilinx ISE Design Suite 14.1 achieves a critical path delay of 4.8 ns while the area comes out to be 2.9K gate equivalent. It can be incorporated in commercial devices like smart-phones, camcorders, video conferencing systems etc.

  11. The OPEnSampler: A Low-Cost, Low-Weight, Customizable and Modular Open Source 24-Unit Automatic Water Sampler

    NASA Astrophysics Data System (ADS)

    Nelke, M.; Selker, J. S.; Udell, C.

    2017-12-01

    Reliable automatic water samplers allow repetitive sampling of various water sources over long periods of time without requiring a researcher on site, reducing human error as well as the monetary and time costs of traveling to the field, particularly when the scale of the sample period is hours or days. The high fixed cost of buying a commercial sampler with little customizability can be a barrier to research requiring repetitive samples, such as the analysis of septic water pre- and post-treatment. DIY automatic samplers proposed in the past sacrifice maximum volume, customizability, or scope of applications, among other features, in exchange for a lower net cost. The purpose of this project was to develop a low-cost, highly customizable, robust water sampler that is capable of sampling many sources of water for various analytes. A lightweight aluminum-extrusion frame was designed and assembled, chosen for its mounting system, strength, and low cost. Water is drawn from two peristaltic pumps through silicone tubing and directed into 24 foil-lined 250mL bags using solenoid valves. A programmable Arduino Uno microcontroller connected to a circuit board communicates with a battery operated real-time clock, initiating sampling stages. Period and volume settings are programmable in-field by the user via serial commands. The OPEnSampler is an open design, allowing the user to decide what components to use and the modular theme of the frame allows fast mounting of new manufactured or 3D printed components. The 24-bag system weighs less than 10kg and the material cost is under $450. Up to 6L of sample water can be drawn at a rate of 100mL/minute in either direction. Faster flowrates are achieved by using more powerful peristaltic pumps. Future design changes could allow a greater maximum volume by filling the unused space with more containers and adding GSM communications to send real time status information.

  12. Customizable cap implants for neurophysiological experimentation.

    PubMed

    Blonde, Jackson D; Roussy, Megan; Luna, Rogelio; Mahmoudian, Borna; Gulli, Roberto A; Barker, Kevin C; Lau, Jonathan C; Martinez-Trujillo, Julio C

    2018-04-22

    Several primate neurophysiology laboratories have adopted acrylic-free, custom-fit cranial implants. These implants are often comprised of titanium or plastic polymers, such as polyether ether ketone (PEEK). Titanium is favored for its mechanical strength and osseointegrative properties whereas PEEK is notable for its lightweight, machinability, and MRI compatibility. Recent titanium/PEEK implants have proven to be effective in minimizing infection and implant failure, thereby prolonging experiments and optimizing the scientific contribution of a single primate. We created novel, customizable PEEK 'cap' implants that contour to the primate's skull. The implants were created using MRI and/or CT data, SolidWorks software and CNC-machining. Three rhesus macaques were implanted with a PEEK cap implant. Head fixation and chronic recordings were successfully performed. Improvements in design and surgical technique solved issues of granulation tissue formation and headpost screw breakage. Primate cranial implants have traditionally been fastened to the skull using acrylic and anchor screws. This technique is prone to skin recession, infection, and implant failure. More recent methods have used imaging data to create custom-fit titanium/PEEK implants with radially extending feet or vertical columns. Compared to our design, these implants are more surgically invasive over time, have less force distribution, and/or do not optimize the utilizable surface area of the skull. Our PEEK cap implants served as an effective and affordable means to perform electrophysiological experimentation while reducing surgical invasiveness, providing increased strength, and optimizing useful surface area. Crown Copyright © 2018. Published by Elsevier B.V. All rights reserved.

  13. A VLSI pipeline design of a fast prime factor DFT on a finite field

    NASA Technical Reports Server (NTRS)

    Truong, T. K.; Hsu, I. S.; Shao, H. M.; Reed, I. S.; Shyu, H. C.

    1986-01-01

    A conventional prime factor discrete Fourier transform (DFT) algorithm is used to realize a discrete Fourier-like transform on the finite field, GF(q sub n). A pipeline structure is used to implement this prime factor DFT over GF(q sub n). This algorithm is developed to compute cyclic convolutions of complex numbers and to decode Reed-Solomon codes. Such a pipeline fast prime factor DFT algorithm over GF(q sub n) is regular, simple, expandable, and naturally suitable for VLSI implementation. An example illustrating the pipeline aspect of a 30-point transform over GF(q sub n) is presented.

  14. Co-fabrication of chitosan and epoxy photoresist to form microwell arrays with permeable hydrogel bottoms

    PubMed Central

    Ornoff, Douglas M.; Wang, Yuli; Proctor, Angela; Shah, Akash S.; Allbritton, Nancy L.

    2015-01-01

    Microfabrication technology offers the potential to create biological platforms with customizable patterns and surface chemistries, allowing precise control over the biochemical microenvironment to which a cell or group of cells is exposed. However, most microfabricated platforms grow cells on impermeable surfaces. This report describes the co-fabrication of a micropatterned epoxy photoresist film with a chitosan film to create a freestanding array of permeable, hydrogel-bottomed microwells. These films possess optical properties ideal for microscopy applications, and the chitosan layers are semi-permeable with a molecular exclusion of 9.9 ± 2.1 kDa. By seeding cells into the microwells, overlaying inert mineral oil, and supplying media via the bottom surface, this hybrid film permits cells to be physically isolated from one another but maintained in culture for at least 4 days. Arrays co-fabricated using these materials reduce both large-molecular-weight biochemical crosstalk between cells and mixing of different clonal populations, and will enable high-throughput studies of cellular heterogeneity with increased ability to customize dynamic interrogations compared to materials in currently available technologies. PMID:26447557

  15. Development of a customizable software application for medical imaging analysis and visualization.

    PubMed

    Martinez-Escobar, Marisol; Peloquin, Catherine; Juhnke, Bethany; Peddicord, Joanna; Jose, Sonia; Noon, Christian; Foo, Jung Leng; Winer, Eliot

    2011-01-01

    Graphics technology has extended medical imaging tools to the hands of surgeons and doctors, beyond the radiology suite. However, a common issue in most medical imaging software is the added complexity for non-radiologists. This paper presents the development of a unique software toolset that is highly customizable and targeted at the general physicians as well as the medical specialists. The core functionality includes features such as viewing medical images in two-and three-dimensional representations, clipping, tissue windowing, and coloring. Additional features can be loaded in the form of 'plug-ins' such as tumor segmentation, tissue deformation, and surgical planning. This allows the software to be lightweight and easy to use while still giving the user the flexibility of adding the necessary features, thus catering to a wide range of user population.

  16. System theoretic models for high density VLSI structures

    NASA Astrophysics Data System (ADS)

    Dickinson, Bradley W.; Hopkins, William E., Jr.

    This research project involved the development of mathematical models for analysis, synthesis, and simulation of large systems of interacting devices. The work was motivated by problems that may become important in high density VLSI chips with characteristic feature sizes less than 1 micron: it is anticipated that interactions of neighboring devices will play an important role in the determination of circuit properties. It is hoped that the combination of high device densities and such local interactions can somehow be exploited to increase circuit speed and to reduce power consumption. To address these issues from the point of view of system theory, research was pursued in the areas of nonlinear and stochastic systems and into neural network models. Statistical models were developed to characterize various features of the dynamic behavior of interacting systems. Random process models for studying the resulting asynchronous modes of operation were investigated. The local interactions themselves may be modeled as stochastic effects. The resulting behavior was investigated through the use of various scaling limits, and by a combination of other analytical and simulation techniques. Techniques arising in a variety of disciplines where models of interaction were formulated and explored were considered and adapted for use.

  17. SemanticOrganizer: A Customizable Semantic Repository for Distributed NASA Project Teams

    NASA Technical Reports Server (NTRS)

    Keller, Richard M.; Berrios, Daniel C.; Carvalho, Robert E.; Hall, David R.; Rich, Stephen J.; Sturken, Ian B.; Swanson, Keith J.; Wolfe, Shawn R.

    2004-01-01

    SemanticOrganizer is a collaborative knowledge management system designed to support distributed NASA projects, including diverse teams of scientists, engineers, and accident investigators. The system provides a customizable, semantically structured information repository that stores work products relevant to multiple projects of differing types. SemanticOrganizer is one of the earliest and largest semantic web applications deployed at NASA to date, and has been used in diverse contexts ranging from the investigation of Space Shuttle Columbia's accident to the search for life on other planets. Although the underlying repository employs a single unified ontology, access control and ontology customization mechanisms make the repository contents appear different for each project team. This paper describes SemanticOrganizer, its customization facilities, and a sampling of its applications. The paper also summarizes some key lessons learned from building and fielding a successful semantic web application across a wide-ranging set of domains with diverse users.

  18. High data rate Reed-Solomon encoding and decoding using VLSI technology

    NASA Technical Reports Server (NTRS)

    Miller, Warner; Morakis, James

    1987-01-01

    Presented as an implementation of a Reed-Solomon encode and decoder, which is 16-symbol error correcting, each symbol is 8 bits. This Reed-Solomon (RS) code is an efficient error correcting code that the National Aeronautics and Space Administration (NASA) will use in future space communications missions. A Very Large Scale Integration (VLSI) implementation of the encoder and decoder accepts data rates up 80 Mbps. A total of seven chips are needed for the decoder (four of the seven decoding chips are customized using 3-micron Complementary Metal Oxide Semiconduction (CMOS) technology) and one chip is required for the encoder. The decoder operates with the symbol clock being the system clock for the chip set. Approximately 1.65 billion Galois Field (GF) operations per second are achieved with the decoder chip set and 640 MOPS are achieved with the encoder chip.

  19. Design and engineering of organic molecules for customizable Terahertz tags

    NASA Astrophysics Data System (ADS)

    Ray, Shaumik; Dash, Jyotirmayee; Nallappan, Kathirvel; Kaware, Vaibhav; Basutkar, Nitin; Ambade, Ashootosh; Joshi, Kavita; Pesala, Bala

    2014-03-01

    Terahertz (THz) frequency band lies between the microwave and infrared region of the electromagnetic spectrum. Molecules having strong resonances in this frequency range are ideal for realizing "Terahertz tags" which can be easily incorporated into various materials. THz spectroscopy of molecules, especially at frequencies below 10 THz, provides valuable information on the low frequency vibrational modes, viz. intermolecular vibrational modes, hydrogen bond stretching, torsional vibrations in several chemical and biological compounds. So far there have been very few attempts to engineer molecules which can demonstrate customizable resonances in the THz frequency region. In this paper, Diamidopyridine (DAP) based molecules are used as a model system to demonstrate engineering of THz resonances (< 10 THz) by fine-tuning the molecular mass and bond strengths. Density Functional Theory (DFT) simulations have been carried out to explain the origin of THz resonances and factors contributing to the shift in resonances due to the addition of various functional groups. The design approach presented here can be easily extended to engineer various organic molecules suitable for THz tags application.

  20. High performance genetic algorithm for VLSI circuit partitioning

    NASA Astrophysics Data System (ADS)

    Dinu, Simona

    2016-12-01

    Partitioning is one of the biggest challenges in computer-aided design for VLSI circuits (very large-scale integrated circuits). This work address the min-cut balanced circuit partitioning problem- dividing the graph that models the circuit into almost equal sized k sub-graphs while minimizing the number of edges cut i.e. minimizing the number of edges connecting the sub-graphs. The problem may be formulated as a combinatorial optimization problem. Experimental studies in the literature have shown the problem to be NP-hard and thus it is important to design an efficient heuristic algorithm to solve it. The approach proposed in this study is a parallel implementation of a genetic algorithm, namely an island model. The information exchange between the evolving subpopulations is modeled using a fuzzy controller, which determines an optimal balance between exploration and exploitation of the solution space. The results of simulations show that the proposed algorithm outperforms the standard sequential genetic algorithm both in terms of solution quality and convergence speed. As a direction for future study, this research can be further extended to incorporate local search operators which should include problem-specific knowledge. In addition, the adaptive configuration of mutation and crossover rates is another guidance for future research.

  1. Design of Customizable Automated Low Cost Eye Testing System

    PubMed Central

    K, Ganesan; D, Shalini

    2014-01-01

    Background and Objectives: In many underdeveloped and third world countries, eye care is often neglected due to illiteracy. Particularly people in rural areas suffer with eye problems due to mal nutrition. The government is spending lot of money and efforts in screening the people at periodic intervals. One of the challenges faced by the doctors is screening the school children. Materials and Methods: The standard Snellen letter chart based diagnostic system does not work always. There are instances where the first few students who undergo this diagnostic system, memorize the letter sequence of the Snellen letter chart and convey the same to other fellow students. Hence other students simply read out the Snellen letter sequence from their memory, not by looking at the Snellen letter chart. Thus there is a need of randomizing the sequence of letters being displayed on the Snellen letter chart for every student to be diagnosed for eye testing. Conclusion: In the present paper we are proposing a customizable, software based, cost effective solution which involves a standard personal computer (PC) fitted with a camera, headphone and speaker system. The envisaged system can be administered even by the trained persons. The proposed system is also suitable for remote diagnosis of patients, particularly in the field of telemedicine. PMID:24783089

  2. Adaptive WTA with an analog VLSI neuromorphic learning chip.

    PubMed

    Häfliger, Philipp

    2007-03-01

    In this paper, we demonstrate how a particular spike-based learning rule (where exact temporal relations between input and output spikes of a spiking model neuron determine the changes of the synaptic weights) can be tuned to express rate-based classical Hebbian learning behavior (where the average input and output spike rates are sufficient to describe the synaptic changes). This shift in behavior is controlled by the input statistic and by a single time constant. The learning rule has been implemented in a neuromorphic very large scale integration (VLSI) chip as part of a neurally inspired spike signal image processing system. The latter is the result of the European Union research project Convolution AER Vision Architecture for Real-Time (CAVIAR). Since it is implemented as a spike-based learning rule (which is most convenient in the overall spike-based system), even if it is tuned to show rate behavior, no explicit long-term average signals are computed on the chip. We show the rule's rate-based Hebbian learning ability in a classification task in both simulation and chip experiment, first with artificial stimuli and then with sensor input from the CAVIAR system.

  3. A High Performance VLSI Computer Architecture For Computer Graphics

    NASA Astrophysics Data System (ADS)

    Chin, Chi-Yuan; Lin, Wen-Tai

    1988-10-01

    A VLSI computer architecture, consisting of multiple processors, is presented in this paper to satisfy the modern computer graphics demands, e.g. high resolution, realistic animation, real-time display etc.. All processors share a global memory which are partitioned into multiple banks. Through a crossbar network, data from one memory bank can be broadcasted to many processors. Processors are physically interconnected through a hyper-crossbar network (a crossbar-like network). By programming the network, the topology of communication links among processors can be reconfigurated to satisfy specific dataflows of different applications. Each processor consists of a controller, arithmetic operators, local memory, a local crossbar network, and I/O ports to communicate with other processors, memory banks, and a system controller. Operations in each processor are characterized into two modes, i.e. object domain and space domain, to fully utilize the data-independency characteristics of graphics processing. Special graphics features such as 3D-to-2D conversion, shadow generation, texturing, and reflection, can be easily handled. With the current high density interconnection (MI) technology, it is feasible to implement a 64-processor system to achieve 2.5 billion operations per second, a performance needed in most advanced graphics applications.

  4. Highly efficient simulation environment for HDTV video decoder in VLSI design

    NASA Astrophysics Data System (ADS)

    Mao, Xun; Wang, Wei; Gong, Huimin; He, Yan L.; Lou, Jian; Yu, Lu; Yao, Qingdong; Pirsch, Peter

    2002-01-01

    With the increase of the complex of VLSI such as the SoC (System on Chip) of MPEG-2 Video decoder with HDTV scalability especially, simulation and verification of the full design, even as high as the behavior level in HDL, often proves to be very slow, costly and it is difficult to perform full verification until late in the design process. Therefore, they become bottleneck of the procedure of HDTV video decoder design, and influence it's time-to-market mostly. In this paper, the architecture of Hardware/Software Interface of HDTV video decoder is studied, and a Hardware-Software Mixed Simulation (HSMS) platform is proposed to check and correct error in the early design stage, based on the algorithm of MPEG-2 video decoding. The application of HSMS to target system could be achieved by employing several introduced approaches. Those approaches speed up the simulation and verification task without decreasing performance.

  5. High performance VLSI telemetry data systems

    NASA Technical Reports Server (NTRS)

    Chesney, J.; Speciale, N.; Horner, W.; Sabia, S.

    1990-01-01

    NASA's deployment of major space complexes such as Space Station Freedom (SSF) and the Earth Observing System (EOS) will demand increased functionality and performance from ground based telemetry acquisition systems well above current system capabilities. Adaptation of space telemetry data transport and processing standards such as those specified by the Consultative Committee for Space Data Systems (CCSDS) standards and those required for commercial ground distribution of telemetry data, will drive these functional and performance requirements. In addition, budget limitations will force the requirement for higher modularity, flexibility, and interchangeability at lower cost in new ground telemetry data system elements. At NASA's Goddard Space Flight Center (GSFC), the design and development of generic ground telemetry data system elements, over the last five years, has resulted in significant solutions to these problems. This solution, referred to as the functional components approach includes both hardware and software components ready for end user application. The hardware functional components consist of modern data flow architectures utilizing Application Specific Integrated Circuits (ASIC's) developed specifically to support NASA's telemetry data systems needs and designed to meet a range of data rate requirements up to 300 Mbps. Real-time operating system software components support both embedded local software intelligence, and overall system control, status, processing, and interface requirements. These components, hardware and software, form the superstructure upon which project specific elements are added to complete a telemetry ground data system installation. This paper describes the functional components approach, some specific component examples, and a project example of the evolution from VLSI component, to basic board level functional component, to integrated telemetry data system.

  6. A Simple and Customizable Web Interface to the Virtual Solar Observatory

    NASA Astrophysics Data System (ADS)

    Hughitt, V. Keith; Hourcle, J.; Suarez-Sola, I.; Davey, A.

    2010-05-01

    As the variety and number of solar data sources continue to increase at a rapid rate, the importance of providing methods to search through these sources becomes increasingly important. By taking advantage of the power of modern JavaScript libraries, a new version of the Virtual Solar Observatory's web interface aims to provide a significantly faster and simpler way to explore the multitude of data repositories available. Querying asynchroniously serves not only to eliminates bottlenecks resulting from slow or unresponsive data providers, but also allows for displaying of results as soon as they are returned. Implicit pagination and post-query filtering enables users to work with large result-sets, while a more modular and customizable UI provides a mechanism for customizing both the look-and-feel and behavior of the VSO web interface. Finally, the new web interface features a custom widget system capable of displaying additional tools and information along-side of the standard VSO search form. Interested users can also write their own widgets and submit them for future incorporation into VSO.

  7. Study of molybdenum-aluminum interdiffusion kinetics and contact resistance for VLSI applications

    NASA Astrophysics Data System (ADS)

    Singh, R. N.; Brown, D. M.; Kim, M. J.; Smith, G. A.

    1985-12-01

    Interdiffusion barrier characteristics of molybdenum thin film with aluminum-1% Si is studied between 733 and 763 K via sheet and contact resistance measurements, Rutherford backscattering spectrometry, secondary ion mass spectrometry, and x-ray diffraction analysis. The results indicate that thermal annealing of Mo/Al-1% Si thin film couples leads to MoAl12 compound formation initially as a nonplanar front, but extensive annealing results in complete transformation of Al-1% Si to MoAl12 and a significant increase in contact resistance. The interdiffusion kinetics is diffusion controlled and shows parabolic time dependence, incubation periods, and extremely high activation energy value of 5.9 eV. The incubation periods and an high activation energy values are explained by the presence of silicon precipitates at the Mo/Al-1% Si interface. Implications of these observations to VLSI device characteristics are discussed and a safe time-temperature processing regime is proposed.

  8. Research in the design of high-performance reconfigurable systems

    NASA Technical Reports Server (NTRS)

    Mcewan, S. D.; Spry, A. J.

    1985-01-01

    Computer aided design and computer aided manufacturing have the potential for greatly reducing the cost and lead time in the development of VLSI components. This potential paves the way for the design and fabrication of a wide variety of economically feasible high level functional units. It was observed that current computer systems have only a limited capacity to absorb new VLSI component types other than memory, microprocessors, and a relatively small number of other parts. The first purpose is to explore a system design which is capable of effectively incorporating a considerable number of VLSI part types and will both increase the speed of computation and reduce the attendant programming effort. A second purpose is to explore design techniques for VLSI parts which when incorporated by such a system will result in speeds and costs which are optimal. The proposed work may lay the groundwork for future efforts in the extensive simulation and measurements of the system's cost effectiveness and lead to prototype development.

  9. An Integrated Unix-based CAD System for the Design and Testing of Custom VLSI Chips

    NASA Technical Reports Server (NTRS)

    Deutsch, L. J.

    1985-01-01

    A computer aided design (CAD) system that is being used at the Jet Propulsion Laboratory for the design of custom and semicustom very large scale integrated (VLSI) chips is described. The system consists of a Digital Equipment Corporation VAX computer with the UNIX operating system and a collection of software tools for the layout, simulation, and verification of microcircuits. Most of these tools were written by the academic community and are, therefore, available to JPL at little or no cost. Some small pieces of software have been written in-house in order to make all the tools interact with each other with a minimal amount of effort on the part of the designer.

  10. Chest-wall reconstruction with a customized titanium-alloy prosthesis fabricated by 3D printing and rapid prototyping.

    PubMed

    Wen, Xiaopeng; Gao, Shan; Feng, Jinteng; Li, Shuo; Gao, Rui; Zhang, Guangjian

    2018-01-08

    As 3D printing technology emerge, there is increasing demand for a more customizable implant in the repair of chest-wall bony defects. This article aims to present a custom design and fabrication method for repairing bony defects of the chest wall following tumour resection, which utilizes three-dimensional (3D) printing and rapid-prototyping technology. A 3D model of the bony defect was generated after acquiring helical CT data. A customized prosthesis was then designed using computer-aided design (CAD) and mirroring technology, and fabricated using titanium-alloy powder. The mechanical properties of the printed prosthesis were investigated using ANSYS software. The yield strength of the titanium-alloy prosthesis was 950 ± 14 MPa (mean ± SD), and its ultimate strength was 1005 ± 26 MPa. The 3D finite element analyses revealed that the equivalent stress distribution of each prosthesis was unifrom. The symmetry and reconstruction quality contour of the repaired chest wall was satisfactory. No rejection or infection occurred during the 6-month follow-up period. Chest-wall reconstruction with a customized titanium-alloy prosthesis is a reliable technique for repairing bony defects.

  11. A pipeline VLSI design of fast singular value decomposition processor for real-time EEG system based on on-line recursive independent component analysis.

    PubMed

    Huang, Kuan-Ju; Shih, Wei-Yeh; Chang, Jui Chung; Feng, Chih Wei; Fang, Wai-Chi

    2013-01-01

    This paper presents a pipeline VLSI design of fast singular value decomposition (SVD) processor for real-time electroencephalography (EEG) system based on on-line recursive independent component analysis (ORICA). Since SVD is used frequently in computations of the real-time EEG system, a low-latency and high-accuracy SVD processor is essential. During the EEG system process, the proposed SVD processor aims to solve the diagonal, inverse and inverse square root matrices of the target matrices in real time. Generally, SVD requires a huge amount of computation in hardware implementation. Therefore, this work proposes a novel design concept for data flow updating to assist the pipeline VLSI implementation. The SVD processor can greatly improve the feasibility of real-time EEG system applications such as brain computer interfaces (BCIs). The proposed architecture is implemented using TSMC 90 nm CMOS technology. The sample rate of EEG raw data adopts 128 Hz. The core size of the SVD processor is 580×580 um(2), and the speed of operation frequency is 20MHz. It consumes 0.774mW of power during the 8-channel EEG system per execution time.

  12. Biophysical synaptic dynamics in an analog VLSI network of Hodgkin-Huxley neurons.

    PubMed

    Yu, Theodore; Cauwenberghs, Gert

    2009-01-01

    We study synaptic dynamics in a biophysical network of four coupled spiking neurons implemented in an analog VLSI silicon microchip. The four neurons implement a generalized Hodgkin-Huxley model with individually configurable rate-based kinetics of opening and closing of Na+ and K+ ion channels. The twelve synapses implement a rate-based first-order kinetic model of neurotransmitter and receptor dynamics, accounting for NMDA and non-NMDA type chemical synapses. The implemented models on the chip are fully configurable by 384 parameters accounting for conductances, reversal potentials, and pre/post-synaptic voltage-dependence of the channel kinetics. We describe the models and present experimental results from the chip characterizing single neuron dynamics, single synapse dynamics, and multi-neuron network dynamics showing phase-locking behavior as a function of synaptic coupling strength. The 3mm x 3mm microchip consumes 1.29 mW power making it promising for applications including neuromorphic modeling and neural prostheses.

  13. Fully printable, strain-engineered electronic wrap for customizable soft electronics.

    PubMed

    Byun, Junghwan; Lee, Byeongmoon; Oh, Eunho; Kim, Hyunjong; Kim, Sangwoo; Lee, Seunghwan; Hong, Yongtaek

    2017-03-24

    Rapid growth of stretchable electronics stimulates broad uses in multidisciplinary fields as well as industrial applications. However, existing technologies are unsuitable for implementing versatile applications involving adaptable system design and functions in a cost/time-effective way because of vacuum-conditioned, lithographically-predefined processes. Here, we present a methodology for a fully printable, strain-engineered electronic wrap as a universal strategy which makes it more feasible to implement various stretchable electronic systems with customizable layouts and functions. The key aspects involve inkjet-printed rigid island (PRI)-based stretchable platform technology and corresponding printing-based automated electronic functionalization methodology, the combination of which provides fully printed, customized layouts of stretchable electronic systems with simplified process. Specifically, well-controlled contact line pinning effect of printed polymer solution enables the formation of PRIs with tunable thickness; and surface strain analysis on those PRIs leads to the optimized stability and device-to-island fill factor of strain-engineered electronic wraps. Moreover, core techniques of image-based automated pinpointing, surface-mountable device based electronic functionalizing, and one-step interconnection networking of PRIs enable customized circuit design and adaptable functionalities. To exhibit the universality of our approach, multiple types of practical applications ranging from self-computable digital logics to display and sensor system are demonstrated on skin in a customized form.

  14. Fully printable, strain-engineered electronic wrap for customizable soft electronics

    NASA Astrophysics Data System (ADS)

    Byun, Junghwan; Lee, Byeongmoon; Oh, Eunho; Kim, Hyunjong; Kim, Sangwoo; Lee, Seunghwan; Hong, Yongtaek

    2017-03-01

    Rapid growth of stretchable electronics stimulates broad uses in multidisciplinary fields as well as industrial applications. However, existing technologies are unsuitable for implementing versatile applications involving adaptable system design and functions in a cost/time-effective way because of vacuum-conditioned, lithographically-predefined processes. Here, we present a methodology for a fully printable, strain-engineered electronic wrap as a universal strategy which makes it more feasible to implement various stretchable electronic systems with customizable layouts and functions. The key aspects involve inkjet-printed rigid island (PRI)-based stretchable platform technology and corresponding printing-based automated electronic functionalization methodology, the combination of which provides fully printed, customized layouts of stretchable electronic systems with simplified process. Specifically, well-controlled contact line pinning effect of printed polymer solution enables the formation of PRIs with tunable thickness; and surface strain analysis on those PRIs leads to the optimized stability and device-to-island fill factor of strain-engineered electronic wraps. Moreover, core techniques of image-based automated pinpointing, surface-mountable device based electronic functionalizing, and one-step interconnection networking of PRIs enable customized circuit design and adaptable functionalities. To exhibit the universality of our approach, multiple types of practical applications ranging from self-computable digital logics to display and sensor system are demonstrated on skin in a customized form.

  15. Biophysical Neural Spiking, Bursting, and Excitability Dynamics in Reconfigurable Analog VLSI.

    PubMed

    Yu, T; Sejnowski, T J; Cauwenberghs, G

    2011-10-01

    We study a range of neural dynamics under variations in biophysical parameters underlying extended Morris-Lecar and Hodgkin-Huxley models in three gating variables. The extended models are implemented in NeuroDyn, a four neuron, twelve synapse continuous-time analog VLSI programmable neural emulation platform with generalized channel kinetics and biophysical membrane dynamics. The dynamics exhibit a wide range of time scales extending beyond 100 ms neglected in typical silicon models of tonic spiking neurons. Circuit simulations and measurements show transition from tonic spiking to tonic bursting dynamics through variation of a single conductance parameter governing calcium recovery. We similarly demonstrate transition from graded to all-or-none neural excitability in the onset of spiking dynamics through the variation of channel kinetic parameters governing the speed of potassium activation. Other combinations of variations in conductance and channel kinetic parameters give rise to phasic spiking and spike frequency adaptation dynamics. The NeuroDyn chip consumes 1.29 mW and occupies 3 mm × 3 mm in 0.5 μm CMOS, supporting emerging developments in neuromorphic silicon-neuron interfaces.

  16. A neuromorphic VLSI device for implementing 2-D selective attention systems.

    PubMed

    Indiveri, G

    2001-01-01

    Selective attention is a mechanism used to sequentially select and process salient subregions of the input space, while suppressing inputs arriving from nonsalient regions. By processing small amounts of sensory information in a serial fashion, rather than attempting to process all the sensory data in parallel, this mechanism overcomes the problem of flooding limited processing capacity systems with sensory inputs. It is found in many biological systems and can be a useful engineering tool for developing artificial systems that need to process in real-time sensory data. In this paper we present a neuromorphic hardware model of a selective attention mechanism implemented on a very large scale integration (VLSI) chip, using analog circuits. The chip makes use of a spike-based representation for receiving input signals, transmitting output signals and for shifting the selection of the attended input stimulus over time. It can be interfaced to neuromorphic sensors and actuators, for implementing multichip selective attention systems. We describe the characteristics of the circuits used in the architecture and present experimental data measured from the system.

  17. A VLSI decomposition of the deBruijn graph

    NASA Technical Reports Server (NTRS)

    Collins, O.; Dolinar, S.; Mceliece, R.; Pollara, F.

    1990-01-01

    A new Viterbi decoder for convolutional codes with constraint lengths up to 15, called the Big Viterbi Decoder, is under development for the Deep Space Network. It will be demonstrated by decoding data from the Galileo spacecraft, which has a rate 1/4, constraint-length 15 convolutional encoder on board. Here, the mathematical theory underlying the design of the very-large-scale-integrated (VLSI) chips that are being used to build this decoder is explained. The deBruijn graph B sub n describes the topology of a fully parallel, rate 1/v, constraint length n+2 Viterbi decoder, and it is shown that B sub n can be built by appropriately wiring together (i.e., connecting together with extra edges) many isomorphic copies of a fixed graph called a B sub n building block. The efficiency of such a building block is defined as the fraction of the edges in B sub n that are present in the copies of the building block. It is shown, among other things, that for any alpha less than 1, there exists a graph G which is a B sub n building block of efficiency greater than alpha for all sufficiently large n. These results are illustrated by describing a special hierarchical family of deBruijn building blocks, which has led to the design of the gate-array chips being used in the Big Viterbi Decoder.

  18. A Real-Time Web of Things Framework with Customizable Openness Considering Legacy Devices.

    PubMed

    Zhao, Shuai; Yu, Le; Cheng, Bo

    2016-09-28

    With the development of the Internet of Things (IoT), resources and applications based on it have emerged on a large scale. However, most efforts are "silo" solutions where devices and applications are tightly coupled. Infrastructures are needed to connect sensors to the Internet, open up and break the current application silos and move to a horizontal application mode. Based on the concept of Web of Things (WoT), many infrastructures have been proposed to integrate the physical world with the Web. However, issues such as no real-time guarantee, lack of fine-grained control of data, and the absence of explicit solutions for integrating heterogeneous legacy devices, hinder their widespread and practical use. To address these issues, this paper proposes a WoT resource framework that provides the infrastructures for the customizable openness and sharing of users' data and resources under the premise of ensuring the real-time behavior of their own applications. The proposed framework is validated by actual systems and experimental evaluations.

  19. Model, analysis, and evaluation of the effects of analog VLSI arithmetic on linear subspace-based image recognition.

    PubMed

    Carvajal, Gonzalo; Figueroa, Miguel

    2014-07-01

    Typical image recognition systems operate in two stages: feature extraction to reduce the dimensionality of the input space, and classification based on the extracted features. Analog Very Large Scale Integration (VLSI) is an attractive technology to achieve compact and low-power implementations of these computationally intensive tasks for portable embedded devices. However, device mismatch limits the resolution of the circuits fabricated with this technology. Traditional layout techniques to reduce the mismatch aim to increase the resolution at the transistor level, without considering the intended application. Relating mismatch parameters to specific effects in the application level would allow designers to apply focalized mismatch compensation techniques according to predefined performance/cost tradeoffs. This paper models, analyzes, and evaluates the effects of mismatched analog arithmetic in both feature extraction and classification circuits. For the feature extraction, we propose analog adaptive linear combiners with on-chip learning for both Least Mean Square (LMS) and Generalized Hebbian Algorithm (GHA). Using mathematical abstractions of analog circuits, we identify mismatch parameters that are naturally compensated during the learning process, and propose cost-effective guidelines to reduce the effect of the rest. For the classification, we derive analog models for the circuits necessary to implement Nearest Neighbor (NN) approach and Radial Basis Function (RBF) networks, and use them to emulate analog classifiers with standard databases of face and hand-writing digits. Formal analysis and experiments show how we can exploit adaptive structures and properties of the input space to compensate the effects of device mismatch at the application level, thus reducing the design overhead of traditional layout techniques. Results are also directly extensible to multiple application domains using linear subspace methods. Copyright © 2014 Elsevier Ltd. All rights

  20. Web-Based Customizable Viewer for Mars Network Overflight Opportunities

    NASA Technical Reports Server (NTRS)

    Gladden, Roy E.; Wallick, Michael N.; Allard, Daniel A.

    2012-01-01

    This software displays a full summary of information regarding the overflight opportunities between any set of lander and orbiter pairs that the user has access to view. The information display can be customized, allowing the user to choose which fields to view/hide and filter. The software works from a Web browser on any modern operating system. A full summary of information pertaining to an overflight is available, including the proposed, tentative, requested, planned, and implemented. This gives the user a chance to quickly check for inconsistencies and fix any problems. Overflights from multiple lander/ orbiter pairs can be compared instantly, and information can be filtered through the query and shown/hidden, giving the user a customizable view of the data. The information can be exported to a CSV (comma separated value) or XML (extensible markup language) file. The software only grants access to users who are authorized to view the information. This application is an addition to the MaROS Web suite. Prior to this addition, information pertaining to overflight opportunities would have a limited amount of data (displayed graphically) and could only be shown in strict temporal ordering. This new display shows more information, allows direct comparisons between overflights, and allows the data to be manipulated in ways that it was unable to be done in the past. The current software solution is to use CSV files to view the overflight opportunities.

  1. A comparison of VLSI architectures for time and transform domain decoding of Reed-Solomon codes

    NASA Technical Reports Server (NTRS)

    Hsu, I. S.; Truong, T. K.; Deutsch, L. J.; Satorius, E. H.; Reed, I. S.

    1988-01-01

    It is well known that the Euclidean algorithm or its equivalent, continued fractions, can be used to find the error locator polynomial needed to decode a Reed-Solomon (RS) code. It is shown that this algorithm can be used for both time and transform domain decoding by replacing its initial conditions with the Forney syndromes and the erasure locator polynomial. By this means both the errata locator polynomial and the errate evaluator polynomial can be obtained with the Euclidean algorithm. With these ideas, both time and transform domain Reed-Solomon decoders for correcting errors and erasures are simplified and compared. As a consequence, the architectures of Reed-Solomon decoders for correcting both errors and erasures can be made more modular, regular, simple, and naturally suitable for VLSI implementation.

  2. Processing biological literature with customizable Web services supporting interoperable formats

    PubMed Central

    Rak, Rafal; Batista-Navarro, Riza Theresa; Carter, Jacob; Rowley, Andrew; Ananiadou, Sophia

    2014-01-01

    Web services have become a popular means of interconnecting solutions for processing a body of scientific literature. This has fuelled research on high-level data exchange formats suitable for a given domain and ensuring the interoperability of Web services. In this article, we focus on the biological domain and consider four interoperability formats, BioC, BioNLP, XMI and RDF, that represent domain-specific and generic representations and include well-established as well as emerging specifications. We use the formats in the context of customizable Web services created in our Web-based, text-mining workbench Argo that features an ever-growing library of elementary analytics and capabilities to build and deploy Web services straight from a convenient graphical user interface. We demonstrate a 2-fold customization of Web services: by building task-specific processing pipelines from a repository of available analytics, and by configuring services to accept and produce a combination of input and output data interchange formats. We provide qualitative evaluation of the formats as well as quantitative evaluation of automatic analytics. The latter was carried out as part of our participation in the fourth edition of the BioCreative challenge. Our analytics built into Web services for recognizing biochemical concepts in BioC collections achieved the highest combined scores out of 10 participating teams. Database URL: http://argo.nactem.ac.uk. PMID:25006225

  3. Fully printable, strain-engineered electronic wrap for customizable soft electronics

    PubMed Central

    Byun, Junghwan; Lee, Byeongmoon; Oh, Eunho; Kim, Hyunjong; Kim, Sangwoo; Lee, Seunghwan; Hong, Yongtaek

    2017-01-01

    Rapid growth of stretchable electronics stimulates broad uses in multidisciplinary fields as well as industrial applications. However, existing technologies are unsuitable for implementing versatile applications involving adaptable system design and functions in a cost/time-effective way because of vacuum-conditioned, lithographically-predefined processes. Here, we present a methodology for a fully printable, strain-engineered electronic wrap as a universal strategy which makes it more feasible to implement various stretchable electronic systems with customizable layouts and functions. The key aspects involve inkjet-printed rigid island (PRI)-based stretchable platform technology and corresponding printing-based automated electronic functionalization methodology, the combination of which provides fully printed, customized layouts of stretchable electronic systems with simplified process. Specifically, well-controlled contact line pinning effect of printed polymer solution enables the formation of PRIs with tunable thickness; and surface strain analysis on those PRIs leads to the optimized stability and device-to-island fill factor of strain-engineered electronic wraps. Moreover, core techniques of image-based automated pinpointing, surface-mountable device based electronic functionalizing, and one-step interconnection networking of PRIs enable customized circuit design and adaptable functionalities. To exhibit the universality of our approach, multiple types of practical applications ranging from self-computable digital logics to display and sensor system are demonstrated on skin in a customized form. PMID:28338055

  4. A bioinspired collision detection algorithm for VLSI implementation

    NASA Astrophysics Data System (ADS)

    Cuadri, J.; Linan, G.; Stafford, R.; Keil, M. S.; Roca, E.

    2005-06-01

    In this paper a bioinspired algorithm for collision detection is proposed, based on previous models of the locust (Locusta migratoria) visual system reported by F.C. Rind and her group, in the University of Newcastle-upon-Tyne. The algorithm is suitable for VLSI implementation in standard CMOS technologies as a system-on-chip for automotive applications. The working principle of the algorithm is to process a video stream that represents the current scenario, and to fire an alarm whenever an object approaches on a collision course. Moreover, it establishes a scale of warning states, from no danger to collision alarm, depending on the activity detected in the current scenario. In the worst case, the minimum time before collision at which the model fires the collision alarm is 40 msec (1 frame before, at 25 frames per second). Since the average time to successfully fire an airbag system is 2 msec, even in the worst case, this algorithm would be very helpful to more efficiently arm the airbag system, or even take some kind of collision avoidance countermeasures. Furthermore, two additional modules have been included: a "Topological Feature Estimator" and an "Attention Focusing Algorithm". The former takes into account the shape of the approaching object to decide whether it is a person, a road line or a car. This helps to take more adequate countermeasures and to filter false alarms. The latter centres the processing power into the most active zones of the input frame, thus saving memory and processing time resources.

  5. 10 K gate I(2)L and 1 K component analog compatible bipolar VLSI technology - HIT-2

    NASA Astrophysics Data System (ADS)

    Washio, K.; Watanabe, T.; Okabe, T.; Horie, N.

    1985-02-01

    An advanced analog/digital bipolar VLSI technology that combines on the same chip 2-ns 10 K I(2)L gates with 1 K analog devices is proposed. The new technology, called high-density integration technology-2, is based on a new structure concept that consists of three major techniques: shallow grooved-isolation, I(2)L active layer etching, and I(2)L current gain increase. I(2)L circuits with 80-MHz maximum toggle frequency have developed compatibly with n-p-n transistors having a BV(CE0) of more than 10 V and an f(T) of 5 GHz, and lateral p-n-p transistors having an f(T) of 150 MHz.

  6. SU-E-T-333: Towards Customizable Radiotherapy Enhancement (CuRE) for Prostate Cancer Using Cisplatin Nanoparticles

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sinha, N; Cifter, G; Sajo, E

    2014-06-01

    Purpose: Replacing routinely used brachytherapy spacers with multifunctional ones loaded with cisplatin nanoparticles (CNP), which can be released into the tumor after implantation, could enable customizable radiation boosting to the prostate tumor in addition to chemotherapy effect. This study investigates the feasibility of customizing the intra-tumor biodistribution and corresponding dose enhancement (DEF) over time for the released CNP as a function of nanoparticle size. Methods: Dose enhancement factors (DEF) due to photon-induced emission of photo-/Auger electrons from CNPs were calculated as a function of concentration using previously published analytical calculation method. An experimentally determined diffusion coefficient (D) for 10 nmmore » nanoparticles in mouse tumor model was employed to estimate D for other sizes using the Stoke- Einstein equation. The error function diffusion model in the experimental study was applied to generate the intra-tumor concentration profile for a burst release of CNPs from the spacer over time. The corresponding DEF profiles were then determined for brachytherapy using Pd-103 and I-125 sources. Results: As expected, the generated profiles showed greater DEF over time for smaller CNP sizes at sample distances from the spacer. For example, for a centrally located spacer, clinically significant DEF (> 20%) could be achieved near the tumor periphery (ca. 0.85 cm distance from the spacer for average PCa tumor size) after 20, and 100 days, respectively for CNPs sizes of 2 nm, and 10 nm, using I-125. Meanwhile for Pd-103, clinically significant DEF could be achieved at the same position after 22 and 108 days, respectively, for same size particles. Conclusion: Our preliminary results demonstrate the feasibility of customizing dose enhancement to prostate tumors as a function of spacer location, brachytherapy source type or size of CNPs released from multifunctional spacers. Such an approach could enable customizable radiation

  7. Rosette Assay: Highly Customizable Dot-Blot for SH2 Domain Screening.

    PubMed

    Ng, Khong Y; Machida, Kazuya

    2017-01-01

    With a growing number of high-throughput studies, structural analyses, and availability of protein-protein interaction databases, it is now possible to apply web-based prediction tools to SH2 domain-interactions. However, in silico prediction is not always reliable and requires experimental validation. Rosette assay is a dot blot-based reverse-phase assay developed for the assessment of binding between SH2 domains and their ligands. It is conveniently customizable, allowing for low- to high-throughput analysis of interactions between various numbers of SH2 domains and their ligands, e.g., short peptides, purified proteins, and cell lysates. The binding assay is performed in a 96-well plate (MBA or MWA apparatus) in which a sample spotted membrane is incubated with up to 96 labeled SH2 domains. Bound domains are detected and quantified using a chemiluminescence or near-infrared fluorescence (IR) imaging system. In this chapter, we describe a practical protocol for rosette assay to assess interactions between synthesized tyrosine phosphorylated peptides and a library of GST-tagged SH2 domains. Since the methodology is not confined to assessment of SH2-pTyr interactions, rosette assay can be broadly utilized for ligand and drug screening using different protein interaction domains or antibodies.

  8. A Customizable Dashboarding System for Watershed Model Interpretation

    NASA Astrophysics Data System (ADS)

    Easton, Z. M.; Collick, A.; Wagena, M. B.; Sommerlot, A.; Fuka, D.

    2017-12-01

    Stakeholders, including policymakers, agricultural water managers, and small farm managers, can benefit from the outputs of commonly run watershed models. However, the information that each stakeholder needs is be different. While policy makers are often interested in the broader effects that small farm management may have on a watershed during extreme events or over long periods, farmers are often interested in field specific effects at daily or seasonal period. To provide stakeholders with the ability to analyze and interpret data from large scale watershed models, we have developed a framework that can support custom exploration of the large datasets produced. For the volume of data produced by these models, SQL-based data queries are not efficient; thus, we employ a "Not Only SQL" (NO-SQL) query language, which allows data to scale in both quantity and query volumes. We demonstrate a stakeholder customizable Dashboarding system that allows stakeholders to create custom `dashboards' to summarize model output specific to their needs. Dashboarding is a dynamic and purpose-based visual interface needed to display one-to-many database linkages so that the information can be presented for a single time period or dynamically monitored over time and allows a user to quickly define focus areas of interest for their analysis. We utilize a single watershed model that is run four times daily with a combined set of climate projections, which are then indexed, and added to an ElasticSearch datastore. ElasticSearch is a NO-SQL search engine built on top of Apache Lucene, a free and open-source information retrieval software library. Aligned with the ElasticSearch project is the open source visualization and analysis system, Kibana, which we utilize for custom stakeholder dashboarding. The dashboards create a visualization of the stakeholder selected analysis and can be extended to recommend robust strategies to support decision-making.

  9. Hybrid chromophore/template nanostructures: a customizable platform material for solar energy storage and conversion.

    PubMed

    Kolpak, Alexie M; Grossman, Jeffrey C

    2013-01-21

    Challenges with cost, cyclability, and/or low energy density have largely prevented the development of solar thermal fuels, a potentially attractive alternative energy technology based on molecules that can capture and store solar energy as latent heat in a closed cycle. In this paper, we present a set of novel hybrid photoisomer/template solar thermal fuels that can potentially circumvent these challenges. Using first-principles computations, we demonstrate that these fuels, composed of organic photoisomers bound to inexpensive carbon-based templates, can reversibly store solar energy at densities comparable to Li-ion batteries. Furthermore, we show that variation of the template material in combination with the photoisomer can be used to optimize many of the key performance metrics of the fuel-i.e., the energy density, the storage lifetime, the temperature of the output heat, and the efficiency of the solar-to-heat conversion. Our work suggests that the solar thermal fuels concept can be translated into a practical and highly customizable energy storage and conversion technology.

  10. A Real-Time Web of Things Framework with Customizable Openness Considering Legacy Devices

    PubMed Central

    Zhao, Shuai; Yu, Le; Cheng, Bo

    2016-01-01

    With the development of the Internet of Things (IoT), resources and applications based on it have emerged on a large scale. However, most efforts are “silo” solutions where devices and applications are tightly coupled. Infrastructures are needed to connect sensors to the Internet, open up and break the current application silos and move to a horizontal application mode. Based on the concept of Web of Things (WoT), many infrastructures have been proposed to integrate the physical world with the Web. However, issues such as no real-time guarantee, lack of fine-grained control of data, and the absence of explicit solutions for integrating heterogeneous legacy devices, hinder their widespread and practical use. To address these issues, this paper proposes a WoT resource framework that provides the infrastructures for the customizable openness and sharing of users’ data and resources under the premise of ensuring the real-time behavior of their own applications. The proposed framework is validated by actual systems and experimental evaluations. PMID:27690038

  11. Fabrication of Extracellular Matrix-derived Foams and Microcarriers as Tissue-specific Cell Culture and Delivery Platforms.

    PubMed

    Kornmuller, Anna; Brown, Cody F C; Yu, Claire; Flynn, Lauren E

    2017-04-11

    Cell function is mediated by interactions with the extracellular matrix (ECM), which has complex tissue-specific composition and architecture. The focus of this article is on the methods for fabricating ECM-derived porous foams and microcarriers for use as biologically-relevant substrates in advanced 3D in vitro cell culture models or as pro-regenerative scaffolds and cell delivery systems for tissue engineering and regenerative medicine. Using decellularized tissues or purified insoluble collagen as a starting material, the techniques can be applied to synthesize a broad array of tissue-specific bioscaffolds with customizable geometries. The approach involves mechanical processing and mild enzymatic digestion to yield an ECM suspension that is used to fabricate the three-dimensional foams or microcarriers through controlled freezing and lyophilization procedures. These pure ECM-derived scaffolds are highly porous, yet stable without the need for chemical crosslinking agents or other additives that may negatively impact cell function. The scaffold properties can be tuned to some extent by varying factors such as the ECM suspension concentration, mechanical processing methods, or synthesis conditions. In general, the scaffolds are robust and easy to handle, and can be processed as tissues for most standard biological assays, providing a versatile and user-friendly 3D cell culture platform that mimics the native ECM composition. Overall, these straightforward methods for fabricating customized ECM-derived foams and microcarriers may be of interest to both biologists and biomedical engineers as tissue-specific cell-instructive platforms for in vitro and in vivo applications.

  12. Design and implementation of highly parallel pipelined VLSI systems

    NASA Astrophysics Data System (ADS)

    Delange, Alphonsus Anthonius Jozef

    A methodology and its realization as a prototype CAD (Computer Aided Design) system for the design and analysis of complex multiprocessor systems is presented. The design is an iterative process in which the behavioral specifications of the system components are refined into structural descriptions consisting of interconnections and lower level components etc. A model for the representation and analysis of multiprocessor systems at several levels of abstraction and an implementation of a CAD system based on this model are described. A high level design language, an object oriented development kit for tool design, a design data management system, and design and analysis tools such as a high level simulator and graphics design interface which are integrated into the prototype system and graphics interface are described. Procedures for the synthesis of semiregular processor arrays, and to compute the switching of input/output signals, memory management and control of processor array, and sequencing and segmentation of input/output data streams due to partitioning and clustering of the processor array during the subsequent synthesis steps, are described. The architecture and control of a parallel system is designed and each component mapped to a module or module generator in a symbolic layout library, compacted for design rules of VLSI (Very Large Scale Integration) technology. An example of the design of a processor that is a useful building block for highly parallel pipelined systems in the signal/image processing domains is given.

  13. Mixed-mode VLSI optic flow sensors for in-flight control of a micro air vehicle

    NASA Astrophysics Data System (ADS)

    Barrows, Geoffrey L.; Neely, C.

    2000-11-01

    NRL is developing compact optic flow sensors for use in a variety of small-scale navigation and collision avoidance tasks. These sensors are being developed for use in micro air vehicles (MAVs), which are autonomous aircraft whose maximum dimension is on the order of 15 cm. To achieve desired weight specifications of 1 - 2 grams, mixed-signal VLSI circuitry is being used to develop compact focal plane sensors that directly compute optic flow. As an interim proof of principle, we have constructed a sensor comprising a focal plane sensor head with on-chip processing and a back-end PIC microcontroller. This interim sensors weighs approximately 25 grams and is able to measure optic flow with real-world and low-contrast textures. Variations of this sensor have been used to control the flight of a glider in real-time to avoid collisions with walls.

  14. Embeddable Reconfigurable Neuroprocessors

    NASA Technical Reports Server (NTRS)

    Daud, Taher; Duong, Tuan; Langenbacher, Harry; Tran, Mua; Thakoor, Anil

    1993-01-01

    Reconfigurable and cascadable building block neural network chips, fabricated using analog VLSI design tools, are interfaced to a PC. The building block chip designs, the cascadability and the hardware-in-the-loop supervised learning aspects of these chips are described.

  15. Processing biological literature with customizable Web services supporting interoperable formats.

    PubMed

    Rak, Rafal; Batista-Navarro, Riza Theresa; Carter, Jacob; Rowley, Andrew; Ananiadou, Sophia

    2014-01-01

    Web services have become a popular means of interconnecting solutions for processing a body of scientific literature. This has fuelled research on high-level data exchange formats suitable for a given domain and ensuring the interoperability of Web services. In this article, we focus on the biological domain and consider four interoperability formats, BioC, BioNLP, XMI and RDF, that represent domain-specific and generic representations and include well-established as well as emerging specifications. We use the formats in the context of customizable Web services created in our Web-based, text-mining workbench Argo that features an ever-growing library of elementary analytics and capabilities to build and deploy Web services straight from a convenient graphical user interface. We demonstrate a 2-fold customization of Web services: by building task-specific processing pipelines from a repository of available analytics, and by configuring services to accept and produce a combination of input and output data interchange formats. We provide qualitative evaluation of the formats as well as quantitative evaluation of automatic analytics. The latter was carried out as part of our participation in the fourth edition of the BioCreative challenge. Our analytics built into Web services for recognizing biochemical concepts in BioC collections achieved the highest combined scores out of 10 participating teams. Database URL: http://argo.nactem.ac.uk. © The Author(s) 2014. Published by Oxford University Press.

  16. Concepts for on-board satellite image registration. Volume 3: Impact of VLSI/VHSIC on satellite on-board signal processing

    NASA Technical Reports Server (NTRS)

    Aanstoos, J. V.; Snyder, W. E.

    1981-01-01

    Anticipated major advances in integrated circuit technology in the near future are described as well as their impact on satellite onboard signal processing systems. Dramatic improvements in chip density, speed, power consumption, and system reliability are expected from very large scale integration. Improvements are expected from very large scale integration enable more intelligence to be placed on remote sensing platforms in space, meeting the goals of NASA's information adaptive system concept, a major component of the NASA End-to-End Data System program. A forecast of VLSI technological advances is presented, including a description of the Defense Department's very high speed integrated circuit program, a seven-year research and development effort.

  17. An Efficient VLSI Architecture for Multi-Channel Spike Sorting Using a Generalized Hebbian Algorithm

    PubMed Central

    Chen, Ying-Lun; Hwang, Wen-Jyi; Ke, Chi-En

    2015-01-01

    A novel VLSI architecture for multi-channel online spike sorting is presented in this paper. In the architecture, the spike detection is based on nonlinear energy operator (NEO), and the feature extraction is carried out by the generalized Hebbian algorithm (GHA). To lower the power consumption and area costs of the circuits, all of the channels share the same core for spike detection and feature extraction operations. Each channel has dedicated buffers for storing the detected spikes and the principal components of that channel. The proposed circuit also contains a clock gating system supplying the clock to only the buffers of channels currently using the computation core to further reduce the power consumption. The architecture has been implemented by an application-specific integrated circuit (ASIC) with 90-nm technology. Comparisons to the existing works show that the proposed architecture has lower power consumption and hardware area costs for real-time multi-channel spike detection and feature extraction. PMID:26287193

  18. An Efficient VLSI Architecture for Multi-Channel Spike Sorting Using a Generalized Hebbian Algorithm.

    PubMed

    Chen, Ying-Lun; Hwang, Wen-Jyi; Ke, Chi-En

    2015-08-13

    A novel VLSI architecture for multi-channel online spike sorting is presented in this paper. In the architecture, the spike detection is based on nonlinear energy operator (NEO), and the feature extraction is carried out by the generalized Hebbian algorithm (GHA). To lower the power consumption and area costs of the circuits, all of the channels share the same core for spike detection and feature extraction operations. Each channel has dedicated buffers for storing the detected spikes and the principal components of that channel. The proposed circuit also contains a clock gating system supplying the clock to only the buffers of channels currently using the computation core to further reduce the power consumption. The architecture has been implemented by an application-specific integrated circuit (ASIC) with 90-nm technology. Comparisons to the existing works show that the proposed architecture has lower power consumption and hardware area costs for real-time multi-channel spike detection and feature extraction.

  19. VLSI design of an RSA encryption/decryption chip using systolic array based architecture

    NASA Astrophysics Data System (ADS)

    Sun, Chi-Chia; Lin, Bor-Shing; Jan, Gene Eu; Lin, Jheng-Yi

    2016-09-01

    This article presents the VLSI design of a configurable RSA public key cryptosystem supporting the 512-bit, 1024-bit and 2048-bit based on Montgomery algorithm achieving comparable clock cycles of current relevant works but with smaller die size. We use binary method for the modular exponentiation and adopt Montgomery algorithm for the modular multiplication to simplify computational complexity, which, together with the systolic array concept for electric circuit designs effectively, lower the die size. The main architecture of the chip consists of four functional blocks, namely input/output modules, registers module, arithmetic module and control module. We applied the concept of systolic array to design the RSA encryption/decryption chip by using VHDL hardware language and verified using the TSMC/CIC 0.35 m 1P4 M technology. The die area of the 2048-bit RSA chip without the DFT is 3.9 × 3.9 mm2 (4.58 × 4.58 mm2 with DFT). Its average baud rate can reach 10.84 kbps under a 100 MHz clock.

  20. Prototype architecture for a VLSI level zero processing system. [Space Station Freedom

    NASA Technical Reports Server (NTRS)

    Shi, Jianfei; Grebowsky, Gerald J.; Horner, Ward P.; Chesney, James R.

    1989-01-01

    The prototype architecture and implementation of a high-speed level zero processing (LZP) system are discussed. Due to the new processing algorithm and VLSI technology, the prototype LZP system features compact size, low cost, high processing throughput, and easy maintainability and increased reliability. Though extensive control functions have been done by hardware, the programmability of processing tasks makes it possible to adapt the system to different data formats and processing requirements. It is noted that the LZP system can handle up to 8 virtual channels and 24 sources with combined data volume of 15 Gbytes per orbit. For greater demands, multiple LZP systems can be configured in parallel, each called a processing channel and assigned a subset of virtual channels. The telemetry data stream will be steered into different processing channels in accordance with their virtual channel IDs. This super system can cope with a virtually unlimited number of virtual channels and sources. In the near future, it is expected that new disk farms with data rate exceeding 150 Mbps will be available from commercial vendors due to the advance in disk drive technology.

  1. An open source/real-time atomic force microscope architecture to perform customizable force spectroscopy experiments.

    PubMed

    Materassi, Donatello; Baschieri, Paolo; Tiribilli, Bruno; Zuccheri, Giampaolo; Samorì, Bruno

    2009-08-01

    We describe the realization of an atomic force microscope architecture designed to perform customizable experiments in a flexible and automatic way. Novel technological contributions are given by the software implementation platform (RTAI-LINUX), which is free and open source, and from a functional point of view, by the implementation of hard real-time control algorithms. Some other technical solutions such as a new way to estimate the optical lever constant are described as well. The adoption of this architecture provides many degrees of freedom in the device behavior and, furthermore, allows one to obtain a flexible experimental instrument at a relatively low cost. In particular, we show how such a system has been employed to obtain measures in sophisticated single-molecule force spectroscopy experiments [Fernandez and Li, Science 303, 1674 (2004)]. Experimental results on proteins already studied using the same methodologies are provided in order to show the reliability of the measure system.

  2. NeuroFlow: A General Purpose Spiking Neural Network Simulation Platform using Customizable Processors.

    PubMed

    Cheung, Kit; Schultz, Simon R; Luk, Wayne

    2015-01-01

    NeuroFlow is a scalable spiking neural network simulation platform for off-the-shelf high performance computing systems using customizable hardware processors such as Field-Programmable Gate Arrays (FPGAs). Unlike multi-core processors and application-specific integrated circuits, the processor architecture of NeuroFlow can be redesigned and reconfigured to suit a particular simulation to deliver optimized performance, such as the degree of parallelism to employ. The compilation process supports using PyNN, a simulator-independent neural network description language, to configure the processor. NeuroFlow supports a number of commonly used current or conductance based neuronal models such as integrate-and-fire and Izhikevich models, and the spike-timing-dependent plasticity (STDP) rule for learning. A 6-FPGA system can simulate a network of up to ~600,000 neurons and can achieve a real-time performance of 400,000 neurons. Using one FPGA, NeuroFlow delivers a speedup of up to 33.6 times the speed of an 8-core processor, or 2.83 times the speed of GPU-based platforms. With high flexibility and throughput, NeuroFlow provides a viable environment for large-scale neural network simulation.

  3. NeuroFlow: A General Purpose Spiking Neural Network Simulation Platform using Customizable Processors

    PubMed Central

    Cheung, Kit; Schultz, Simon R.; Luk, Wayne

    2016-01-01

    NeuroFlow is a scalable spiking neural network simulation platform for off-the-shelf high performance computing systems using customizable hardware processors such as Field-Programmable Gate Arrays (FPGAs). Unlike multi-core processors and application-specific integrated circuits, the processor architecture of NeuroFlow can be redesigned and reconfigured to suit a particular simulation to deliver optimized performance, such as the degree of parallelism to employ. The compilation process supports using PyNN, a simulator-independent neural network description language, to configure the processor. NeuroFlow supports a number of commonly used current or conductance based neuronal models such as integrate-and-fire and Izhikevich models, and the spike-timing-dependent plasticity (STDP) rule for learning. A 6-FPGA system can simulate a network of up to ~600,000 neurons and can achieve a real-time performance of 400,000 neurons. Using one FPGA, NeuroFlow delivers a speedup of up to 33.6 times the speed of an 8-core processor, or 2.83 times the speed of GPU-based platforms. With high flexibility and throughput, NeuroFlow provides a viable environment for large-scale neural network simulation. PMID:26834542

  4. A Single Chip VLSI Implementation of a QPSK/SQPSK Demodulator for a VSAT Receiver Station

    NASA Technical Reports Server (NTRS)

    Kwatra, S. C.; King, Brent

    1995-01-01

    This thesis presents a VLSI implementation of a QPSK/SQPSK demodulator. It is designed to be employed in a VSAT earth station that utilizes the FDMA/TDM link. A single chip architecture is used to enable this chip to be easily employed in the VSAT system. This demodulator contains lowpass filters, integrate and dump units, unique word detectors, a timing recovery unit, a phase recovery unit and a down conversion unit. The design stages start with a functional representation of the system by using the C programming language. Then it progresses into a register based representation using the VHDL language. The layout components are designed based on these VHDL models and simulated. Component generators are developed for the adder, multiplier, read-only memory and serial access memory in order to shorten the design time. These sub-components are then block routed to form the main components of the system. The main components are block routed to form the final demodulator.

  5. Bio-Inspired Microsystem for Robust Genetic Assay Recognition

    PubMed Central

    Lue, Jaw-Chyng; Fang, Wai-Chi

    2008-01-01

    A compact integrated system-on-chip (SoC) architecture solution for robust, real-time, and on-site genetic analysis has been proposed. This microsystem solution is noise-tolerable and suitable for analyzing the weak fluorescence patterns from a PCR prepared dual-labeled DNA microchip assay. In the architecture, a preceding VLSI differential logarithm microchip is designed for effectively computing the logarithm of the normalized input fluorescence signals. A posterior VLSI artificial neural network (ANN) processor chip is used for analyzing the processed signals from the differential logarithm stage. A single-channel logarithmic circuit was fabricated and characterized. A prototype ANN chip with unsupervised winner-take-all (WTA) function was designed, fabricated, and tested. An ANN learning algorithm using a novel sigmoid-logarithmic transfer function based on the supervised backpropagation (BP) algorithm is proposed for robustly recognizing low-intensity patterns. Our results show that the trained new ANN can recognize low-fluorescence patterns better than an ANN using the conventional sigmoid function. PMID:18566679

  6. Emergent Auditory Feature Tuning in a Real-Time Neuromorphic VLSI System.

    PubMed

    Sheik, Sadique; Coath, Martin; Indiveri, Giacomo; Denham, Susan L; Wennekers, Thomas; Chicca, Elisabetta

    2012-01-01

    Many sounds of ecological importance, such as communication calls, are characterized by time-varying spectra. However, most neuromorphic auditory models to date have focused on distinguishing mainly static patterns, under the assumption that dynamic patterns can be learned as sequences of static ones. In contrast, the emergence of dynamic feature sensitivity through exposure to formative stimuli has been recently modeled in a network of spiking neurons based on the thalamo-cortical architecture. The proposed network models the effect of lateral and recurrent connections between cortical layers, distance-dependent axonal transmission delays, and learning in the form of Spike Timing Dependent Plasticity (STDP), which effects stimulus-driven changes in the pattern of network connectivity. In this paper we demonstrate how these principles can be efficiently implemented in neuromorphic hardware. In doing so we address two principle problems in the design of neuromorphic systems: real-time event-based asynchronous communication in multi-chip systems, and the realization in hybrid analog/digital VLSI technology of neural computational principles that we propose underlie plasticity in neural processing of dynamic stimuli. The result is a hardware neural network that learns in real-time and shows preferential responses, after exposure, to stimuli exhibiting particular spectro-temporal patterns. The availability of hardware on which the model can be implemented, makes this a significant step toward the development of adaptive, neurobiologically plausible, spike-based, artificial sensory systems.

  7. Emergent Auditory Feature Tuning in a Real-Time Neuromorphic VLSI System

    PubMed Central

    Sheik, Sadique; Coath, Martin; Indiveri, Giacomo; Denham, Susan L.; Wennekers, Thomas; Chicca, Elisabetta

    2011-01-01

    Many sounds of ecological importance, such as communication calls, are characterized by time-varying spectra. However, most neuromorphic auditory models to date have focused on distinguishing mainly static patterns, under the assumption that dynamic patterns can be learned as sequences of static ones. In contrast, the emergence of dynamic feature sensitivity through exposure to formative stimuli has been recently modeled in a network of spiking neurons based on the thalamo-cortical architecture. The proposed network models the effect of lateral and recurrent connections between cortical layers, distance-dependent axonal transmission delays, and learning in the form of Spike Timing Dependent Plasticity (STDP), which effects stimulus-driven changes in the pattern of network connectivity. In this paper we demonstrate how these principles can be efficiently implemented in neuromorphic hardware. In doing so we address two principle problems in the design of neuromorphic systems: real-time event-based asynchronous communication in multi-chip systems, and the realization in hybrid analog/digital VLSI technology of neural computational principles that we propose underlie plasticity in neural processing of dynamic stimuli. The result is a hardware neural network that learns in real-time and shows preferential responses, after exposure, to stimuli exhibiting particular spectro-temporal patterns. The availability of hardware on which the model can be implemented, makes this a significant step toward the development of adaptive, neurobiologically plausible, spike-based, artificial sensory systems. PMID:22347163

  8. VLSI realization of learning vector quantization with hardware/software co-design for different applications

    NASA Astrophysics Data System (ADS)

    An, Fengwei; Akazawa, Toshinobu; Yamasaki, Shogo; Chen, Lei; Jürgen Mattausch, Hans

    2015-04-01

    This paper reports a VLSI realization of learning vector quantization (LVQ) with high flexibility for different applications. It is based on a hardware/software (HW/SW) co-design concept for on-chip learning and recognition and designed as a SoC in 180 nm CMOS. The time consuming nearest Euclidean distance search in the LVQ algorithm’s competition layer is efficiently implemented as a pipeline with parallel p-word input. Since neuron number in the competition layer, weight values, input and output number are scalable, the requirements of many different applications can be satisfied without hardware changes. Classification of a d-dimensional input vector is completed in n × \\lceil d/p \\rceil + R clock cycles, where R is the pipeline depth, and n is the number of reference feature vectors (FVs). Adjustment of stored reference FVs during learning is done by the embedded 32-bit RISC CPU, because this operation is not time critical. The high flexibility is verified by the application of human detection with different numbers for the dimensionality of the FVs.

  9. A Sharp methodology for VLSI layout

    NASA Astrophysics Data System (ADS)

    Bapat, Shekhar

    1993-01-01

    The layout problem for VLSI circuits is recognized as a very difficult problem and has been traditionally decomposed into the several seemingly independent sub-problems of placement, global routing, and detailed routing. Although this structure achieves a reduction in programming complexity, it is also typically accompanied by a reduction in solution quality. Most current placement research recognizes that the separation is artificial, and that the placement and routing problems should be solved ideally in tandem. We propose a new interconnection model, Sharp and an associated partitioning algorithm. The Sharp interconnection model uses a partitioning shape that roughly resembles the musical sharp 'number sign' and makes extensive use of pre-computed rectilinear Steiner trees. The model is designed to generate strategic routing information along with the partitioning results. Additionally, the Sharp model also generates estimates of the routing congestion. We also propose the Sharp layout heuristic that solves the layout problem in its entirety. The Sharp layout heuristic makes extensive use of the Sharp partitioning model. The use of precomputed Steiner tree forms enables the method to model accurately net characteristics. For example, the Steiner tree forms can model both the length of the net and more importantly its route. In fact, the tree forms are also appropriate for modeling the timing delays of nets. The Sharp heuristic works to minimize both the total layout area by minimizing total net length (thus reducing the total wiring area), and the congestion imbalances in the various channels (thus reducing the unused or wasted channel area). Our heuristic uses circuit element movements amongst the different partitioning blocks and selection of alternate minimal Steiner tree forms to achieve this goal. The objective function for the algorithm can be modified readily to include other important circuit constraints like propagation delays. The layout technique

  10. Micropower Mixed-signal VLSI Independent Component Analysis for Gradient Flow Acoustic Source Separation.

    PubMed

    Stanaćević, Milutin; Li, Shuo; Cauwenberghs, Gert

    2016-07-01

    A parallel micro-power mixed-signal VLSI implementation of independent component analysis (ICA) with reconfigurable outer-product learning rules is presented. With the gradient sensing of the acoustic field over a miniature microphone array as a pre-processing method, the proposed ICA implementation can separate and localize up to 3 sources in mild reverberant environment. The ICA processor is implemented in 0.5 µm CMOS technology and occupies 3 mm × 3 mm area. At 16 kHz sampling rate, ASIC consumes 195 µW power from a 3 V supply. The outer-product implementation of natural gradient and Herault-Jutten ICA update rules demonstrates comparable performance to benchmark FastICA algorithm in ideal conditions and more robust performance in noisy and reverberant environment. Experiments demonstrate perceptually clear separation and precise localization over wide range of separation angles of two speech sources presented through speakers positioned at 1.5 m from the array on a conference room table. The presented ASIC leads to a extreme small form factor and low power consumption microsystem for source separation and localization required in applications like intelligent hearing aids and wireless distributed acoustic sensor arrays.

  11. Development of a user customizable imaging informatics-based intelligent workflow engine system to enhance rehabilitation clinical trials

    NASA Astrophysics Data System (ADS)

    Wang, Ximing; Martinez, Clarisa; Wang, Jing; Liu, Ye; Liu, Brent

    2014-03-01

    Clinical trials usually have a demand to collect, track and analyze multimedia data according to the workflow. Currently, the clinical trial data management requirements are normally addressed with custom-built systems. Challenges occur in the workflow design within different trials. The traditional pre-defined custom-built system is usually limited to a specific clinical trial and normally requires time-consuming and resource-intensive software development. To provide a solution, we present a user customizable imaging informatics-based intelligent workflow engine system for managing stroke rehabilitation clinical trials with intelligent workflow. The intelligent workflow engine provides flexibility in building and tailoring the workflow in various stages of clinical trials. By providing a solution to tailor and automate the workflow, the system will save time and reduce errors for clinical trials. Although our system is designed for clinical trials for rehabilitation, it may be extended to other imaging based clinical trials as well.

  12. Neural net diagnostics for VLSI test

    NASA Technical Reports Server (NTRS)

    Lin, T.; Tseng, H.; Wu, A.; Dogan, N.; Meador, J.

    1990-01-01

    This paper discusses the application of neural network pattern analysis algorithms to the IC fault diagnosis problem. A fault diagnostic is a decision rule combining what is known about an ideal circuit test response with information about how it is distorted by fabrication variations and measurement noise. The rule is used to detect fault existence in fabricated circuits using real test equipment. Traditional statistical techniques may be used to achieve this goal, but they can employ unrealistic a priori assumptions about measurement data. Our approach to this problem employs an adaptive pattern analysis technique based on feedforward neural networks. During training, a feedforward network automatically captures unknown sample distributions. This is important because distributions arising from the nonlinear effects of process variation can be more complex than is typically assumed. A feedforward network is also able to extract measurement features which contribute significantly to making a correct decision. Traditional feature extraction techniques employ matrix manipulations which can be particularly costly for large measurement vectors. In this paper we discuss a software system which we are developing that uses this approach. We also provide a simple example illustrating the use of the technique for fault detection in an operational amplifier.

  13. Implementation of neuromorphic systems: from discrete components to analog VLSI chips (testing and communication issues).

    PubMed

    Dante, V; Del Giudice, P; Mattia, M

    2001-01-01

    We review a series of implementations of electronic devices aiming at imitating to some extent structure and function of simple neural systems, with particular emphasis on communication issues. We first provide a short overview of general features of such "neuromorphic" devices and the implications of setting up "tests" for them. We then review the developments directly related to our work at the Istituto Superiore di Sanità (ISS): a pilot electronic neural network implementing a simple classifier, autonomously developing internal representations of incoming stimuli; an output network, collecting information from the previous classifier and extracting the relevant part to be forwarded to the observer; an analog, VLSI (very large scale integration) neural chip implementing a recurrent network of spiking neurons and plastic synapses, and the test setup for it; a board designed to interface the standard PCI (peripheral component interconnect) bus of a PC with a special purpose, asynchronous bus for communication among neuromorphic chips; a short and preliminary account of an application-oriented device, taking advantage of the above communication infrastructure.

  14. Performance of Trellis Coded 256 QAM super-multicarrier modem VLSI's for SDH interface outage-free digital microwave radio

    NASA Astrophysics Data System (ADS)

    Aikawa, Satoru; Nakamura, Yasuhisa; Takanashi, Hitoshi

    1994-02-01

    This paper describes the performance of an outage free SXH (Synchronous Digital Hierarchy) interface 256 QAM modem. An outage free DMR (Digital Microwave Radio) is achieved by a high coding gain trellis coded SPORT QAM and Super Multicarrier modem. A new frame format and its associated circuits connect the outage free modem to the SDH interface. The newly designed VLSI's are key devices for developing the modem. As an overall modem performance, BER (bit error rate) characteristics and equipment signatures are presented. A coding gain of 4.7 dB (at a BER of 10(exp -4)) is obtained using SPORT 256 QAM and Viterbi decoding. This coding gain is realized by trellis coding as well as by increasing of transmission rate. Roll-off factor is decreased to maintain the same frequency occupation and modulation level as ordinary SDH 256 QAM modern.

  15. An intelligent knowledge-based and customizable home care system framework with ubiquitous patient monitoring and alerting techniques.

    PubMed

    Chen, Yen-Lin; Chiang, Hsin-Han; Yu, Chao-Wei; Chiang, Chuan-Yen; Liu, Chuan-Ming; Wang, Jenq-Haur

    2012-01-01

    This study develops and integrates an efficient knowledge-based system and a component-based framework to design an intelligent and flexible home health care system. The proposed knowledge-based system integrates an efficient rule-based reasoning model and flexible knowledge rules for determining efficiently and rapidly the necessary physiological and medication treatment procedures based on software modules, video camera sensors, communication devices, and physiological sensor information. This knowledge-based system offers high flexibility for improving and extending the system further to meet the monitoring demands of new patient and caregiver health care by updating the knowledge rules in the inference mechanism. All of the proposed functional components in this study are reusable, configurable, and extensible for system developers. Based on the experimental results, the proposed intelligent homecare system demonstrates that it can accomplish the extensible, customizable, and configurable demands of the ubiquitous healthcare systems to meet the different demands of patients and caregivers under various rehabilitation and nursing conditions.

  16. An Intelligent Knowledge-Based and Customizable Home Care System Framework with Ubiquitous Patient Monitoring and Alerting Techniques

    PubMed Central

    Chen, Yen-Lin; Chiang, Hsin-Han; Yu, Chao-Wei; Chiang, Chuan-Yen; Liu, Chuan-Ming; Wang, Jenq-Haur

    2012-01-01

    This study develops and integrates an efficient knowledge-based system and a component-based framework to design an intelligent and flexible home health care system. The proposed knowledge-based system integrates an efficient rule-based reasoning model and flexible knowledge rules for determining efficiently and rapidly the necessary physiological and medication treatment procedures based on software modules, video camera sensors, communication devices, and physiological sensor information. This knowledge-based system offers high flexibility for improving and extending the system further to meet the monitoring demands of new patient and caregiver health care by updating the knowledge rules in the inference mechanism. All of the proposed functional components in this study are reusable, configurable, and extensible for system developers. Based on the experimental results, the proposed intelligent homecare system demonstrates that it can accomplish the extensible, customizable, and configurable demands of the ubiquitous healthcare systems to meet the different demands of patients and caregivers under various rehabilitation and nursing conditions. PMID:23112650

  17. Efficient methods and readily customizable libraries for managing complexity of large networks.

    PubMed

    Dogrusoz, Ugur; Karacelik, Alper; Safarli, Ilkin; Balci, Hasan; Dervishi, Leonard; Siper, Metin Can

    2018-01-01

    One common problem in visualizing real-life networks, including biological pathways, is the large size of these networks. Often times, users find themselves facing slow, non-scaling operations due to network size, if not a "hairball" network, hindering effective analysis. One extremely useful method for reducing complexity of large networks is the use of hierarchical clustering and nesting, and applying expand-collapse operations on demand during analysis. Another such method is hiding currently unnecessary details, to later gradually reveal on demand. Major challenges when applying complexity reduction operations on large networks include efficiency and maintaining the user's mental map of the drawing. We developed specialized incremental layout methods for preserving a user's mental map while managing complexity of large networks through expand-collapse and hide-show operations. We also developed open-source JavaScript libraries as plug-ins to the web based graph visualization library named Cytsocape.js to implement these methods as complexity management operations. Through efficient specialized algorithms provided by these extensions, one can collapse or hide desired parts of a network, yielding potentially much smaller networks, making them more suitable for interactive visual analysis. This work fills an important gap by making efficient implementations of some already known complexity management techniques freely available to tool developers through a couple of open source, customizable software libraries, and by introducing some heuristics which can be applied upon such complexity management techniques to ensure preserving mental map of users.

  18. Comprehensive finite element modeling of Ti-6Al-4V cellular solids fabricated by electron beam melting

    NASA Astrophysics Data System (ADS)

    Arrieta, Edel

    Additive manufacturing permits the fabrication of cellular metals which are materials that can be highly customizable and possess multiple and extraordinary properties such as damage tolerance, metamorphic and auxetic behaviors, and high specific stiffness. This makes them the subject of interest for innovative applications. With interest in these materials for energy absorption applications, this work presents the development of nonlinear finite element models in commercial software platforms (MSC Patran/Nastran) that permit the analysis of the deformation mechanisms of these materials under compressive loads. In the development of these models, a detailed multiscale study on the different factors affecting the response of cellular metals was conducted with the objective to understanding the physics with the objective of selecting the most appropriate experiments. In that manner, a series of experiments were conducted on Ti-6Al-4V specimens fabricated by electron beam melting at different manufacturing orientations. Digital image correlation was presented as a vital tool for the measurement of strains in specimens with complex shapes; the experiments contemplated compression and tension tests of Ti-6Al-4V solid components, as well as compression tests on cellular lattices of the same alloy. FEMs were developed from the same CAD file utilized for the fabrication of the lattices; in addition, different meshing approaches and mesh convergence analysis were discussed. The mesh density showed convergence in models with over 70,000 elements, permitting the evaluation of the stress/strain-distribution mechanisms in the lattices. However, because of the considerable variability of the experimental material properties, some numerical results showed significant errors in predicting the compressive force applied to the lattices during the experiments; thus suggesting the need to improve the quality control in the manufacturing process and develop better technologies in

  19. Robust Working Memory in an Asynchronously Spiking Neural Network Realized with Neuromorphic VLSI.

    PubMed

    Giulioni, Massimiliano; Camilleri, Patrick; Mattia, Maurizio; Dante, Vittorio; Braun, Jochen; Del Giudice, Paolo

    2011-01-01

    We demonstrate bistable attractor dynamics in a spiking neural network implemented with neuromorphic VLSI hardware. The on-chip network consists of three interacting populations (two excitatory, one inhibitory) of leaky integrate-and-fire (LIF) neurons. One excitatory population is distinguished by strong synaptic self-excitation, which sustains meta-stable states of "high" and "low"-firing activity. Depending on the overall excitability, transitions to the "high" state may be evoked by external stimulation, or may occur spontaneously due to random activity fluctuations. In the former case, the "high" state retains a "working memory" of a stimulus until well after its release. In the latter case, "high" states remain stable for seconds, three orders of magnitude longer than the largest time-scale implemented in the circuitry. Evoked and spontaneous transitions form a continuum and may exhibit a wide range of latencies, depending on the strength of external stimulation and of recurrent synaptic excitation. In addition, we investigated "corrupted" "high" states comprising neurons of both excitatory populations. Within a "basin of attraction," the network dynamics "corrects" such states and re-establishes the prototypical "high" state. We conclude that, with effective theoretical guidance, full-fledged attractor dynamics can be realized with comparatively small populations of neuromorphic hardware neurons.

  20. Development of a Customizable Programme for Improving Interprofessional Team Meetings: An Action Research Approach

    PubMed Central

    van Bokhoven, Marloes Amantia; Goossens, Wilhelmus Nicolaas Marie; Daniëls, Ramon; van der Weijden, Trudy; Beurskens, Anna

    2018-01-01

    Introduction: Interprofessional teamwork is increasingly necessary in primary care to meet the needs of people with complex care demands. Needs assessment shows that this requires efficient interprofessional team meetings, focusing on patients’ personal goals. The aim of this study was to develop a programme to improve the efficiency and patient-centredness of such meetings. Methods: Action research approach: a first draft of the programme was developed, and iteratively used and evaluated by three primary care teams. Data were collected using observations, interviews and a focus group, and analysed using directed content analysis. Results: The final programme comprises a framework to reflect on team functioning, and training activities supplemented by a toolbox. Training is intended for the chairperson and a co-chair, and aims at organizing and structuring meetings, and enhancing patient-centredness. Our findings emphasize the essential role of the team’s chairperson, who, in addition to technically structuring meetings, should act as a change agent guiding team development. Conclusion: Findings show that the programme should be customizable to each individual team’s context and participants’ learning objectives. Becoming acquainted with new structures can be considered a growth process, in which teams have to find their way, with the chairperson as change agent. PMID:29632457

  1. Increasing the strength and bioactivity of collagen scaffolds using customizable arrays of 3D-printed polymer fibers.

    PubMed

    Mozdzen, Laura C; Rodgers, Ryan; Banks, Jessica M; Bailey, Ryan C; Harley, Brendan A C

    2016-03-01

    Tendon is a highly aligned connective tissue which transmits force from muscle to bone. Each year, people in the US sustain more than 32 million tendon injuries. To mitigate poor functional outcomes due to scar formation, current surgical techniques rely heavily on autografts. Biomaterial platforms and tissue engineering methods offer an alternative approach to address these injuries. Scaffolds incorporating aligned structural features can promote expansion of adult tenocytes and mesenchymal stem cells capable of tenogenic differentiation. However, appropriate balance between scaffold bioactivity and mechanical strength of these constructs remains challenging. The high porosity required to facilitate cell infiltration, nutrient and oxygen biotransport within three-dimensional constructs typically results in insufficient biomechanical strength. Here we describe the use of three-dimensional printing techniques to create customizable arrays of acrylonitrile butadiene styrene (ABS) fibers that can be incorporated into a collagen scaffold under development for tendon repair. Notably, mechanical performance of scaffold-fiber composites (elastic modulus, peak stress, strain at peak stress, and toughness) can be selectively manipulated by varying fiber-reinforcement geometry without affecting the native bioactivity of the collagen scaffold. Further, we report an approach to functionalize ABS fibers with activity-inducing growth factors via sequential oxygen plasma and carbodiimide crosslinking treatments. Together, we report an adaptable approach to control both mechanical strength and presence of biomolecular cues in a manner orthogonal to the architecture of the collagen scaffold itself. Tendon injuries account for more than 32 million injuries each year in the US alone. Current techniques use allografts to mitigate poor functional outcomes, but are not ideal platforms to induce functional regeneration following injury. Tissue engineering approaches using biomaterial

  2. CMOS VLSI Active-Pixel Sensor for Tracking

    NASA Technical Reports Server (NTRS)

    Pain, Bedabrata; Sun, Chao; Yang, Guang; Heynssens, Julie

    2004-01-01

    An architecture for a proposed active-pixel sensor (APS) and a design to implement the architecture in a complementary metal oxide semiconductor (CMOS) very-large-scale integrated (VLSI) circuit provide for some advanced features that are expected to be especially desirable for tracking pointlike features of stars. The architecture would also make this APS suitable for robotic- vision and general pointing and tracking applications. CMOS imagers in general are well suited for pointing and tracking because they can be configured for random access to selected pixels and to provide readout from windows of interest within their fields of view. However, until now, the architectures of CMOS imagers have not supported multiwindow operation or low-noise data collection. Moreover, smearing and motion artifacts in collected images have made prior CMOS imagers unsuitable for tracking applications. The proposed CMOS imager (see figure) would include an array of 1,024 by 1,024 pixels containing high-performance photodiode-based APS circuitry. The pixel pitch would be 9 m. The operations of the pixel circuits would be sequenced and otherwise controlled by an on-chip timing and control block, which would enable the collection of image data, during a single frame period, from either the full frame (that is, all 1,024 1,024 pixels) or from within as many as 8 different arbitrarily placed windows as large as 8 by 8 pixels each. A typical prior CMOS APS operates in a row-at-a-time ( grolling-shutter h) readout mode, which gives rise to exposure skew. In contrast, the proposed APS would operate in a sample-first/readlater mode, suppressing rolling-shutter effects. In this mode, the analog readout signals from the pixels corresponding to the windows of the interest (which windows, in the star-tracking application, would presumably contain guide stars) would be sampled rapidly by routing them through a programmable diagonal switch array to an on-chip parallel analog memory array. The

  3. Robust Working Memory in an Asynchronously Spiking Neural Network Realized with Neuromorphic VLSI

    PubMed Central

    Giulioni, Massimiliano; Camilleri, Patrick; Mattia, Maurizio; Dante, Vittorio; Braun, Jochen; Del Giudice, Paolo

    2011-01-01

    We demonstrate bistable attractor dynamics in a spiking neural network implemented with neuromorphic VLSI hardware. The on-chip network consists of three interacting populations (two excitatory, one inhibitory) of leaky integrate-and-fire (LIF) neurons. One excitatory population is distinguished by strong synaptic self-excitation, which sustains meta-stable states of “high” and “low”-firing activity. Depending on the overall excitability, transitions to the “high” state may be evoked by external stimulation, or may occur spontaneously due to random activity fluctuations. In the former case, the “high” state retains a “working memory” of a stimulus until well after its release. In the latter case, “high” states remain stable for seconds, three orders of magnitude longer than the largest time-scale implemented in the circuitry. Evoked and spontaneous transitions form a continuum and may exhibit a wide range of latencies, depending on the strength of external stimulation and of recurrent synaptic excitation. In addition, we investigated “corrupted” “high” states comprising neurons of both excitatory populations. Within a “basin of attraction,” the network dynamics “corrects” such states and re-establishes the prototypical “high” state. We conclude that, with effective theoretical guidance, full-fledged attractor dynamics can be realized with comparatively small populations of neuromorphic hardware neurons. PMID:22347151

  4. A Customizable Importer for the Clinical Data Warehouses PaDaWaN and I2B2.

    PubMed

    Fette, Georg; Kaspar, Mathias; Dietrich, Georg; Ertl, Maximilian; Krebs, Jonathan; Stoerk, Stefan; Puppe, Frank

    2017-01-01

    In recent years, clinical data warehouses (CDW) storing routine patient data have become more and more popular to support scientific work in the medical domain. Although CDW systems provide interfaces to import new data, these interfaces have to be used by processing tools that are often not included in the systems themselves. In order to establish an extraction-transformation-load (ETL) workflow, already existing components have to be taken or new components have to be developed to perform the load part of the ETL. We present a customizable importer for the two CDW systems PaDaWaN and I2B2, which is able to import the most common import formats (plain text, CSV and XML files). In order to be run, the importer only needs a configuration file with the user credentials for the target CDW and a list of XML import configuration files, which determine how already exported data is indented to be imported. The importer is provided as a Java program, which has no further software requirements.

  5. The USGS Earthquake Notification Service (ENS): Customizable notifications of earthquakes around the globe

    USGS Publications Warehouse

    Wald, Lisa A.; Wald, David J.; Schwarz, Stan; Presgrave, Bruce; Earle, Paul S.; Martinez, Eric; Oppenheimer, David

    2008-01-01

    At the beginning of 2006, the U.S. Geological Survey (USGS) Earthquake Hazards Program (EHP) introduced a new automated Earthquake Notification Service (ENS) to take the place of the National Earthquake Information Center (NEIC) "Bigquake" system and the various other individual EHP e-mail list-servers for separate regions in the United States. These included northern California, southern California, and the central and eastern United States. ENS is a "one-stop shopping" system that allows Internet users to subscribe to flexible and customizable notifications for earthquakes anywhere in the world. The customization capability allows users to define the what (magnitude threshold), the when (day and night thresholds), and the where (specific regions) for their notifications. Customization is achieved by employing a per-user based request profile, allowing the notifications to be tailored for each individual's requirements. Such earthquake-parameter-specific custom delivery was not possible with simple e-mail list-servers. Now that event and user profiles are in a structured query language (SQL) database, additional flexibility is possible. At the time of this writing, ENS had more than 114,000 subscribers, with more than 200,000 separate user profiles. On a typical day, more than 188,000 messages get sent to a variety of widely distributed users for a wide range of earthquake locations and magnitudes. The purpose of this article is to describe how ENS works, highlight the features it offers, and summarize plans for future developments.

  6. Rapid Assemblers for Voxel-Based VLSI Robotics

    DTIC Science & Technology

    2014-02-12

    relied on coin- cell batteries with high energy density, but low power density. Each of the actuators presented requires relatively high power...The device consists of a low power DC- DC low to high voltage converter operated by 4A cell batteries and an assembler, which is a grid of electrodes...design, simulate and fabricate complex 3D machines, as well as to repair, adapt and recycle existing machines, and to perform rigorous design

  7. Lightweight custom composite prosthetic components using an additive manufacturing-based molding technique.

    PubMed

    Leddy, Michael T; Belter, Joseph T; Gemmell, Kevin D; Dollar, Aaron M

    2015-01-01

    Additive manufacturing techniques are becoming more prominent and cost-effective as 3D printing becomes higher quality and more inexpensive. The idea of 3D printed prosthetics components promises affordable, customizable devices, but these systems currently have major shortcomings in durability and function. In this paper, we propose a fabrication method for custom composite prostheses utilizing additive manufacturing, allowing for customizability, as well the durability of professional prosthetics. The manufacturing process is completed using 3D printed molds in a multi-stage molding system, which creates a custom finger or palm with a lightweight epoxy foam core, a durable composite outer shell, and soft urethane gripping surfaces. The composite material was compared to 3D printed and aluminum materials using a three-point bending test to compare stiffness, as well as gravimetric measurements to compare weight. The composite finger demonstrates the largest stiffness with the lowest weight compared to other tested fingers, as well as having customizability and lower cost, proving to potentially be a substantial benefit to the development of upper-limb prostheses.

  8. A Low Cost VLSI Architecture for Spike Sorting Based on Feature Extraction with Peak Search.

    PubMed

    Chang, Yuan-Jyun; Hwang, Wen-Jyi; Chen, Chih-Chang

    2016-12-07

    The goal of this paper is to present a novel VLSI architecture for spike sorting with high classification accuracy, low area costs and low power consumption. A novel feature extraction algorithm with low computational complexities is proposed for the design of the architecture. In the feature extraction algorithm, a spike is separated into two portions based on its peak value. The area of each portion is then used as a feature. The algorithm is simple to implement and less susceptible to noise interference. Based on the algorithm, a novel architecture capable of identifying peak values and computing spike areas concurrently is proposed. To further accelerate the computation, a spike can be divided into a number of segments for the local feature computation. The local features are subsequently merged with the global ones by a simple hardware circuit. The architecture can also be easily operated in conjunction with the circuits for commonly-used spike detection algorithms, such as the Non-linear Energy Operator (NEO). The architecture has been implemented by an Application-Specific Integrated Circuit (ASIC) with 90-nm technology. Comparisons to the existing works show that the proposed architecture is well suited for real-time multi-channel spike detection and feature extraction requiring low hardware area costs, low power consumption and high classification accuracy.

  9. Motion-sensor fusion-based gesture recognition and its VLSI architecture design for mobile devices

    NASA Astrophysics Data System (ADS)

    Zhu, Wenping; Liu, Leibo; Yin, Shouyi; Hu, Siqi; Tang, Eugene Y.; Wei, Shaojun

    2014-05-01

    With the rapid proliferation of smartphones and tablets, various embedded sensors are incorporated into these platforms to enable multimodal human-computer interfaces. Gesture recognition, as an intuitive interaction approach, has been extensively explored in the mobile computing community. However, most gesture recognition implementations by now are all user-dependent and only rely on accelerometer. In order to achieve competitive accuracy, users are required to hold the devices in predefined manner during the operation. In this paper, a high-accuracy human gesture recognition system is proposed based on multiple motion sensor fusion. Furthermore, to reduce the energy overhead resulted from frequent sensor sampling and data processing, a high energy-efficient VLSI architecture implemented on a Xilinx Virtex-5 FPGA board is also proposed. Compared with the pure software implementation, approximately 45 times speed-up is achieved while operating at 20 MHz. The experiments show that the average accuracy for 10 gestures achieves 93.98% for user-independent case and 96.14% for user-dependent case when subjects hold the device randomly during completing the specified gestures. Although a few percent lower than the conventional best result, it still provides competitive accuracy acceptable for practical usage. Most importantly, the proposed system allows users to hold the device randomly during operating the predefined gestures, which substantially enhances the user experience.

  10. Complex VLSI Feature Comparison for Commercial Microelectronics Verification

    DTIC Science & Technology

    2014-03-27

    69 4.2.4 Circuit E . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 74 4.3 Summary...used for high-performance consumer microelectronics. Volume is a significant factor in constraining the technology limit for defense circuits, but it...surveyed in a 2010 Department of Commerce report found counterfeit chips difficult to identify due to improved fabrication quality in overseas counterfeit

  11. Cost optimization in low volume VLSI circuits

    NASA Technical Reports Server (NTRS)

    Cook, K. B., Jr.; Kerns, D. V., Jr.

    1982-01-01

    The relationship of integrated circuit (IC) cost to electronic system cost is developed using models for integrated circuit cost which are based on design/fabrication approach. Emphasis is on understanding the relationship between cost and volume for custom circuits suitable for NASA applications. In this report, reliability is a major consideration in the models developed. Results are given for several typical IC designs using off the shelf, full custom, and semicustom IC's with single and double level metallization.

  12. Versatile, modular 3D microelectrode arrays for neuronal ensemble recordings: from design to fabrication, assembly, and functional validation in non-human primates.

    PubMed

    Barz, F; Livi, A; Lanzilotto, M; Maranesi, M; Bonini, L; Paul, O; Ruther, P

    2017-06-01

    Application-specific designs of electrode arrays offer an improved effectiveness for providing access to targeted brain regions in neuroscientific research and brain machine interfaces. The simultaneous and stable recording of neuronal ensembles is the main goal in the design of advanced neural interfaces. Here, we describe the development and assembly of highly customizable 3D microelectrode arrays and demonstrate their recording performance in chronic applications in non-human primates. System assembly relies on a microfabricated stacking component that is combined with Michigan-style silicon-based electrode arrays interfacing highly flexible polyimide cables. Based on the novel stacking component, the lead time for implementing prototypes with altered electrode pitches is minimal. Once the fabrication and assembly accuracy of the stacked probes have been characterized, their recording performance is assessed during in vivo chronic experiments in awake rhesus macaques (Macaca mulatta) trained to execute reaching-grasping motor tasks. Using a single set of fabrication tools, we implemented three variants of the stacking component for electrode distances of 250, 300 and 350 µm in the stacking direction. We assembled neural probes with up to 96 channels and an electrode density of 98 electrodes mm -2 . Furthermore, we demonstrate that the shank alignment is accurate to a few µm at an angular alignment better than 1°. Three 64-channel probes were chronically implanted in two monkeys providing single-unit activity on more than 60% of all channels and excellent recording stability. Histological tissue sections, obtained 52 d after implantation from one of the monkeys, showed minimal tissue damage, in accordance with the high quality and stability of the recorded neural activity. The versatility of our fabrication and assembly approach should significantly support the development of ideal interface geometries for a broad spectrum of applications. With the

  13. Versatile, modular 3D microelectrode arrays for neuronal ensemble recordings: from design to fabrication, assembly, and functional validation in non-human primates

    NASA Astrophysics Data System (ADS)

    Barz, F.; Livi, A.; Lanzilotto, M.; Maranesi, M.; Bonini, L.; Paul, O.; Ruther, P.

    2017-06-01

    Objective. Application-specific designs of electrode arrays offer an improved effectiveness for providing access to targeted brain regions in neuroscientific research and brain machine interfaces. The simultaneous and stable recording of neuronal ensembles is the main goal in the design of advanced neural interfaces. Here, we describe the development and assembly of highly customizable 3D microelectrode arrays and demonstrate their recording performance in chronic applications in non-human primates. Approach. System assembly relies on a microfabricated stacking component that is combined with Michigan-style silicon-based electrode arrays interfacing highly flexible polyimide cables. Based on the novel stacking component, the lead time for implementing prototypes with altered electrode pitches is minimal. Once the fabrication and assembly accuracy of the stacked probes have been characterized, their recording performance is assessed during in vivo chronic experiments in awake rhesus macaques (Macaca mulatta) trained to execute reaching-grasping motor tasks. Main results. Using a single set of fabrication tools, we implemented three variants of the stacking component for electrode distances of 250, 300 and 350 µm in the stacking direction. We assembled neural probes with up to 96 channels and an electrode density of 98 electrodes mm-2. Furthermore, we demonstrate that the shank alignment is accurate to a few µm at an angular alignment better than 1°. Three 64-channel probes were chronically implanted in two monkeys providing single-unit activity on more than 60% of all channels and excellent recording stability. Histological tissue sections, obtained 52 d after implantation from one of the monkeys, showed minimal tissue damage, in accordance with the high quality and stability of the recorded neural activity. Significance. The versatility of our fabrication and assembly approach should significantly support the development of ideal interface geometries for a broad

  14. Adaptive Optoelectronic Eyes: Hybrid Sensor/Processor Architectures

    DTIC Science & Technology

    2006-11-13

    corresponding calculated data. The width of the mirror stopband is proportional to the refractive index difference between the high and low index materials ...Silicon VLSI Neuron Unit Arrays 56 Development of a Single-Sided Flip-Chip Bonding Process 65 Development of High Refractive Index Diffractive Optical ...Elements (DOEs) 68 Development of High-Performance Antireflection Coatings for High Refractive Index DOEs 69 Design and Fabrication of Low Threshold

  15. Planarization of metal films for multilevel interconnects

    DOEpatents

    Tuckerman, D.B.

    1985-06-24

    In the fabrication of multilevel integrated circuits, each metal layer is planarized by heating to momentarily melt the layer. The layer is melted by sweeping lase pulses of suitable width, typically about 1 microsecond duration, over the layer in small increments. The planarization of each metal layer eliminates irregular and discontinuous conditions between successive layers. The planarization method is particularly applicable to circuits having ground or power planes and allows for multilevel interconnects. Dielectric layers can also be planarized to produce a fully planar multilevel interconnect structure. The method is useful for the fabrication of VLSI circuits, particularly for wafer-scale integration.

  16. Planarization of metal films for multilevel interconnects by pulsed laser heating

    DOEpatents

    Tuckerman, David B.

    1987-01-01

    In the fabrication of multilevel integrated circuits, each metal layer is planarized by heating to momentarily melt the layer. The layer is melted by sweeping laser pulses of suitable width, typically about 1 microsecond duration, over the layer in small increments. The planarization of each metal layer eliminates irregular and discontinuous conditions between successive layers. The planarization method is particularly applicable to circuits having ground or power planes and allows for multilevel interconnects. Dielectric layers can also be planarized to produce a fully planar multilevel interconnect structure. The method is useful for the fabrication of VLSI circuits, particularly for wafer-scale integration.

  17. Planarization of metal films for multilevel interconnects

    DOEpatents

    Tuckerman, David B.

    1987-01-01

    In the fabrication of multilevel integrated circuits, each metal layer is anarized by heating to momentarily melt the layer. The layer is melted by sweeping laser pulses of suitable width, typically about 1 microsecond duration, over the layer in small increments. The planarization of each metal layer eliminates irregular and discontinuous conditions between successive layers. The planarization method is particularly applicable to circuits having ground or power planes and allows for multilevel interconnects. Dielectric layers can also be planarized to produce a fully planar multilevel interconnect structure. The method is useful for the fabrication of VLSI circuits, particularly for wafer-scale integration.

  18. Planarization of metal films for multilevel interconnects

    DOEpatents

    Tuckerman, David B.

    1989-01-01

    In the fabrication of multilevel integrated circuits, each metal layer is anarized by heating to momentarily melt the layer. The layer is melted by sweeping laser pulses of suitable width, typically about 1 microsecond duration, over the layer in small increments. The planarization of each metal layer eliminates irregular and discontinuous conditions between successive layers. The planarization method is particularly applicable to circuits having ground or power planes and allows for multilevel interconnects. Dielectric layers can also be planarized to produce a fully planar multilevel interconnect structure. The method is useful for the fabrication of VLSI circuits, particularly for wafer-scale integration.

  19. Planarization of metal films for multilevel interconnects

    DOEpatents

    Tuckerman, D.B.

    1985-08-23

    In the fabrication of multilevel integrated circuits, each metal layer is planarized by heating to momentarily melt the layer. The layer is melted by sweeping laser pulses of suitable width, typically about 1 microsecond duration, over the layer in small increments. The planarization of each metal layer eliminates irregular and discontinuous conditions between successive layers. The planarization method is particularly applicable to circuits having ground or power planes and allows for multilevel interconnects. Dielectric layers can also be planarized to produce a fully planar multilevel interconnect structure. The method is useful for the fabrication of VLSI circuits, particularly for wafer-scale integration.

  20. Integrated Circuit For Simulation Of Neural Network

    NASA Technical Reports Server (NTRS)

    Thakoor, Anilkumar P.; Moopenn, Alexander W.; Khanna, Satish K.

    1988-01-01

    Ballast resistors deposited on top of circuit structure. Cascadable, programmable binary connection matrix fabricated in VLSI form as basic building block for assembly of like units into content-addressable electronic memory matrices operating somewhat like networks of neurons. Connections formed during storage of data, and data recalled from memory by prompting matrix with approximate or partly erroneous signals. Redundancy in pattern of connections causes matrix to respond with correct stored data.

  1. Aerogel-Based Antennas for Aerospace and Terrestrial Applications

    NASA Technical Reports Server (NTRS)

    Meador, Mary Ann (Inventor); Miranda, Felix (Inventor); Van Keuls, Frederick (Inventor)

    2016-01-01

    Systems and methods for lightweight, customizable antenna with improved performance and mechanical properties are disclosed. In some aspects, aerogels can be used, for example, as a substrate for antenna fabrication. The reduced weight and expense, as well as the increased ability to adapt antenna designs, permits a systems to mitigate a variety of burdens associated with antennas while providing added benefits.

  2. Temporal coding in a silicon network of integrate-and-fire neurons.

    PubMed

    Liu, Shih-Chii; Douglas, Rodney

    2004-09-01

    Spatio-temporal processing of spike trains by neuronal networks depends on a variety of mechanisms distributed across synapses, dendrites, and somata. In natural systems, the spike trains and the processing mechanisms cohere though their common physical instantiation. This coherence is lost when the natural system is encoded for simulation on a general purpose computer. By contrast, analog VLSI circuits are, like neurons, inherently related by their real-time physics, and so, could provide a useful substrate for exploring neuronlike event-based processing. Here, we describe a hybrid analog-digital VLSI chip comprising a set of integrate-and-fire neurons and short-term dynamical synapses that can be configured into simple network architectures with some properties of neocortical neuronal circuits. We show that, despite considerable fabrication variance in the properties of individual neurons, the chip offers a viable substrate for exploring real-time spike-based processing in networks of neurons.

  3. Single board system for fuzzy inference

    NASA Technical Reports Server (NTRS)

    Symon, James R.; Watanabe, Hiroyuki

    1991-01-01

    The very large scale integration (VLSI) implementation of a fuzzy logic inference mechanism allows the use of rule-based control and decision making in demanding real-time applications. Researchers designed a full custom VLSI inference engine. The chip was fabricated using CMOS technology. The chip consists of 688,000 transistors of which 476,000 are used for RAM memory. The fuzzy logic inference engine board system incorporates the custom designed integrated circuit into a standard VMEbus environment. The Fuzzy Logic system uses Transistor-Transistor Logic (TTL) parts to provide the interface between the Fuzzy chip and a standard, double height VMEbus backplane, allowing the chip to perform application process control through the VMEbus host. High level C language functions hide details of the hardware system interface from the applications level programmer. The first version of the board was installed on a robot at Oak Ridge National Laboratory in January of 1990.

  4. Optimal Dynamic Sub-Threshold Technique for Extreme Low Power Consumption for VLSI

    NASA Technical Reports Server (NTRS)

    Duong, Tuan A.

    2012-01-01

    For miniaturization of electronics systems, power consumption plays a key role in the realm of constraints. Considering the very large scale integration (VLSI) design aspect, as transistor feature size is decreased to 50 nm and below, there is sizable increase in the number of transistors as more functional building blocks are embedded in the same chip. However, the consequent increase in power consumption (dynamic and leakage) will serve as a key constraint to inhibit the advantages of transistor feature size reduction. Power consumption can be reduced by minimizing the voltage supply (for dynamic power consumption) and/or increasing threshold voltage (V(sub th), for reducing leakage power). When the feature size of the transistor is reduced, supply voltage (V(sub dd)) and threshold voltage (V(sub th)) are also reduced accordingly; then, the leakage current becomes a bigger factor of the total power consumption. To maintain low power consumption, operation of electronics at sub-threshold levels can be a potentially strong contender; however, there are two obstacles to be faced: more leakage current per transistor will cause more leakage power consumption, and slow response time when the transistor is operated in weak inversion region. To enable low power consumption and yet obtain high performance, the CMOS (complementary metal oxide semiconductor) transistor as a basic element is viewed and controlled as a four-terminal device: source, drain, gate, and body, as differentiated from the traditional approach with three terminals: i.e., source and body, drain, and gate. This technique features multiple voltage sources to supply the dynamic control, and uses dynamic control to enable low-threshold voltage when the channel (N or P) is active, for speed response enhancement and high threshold voltage, and when the transistor channel (N or P) is inactive, to reduce the leakage current for low-leakage power consumption.

  5. A customizable commercial miniaturized 320×256 indium gallium arsenide shortwave infrared camera

    NASA Astrophysics Data System (ADS)

    Huang, Shih-Che; O'Grady, Matthew; Groppe, Joseph V.; Ettenberg, Martin H.; Brubaker, Robert M.

    2004-10-01

    The design and performance of a commercial short-wave-infrared (SWIR) InGaAs microcamera engine is presented. The 0.9-to-1.7 micron SWIR imaging system consists of a room-temperature-TEC-stabilized, 320x256 (25 μm pitch) InGaAs focal plane array (FPA) and a high-performance, highly customizable image-processing set of electronics. The detectivity, D*, of the system is greater than 1013 cm-√Hz/W at 1.55 μm, and this sensitivity may be adjusted in real-time over 100 dB. It features snapshot-mode integration with a minimum exposure time of 130 μs. The digital video processor provides real time pixel-to-pixel, 2-point dark-current subtraction and non-uniformity compensation along with defective-pixel substitution. Other features include automatic gain control (AGC), gamma correction, 7 preset configurations, adjustable exposure time, external triggering, and windowing. The windowing feature is highly flexible; the region of interest (ROI) may be placed anywhere on the imager and can be varied at will. Windowing allows for high-speed readout enabling such applications as target acquisition and tracking; for example, a 32x32 ROI window may be read out at over 3500 frames per second (fps). Output video is provided as EIA170-compatible analog, or as 12-bit CameraLink-compatible digital. All the above features are accomplished in a small volume < 28 cm3, weight < 70 g, and with low power consumption < 1.3 W at room temperature using this new microcamera engine. Video processing is based on a field-programmable gate array (FPGA) platform with a soft-embedded processor that allows for ease of integration/addition of customer-specific algorithms, processes, or design requirements. The camera was developed with the high-performance, space-restricted, power-conscious application in mind, such as robotic or UAV deployment.

  6. Polymorphous computing fabric

    DOEpatents

    Wolinski, Christophe Czeslaw [Los Alamos, NM; Gokhale, Maya B [Los Alamos, NM; McCabe, Kevin Peter [Los Alamos, NM

    2011-01-18

    Fabric-based computing systems and methods are disclosed. A fabric-based computing system can include a polymorphous computing fabric that can be customized on a per application basis and a host processor in communication with said polymorphous computing fabric. The polymorphous computing fabric includes a cellular architecture that can be highly parameterized to enable a customized synthesis of fabric instances for a variety of enhanced application performances thereof. A global memory concept can also be included that provides the host processor random access to all variables and instructions associated with the polymorphous computing fabric.

  7. Integration of a Zero-footprint Cloud-based Picture Archiving and Communication System with Customizable Forms for Radiology Research and Education.

    PubMed

    Hostetter, Jason; Khanna, Nishanth; Mandell, Jacob C

    2018-06-01

    The purpose of this study was to integrate web-based forms with a zero-footprint cloud-based Picture Archiving and Communication Systems (PACS) to create a tool of potential benefit to radiology research and education. Web-based forms were created with a front-end and back-end architecture utilizing common programming languages including Vue.js, Node.js and MongoDB, and integrated into an existing zero-footprint cloud-based PACS. The web-based forms application can be accessed in any modern internet browser on desktop or mobile devices and allows the creation of customizable forms consisting of a variety of questions types. Each form can be linked to an individual DICOM examination or a collection of DICOM examinations. Several uses are demonstrated through a series of case studies, including implementation of a research platform for multi-reader multi-case (MRMC) studies and other imaging research, and creation of an online Objective Structure Clinical Examination (OSCE) and an educational case file. Copyright © 2018 The Association of University Radiologists. Published by Elsevier Inc. All rights reserved.

  8. Robust Bioinformatics Recognition with VLSI Biochip Microsystem

    NASA Technical Reports Server (NTRS)

    Lue, Jaw-Chyng L.; Fang, Wai-Chi

    2006-01-01

    A microsystem architecture for real-time, on-site, robust bioinformatic patterns recognition and analysis has been proposed. This system is compatible with on-chip DNA analysis means such as polymerase chain reaction (PCR)amplification. A corresponding novel artificial neural network (ANN) learning algorithm using new sigmoid-logarithmic transfer function based on error backpropagation (EBP) algorithm is invented. Our results show the trained new ANN can recognize low fluorescence patterns better than the conventional sigmoidal ANN does. A differential logarithmic imaging chip is designed for calculating logarithm of relative intensities of fluorescence signals. The single-rail logarithmic circuit and a prototype ANN chip are designed, fabricated and characterized.

  9. Planarization of metal films for multilevel interconnects

    DOEpatents

    Tuckerman, D.B.

    1989-03-21

    In the fabrication of multilevel integrated circuits, each metal layer is planarized by heating to momentarily melt the layer. The layer is melted by sweeping laser pulses of suitable width, typically about 1 microsecond duration, over the layer in small increments. The planarization of each metal layer eliminates irregular and discontinuous conditions between successive layers. The planarization method is particularly applicable to circuits having ground or power planes and allows for multilevel interconnects. Dielectric layers can also be planarized to produce a fully planar multilevel interconnect structure. The method is useful for the fabrication of VLSI circuits, particularly for wafer-scale integration. 6 figs.

  10. Computer Aided Design of Integrated Circuit Fabrication Processes for VLSI Devices

    DTIC Science & Technology

    1981-07-01

    observed in the growth kinetics on N -type and P -type samples whether (100)- or (111)-oriented. Fig. 5 (100) and 6 (111) show the oxidation rate obtained...9, and 10 show Vfb vs. xox for (100), (111), N -type and P -type samples. The values of@MS obtained in the different cases are shown in Fig. 11, where...voltage vs. oxide thickness for (100) N -type wafers oxidized at 1000 0C and fast pulled from 02 ambient. FLATBAND VOLTAGE vs OXIDE THICKNESS -0.2 (100), P

  11. Computer Aided Design of Integrated Circuit Fabrication Processes for VLSI Devices

    DTIC Science & Technology

    1980-01-01

    diffusion coefficient and surface conc,,tration of the chlorine as well as any field present; X is related to the ratio ol the diffusion coefficient to...with polysilicon gat(. .ed contacts, the interaction of oxidation, segregation and diffusion in all regions of the simulation space is a critical

  12. SU-E-T-424: Feasibility of 3D Printed Radiological Equivalent Customizable Tissue Like Materials

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Johnson, D; Ferreira, C; Ahmad, S

    Purpose: To investigate the feasibility of 3D printing CT# specific radiological equivalent tissue like materials. Methods: A desktop 3D printer was utilized to create a series of 3 cm x 3 cm x 2 cm PLA plastic blocks of varying fill densities. The fill pattern was selected to be hexagonal (Figure 1). A series of blocks was filled with paraffin and compared to a series filled with air. The blocks were evaluated with a “GE Lightspeed” 16 slice CT scanner and average CT# of the centers of the materials was determined. The attenuation properties of the subsequent blocks were alsomore » evaluated through their isocentric irradiation via “TrueBeam” accelerator under six beam energies. Blocks were placed upon plastic-water slabs of 4 cm in thickness assuring electronic equilibrium and data was collected via Sun Nuclear “Edge” diode detector. Relative changes in dose were compared with those predicted by Varian “Eclipse” TPS. Results: The CT# of 3D printed blocks was found to be a controllable variable. The fill material was able to narrow the range of variability in each sample. The attenuation of the block tracked with the density of the total fill structure. Assigned CT values in the TPS were seen to fall within an expected range predicted by the CT scans of the 3D printed blocks. Conclusion: We have demonstrated that it is possible to 3D print materials of varying tissue equivalencies, and that these materials have radiological properties that are customizable and predictable.« less

  13. sEMG Sensor Using Polypyrrole-Coated Nonwoven Fabric Sheet for Practical Control of Prosthetic Hand

    PubMed Central

    Jiang, Yinlai; Togane, Masami; Lu, Baoliang; Yokoi, Hiroshi

    2017-01-01

    One of the greatest challenges of using a myoelectric prosthetic hand in daily life is to conveniently measure stable myoelectric signals. This study proposes a novel surface electromyography (sEMG) sensor using polypyrrole-coated nonwoven fabric sheet as electrodes (PPy electrodes) to allow people with disabilities to control prosthetic limbs. The PPy electrodes are sewn on an elastic band to guarantee close contact with the skin and thus reduce the contact electrical impedance between the electrodes and the skin. The sensor is highly customizable to fit the size and the shape of the stump so that people with disabilities can attach the sensor by themselves. The performance of the proposed sensor was investigated experimentally by comparing measurements of Ag/AgCl electrodes with electrolytic gel and the sEMG from the same muscle fibers. The high correlation coefficient (0.87) between the two types of sensors suggests the effectiveness of the proposed sensor. Another experiment of sEMG pattern recognition to control myoelectric prosthetic hands showed that the PPy electrodes are as effective as Ag/AgCl electrodes for measuring sEMG signals for practical myoelectric control. We also investigated the relation between the myoelectric signals' signal-to-noise ratio and the source impedances by simultaneously measuring the source impedances and the myoelectric signals with a switching circuit. The results showed that differences in both the norm and the phase of the source impedance greatly affect the common mode noise in the signal. PMID:28220058

  14. Fabrication of superhydrophobic cotton fabrics using crosslinking polymerization method

    NASA Astrophysics Data System (ADS)

    Jiang, Bin; Chen, Zhenxing; Sun, Yongli; Yang, Huawei; Zhang, Hongjie; Dou, Haozhen; Zhang, Luhong

    2018-05-01

    With the aim of removing and recycling oil and organic solvent from water, a facile and low-cost crosslinking polymerization method was first applied on surface modification of cotton fabrics for water/oil separation. Micro-nano hierarchical rough structure was constructed by triethylenetetramine (TETA) and trimesoyl chloride (TMC) that formed a polymeric layer on the surface of the fabric and anchored Al2O3 nanoparticles firmly between the fabric surface and the polymer layer. Superhydrophobic property was further obtained through self-assembly grafting of hydrophobic groups on the rough surface. The as-prepared cotton fabric exhibited superoleophilicity in atmosphere and superhydrophobicity both in atmosphere and under oil with the water contact angle of 153° and 152° respectively. Water/oil separation test showed that the as-prepared cotton fabric can handle with various oil-water mixtures with a high separation efficiency over 99%. More importantly, the separation efficiency remained above 98% over 20 cycles of reusing without losing its superhydrophobicity which demonstrated excellent reusability in oil/water separation process. Moreover, the as-prepared cotton fabric possessed good contamination resistance ability and self-cleaning property. Simulation washing process test showed the superhydrophobic cotton fabric maintained high value of water contact angle above 150° after 100 times washing, indicating great stability and durability. In summary, this work provides a brand-new way to surface modification of cotton fabric and makes it a promising candidate material for oil/water separation.

  15. Solid-state curved focal plane arrays

    NASA Technical Reports Server (NTRS)

    Jones, Todd (Inventor); Nikzad, Shouleh (Inventor); Hoenk, Michael (Inventor)

    2010-01-01

    The present invention relates to curved focal plane arrays. More specifically, the present invention relates to a system and method for making solid-state curved focal plane arrays from standard and high-purity devices that may be matched to a given optical system. There are two ways to make a curved focal plane arrays starting with the fully fabricated device. One way, is to thin the device and conform it to a curvature. A second way, is to back-illuminate a thick device without making a thinned membrane. The thick device is a special class of devices; for example devices fabricated with high purity silicon. One surface of the device (the non VLSI fabricated surface, also referred to as the back surface) can be polished to form a curved surface.

  16. High density circuit technology

    NASA Technical Reports Server (NTRS)

    Wade, T. E.

    1979-01-01

    Polyimide dielectric materials were acquired for comparative and evaluative studies in double layer metal processes. Preliminary experiments were performed. Also, the literature indicates that sputtered aluminum films may be successfully patterned using the left-off technique provided the substrate temperature remains low and the argon pressure in the chamber is relatively high at the time of sputtering. Vendors associated with dry processing equipment are identified. A literature search relative to future trends in VLSI fabrication techniques is described.

  17. Driving a car with custom-designed fuzzy inferencing VLSI chips and boards

    NASA Technical Reports Server (NTRS)

    Pin, Francois G.; Watanabe, Yutaka

    1993-01-01

    Vehicle control in a-priori unknown, unpredictable, and dynamic environments requires many calculational and reasoning schemes to operate on the basis of very imprecise, incomplete, or unreliable data. For such systems, in which all the uncertainties can not be engineered away, approximate reasoning may provide an alternative to the complexity and computational requirements of conventional uncertainty analysis and propagation techniques. Two types of computer boards including custom-designed VLSI chips were developed to add a fuzzy inferencing capability to real-time control systems. All inferencing rules on a chip are processed in parallel, allowing execution of the entire rule base in about 30 microseconds, and therefore, making control of 'reflex-type' of motions envisionable. The use of these boards and the approach using superposition of elemental sensor-based behaviors for the development of qualitative reasoning schemes emulating human-like navigation in a-priori unknown environments are first discussed. Then how the human-like navigation scheme implemented on one of the qualitative inferencing boards was installed on a test-bed platform to investigate two control modes for driving a car in a-priori unknown environments on the basis of sparse and imprecise sensor data is described. In the first mode, the car navigates fully autonomously, while in the second mode, the system acts as a driver's aid providing the driver with linguistic (fuzzy) commands to turn left or right and speed up or slow down depending on the obstacles perceived by the sensors. Experiments with both modes of control are described in which the system uses only three acoustic range (sonar) sensor channels to perceive the environment. Simulation results as well as indoors and outdoors experiments are presented and discussed to illustrate the feasibility and robustness of autonomous navigation and/or safety enhancing driver's aid using the new fuzzy inferencing hardware system and some human

  18. HashDist: Reproducible, Relocatable, Customizable, Cross-Platform Software Stacks for Open Hydrological Science

    NASA Astrophysics Data System (ADS)

    Ahmadia, A. J.; Kees, C. E.

    2014-12-01

    Developing scientific software is a continuous balance between not reinventing the wheel and getting fragile codes to interoperate with one another. Binary software distributions such as Anaconda provide a robust starting point for many scientific software packages, but this solution alone is insufficient for many scientific software developers. HashDist provides a critical component of the development workflow, enabling highly customizable, source-driven, and reproducible builds for scientific software stacks, available from both the IPython Notebook and the command line. To address these issues, the Coastal and Hydraulics Laboratory at the US Army Engineer Research and Development Center has funded the development of HashDist in collaboration with Simula Research Laboratories and the University of Texas at Austin. HashDist is motivated by a functional approach to package build management, and features intelligent caching of sources and builds, parametrized build specifications, and the ability to interoperate with system compilers and packages. HashDist enables the easy specification of "software stacks", which allow both the novice user to install a default environment and the advanced user to configure every aspect of their build in a modular fashion. As an advanced feature, HashDist builds can be made relocatable, allowing the easy redistribution of binaries on all three major operating systems as well as cloud, and supercomputing platforms. As a final benefit, all HashDist builds are reproducible, with a build hash specifying exactly how each component of the software stack was installed. This talk discusses the role of HashDist in the hydrological sciences, including its use by the Coastal and Hydraulics Laboratory in the development and deployment of the Proteus Toolkit as well as the Rapid Operational Access and Maneuver Support project. We demonstrate HashDist in action, and show how it can effectively support development, deployment, teaching, and

  19. Fabric circuits and method of manufacturing fabric circuits

    NASA Technical Reports Server (NTRS)

    Chu, Andrew W. (Inventor); Dobbins, Justin A. (Inventor); Scully, Robert C. (Inventor); Trevino, Robert C. (Inventor); Lin, Greg Y. (Inventor); Fink, Patrick W. (Inventor)

    2011-01-01

    A flexible, fabric-based circuit comprises a non-conductive flexible layer of fabric and a conductive flexible layer of fabric adjacent thereto. A non-conductive thread, an adhesive, and/or other means may be used for attaching the conductive layer to the non-conductive layer. In some embodiments, the layers are attached by a computer-driven embroidery machine at pre-determined portions or locations in accordance with a pre-determined attachment layout before automated cutting. In some other embodiments, an automated milling machine or a computer-driven laser using a pre-designed circuit trace as a template cuts the conductive layer so as to separate an undesired portion of the conductive layer from a desired portion of the conductive layer. Additional layers of conductive fabric may be attached in some embodiments to form a multi-layer construct.

  20. Transistor analogs of emergent iono-neuronal dynamics.

    PubMed

    Rachmuth, Guy; Poon, Chi-Sang

    2008-06-01

    Neuromorphic analog metal-oxide-silicon (MOS) transistor circuits promise compact, low-power, and high-speed emulations of iono-neuronal dynamics orders-of-magnitude faster than digital simulation. However, their inherently limited input voltage dynamic range vs power consumption and silicon die area tradeoffs makes them highly sensitive to transistor mismatch due to fabrication inaccuracy, device noise, and other nonidealities. This limitation precludes robust analog very-large-scale-integration (aVLSI) circuits implementation of emergent iono-neuronal dynamics computations beyond simple spiking with limited ion channel dynamics. Here we present versatile neuromorphic analog building-block circuits that afford near-maximum voltage dynamic range operating within the low-power MOS transistor weak-inversion regime which is ideal for aVLSI implementation or implantable biomimetic device applications. The fabricated microchip allowed robust realization of dynamic iono-neuronal computations such as coincidence detection of presynaptic spikes or pre- and postsynaptic activities. As a critical performance benchmark, the high-speed and highly interactive iono-neuronal simulation capability on-chip enabled our prompt discovery of a minimal model of chaotic pacemaker bursting, an emergent iono-neuronal behavior of fundamental biological significance which has hitherto defied experimental testing or computational exploration via conventional digital or analog simulations. These compact and power-efficient transistor analogs of emergent iono-neuronal dynamics open new avenues for next-generation neuromorphic, neuroprosthetic, and brain-machine interface applications.

  1. Blunt Trauma Performance of Fabric Systems Utilizing Natural Rubber Coated High Strength Fabrics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ahmad, M. R.; Ahmad, W. Y. W.; Samsuri, A.

    2010-03-11

    The blunt trauma performance of fabric systems against 9 mm bullets is reported. Three shots were fired at each fabric system with impact velocity of 367+-9 m/s and the depth of indentation on the modeling clay backing was measured. The results showed that 18-layer and 21-layer all-neat fabric systems failed the blunt trauma test. However, fabric systems with natural rubber (NR) latex coated fabric layers gave lower blunt trauma of between 25-32 mm indentation depths. Deformations on the neat fabrics upon impact were identified as broken yarns, yarn stretching and yarn pull-out. Deflections of the neat fabrics were more localised.more » For the NR latex coated fabric layers, no significant deformation can be observed except for peeled-off regions of the NR latex film at the back surface of the last layer. From the study, it can be said that the NR latex coated fabric layers were effective in reducing the blunt trauma of fabric systems.« less

  2. AIE-doped poly(ionic liquid) photonic spheres: a single sphere-based customizable sensing platform for the discrimination of multi-analytes† †Electronic supplementary information (ESI) available: Synthesis and characterization of the AIE luminogen, experimental details, response profiles and results of the multivariate analysis. See DOI: 10.1039/c7sc02409f Click here for additional data file.

    PubMed Central

    Zhang, Wanlin; Gao, Ning; Cui, Jiecheng; Wang, Chen; Wang, Shiqiang; Zhang, Guanxin; Dong, Xiaobiao

    2017-01-01

    By simultaneously exploiting the unique properties of ionic liquids and aggregation-induced emission (AIE) luminogens, as well as photonic structures, a novel customizable sensing system for multi-analytes was developed based on a single AIE-doped poly(ionic liquid) photonic sphere. It was found that due to the extraordinary multiple intermolecular interactions involved in the ionic liquid units, one single sphere could differentially interact with broader classes of analytes, thus generating response patterns with remarkable diversity. Moreover, the optical properties of both the AIE luminogen and photonic structure integrated in the poly(ionic liquid) sphere provide multidimensional signal channels for transducing the involved recognition process in a complementary manner and the acquisition of abundant and sufficient sensing information could be easily achieved on only one sphere sensor element. More importantly, the sensing performance of our poly(ionic liquid) photonic sphere is designable and customizable through a simple ion-exchange reaction and target-oriented multi-analyte sensing can be conveniently realized using a selective receptor species, such as counterions, showing great flexibility and extendibility. The power of our single sphere-based customizable sensing system was exemplified by the successful on-demand detection and discrimination of four multi-analyte challenge systems: all 20 natural amino acids, nine important phosphate derivatives, ten metal ions and three pairs of enantiomers. To further demonstrate the potential of our spheres for real-life application, 20 amino acids in human urine and their 26 unprecedented complex mixtures were also discriminated between by the single sphere-based array. PMID:28989662

  3. 3D printed optical phantoms and deep tissue imaging for in vivo applications including oral surgery

    NASA Astrophysics Data System (ADS)

    Bentz, Brian Z.; Costas, Alfonso; Gaind, Vaibhav; Garcia, Jose M.; Webb, Kevin J.

    2017-03-01

    Progress in developing optical imaging for biomedical applications requires customizable and often complex objects known as "phantoms" for testing, evaluation, and calibration. This work demonstrates that 3D printing is an ideal method for fabricating such objects, allowing intricate inhomogeneities to be placed at exact locations in complex or anatomically realistic geometries, a process that is difficult or impossible using molds. We show printed mouse phantoms we have fabricated for developing deep tissue fluorescence imaging methods, and measurements of both their optical and mechanical properties. Additionally, we present a printed phantom of the human mouth that we use to develop an artery localization method to assist in oral surgery.

  4. Photochemical cutting of fabrics

    DOEpatents

    Piltch, Martin S.

    1994-01-01

    Apparatus for the cutting of garment patterns from one or more layers of fabric. A laser capable of producing laser light at an ultraviolet wavelength is utilized to shine light through a pattern, such as a holographic phase filter, and through a lens onto the one or more layers of fabric. The ultraviolet laser light causes rapid photochemical decomposition of the one or more layers of fabric, but only along the pattern. The balance of the fabric of the one or more layers of fabric is undamaged.

  5. A Customizable Flow Injection System for Automated, High Throughput, and Time Sensitive Ion Mobility Spectrometry and Mass Spectrometry Measurements

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Orton, Daniel J.; Tfaily, Malak M.; Moore, Ronald J.

    To better understand disease conditions and environmental perturbations, multi-omic studies (i.e. proteomic, lipidomic, metabolomic, etc. analyses) are vastly increasing in popularity. In a multi-omic study, a single sample is typically extracted in multiple ways and numerous analyses are performed using different instruments. Thus, one sample becomes many analyses, making high throughput and reproducible evaluations a necessity. One way to address the numerous samples and varying instrumental conditions is to utilize a flow injection analysis (FIA) system for rapid sample injection. While some FIA systems have been created to address these challenges, many have limitations such as high consumable costs, lowmore » pressure capabilities, limited pressure monitoring and fixed flow rates. To address these limitations, we created an automated, customizable FIA system capable of operating at diverse flow rates (~50 nL/min to 500 µL/min) to accommodate low- and high-flow instrument sources. This system can also operate at varying analytical throughputs from 24 to 1200 samples per day to enable different MS analysis approaches. Applications ranging from native protein analyses to molecular library construction were performed using the FIA system. The results from these studies showed a highly robust platform, providing consistent performance over many days without carryover as long as washing buffers specific to each molecular analysis were utilized.« less

  6. MEDIPIX: a VLSI chip for a GaAs pixel detector for digital radiology

    NASA Astrophysics Data System (ADS)

    Amendolia, S. R.; Bertolucci, E.; Bisogni, M. G.; Bottigli, U.; Ceccopieri, A.; Ciocci, M. A.; Conti, M.; Delogu, P.; Fantacci, M. E.; Maestro, P.; Marzulli, V.; Pernigotti, E.; Romeo, N.; Rosso, V.; Rosso, P.; Stefanini, A.; Stumbo, S.

    1999-02-01

    A GaAs pixel detector designed for digital mammography, equipped with a 36-channel single photon counting discrete read-out electronics, was tested using a test object developed for quality control purposes in mammography. Each pixel was 200×200 μm 2 large, and 200 μm deep. The choice of GaAs with respect to silicon (largely used in other applications and with a more established technique) has been made because of the much better detection efficiency at mammographic energies, combined with a very good charge collection efficiency achieved thanks to new ohmic contacts. This GaAs detector is able to perform a measurement of low-contrast details, with minimum contrast lower (nearly a factor two) than that typically achievable with standard mammographic film+screen systems in the same conditions of clinical routine. This should allow for an earlier diagnosis of breast tumour masses. Due to these encouraging results, the next step in the evolution of our imaging system based on GaAs detectors has been the development of a VLSI front-end prototype chip (MEDIPIX ) in order to cover a much larger diagnostic area. The chip reads 64×64 channels in single photon counting mode, each one 170 μm wide. Each channel contains also a test input where a signal can be simulated, injecting a known charge through a 16 f F capacitor. Fake signals have been injected via the test input measuring and equalizing minimum thresholds for all the channels. On an average, in most of the performing chips available up to now, we have found that it is possible to set a threshold as low as 1800 electrons with an RMS of 150 electrons (10 standard deviations lower than the 20 keV photon signal roughly equivalent to 4500 electrons). The detector, bump-bonded to the chip, will be tested and a ladder of detectors will be prepared to be able to scan large surface objects.

  7. Customizable radiotherapy enhancement (CuRE) for prostate cancer using platinum based nanoparticles

    NASA Astrophysics Data System (ADS)

    Cifter, Gizem

    New approach to prostate cancer (PCa) therapy titled "Customizable Radiotherapy Enhancement (CuRE)" employs cisplatin (C), carboplatin (Ca) and oxaliplatin (O) nanoparticles (CNPs, CaNPs and ONPs) as adjuvants to brachytherapy and external beam radiation therapy (EBRT), with the CNPs/CaNPs/ONPs released in situ from either brachytherapy spacers or fudicials loaded with the nanoparticles. The chemotherapy dose from the nanoparticles released in situ from within the prostate capsule, is enhanced by the physical dose due to photon interactions with the nanoparticles. The physical dose enhancement is due to low energy photons from the brachytherapy and EBRT sources interacting with the high-Z platinum component of the nanoparticles, causing emission of short-range photoelectrons to boost dose to the tumor. By varying the nanoparticle parameters, such as size, initial concentration, functionalization, location of spacer or fiducial, and intra-tumor biodistribution, the dose enhancement can be customized to maximize dose to tumor cells while minimizing toxicity to healthy cells. The hypothesis is that the CuRE approach will be a more efficacious method for concomitant cisplatin/carboplatin/oxaliplatin and radiotherapy treatment of localized prostate cancer due to significant dose boost to the PCa cells with minimal toxicity to healthy tissue. To investigate this hypothesis, microdosimetry calculations employing the energy loss formula of Cole were used to calculate the dose enhancement to the PCa cells from the CNPs/CaNPs/OPNs. The dose enhancement ratio (DEF) representing the ratio of the overall dose in the presence of CNPs/CaNPs/ONPs to the dose without CNPs/CaNPs/ONPs was determined for a range of CNP/CaNP/OPN concentrations up to their FDA approved limits. The dose enhancement to endothelial cells with (EDEF) with single concentration of cisplatin (42.8 mg/g) was found 2.6 with Pd-103. When EBRT source was used with single concentration of cisplatin, with 10cm x 10

  8. Ultra high speed image processing techniques. [electronic packaging techniques

    NASA Technical Reports Server (NTRS)

    Anthony, T.; Hoeschele, D. F.; Connery, R.; Ehland, J.; Billings, J.

    1981-01-01

    Packaging techniques for ultra high speed image processing were developed. These techniques involve the development of a signal feedthrough technique through LSI/VLSI sapphire substrates. This allows the stacking of LSI/VLSI circuit substrates in a 3 dimensional package with greatly reduced length of interconnecting lines between the LSI/VLSI circuits. The reduced parasitic capacitances results in higher LSI/VLSI computational speeds at significantly reduced power consumption levels.

  9. Nuclear Fabrication Consortium

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Levesque, Stephen

    2013-04-05

    This report summarizes the activities undertaken by EWI while under contract from the Department of Energy (DOE) Office of Nuclear Energy (NE) for the management and operation of the Nuclear Fabrication Consortium (NFC). The NFC was established by EWI to independently develop, evaluate, and deploy fabrication approaches and data that support the re-establishment of the U.S. nuclear industry: ensuring that the supply chain will be competitive on a global stage, enabling more cost-effective and reliable nuclear power in a carbon constrained environment. The NFC provided a forum for member original equipment manufactures (OEM), fabricators, manufacturers, and materials suppliers to effectivelymore » engage with each other and rebuild the capacity of this supply chain by : Identifying and removing impediments to the implementation of new construction and fabrication techniques and approaches for nuclear equipment, including system components and nuclear plants. Providing and facilitating detailed scientific-based studies on new approaches and technologies that will have positive impacts on the cost of building of nuclear plants. Analyzing and disseminating information about future nuclear fabrication technologies and how they could impact the North American and the International Nuclear Marketplace. Facilitating dialog and initiate alignment among fabricators, owners, trade associations, and government agencies. Supporting industry in helping to create a larger qualified nuclear supplier network. Acting as an unbiased technology resource to evaluate, develop, and demonstrate new manufacturing technologies. Creating welder and inspector training programs to help enable the necessary workforce for the upcoming construction work. Serving as a focal point for technology, policy, and politically interested parties to share ideas and concepts associated with fabrication across the nuclear industry. The report the objectives and summaries of the Nuclear Fabrication

  10. Wafer level reliability for high-performance VLSI design

    NASA Technical Reports Server (NTRS)

    Root, Bryan J.; Seefeldt, James D.

    1987-01-01

    As very large scale integration architecture requires higher package density, reliability of these devices has approached a critical level. Previous processing techniques allowed a large window for varying reliability. However, as scaling and higher current densities push reliability to its limit, tighter control and instant feedback becomes critical. Several test structures developed to monitor reliability at the wafer level are described. For example, a test structure was developed to monitor metal integrity in seconds as opposed to weeks or months for conventional testing. Another structure monitors mobile ion contamination at critical steps in the process. Thus the reliability jeopardy can be assessed during fabrication preventing defective devices from ever being placed in the field. Most importantly, the reliability can be assessed on each wafer as opposed to an occasional sample.

  11. Fabrication

    NASA Technical Reports Server (NTRS)

    Angel, Roger; Helms, Richard; Bilbro, Jim; Brown, Norman; Eng, Sverre; Hinman, Steve; Hull-Allen, Greg; Jacobs, Stephen; Keim, Robert; Ulmer, Melville

    1992-01-01

    What aspects of optical fabrication technology need to be developed so as to facilitate existing planned missions, or enable new ones? Throughout the submillimeter to UV wavelengths, the common goal is to push technology to the limits to make the largest possible apertures that are diffraction limited. At any one wavelength, the accuracy of the surface must be better than lambda/30 (rms error). The wavelength range is huge, covering four orders of magnitude from 1 mm to 100 nm. At the longer wavelengths, diffraction limited surfaces can be shaped with relatively crude techniques. The challenge in their fabrication is to make as large as possible a reflector, given the weight and volume constraints of the launch vehicle. The limited cargo diameter of the shuttle has led in the past to emphasis on deployable or erectable concepts such as the Large Deployable Reflector (LDR), which was studied by NASA for a submillimeter astrophysics mission. Replication techniques that can be used to produce light, low-cost reflecting panels are of great interest for this class of mission. At shorter wavelengths, in the optical and ultraviolet, optical fabrication will tax to the limit the most refined polishing methods. Methods of mechanical and thermal stabilization of the substrate will be severely stressed. In the thermal infrared, the need for large aperture is tempered by the even stronger need to control the telescope's thermal emission by cooled or cryogenic operation. Thus, the SIRTF mirror at 1 meter is not large and does not require unusually high accuracy, but the fabrication process must produce a mirror that is the right shape at a temperature of 4 K. Future large cooled mirrors will present more severe problems, especially if they must also be accurate enough to work at optical wavelengths. At the very shortest wavelengths accessible to reflecting optics, in the x-ray domain, the very low count fluxes of high energy photons place a premium on the collecting area. It is

  12. Fabrication

    NASA Astrophysics Data System (ADS)

    Angel, Roger; Helms, Richard; Bilbro, Jim; Brown, Norman; Eng, Sverre; Hinman, Steve; Hull-Allen, Greg; Jacobs, Stephen; Keim, Robert; Ulmer, Melville

    1992-08-01

    What aspects of optical fabrication technology need to be developed so as to facilitate existing planned missions, or enable new ones? Throughout the submillimeter to UV wavelengths, the common goal is to push technology to the limits to make the largest possible apertures that are diffraction limited. At any one wavelength, the accuracy of the surface must be better than lambda/30 (rms error). The wavelength range is huge, covering four orders of magnitude from 1 mm to 100 nm. At the longer wavelengths, diffraction limited surfaces can be shaped with relatively crude techniques. The challenge in their fabrication is to make as large as possible a reflector, given the weight and volume constraints of the launch vehicle. The limited cargo diameter of the shuttle has led in the past to emphasis on deployable or erectable concepts such as the Large Deployable Reflector (LDR), which was studied by NASA for a submillimeter astrophysics mission. Replication techniques that can be used to produce light, low-cost reflecting panels are of great interest for this class of mission. At shorter wavelengths, in the optical and ultraviolet, optical fabrication will tax to the limit the most refined polishing methods. Methods of mechanical and thermal stabilization of the substrate will be severely stressed. In the thermal infrared, the need for large aperture is tempered by the even stronger need to control the telescope's thermal emission by cooled or cryogenic operation. Thus, the SIRTF mirror at 1 meter is not large and does not require unusually high accuracy, but the fabrication process must produce a mirror that is the right shape at a temperature of 4 K. Future large cooled mirrors will present more severe problems, especially if they must also be accurate enough to work at optical wavelengths. At the very shortest wavelengths accessible to reflecting optics, in the x-ray domain, the very low count fluxes of high energy photons place a premium on the collecting area. It is

  13. Optimum processing parameters for the fabrication of twill flax fabric-reinforced polypropylene (PP) composites

    NASA Astrophysics Data System (ADS)

    Zuhudi, Nurul Zuhairah Mahmud; Minhat, Mulia; Shamsuddin, Mohd Hafizi; Isa, Mohd Dali; Nur, Nurhayati Mohd

    2017-12-01

    In recent years, natural fabric thermoplastic composites such as flax have received much attention due to its attractive capabilities for structural applications. It is crucial to study the processing of flax fabric materials in order to achieve good quality and cost-effectiveness in fibre reinforced composites. Though flax fabric has been widely utilized for several years in composite applications due to its high strength and abundance in nature, much work has been concentrated on short flax fibre and very little work focused on using flax fabric. The effectiveness of the flax fabric is expected to give higher strength performance due to its structure but the processing needs to be optimised. Flax fabric composites were fabricated using compression moulding due to its simplicity, gives good surface finish and relatively low cost in terms of labour and production. Further, the impregnation of the polymer into the fabric is easier in this process. As the fabric weave structure contributes to the impregnation quality which leads to the overall performance, the processing parameters of consolidation i.e. pressure, time, and weight fraction of fabric were optimized using the Taguchi method. This optimization enhances the consolidation quality of the composite by improving the composite mechanical properties, three main tests were conducted i.e. tensile, flexural and impact test. It is observed that the processing parameter significantly affected the consolidation and quality of composite.

  14. Coded aperture detector: an image sensor with sub 20-nm pixel resolution.

    PubMed

    Miyakawa, Ryan; Mayer, Rafael; Wojdyla, Antoine; Vannier, Nicolas; Lesser, Ian; Aron-Dine, Shifrah; Naulleau, Patrick

    2014-08-11

    We describe the coded aperture detector, a novel image sensor based on uniformly redundant arrays (URAs) with customizable pixel size, resolution, and operating photon energy regime. In this sensor, a coded aperture is scanned laterally at the image plane of an optical system, and the transmitted intensity is measured by a photodiode. The image intensity is then digitally reconstructed using a simple convolution. We present results from a proof-of-principle optical prototype, demonstrating high-fidelity image sensing comparable to a CCD. A 20-nm half-pitch URA fabricated by the Center for X-ray Optics (CXRO) nano-fabrication laboratory is presented that is suitable for high-resolution image sensing at EUV and soft X-ray wavelengths.

  15. Fabrication technology

    NASA Astrophysics Data System (ADS)

    1988-05-01

    Many laboratory programs continue to need optical components of ever-increasing size and accuracy. Unfortunately, optical surfaces produced by the conventional sequence of grinding, lapping, and polishing can become prohibitively expensive. Research in the Fabrication Technology area focuses on methods of fabricating components with heretofore unrealized levels of precision. In FY87, researchers worked to determine the fundamental mechanical limits of material removal, experimented with unique material removal and deposition processes, developed servo systems for controlling the geometric position of ultraprecise machine tools, and advanced the ability to precisely measure contoured workpieces. Continued work in these areas will lead to more cost-effective processes to fabricate even higher quality optical components for advanced lasers and for visible, ultraviolet, and X-ray diagnostic systems.

  16. Drip bloodstain appearance on inclined apparel fabrics: Effect of prior-laundering, fibre content and fabric structure.

    PubMed

    de Castro, Therese C; Carr, Debra J; Taylor, Michael C; Kieser, Jules A; Duncan, Warwick

    2016-09-01

    The interaction of blood and fabrics is currently a 'hot topic', since the understanding and interpretation of these stains is still in its infancy. A recent simplified perpendicular impact experimental programme considering bloodstains generated on fabrics laid the foundations for understanding more complex scenarios. Blood rarely impacts apparel fabrics perpendicular; therefore a systematic study was conducted to characterise the appearance of drip stains on inclined fabrics. The final drip stain appearance for 45° and 15° impact angles on torso apparel fabrics (100% cotton plain woven, 100% polyester plain woven, a blend of polyester and cotton plain woven and 100% cotton single jersey knit) that had been laundered for six, 26 and 52 cycles prior to testing was investigated. The relationship between drop parameters (height and volume), angle and the stain characteristics (parent stain area, axis 1 and 2 and number of satellite stains) for each fabric was examined using analysis of variance. The appearance of the drip stains on these fabrics was distorted, in comparison to drip stains on hard-smooth surface. Examining the parent stain allowed for classification of stains occurring at an angle, however the same could not be said for the satellite stains produced. All of the dried stains visible on the surface of the fabric were larger than just after the impacting event, indicating within fabric spreading of blood due to capillary force (wicking). The cotton-containing fabrics spread the blood within the fabrics in all directions along the stain's circumference, while spreading within the polyester plain woven fabric occurred in only the weft (width of the fabric) and warp (length) directions. Laundering affected the formation of bloodstain on the blend plain woven fabric at both impact angles, although not all characteristics were significantly affected for the three impact conditions considered. The bloodstain characteristics varied due to the fibre content

  17. Flexible anodized aluminum oxide membranes with customizable back contact materials

    NASA Astrophysics Data System (ADS)

    Nadimpally, B.; Jarro, C. A.; Mangu, R.; Rajaputra, S.; Singh, V. P.

    2016-12-01

    Anodized aluminum oxide (AAO) membranes were fabricated using flexible substrate/carrier material. This method facilitates the use of AAO templates with many different materials as substrates that are otherwise incompatible with most anodization techniques. Thin titanium (Ti) and tungsten (W) layers were employed as interlayer materials. Titanium enhances adhesion. Tungsten not only helps eliminate the barrier layer but also plays a critical role in enabling the use of flexible substrates. The resulting flexible templates provide new, exciting opportunities in photovoltaic and other device applications. CuInSe2 nanowires were electrochemically deposited into porous AAO templates with molybdenum (Mo) as the back contact material. The feasibility of using any material to form a contact with semiconductor nanowires has been demonstrated for the first time enabling new avenues in photovoltaic applications.

  18. Engineering fabrics in transportation construction

    NASA Astrophysics Data System (ADS)

    Herman, S. C.

    1983-11-01

    The following areas are discussed: treatments for reduction of reflective cracking of asphalt overlays on jointed-concrete pavements in Georgia; laboratory testing of fabric interlayers for asphalt concrete paving: interim report; reflection cracking models: review and laboratory evaluation of engineering fabrics; optimum-depth method for design of fabric-reinforced unsurfaced roads; dynamic test to predict field behavior of filter fabrics used in pavement subdrains; mechanism of geotextile performance in soil-fabric systems for drainage and erosion control; permeability tests of selected filter fabrics for use with a loess-derived alluvium; geotextile filter criteria; use of fabrics for improving the placement of till on peat foundation; geotextile earth-reinforced retaining wall tests: Glenwood Canyon, Colorado; New York State Department of Transportation's experience and guidelines for use of geotextiles; evaluation of two geotextile installations in excess of a decade old; and, long-term in situ properties of geotextiles.

  19. Fabrics for aeronautic construction

    NASA Technical Reports Server (NTRS)

    Walen, E D

    1918-01-01

    The Bureau of Standards undertook the investigation of airplane fabrics with the view of finding suitable substitutes for the linen fabrics, and it was decided that the fibers to be considered were cotton, ramie, silk, and hemp. Of these, the cotton fiber was the logical one to be given primary consideration. Report presents the suitability, tensibility and stretching properties of cotton fabric obtained by laboratory tests.

  20. VLSI circuits implementing computational models of neocortical circuits.

    PubMed

    Wijekoon, Jayawan H B; Dudek, Piotr

    2012-09-15

    This paper overviews the design and implementation of three neuromorphic integrated circuits developed for the COLAMN ("Novel Computing Architecture for Cognitive Systems based on the Laminar Microcircuitry of the Neocortex") project. The circuits are implemented in a standard 0.35 μm CMOS technology and include spiking and bursting neuron models, and synapses with short-term (facilitating/depressing) and long-term (STDP and dopamine-modulated STDP) dynamics. They enable execution of complex nonlinear models in accelerated-time, as compared with biology, and with low power consumption. The neural dynamics are implemented using analogue circuit techniques, with digital asynchronous event-based input and output. The circuits provide configurable hardware blocks that can be used to simulate a variety of neural networks. The paper presents experimental results obtained from the fabricated devices, and discusses the advantages and disadvantages of the analogue circuit approach to computational neural modelling. Copyright © 2012 Elsevier B.V. All rights reserved.

  1. Structure and yarn sensor for fabric

    DOEpatents

    Mee, David K.; Allgood, Glenn O.; Mooney, Larry R.; Duncan, Michael G.; Turner, John C.; Treece, Dale A.

    1998-01-01

    A structure and yarn sensor for fabric directly determines pick density in a fabric thereby allowing fabric length and velocity to be calculated from a count of the picks made by the sensor over known time intervals. The structure and yarn sensor is also capable of detecting full length woven defects and fabric. As a result, an inexpensive on-line pick (or course) density measurement can be performed which allows a loom or knitting machine to be adjusted by either manual or automatic means to maintain closer fiber density tolerances. Such a sensor apparatus dramatically reduces fabric production costs and significantly improves fabric consistency and quality for woven or knitted fabric.

  2. Low cost damage tolerant composite fabrication

    NASA Technical Reports Server (NTRS)

    Palmer, R. J.; Freeman, W. T.

    1988-01-01

    The resin transfer molding (RTM) process applied to composite aircraft parts offers the potential for using low cost resin systems with dry graphite fabrics that can be significantly less expensive than prepreg tape fabricated components. Stitched graphite fabric composites have demonstrated compression after impact failure performance that equals or exceeds that of thermoplastic or tough thermoset matrix composites. This paper reviews methods developed to fabricate complex shape composite parts using stitched graphite fabrics to increase damage tolerance with RTM processes to reduce fabrication cost.

  3. Structure and yarn sensor for fabric

    DOEpatents

    Mee, D.K.; Allgood, G.O.; Mooney, L.R.; Duncan, M.G.; Turner, J.C.; Treece, D.A.

    1998-10-20

    A structure and yarn sensor for fabric directly determines pick density in a fabric thereby allowing fabric length and velocity to be calculated from a count of the picks made by the sensor over known time intervals. The structure and yarn sensor is also capable of detecting full length woven defects and fabric. As a result, an inexpensive on-line pick (or course) density measurement can be performed which allows a loom or knitting machine to be adjusted by either manual or automatic means to maintain closer fiber density tolerances. Such a sensor apparatus dramatically reduces fabric production costs and significantly improves fabric consistency and quality for woven or knitted fabric. 13 figs.

  4. New Tools for New Research in Psychiatry: A Scalable and Customizable Platform to Empower Data Driven Smartphone Research.

    PubMed

    Torous, John; Kiang, Mathew V; Lorme, Jeanette; Onnela, Jukka-Pekka

    2016-05-05

    A longstanding barrier to progress in psychiatry, both in clinical settings and research trials, has been the persistent difficulty of accurately and reliably quantifying disease phenotypes. Mobile phone technology combined with data science has the potential to offer medicine a wealth of additional information on disease phenotypes, but the large majority of existing smartphone apps are not intended for use as biomedical research platforms and, as such, do not generate research-quality data. Our aim is not the creation of yet another app per se but rather the establishment of a platform to collect research-quality smartphone raw sensor and usage pattern data. Our ultimate goal is to develop statistical, mathematical, and computational methodology to enable us and others to extract biomedical and clinical insights from smartphone data. We report on the development and early testing of Beiwe, a research platform featuring a study portal, smartphone app, database, and data modeling and analysis tools designed and developed specifically for transparent, customizable, and reproducible biomedical research use, in particular for the study of psychiatric and neurological disorders. We also outline a proposed study using the platform for patients with schizophrenia. We demonstrate the passive data capabilities of the Beiwe platform and early results of its analytical capabilities. Smartphone sensors and phone usage patterns, when coupled with appropriate statistical learning tools, are able to capture various social and behavioral manifestations of illnesses, in naturalistic settings, as lived and experienced by patients. The ubiquity of smartphones makes this type of moment-by-moment quantification of disease phenotypes highly scalable and, when integrated within a transparent research platform, presents tremendous opportunities for research, discovery, and patient health.

  5. A facile fabrication of multifunctional knit polyester fabric based on chitosan and polyaniline polymer nanocomposite

    NASA Astrophysics Data System (ADS)

    Tang, Xiaoning; Tian, Mingwei; Qu, Lijun; Zhu, Shifeng; Guo, Xiaoqing; Han, Guangting; Sun, Kaikai; Hu, Xili; Wang, Yujiao; Xu, Xiaoqi

    2014-10-01

    Knit polyester fabric was successively modified and decorated with chitosan layer and polyaniline polymer nanocomposite layer in this paper. The fabric was firstly treated with chitosan to form a stable layer through the pad-dry-cure process, and then the polyaniline polymer nanocomposite layer was established on the outer layer by in situ chemical polymerization method using ammonium persulfate as oxidant and chlorhydric acid as dopant. The surface morphology of coated fabric was characterized by scanning electron microscopy (SEM), and the co-existence of chitosan layer and granular polyaniline polymer nanocomposite was confirmed and well dispersed on the fabric surface. The resultant fabric was endowed with remarkable electrical conductivity properties and efficient water-repellent capability, which also have been found stable after water laundering. In addition, the photocatalytic decomposition activity for reactive red dye was observed when the multifunctional knit polyester fabric was exposed to the illumination of ultraviolet lamp. These results indicated that chitosan and polyaniline polymer nanocomposite could form ideal multifunctional coatings on the surface of knit polyester fabric.

  6. 14 CFR 29.605 - Fabrication methods.

    Code of Federal Regulations, 2014 CFR

    2014-01-01

    ... 14 Aeronautics and Space 1 2014-01-01 2014-01-01 false Fabrication methods. 29.605 Section 29.605... STANDARDS: TRANSPORT CATEGORY ROTORCRAFT Design and Construction General § 29.605 Fabrication methods. (a) The methods of fabrication used must produce consistently sound structures. If a fabrication process...

  7. 14 CFR 29.605 - Fabrication methods.

    Code of Federal Regulations, 2012 CFR

    2012-01-01

    ... 14 Aeronautics and Space 1 2012-01-01 2012-01-01 false Fabrication methods. 29.605 Section 29.605... STANDARDS: TRANSPORT CATEGORY ROTORCRAFT Design and Construction General § 29.605 Fabrication methods. (a) The methods of fabrication used must produce consistently sound structures. If a fabrication process...

  8. 14 CFR 29.605 - Fabrication methods.

    Code of Federal Regulations, 2011 CFR

    2011-01-01

    ... 14 Aeronautics and Space 1 2011-01-01 2011-01-01 false Fabrication methods. 29.605 Section 29.605... STANDARDS: TRANSPORT CATEGORY ROTORCRAFT Design and Construction General § 29.605 Fabrication methods. (a) The methods of fabrication used must produce consistently sound structures. If a fabrication process...

  9. 14 CFR 29.605 - Fabrication methods.

    Code of Federal Regulations, 2013 CFR

    2013-01-01

    ... 14 Aeronautics and Space 1 2013-01-01 2013-01-01 false Fabrication methods. 29.605 Section 29.605... STANDARDS: TRANSPORT CATEGORY ROTORCRAFT Design and Construction General § 29.605 Fabrication methods. (a) The methods of fabrication used must produce consistently sound structures. If a fabrication process...

  10. 14 CFR 29.605 - Fabrication methods.

    Code of Federal Regulations, 2010 CFR

    2010-01-01

    ... 14 Aeronautics and Space 1 2010-01-01 2010-01-01 false Fabrication methods. 29.605 Section 29.605... STANDARDS: TRANSPORT CATEGORY ROTORCRAFT Design and Construction General § 29.605 Fabrication methods. (a) The methods of fabrication used must produce consistently sound structures. If a fabrication process...

  11. Properties of honeycomb polyester knitted fabrics

    NASA Astrophysics Data System (ADS)

    Feng, A. F.

    2016-07-01

    The properties of honeycomb polyester weft-knitted fabrics were studied to understand their advantages. Seven honeycomb polyester weft-knitted fabrics and one common polyester weft-knitted fabric were selected for testing. Their bursting strengths, fuzzing and pilling, air permeability, abrasion resistance and moisture absorption and perspiration were studied. The results show that the honeycomb polyester weft-knitted fabrics have excellent moisture absorption and liberation. The smaller their thicknesses and area densities are, the better their moisture absorption and liberation will be. Their anti-fuzzing and anti-pilling is good, whereas their bursting strengths and abrasion resistance are poorer compared with common polyester fabric's. In order to improve the hygroscopic properties of the fabrics, the proportion of the honeycomb microporous structure modified polyester in the fabrics should not be less than 40%.

  12. 14 CFR 27.605 - Fabrication methods.

    Code of Federal Regulations, 2011 CFR

    2011-01-01

    ... 14 Aeronautics and Space 1 2011-01-01 2011-01-01 false Fabrication methods. 27.605 Section 27.605... STANDARDS: NORMAL CATEGORY ROTORCRAFT Design and Construction General § 27.605 Fabrication methods. (a) The methods of fabrication used must produce consistently sound structures. If a fabrication process (such as...

  13. 14 CFR 25.605 - Fabrication methods.

    Code of Federal Regulations, 2012 CFR

    2012-01-01

    ... 14 Aeronautics and Space 1 2012-01-01 2012-01-01 false Fabrication methods. 25.605 Section 25.605... STANDARDS: TRANSPORT CATEGORY AIRPLANES Design and Construction General § 25.605 Fabrication methods. (a) The methods of fabrication used must produce a consistently sound structure. If a fabrication process...

  14. 14 CFR 27.605 - Fabrication methods.

    Code of Federal Regulations, 2013 CFR

    2013-01-01

    ... 14 Aeronautics and Space 1 2013-01-01 2013-01-01 false Fabrication methods. 27.605 Section 27.605... STANDARDS: NORMAL CATEGORY ROTORCRAFT Design and Construction General § 27.605 Fabrication methods. (a) The methods of fabrication used must produce consistently sound structures. If a fabrication process (such as...

  15. 14 CFR 27.605 - Fabrication methods.

    Code of Federal Regulations, 2014 CFR

    2014-01-01

    ... 14 Aeronautics and Space 1 2014-01-01 2014-01-01 false Fabrication methods. 27.605 Section 27.605... STANDARDS: NORMAL CATEGORY ROTORCRAFT Design and Construction General § 27.605 Fabrication methods. (a) The methods of fabrication used must produce consistently sound structures. If a fabrication process (such as...

  16. 14 CFR 25.605 - Fabrication methods.

    Code of Federal Regulations, 2010 CFR

    2010-01-01

    ... 14 Aeronautics and Space 1 2010-01-01 2010-01-01 false Fabrication methods. 25.605 Section 25.605... STANDARDS: TRANSPORT CATEGORY AIRPLANES Design and Construction General § 25.605 Fabrication methods. (a) The methods of fabrication used must produce a consistently sound structure. If a fabrication process...

  17. 14 CFR 25.605 - Fabrication methods.

    Code of Federal Regulations, 2011 CFR

    2011-01-01

    ... 14 Aeronautics and Space 1 2011-01-01 2011-01-01 false Fabrication methods. 25.605 Section 25.605... STANDARDS: TRANSPORT CATEGORY AIRPLANES Design and Construction General § 25.605 Fabrication methods. (a) The methods of fabrication used must produce a consistently sound structure. If a fabrication process...

  18. 14 CFR 27.605 - Fabrication methods.

    Code of Federal Regulations, 2012 CFR

    2012-01-01

    ... 14 Aeronautics and Space 1 2012-01-01 2012-01-01 false Fabrication methods. 27.605 Section 27.605... STANDARDS: NORMAL CATEGORY ROTORCRAFT Design and Construction General § 27.605 Fabrication methods. (a) The methods of fabrication used must produce consistently sound structures. If a fabrication process (such as...

  19. 14 CFR 25.605 - Fabrication methods.

    Code of Federal Regulations, 2013 CFR

    2013-01-01

    ... 14 Aeronautics and Space 1 2013-01-01 2013-01-01 false Fabrication methods. 25.605 Section 25.605... STANDARDS: TRANSPORT CATEGORY AIRPLANES Design and Construction General § 25.605 Fabrication methods. (a) The methods of fabrication used must produce a consistently sound structure. If a fabrication process...

  20. 14 CFR 27.605 - Fabrication methods.

    Code of Federal Regulations, 2010 CFR

    2010-01-01

    ... 14 Aeronautics and Space 1 2010-01-01 2010-01-01 false Fabrication methods. 27.605 Section 27.605... STANDARDS: NORMAL CATEGORY ROTORCRAFT Design and Construction General § 27.605 Fabrication methods. (a) The methods of fabrication used must produce consistently sound structures. If a fabrication process (such as...

  1. 14 CFR 25.605 - Fabrication methods.

    Code of Federal Regulations, 2014 CFR

    2014-01-01

    ... 14 Aeronautics and Space 1 2014-01-01 2014-01-01 false Fabrication methods. 25.605 Section 25.605... STANDARDS: TRANSPORT CATEGORY AIRPLANES Design and Construction General § 25.605 Fabrication methods. (a) The methods of fabrication used must produce a consistently sound structure. If a fabrication process...

  2. Unidirectional Fabric Drape Testing Method

    PubMed Central

    Mei, Zaihuan; Yang, Jingzhi; Zhou, Ting; Zhou, Hua

    2015-01-01

    In most cases, fabrics such as curtains, skirts, suit pants and so on are draped under their own gravity parallel to fabric plane while the gravity is perpendicular to fabric plane in traditional drape testing method. As a result, it does not conform to actual situation and the test data is not convincing enough. To overcome this problem, this paper presents a novel method which simulates the real mechanical conditions and ensures the gravity is parallel to the fabric plane. This method applied a low-cost Kinect Sensor device to capture the 3-dimensional (3D) drape profile, thus we obtained the drape degree parameters and aesthetic parameters by 3D reconstruction and image processing and analysis techniques. The experiment was conducted on our self-devised drape-testing instrument by choosing different kinds of weave structure fabrics as our testing samples and the results were compared with those of traditional method and subjective evaluation. Through regression and correlation analysis we found that this novel testing method was significantly correlated with the traditional and subjective evaluation method. We achieved a new, non-contact 3D measurement method for drape testing, namely unidirectional fabric drape testing method. This method is more suitable for evaluating drape behavior because it is more in line with actual mechanical conditions of draped fabrics and has a well consistency with the requirements of visual and aesthetic style of fabrics. PMID:26600387

  3. High density circuit technology, part 1

    NASA Technical Reports Server (NTRS)

    Wade, T. E.

    1982-01-01

    The metal (or dielectric) lift-off processes used in the semiconductor industry to fabricate high density very large scale integration (VLSI) systems were reviewed. The lift-off process consists of depositing the light-sensitive material onto the wafer and patterning first in such a manner as to form a stencil for the interconnection material. Then the interconnection layer is deposited and unwanted areas are lifted off by removing the underlying stencil. Several of these lift-off techniques were examined experimentally. The use of an auxiliary layer of polyimide to form a lift-off stencil offers considerable promise.

  4. Molecular implementation of molecular shift register memories

    NASA Technical Reports Server (NTRS)

    Beratan, David N. (Inventor); Onuchic, Jose N. (Inventor)

    1991-01-01

    An electronic shift register memory (20) at the molecular level is described. The memory elements are based on a chain of electron transfer molecules (22) and the information is shifted by photoinduced (26) electron transfer reactions. Thus, multi-step sequences of charge transfer reactions are used to move charge with high efficiency down a molecular chain. The device integrates compositions of the invention onto a VLSI substrate (36), providing an example of a molecular electronic device which may be fabricated. Three energy level schemes, molecular implementation of these schemes, optical excitation strategies, charge amplification strategies, and error correction strategies are described.

  5. Modular Matrix Multiplication on a Linear Array.

    DTIC Science & Technology

    1983-11-01

    is fl(n2). 2 Case e Irl __ (see Figure 5.2) 2 2 ,1 Y, " X2v- ’ Y2 -. x= -- ~ Y4 "i; Yin Figure 5Ŗ At t--xi, either all Gk, such that IkEA , have n...nat and Image Proceuing, IEEE Transactions on Computers, Vol. C-31, No. 10 22 (October, 1982), pp. IO0oo09. [41 H.T. Kung, Let’s Design Algorithms for...VLSI Systems, Proc. Caltech Conf. on Very Large Scale Integration: Architecture, Design , Fabrication (January, 1979), pp. 65. 90. 151 H.T. Kung, and

  6. 14 CFR 23.605 - Fabrication methods.

    Code of Federal Regulations, 2011 CFR

    2011-01-01

    ... 14 Aeronautics and Space 1 2011-01-01 2011-01-01 false Fabrication methods. 23.605 Section 23.605... Fabrication methods. (a) The methods of fabrication used must produce consistently sound structures. If a... fabrication method must be substantiated by a test program. [Doc. No. 4080, 29 FR 17955, Dec. 18, 1964; 30 FR...

  7. 14 CFR 23.605 - Fabrication methods.

    Code of Federal Regulations, 2010 CFR

    2010-01-01

    ... 14 Aeronautics and Space 1 2010-01-01 2010-01-01 false Fabrication methods. 23.605 Section 23.605... Fabrication methods. (a) The methods of fabrication used must produce consistently sound structures. If a... fabrication method must be substantiated by a test program. [Doc. No. 4080, 29 FR 17955, Dec. 18, 1964; 30 FR...

  8. 14 CFR 23.605 - Fabrication methods.

    Code of Federal Regulations, 2014 CFR

    2014-01-01

    ... 14 Aeronautics and Space 1 2014-01-01 2014-01-01 false Fabrication methods. 23.605 Section 23.605... Fabrication methods. (a) The methods of fabrication used must produce consistently sound structures. If a... fabrication method must be substantiated by a test program. [Doc. No. 4080, 29 FR 17955, Dec. 18, 1964; 30 FR...

  9. 14 CFR 23.605 - Fabrication methods.

    Code of Federal Regulations, 2012 CFR

    2012-01-01

    ... 14 Aeronautics and Space 1 2012-01-01 2012-01-01 false Fabrication methods. 23.605 Section 23.605... Fabrication methods. (a) The methods of fabrication used must produce consistently sound structures. If a... fabrication method must be substantiated by a test program. [Doc. No. 4080, 29 FR 17955, Dec. 18, 1964; 30 FR...

  10. 14 CFR 23.605 - Fabrication methods.

    Code of Federal Regulations, 2013 CFR

    2013-01-01

    ... 14 Aeronautics and Space 1 2013-01-01 2013-01-01 false Fabrication methods. 23.605 Section 23.605... Fabrication methods. (a) The methods of fabrication used must produce consistently sound structures. If a... fabrication method must be substantiated by a test program. [Doc. No. 4080, 29 FR 17955, Dec. 18, 1964; 30 FR...

  11. Cylindrical fabric-confined soil structures

    NASA Astrophysics Data System (ADS)

    Harrison, Richard A.

    A cylindrical fabric-soil structural concept for implementation on the moon and Mars which provides many advantages is proposed. The most efficient use of fabric is to fashion it into cylindrical tubes, creating cylindrical fabric-confined soil structures. The length, diameter, and curvature of the tubes will depend on the intended application. The cylindrical hoop forces provide radial confinement while end caps provide axial confinement. One of the ends is designed to allow passage of the soil into the fabric tube before sealing. Transportation requirements are reduced due to the low mass and volume of the fabric. Construction requirements are reduced due to the self-erection capability via the pneumatic exoskeleton. Maintenance requirements are reduced due to the passive nature of the concept. The structure's natural ductility is well suited for any seismic activity.

  12. Ignition characteristics of some aircraft interior fabrics

    NASA Technical Reports Server (NTRS)

    Hilado, C. J.; Brandt, D. L.

    1978-01-01

    Six samples of aircraft interior fabrics were evaluated with regard to resistance to ignition by radiant heat. Five samples were aircraft seat upholstery fabrics and one sample was an aircraft curtain fabric. The aircraft seat fabrics were 100% wool (2 samples), 83% wool/17% nylon, 49% wool/51% polyvinyl chloride, and 100% rayon. The aircraft curtain fabric was 92% modacrylic/8% polyester. The five samples of aircraft seat upholstery fabrics were also evaluated with regard to resistance to ignition by a smoldering cigarette. The four samples of wool-containing aircraft seat fabrics appeared to be superior to the sample of rayon seat fabric in resistance to ignition, both by radiant heat and by a smoldering cigarette.

  13. Potassium-argon (argon-argon), structural fabrics

    USGS Publications Warehouse

    Cosca, Michael A.; Rink, W. Jack; Thompson, Jereon

    2014-01-01

    Definition: 40Ar/39Ar geochronology of structural fabrics: The application of 40Ar/39Ar methods to date development of structural fabrics in geologic samples. Introduction: Structural fabrics develop during rock deformation at variable pressures (P), temperatures (T), fluid compositions (X), and time (t). Structural fabrics are represented in rocks by features such as foliations and shear zones developed at the mm to km scale. In ideal cases, the P-T-X history of a given structural fabric can be constrained using stable isotope, cation exchange, and/or mineral equilibria thermobarometry (Essene 1989). The timing of structural fabric development can be assessed qualitatively using geologic field observations or quantitatively using isotope-based geochronology. High-precision geochronology of the thermal and fluid flow histories associated with structural fabric development can answer fundamental geologic questions including (1) when hydrothermal fluids transported and deposited ore minerals, ...

  14. EIT-Based Fabric Pressure Sensing

    PubMed Central

    Yao, A.; Yang, C. L.; Seo, J. K.; Soleimani, M.

    2013-01-01

    This paper presents EIT-based fabric sensors that aim to provide a pressure mapping using the current carrying and voltage sensing electrodes attached to the boundary of the fabric patch. Pressure-induced shape change over the sensor area makes a change in the conductivity distribution which can be conveyed to the change of boundary current-voltage data. This boundary data is obtained through electrode measurements in EIT system. The corresponding inverse problem is to reconstruct the pressure and deformation map from the relationship between the applied current and the measured voltage on the fabric boundary. Taking advantage of EIT in providing dynamical images of conductivity changes due to pressure induced shape change, the pressure map can be estimated. In this paper, the EIT-based fabric sensor was presented for circular and rectangular sensor geometry. A stretch sensitive fabric was used in circular sensor with 16 electrodes and a pressure sensitive fabric was used in a rectangular sensor with 32 electrodes. A preliminary human test was carried out with the rectangular sensor for foot pressure mapping showing promising results. PMID:23533538

  15. EIT-based fabric pressure sensing.

    PubMed

    Yao, A; Yang, C L; Seo, J K; Soleimani, M

    2013-01-01

    This paper presents EIT-based fabric sensors that aim to provide a pressure mapping using the current carrying and voltage sensing electrodes attached to the boundary of the fabric patch. Pressure-induced shape change over the sensor area makes a change in the conductivity distribution which can be conveyed to the change of boundary current-voltage data. This boundary data is obtained through electrode measurements in EIT system. The corresponding inverse problem is to reconstruct the pressure and deformation map from the relationship between the applied current and the measured voltage on the fabric boundary. Taking advantage of EIT in providing dynamical images of conductivity changes due to pressure induced shape change, the pressure map can be estimated. In this paper, the EIT-based fabric sensor was presented for circular and rectangular sensor geometry. A stretch sensitive fabric was used in circular sensor with 16 electrodes and a pressure sensitive fabric was used in a rectangular sensor with 32 electrodes. A preliminary human test was carried out with the rectangular sensor for foot pressure mapping showing promising results.

  16. A VLSI recurrent network of integrate-and-fire neurons connected by plastic synapses with long-term memory.

    PubMed

    Chicca, E; Badoni, D; Dante, V; D'Andreagiovanni, M; Salina, G; Carota, L; Fusi, S; Del Giudice, P

    2003-01-01

    Electronic neuromorphic devices with on-chip, on-line learning should be able to modify quickly the synaptic couplings to acquire information about new patterns to be stored (synaptic plasticity) and, at the same time, preserve this information on very long time scales (synaptic stability). Here, we illustrate the electronic implementation of a simple solution to this stability-plasticity problem, recently proposed and studied in various contexts. It is based on the observation that reducing the analog depth of the synapses to the extreme (bistable synapses) does not necessarily disrupt the performance of the device as an associative memory, provided that 1) the number of neurons is large enough; 2) the transitions between stable synaptic states are stochastic; and 3) learning is slow. The drastic reduction of the analog depth of the synaptic variable also makes this solution appealing from the point of view of electronic implementation and offers a simple methodological alternative to the technological solution based on floating gates. We describe the full custom analog very large-scale integration (VLSI) realization of a small network of integrate-and-fire neurons connected by bistable deterministic plastic synapses which can implement the idea of stochastic learning. In the absence of stimuli, the memory is preserved indefinitely. During the stimulation the synapse undergoes quick temporary changes through the activities of the pre- and postsynaptic neurons; those changes stochastically result in a long-term modification of the synaptic efficacy. The intentionally disordered pattern of connectivity allows the system to generate a randomness suited to drive the stochastic selection mechanism. We check by a suitable stimulation protocol that the stochastic synaptic plasticity produces the expected pattern of potentiation and depression in the electronic network.

  17. Fabric Organic Electrochemical Transistors for Biosensors.

    PubMed

    Yang, Anneng; Li, Yuanzhe; Yang, Chenxiao; Fu, Ying; Wang, Naixiang; Li, Li; Yan, Feng

    2018-06-01

    Flexible fabric biosensors can find promising applications in wearable electronics. However, high-performance fabric biosensors have been rarely reported due to many special requirements in device fabrication. Here, the preparation of organic electrochemical transistors (OECTs) on Nylon fibers is reported. By introducing metal/conductive polymer multilayer electrodes on the fibers, the OECTs show very stable performance during bending tests. The devices with functionalized gates are successfully used as various biosensors with high sensitivity and selectivity. The fiber-based OECTs are woven together with cotton yarns successfully by using a conventional weaving machine, resulting in flexible and stretchable fabric biosensors with high performance. The fabric sensors show much more stable signals in the analysis of moving aqueous solutions than planar devices due to a capillary effect in fabrics. The fabric devices are integrated in a diaper and remotely operated by using a mobile phone, offering a unique platform for convenient wearable healthcare monitoring. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. Sensory interaction and descriptions of fabric hand.

    PubMed

    Burns, L D; Chandler, J; Brown, D M; Cameron, B; Dallas, M J

    1995-08-01

    82 subjects who viewed and felt fabrics (sensory interaction group) used different categories of terms to describe fabric hand than did 38 subjects who only felt the fabrics. Therefore, the methods used to measure fabric hand that isolate the senses may not accurately assess the way in which subjects describe fabric hand in nonlaboratory settings.

  19. Multicenter Validation of a Customizable Scoring Tool for Selection of Trainees for a Residency or Fellowship Program. The EAST-IST Study.

    PubMed

    Bosslet, Gabriel T; Carlos, W Graham; Tybor, David J; McCallister, Jennifer; Huebert, Candace; Henderson, Ashley; Miles, Matthew C; Twigg, Homer; Sears, Catherine R; Brown, Cynthia; Farber, Mark O; Lahm, Tim; Buckley, John D

    2017-04-01

    Few data have been published regarding scoring tools for selection of postgraduate medical trainee candidates that have wide applicability. The authors present a novel scoring tool developed to assist postgraduate programs in generating an institution-specific rank list derived from selected elements of the U.S. Electronic Residency Application System (ERAS) application. The authors developed and validated an ERAS and interview day scoring tool at five pulmonary and critical care fellowship programs: the ERAS Application Scoring Tool-Interview Scoring Tool. This scoring tool was then tested for intrarater correlation versus subjective rankings of ERAS applications. The process for development of the tool was performed at four other institutions, and it was performed alongside and compared with the "traditional" ranking methods at the five programs and compared with the submitted National Residency Match Program rank list. The ERAS Application Scoring Tool correlated highly with subjective faculty rankings at the primary institution (average Spearman's r = 0.77). The ERAS Application Scoring Tool-Interview Scoring Tool method correlated well with traditional ranking methodology at all five institutions (Spearman's r = 0.54, 0.65, 0.72, 0.77, and 0.84). This study validates a process for selecting and weighting components of the ERAS application and interview day to create a customizable, institution-specific tool for ranking candidates to postgraduate medical education programs. This scoring system can be used in future studies to compare the outcomes of fellowship training.

  20. New Tools for New Research in Psychiatry: A Scalable and Customizable Platform to Empower Data Driven Smartphone Research

    PubMed Central

    Torous, John; Kiang, Mathew V; Lorme, Jeanette

    2016-01-01

    Background A longstanding barrier to progress in psychiatry, both in clinical settings and research trials, has been the persistent difficulty of accurately and reliably quantifying disease phenotypes. Mobile phone technology combined with data science has the potential to offer medicine a wealth of additional information on disease phenotypes, but the large majority of existing smartphone apps are not intended for use as biomedical research platforms and, as such, do not generate research-quality data. Objective Our aim is not the creation of yet another app per se but rather the establishment of a platform to collect research-quality smartphone raw sensor and usage pattern data. Our ultimate goal is to develop statistical, mathematical, and computational methodology to enable us and others to extract biomedical and clinical insights from smartphone data. Methods We report on the development and early testing of Beiwe, a research platform featuring a study portal, smartphone app, database, and data modeling and analysis tools designed and developed specifically for transparent, customizable, and reproducible biomedical research use, in particular for the study of psychiatric and neurological disorders. We also outline a proposed study using the platform for patients with schizophrenia. Results We demonstrate the passive data capabilities of the Beiwe platform and early results of its analytical capabilities. Conclusions Smartphone sensors and phone usage patterns, when coupled with appropriate statistical learning tools, are able to capture various social and behavioral manifestations of illnesses, in naturalistic settings, as lived and experienced by patients. The ubiquity of smartphones makes this type of moment-by-moment quantification of disease phenotypes highly scalable and, when integrated within a transparent research platform, presents tremendous opportunities for research, discovery, and patient health. PMID:27150677

  1. Fabrication of microchannels in polycrystalline diamond using pre-fabricated Si substrates

    NASA Astrophysics Data System (ADS)

    Chandran, Maneesh; Elfimchev, Sergey; Michaelson, Shaul; Akhvlediani, Rozalia; Ternyak, Orna; Hoffman, Alon

    2017-10-01

    In this paper, we report on a simple, feasible method to fabricate microchannels in diamond. Polycrystalline diamond microchannels were produced by fabricating trenches in a Si wafer and subsequently depositing a thin layer of diamond onto this substrate using the hot filament vapor deposition technique. Fabrication of trenches in the Si substrate at different depths was carried out by standard photolithography, and the subsequent deposition of the diamond layer was performed by the hot filament chemical vapor deposition technique. The growth mechanism of diamond that leads to the formation of closed diamond microchannels is discussed in detail based on the Knudsen number and growth chemistry of diamond. Variations in the crystallite size, crystalline quality, and thickness of the diamond layer along the trench depths were systematically analyzed using cross-sectional scanning electron microscopy and Raman spectroscopy. Defect density and formation of non-diamond forms of carbon in the diamond layer were found to increase with the trench depth, which sets a limit of 5-45 μm trench depth (or an aspect ratio of 1-9) for the fabrication of diamond microchannels using this method under the present conditions.

  2. Superhydrophobic Superoleophobic Woven Fabrics (Preprint)

    DTIC Science & Technology

    2011-06-01

    AFRL-RX-TY-TP-2011-0050 SUPERHYDROPHOBIC SUPEROLEOPHOBIC WOVEN FABRICS (PREPRINT) Hoonjoo Lee Department of Textile and Apparel...RESPONSIBLE PERSON 19b. TELEPHONE NUMBER (Include area code) JUN 2011 Book Chapter 20-JUN-2008 -- 30-APR-2011 Superhydrophobic Superoleophobic Woven Fabrics...roll-off angles are analyzed, and finally superhydrophobic , superoleophobic, woven fabric is designed and developed using chemical and geometrical

  3. ITER Central Solenoid Module Fabrication

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Smith, John

    The fabrication of the modules for the ITER Central Solenoid (CS) has started in a dedicated production facility located in Poway, California, USA. The necessary tools have been designed, built, installed, and tested in the facility to enable the start of production. The current schedule has first module fabrication completed in 2017, followed by testing and subsequent shipment to ITER. The Central Solenoid is a key component of the ITER tokamak providing the inductive voltage to initiate and sustain the plasma current and to position and shape the plasma. The design of the CS has been a collaborative effort betweenmore » the US ITER Project Office (US ITER), the international ITER Organization (IO) and General Atomics (GA). GA’s responsibility includes: completing the fabrication design, developing and qualifying the fabrication processes and tools, and then completing the fabrication of the seven 110 tonne CS modules. The modules will be shipped separately to the ITER site, and then stacked and aligned in the Assembly Hall prior to insertion in the core of the ITER tokamak. A dedicated facility in Poway, California, USA has been established by GA to complete the fabrication of the seven modules. Infrastructure improvements included thick reinforced concrete floors, a diesel generator for backup power, along with, cranes for moving the tooling within the facility. The fabrication process for a single module requires approximately 22 months followed by five months of testing, which includes preliminary electrical testing followed by high current (48.5 kA) tests at 4.7K. The production of the seven modules is completed in a parallel fashion through ten process stations. The process stations have been designed and built with most stations having completed testing and qualification for carrying out the required fabrication processes. The final qualification step for each process station is achieved by the successful production of a prototype coil. Fabrication of

  4. Fabrication for Nanotechnology

    DTIC Science & Technology

    2007-03-01

    could be divided into four groups as pictured in the following figure. Figure 1 : Nanotechnology fabrication methods Top-down nanofabrication...cooled) substrate on which a layer is formed. RTO-EN-AVT-129bis 2 - 1 van Heeren, H. (2007) Fabrication for Nanotechnology. In Nanotechnology...Aerospace Applications – 2006 (pp. 2- 1 – 2-4). Educational Notes RTO-EN-AVT-129bis, Paper 2. Neuilly-sur-Seine, France: RTO. Available from: http

  5. Fabricated torque shaft

    DOEpatents

    Mashey, Thomas Charles

    2002-01-01

    A fabricated torque shaft is provided that features a bolt-together design to allow vane schedule revisions with minimal hardware cost. The bolt-together design further facilitates on-site vane schedule revisions with parts that are comparatively small. The fabricated torque shaft also accommodates stage schedules that are different one from another in non-linear inter-relationships as well as non-linear schedules for a particular stage of vanes.

  6. Design and fabrication of an E-shaped wearable textile antenna on PVB-coated hydrophobic polyester fabric

    NASA Astrophysics Data System (ADS)

    Babu Roshni, Satheesh; Jayakrishnan, M. P.; Mohanan, P.; Peethambharan Surendran, Kuzhichalil

    2017-10-01

    In this paper, we investigated the simulation and fabrication of an E-shaped microstrip patch antenna realized on multilayered polyester fabric suitable for WiMAX (Worldwide Interoperability for Microwave Access) applications. The main challenges while designing a textile antenna were to provide adequate thickness, surface uniformity and water wettability to the textile substrate. Here, three layers of polyester fabric were stacked together in order to obtain sufficient thickness, and were subsequently dip coated with polyvinyl butyral (PVB) solution. The PVB-coated polyester fabric showed a hydrophobic nature with a contact angle of 91°. The RMS roughness of the uncoated and PVB-coated polyester fabric was about 341 nm and 15 nm respectively. The promising properties, such as their flexibility, light weight and cost effectiveness, enable effortless integration of the proposed antenna into clothes like polyester jackets. Simulated and measured results in terms of return loss as well as gain were showcased to confirm the usefulness of the fabricated prototype. The fabricated antenna successfully operates at 3.37 GHz with a return loss of 21 dB and a maximum measured gain of 3.6 dB.

  7. Engineering Non-Wetting Antimicrobial Fabrics

    NASA Astrophysics Data System (ADS)

    van den Berg, Desmond

    This research presents novel techniques and a review of commercially available fabrics for their antimicrobial potential. Based on previous research into the advantages of superhydrophobic self-cleaning surfaces against bacterial contamination, insights into what can make a superhydrophobic fabric inherently antimicrobial were analyzed. Through comparing the characterization results of scanning electron microscopy (SEM) and optical profilometry to microbiology experiments, hypotheses into the relationship between the contact area of a bacterial solution and the extent of contamination is developed. Contact scenario experiments, involving the use of fluorescence microscopy and calculating colony forming units, proved that the contamination potential of any fabric is due to the wetting state exhibited by the fabric, as well as the extent of surface texturing. Transmission experiments, utilizing a novel technique of stamping a contaminated fabric, outlined the importance of retention of solutions or bacteria during interactions within the hospital environment on the extent of contamination.

  8. DRAPING SIMULATION OF WOVEN FABRICS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rodgers, William; Jin, Xiaoshi; Zhu, Jiang

    2016-09-07

    Woven fabric composites are extensively used in molding complex geometrical shapes due to their high conformability compared to other fabrics. Preforming is an important step in the overall process, where the two-dimensional fabric is draped to become the three-dimensional shape of the part prior to resin injection. During preforming, the orientation of the yarns may change significantly compared to the initial orientations. Accurate prediction of the yarn orientations after molding is important for evaluating the structural performance of the final part. This paper presents a systematic investigation of the angle changes during the preform operation for carbon fiber twill andmore » satin weave fabrics. Preforming experiments were conducted using a truncated pyramid mold geometry designed and fabricated at the General Motors Research Laboratories. Predicted results for the yarn orientations were compared with experimental results and good agreement was observed« less

  9. The Testing of Airplane Fabrics

    NASA Technical Reports Server (NTRS)

    Schraivogel, Karl

    1932-01-01

    This report considers the determining factors in the choice of airplane fabrics, describes the customary methods of testing and reports some of the experimental results. To sum up briefly the results obtained with the different fabrics, it may be said that increasing the strength of covering fabrics by using coarser yarns ordinarily offers no difficulty, because the weight increment from doping is relatively smaller.

  10. Fabrication Technology

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Blaedel, K.L.

    1993-03-01

    The mission of the Fabrication Technology thrust area is to have an adequate base of manufacturing technology, not necessarily resident at Lawrence Livermore National Laboratory (LLNL), to conduct the future business of LLNL. The specific goals continue to be to (1) develop an understanding of fundamental fabrication processes; (2) construct general purpose process models that will have wide applicability; (3) document findings and models in journals; (4) transfer technology to LLNL programs, industry, and colleagues; and (5) develop continuing relationships with the industrial and academic communities to advance the collective understanding of fabrication processes. The strategy to ensure success ismore » changing. For technologies in which they are expert and which will continue to be of future importance to LLNL, they can often attract outside resources both to maintain their expertise by applying it to a specific problem and to help fund further development. A popular vehicle to fund such work is the Cooperative Research and Development Agreement with industry. For technologies needing development because of their future critical importance and in which they are not expert, they use internal funding sources. These latter are the topics of the thrust area. Three FY-92 funded projects are discussed in this section. Each project clearly moves the Fabrication Technology thrust area towards the goals outlined above. They have also continued their membership in the North Carolina State University Precision Engineering Center, a multidisciplinary research and graduate program established to provide the new technologies needed by high-technology institutions in the US. As members, they have access to and use of the results of their research projects, many of which parallel the precision engineering efforts at LLNL.« less

  11. Fabrication technology

    NASA Astrophysics Data System (ADS)

    Blaedel, K. L.

    1993-03-01

    The mission of the Fabrication Technology thrust area is to have an adequate base of manufacturing technology, not necessarily resident at Lawrence Livermore National Laboratory (LLNL), to conduct the future business of LLNL. The specific goals continue to be to do the following: (1) develop an understanding of fundamental fabrication processes; (2) construct general purpose process models that will have wide applicability; (3) document findings and models in journals; (4) transfer technology to LLNL programs, industry, and colleagues; and (5) develop continuing relationships with the industrial and academic communities to advance the collective understanding of fabrication processes. The strategy to ensure success is changing. For technologies in which they are expert and which will continue to be of future importance to LLNL, they can often attract outside resources both to maintain their expertise by applying it to a specific problem and to help fund further development. A popular vehicle to fund such work is the Cooperative Research and Development Agreement with industry. For technologies needing development because of their future critical importance and in which they are not expert, they use internal funding sources. These latter are the topics of the thrust area. Three FY-92 funded projects are discussed in this section. Each project clearly moves the Fabrication Technology thrust area towards the goals outlined above. They have also continued their membership in the North Carolina State University Precision Engineering Center, a multidisciplinary research and graduate program established to provide the new technologies needed by high-technology institutions in the U.S. As members, they have access to and use of the results of their research projects, many of which parallel the precision engineering efforts at LLNL.

  12. Superabsorbent Multilayer Fabric

    NASA Technical Reports Server (NTRS)

    Coreale, J. V.; Dawn, F. S.

    1982-01-01

    Material contains gel-forming polymer and copolymer that absorb from 70 to 200 times their weight of liquid. Superabsorbent Polymer and Copolymer form gels to bind and retain liquid in multiply fabric. Until reaction between liquid and absorbent masses forms gel, backing layer retains liquids within fabric; also allows material to "breathe." Possible applications include baby diapers, female hygiene napkins, and hospital bedpads. Might also have uses in improvement of dry soil.

  13. ELECTROSTATIC EFFECTS IN FABRIC FILTRATION: VOLUME I. FIELDS, FABRICS, AND PARTICLES. (ANNOTATED DATA)

    EPA Science Inventory

    The report examines the effect of particle charge and electric fields on the filtration of dust by fabrics. Both frictional charging and charging by corona are studied. Charged particles and an electric field driving particles toward the fabric can greatly reduce the initial pres...

  14. Fabricating a hybrid imaging device

    NASA Technical Reports Server (NTRS)

    Wadsworth, Mark (Inventor); Atlas, Gene (Inventor)

    2003-01-01

    A hybrid detector or imager includes two substrates fabricated under incompatible processes. An array of detectors, such as charged-coupled devices, are formed on the first substrate using a CCD fabrication process, such as a buried channel or peristaltic process. One or more charge-converting amplifiers are formed on a second substrate using a CMOS fabrication process. The two substrates are then bonded together to form a hybrid detector.

  15. Fabrication and characterization of high impact hybrid matrix composites from thermoset resin and dyneema-glass fabric reinforcement

    NASA Astrophysics Data System (ADS)

    Patel, R. H.; Sharma, S.; Pansuriya, T.; Malgani, E. V.; Sevkani, V.

    2018-05-01

    Hybrid composites have been fabricated by hand lay-up technique with epoxy resin and diethylene tri amine as a hardener for high impact energy absorption with sandwich stacking of different reinforcements of dyneema and glass fabric. High impact grade composites are nowadays gaining a lot of importance in the field of high mechanical load bearing applications, ballistics and bulletproofing. The present work emphases on the fabrication and mechanical properties of the hybrid composites of cut resistant dyneema fabric along with glass fabric reinforced in the thermosetting resin. i.e. epoxy. The prime importance while fabricating such materials have been given to the processing along with selection of the raw materials. High impact resistive materials with low density and henceforth low weight have been manufactured and characterized by IZOD impact tester, UTM, Archimedes density meter and SEM. Throughout the work, satisfactory results have been obtained. Impact resistance was observed to be boosted three times as that of the reference sample of glass fabric and epoxy. The density of the hybrid composite is observed to be 25% as that of the reference sample.

  16. Electrochemical Fabrication of Metallic Quantum Wires

    ERIC Educational Resources Information Center

    Tao, Nongjian

    2005-01-01

    The fabrication of metallic quantum wires using simple electrochemical techniques is described. The conductance of the system can be readily measured that allows one to constantly monitor the conductance during fabrication and use conductance quantization as a signature to guide the fabrication.

  17. Strength and flexibility properties of advanced ceramic fabrics

    NASA Technical Reports Server (NTRS)

    Sawko, P. M.; Tran, H. K.

    1985-01-01

    The mechanical properties of four advanced ceramic fabrics were measured at a temperature range of 23C to 1200C. The fabrics evaluated were silica, high and low-boria content aluminoborosilicate, and silicon carbide. Properties studied included fabric break strengths from room temperature to 1200C, and bending durability after temperature conditioning at 1200C and 1400C. The interaction of the fabric and ceramic insulation was also studied for shrinkage, appearance, bend resistance, and fabric-to-insulation bonding. Based on these tests, the low-boria content aluminoborosilicate fabric retained more strength and fabric durability than the other fabrics studied at high temperature.

  18. Strength and flexibility properties of advanced ceramic fabrics

    NASA Technical Reports Server (NTRS)

    Sawko, P. M.; Tran, H. K.

    1985-01-01

    The mechanical properties of four advanced ceramic fabrics are measured at a temperature range of 23 C to 1200 C. The fabrics evaluated are silica, high-and low-boria content aluminoborosilicate, and silicon carbide. Properties studied include fabric break strengths from room temperature to 1200 C, and bending durability after temperature conditioning at 1200 C and 1400 C. The interaction of the fabric and ceramic insulation is also studied for shrinkage, appearance, bend resistance, and fabric-to-insulation bonding. Based on these tests, the low-boria content aluminoborosilicate fabric retains more strength and fabric durability than the other fabrics studied at high temperature.

  19. Digital fabrication of textiles: an analysis of electrical networks in 3D knitted functional fabrics

    NASA Astrophysics Data System (ADS)

    Vallett, Richard; Knittel, Chelsea; Christe, Daniel; Castaneda, Nestor; Kara, Christina D.; Mazur, Krzysztof; Liu, Dani; Kontsos, Antonios; Kim, Youngmoo; Dion, Genevieve

    2017-05-01

    Digital fabrication methods are reshaping design and manufacturing processes through the adoption of pre-production visualization and analysis tools, which help minimize waste of materials and time. Despite the increasingly widespread use of digital fabrication techniques, comparatively few of these advances have benefited the design and fabrication of textiles. The development of functional fabrics such as knitted touch sensors, antennas, capacitors, and other electronic textiles could benefit from the same advances in electrical network modeling that revolutionized the design of integrated circuits. In this paper, the efficacy of using current state-of-the-art digital fabrication tools over the more common trialand- error methods currently used in textile design is demonstrated. Gaps are then identified in the current state-of-the-art tools that must be resolved to further develop and streamline the rapidly growing field of smart textiles and devices, bringing textile production into the realm of 21st century manufacturing.

  20. 14 CFR 31.35 - Fabrication methods.

    Code of Federal Regulations, 2010 CFR

    2010-01-01

    ... 14 Aeronautics and Space 1 2010-01-01 2010-01-01 false Fabrication methods. 31.35 Section 31.35 Aeronautics and Space FEDERAL AVIATION ADMINISTRATION, DEPARTMENT OF TRANSPORTATION AIRCRAFT AIRWORTHINESS STANDARDS: MANNED FREE BALLOONS Design Construction § 31.35 Fabrication methods. The methods of fabrication...

  1. 14 CFR 31.35 - Fabrication methods.

    Code of Federal Regulations, 2011 CFR

    2011-01-01

    ... 14 Aeronautics and Space 1 2011-01-01 2011-01-01 false Fabrication methods. 31.35 Section 31.35 Aeronautics and Space FEDERAL AVIATION ADMINISTRATION, DEPARTMENT OF TRANSPORTATION AIRCRAFT AIRWORTHINESS STANDARDS: MANNED FREE BALLOONS Design Construction § 31.35 Fabrication methods. The methods of fabrication...

  2. 14 CFR 31.35 - Fabrication methods.

    Code of Federal Regulations, 2014 CFR

    2014-01-01

    ... 14 Aeronautics and Space 1 2014-01-01 2014-01-01 false Fabrication methods. 31.35 Section 31.35 Aeronautics and Space FEDERAL AVIATION ADMINISTRATION, DEPARTMENT OF TRANSPORTATION AIRCRAFT AIRWORTHINESS STANDARDS: MANNED FREE BALLOONS Design Construction § 31.35 Fabrication methods. The methods of fabrication...

  3. 14 CFR 31.35 - Fabrication methods.

    Code of Federal Regulations, 2012 CFR

    2012-01-01

    ... 14 Aeronautics and Space 1 2012-01-01 2012-01-01 false Fabrication methods. 31.35 Section 31.35 Aeronautics and Space FEDERAL AVIATION ADMINISTRATION, DEPARTMENT OF TRANSPORTATION AIRCRAFT AIRWORTHINESS STANDARDS: MANNED FREE BALLOONS Design Construction § 31.35 Fabrication methods. The methods of fabrication...

  4. 14 CFR 31.35 - Fabrication methods.

    Code of Federal Regulations, 2013 CFR

    2013-01-01

    ... 14 Aeronautics and Space 1 2013-01-01 2013-01-01 false Fabrication methods. 31.35 Section 31.35 Aeronautics and Space FEDERAL AVIATION ADMINISTRATION, DEPARTMENT OF TRANSPORTATION AIRCRAFT AIRWORTHINESS STANDARDS: MANNED FREE BALLOONS Design Construction § 31.35 Fabrication methods. The methods of fabrication...

  5. 49 CFR 195.130 - Fabricated assemblies.

    Code of Federal Regulations, 2013 CFR

    2013-10-01

    ... 49 Transportation 3 2013-10-01 2013-10-01 false Fabricated assemblies. 195.130 Section 195.130 Transportation Other Regulations Relating to Transportation (Continued) PIPELINE AND HAZARDOUS MATERIALS SAFETY... PIPELINE Design Requirements § 195.130 Fabricated assemblies. Each fabricated assembly to be installed in a...

  6. 49 CFR 195.130 - Fabricated assemblies.

    Code of Federal Regulations, 2010 CFR

    2010-10-01

    ... 49 Transportation 3 2010-10-01 2010-10-01 false Fabricated assemblies. 195.130 Section 195.130 Transportation Other Regulations Relating to Transportation (Continued) PIPELINE AND HAZARDOUS MATERIALS SAFETY... PIPELINE Design Requirements § 195.130 Fabricated assemblies. Each fabricated assembly to be installed in a...

  7. 49 CFR 195.130 - Fabricated assemblies.

    Code of Federal Regulations, 2011 CFR

    2011-10-01

    ... 49 Transportation 3 2011-10-01 2011-10-01 false Fabricated assemblies. 195.130 Section 195.130 Transportation Other Regulations Relating to Transportation (Continued) PIPELINE AND HAZARDOUS MATERIALS SAFETY... PIPELINE Design Requirements § 195.130 Fabricated assemblies. Each fabricated assembly to be installed in a...

  8. 49 CFR 195.130 - Fabricated assemblies.

    Code of Federal Regulations, 2012 CFR

    2012-10-01

    ... 49 Transportation 3 2012-10-01 2012-10-01 false Fabricated assemblies. 195.130 Section 195.130 Transportation Other Regulations Relating to Transportation (Continued) PIPELINE AND HAZARDOUS MATERIALS SAFETY... PIPELINE Design Requirements § 195.130 Fabricated assemblies. Each fabricated assembly to be installed in a...

  9. 49 CFR 195.130 - Fabricated assemblies.

    Code of Federal Regulations, 2014 CFR

    2014-10-01

    ... 49 Transportation 3 2014-10-01 2014-10-01 false Fabricated assemblies. 195.130 Section 195.130 Transportation Other Regulations Relating to Transportation (Continued) PIPELINE AND HAZARDOUS MATERIALS SAFETY... PIPELINE Design Requirements § 195.130 Fabricated assemblies. Each fabricated assembly to be installed in a...

  10. Smart Fabrics Technology Development

    NASA Technical Reports Server (NTRS)

    Simon, Cory; Potter, Elliott; Potter, Elliott; McCabe, Mary; Baggerman, Clint

    2010-01-01

    Advances in Smart Fabrics technology are enabling an exciting array of new applications for NASA exploration missions, the biomedical community, and consumer electronics. This report summarizes the findings of a brief investigation into the state of the art and potential applications of smart fabrics to address challenges in human spaceflight.

  11. Study of thickness and uniformity of oxide passivation with DI-O3 on silicon substrate for electronic and photonic applications

    NASA Astrophysics Data System (ADS)

    Sharma, Mamta; Hazra, Purnima; Singh, Satyendra Kumar

    2018-05-01

    Since the beginning of semiconductor fabrication technology evolution, clean and passivated substrate surface is one of the prime requirements for fabrication of Electronic and optoelectronic device fabrication. However, as the scale of silicon circuits and device architectures are continuously decreased from micrometer to nanometer (from VLSI to ULSI technology), the cleaning methods to achieve better wafer surface qualities has raised research interests. The development of controlled and uniform silicon dioxide is the most effective and reliable way to achieve better wafer surface quality for fabrication of electronic devices. On the other hand, in order to meet the requirement of high environment safety/regulatory standards, the innovation of cleaning technology is also in demand. The controlled silicon dioxide layer formed by oxidant de-ionized ozonated water has better uniformity. As the uniformity of the controlled silicon dioxide layer is improved on the substrate, it enhances the performance of the devices. We can increase the thickness of oxide layer, by increasing the ozone time treatment. We reported first time to measurement of thickness of controlled silicon dioxide layer and obtained the uniform layer for same ozone time.

  12. FabricS: A user-friendly, complete and robust software for particle shape-fabric analysis

    NASA Astrophysics Data System (ADS)

    Moreno Chávez, G.; Castillo Rivera, F.; Sarocchi, D.; Borselli, L.; Rodríguez-Sedano, L. A.

    2018-06-01

    Shape-fabric is a textural parameter related to the spatial arrangement of elongated particles in geological samples. Its usefulness spans a range from sedimentary petrology to igneous and metamorphic petrology. Independently of the process being studied, when a material flows, the elongated particles are oriented with the major axis in the direction of flow. In sedimentary petrology this information has been used for studies of paleo-flow direction of turbidites, the origin of quartz sediments, and locating ignimbrite vents, among others. In addition to flow direction and its polarity, the method enables flow rheology to be inferred. The use of shape-fabric has been limited due to the difficulties of automatically measuring particles and analyzing them with reliable circular statistics programs. This has dampened interest in the method for a long time. Shape-fabric measurement has increased in popularity since the 1980s thanks to the development of new image analysis techniques and circular statistics software. However, the programs currently available are unreliable, old and are incompatible with newer operating systems, or require programming skills. The goal of our work is to develop a user-friendly program, in the MATLAB environment, with a graphical user interface, that can process images and includes editing functions, and thresholds (elongation and size) for selecting a particle population and analyzing it with reliable circular statistics algorithms. Moreover, the method also has to produce rose diagrams, orientation vectors, and a complete series of statistical parameters. All these requirements are met by our new software. In this paper, we briefly explain the methodology from collection of oriented samples in the field to the minimum number of particles needed to obtain reliable fabric data. We obtained the data using specific statistical tests and taking into account the degree of iso-orientation of the samples and the required degree of reliability

  13. Micromechanical Structures Fabrication

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rajic, S

    2001-05-08

    Work in materials other than silicon for MEMS applications has typically been restricted to metals and metal oxides instead of more ''exotic'' semiconductors. However, group III-V and II-VI semiconductors form a very important and versatile collection of material and electronic parameters available to the MEMS and MOEMS designer. With these materials, not only are the traditional mechanical material variables (thermal conductivity, thermal expansion, Young's modulus, etc.) available, but also chemical constituents can be varied in ternary and quaternary materials. This flexibility can be extremely important for both friction and chemical compatibility issues for MEMS. In addition, the ability to continuallymore » vary the bandgap energy can be particularly useful for many electronics and infrared detection applications. However, there are two major obstacles associated with alternate semiconductor material MEMS. The first issue is the actual fabrication of non-silicon micro-devices and the second impediment is communicating with these novel devices. We have implemented an essentially material independent fabrication method that is amenable to most group III-V and II-VI semiconductors. This technique uses a combination of non-traditional direct write precision fabrication processes such as diamond turning, ion milling, laser ablation, etc. This type of deterministic fabrication approach lends itself to an almost trivial assembly process. We also implemented a mechanical, electrical, and optical self-aligning hybridization technique for these alternate-material MEMS substrates.« less

  14. Fabrication of thermo-responsive cotton fabrics using poly(vinyl caprolactam-co-hydroxyethyl acrylamide) copolymer.

    PubMed

    Xiao, Min; González, Edurne; Monterroza, Alexis Martell; Frey, Margaret

    2017-10-15

    A thermo-responsive polymer with hydrophilic to hydrophobic transition behavior, poly(vinyl caprolactam-co-hydroxyethyl acrylamide) P(VCL-co-HEAA), was prepared by copolymerization of vinyl caprolactam and N-hydroxyethyl acrylamide via free radical solution polymerization. The resulting copolymer was characterized by Fourier transform infrared spectroscopy (FTIR), 1 H nuclear magnetic resonance (NMR), gel permeation chromatography (GPC), differential scanning calorimetry (DSC), and thermogravimetric analysis (TGA). The lower critical solution temperature (LCST) of P(VCL-co-HEAA) was determined at 34.5°C. This thermo-responsive polymer was then grafted onto cotton fabrics using 1,2,3,4-butanetetracarboxylic acid (BTCA) as crosslinker and sodium hypophosphite (SHP) as catalyst. FTIR and energy dispersive X-ray spectroscopy (EDS) studies confirmed the successful grafting reaction. The modified cotton fabric exhibited thermo-responsive behavior as evidenced by water vapor permeability measurement confirming decreased permeability at elevated temperature. This is the first demonstration that a PVCL based copolymer is grafted to cotton fabrics. This study provides a new thermo-responsive polymer for fabrication of smart cotton fabrics with thermally switchable hydrophilicity. Copyright © 2017 Elsevier Ltd. All rights reserved.

  15. Film Fabrication Technologies at NREL

    NASA Technical Reports Server (NTRS)

    Mcconnell, Robert D.

    1993-01-01

    The National Renewable Energy Laboratory (NREL) has extensive capabilities for fabricating a variety of high-technology films. Much of the in-house work in NREL's large photovoltaics (PV) program involves the fabrication of multiple thin-film semiconducting layers constituting a thin-film PV device. NREL's smaller program in superconductivity focuses on the fabrication of superconducting films on long, flexible tape substrates. This paper focuses on four of NREL's in-house research groups and their film fabrication techniques, developed for a variety of elements, alloys, and compounds to be deposited on a variety of substrates. As is the case for many national laboratories, NREL's technology transfer efforts are focusing on Cooperative Research and Development Agreements (CRADA's) between NREL researchers and private industry researchers.

  16. Nano-fabricated size exclusion chromatograph

    NASA Technical Reports Server (NTRS)

    Svehla, D.; Feldman, S.; Feldman, J.; Grunthaner, F.; Shakkottai, P.; Castillo, L. del; White, V.

    2002-01-01

    This paper describes the development of a nano-fabricated size exclusion chromatograph (nSEC) based on the principle that molecules traveling through amicrocolumn containing nano-fabricated features will have characteristic elution times that directly correlate to molecular weight. Compared to conventional size exclusion chromatography, the nSEC offers greater control over the size exclusion process; mass fabrication; integration of the separation column with associated valves, pumps, and detectors; and dramatic reductions in instrument mass and power requirements.

  17. Development of Self-Cleaning Denim Fabrics

    NASA Astrophysics Data System (ADS)

    Uğur, Ş. S.; Sarıışık, A. M.; Çavuşlar, E.; Ertek, M.

    2017-10-01

    Denim fabrics coated with TiO2 nanolayers for self-cleaning properties by using a continuous layer-by-layer method. Nanolayer coated denim fabrics washed with an enzyme process for aging affect. Fabrics were analyzed with SEM-EDX and XPS measurements. Self-cleaning properties of the nanolayer deposited denim fabrics were tested according to red wine stain against to Suntest visible light irradiation after 72 h. And also, some physical (air permeability, tensile strength) and color (color difference and rubbing fastness) properties were evaluated.

  18. 25 CFR 307.4 - Standards for fabrics.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... 25 Indians 2 2010-04-01 2010-04-01 false Standards for fabrics. 307.4 Section 307.4 Indians INDIAN ARTS AND CRAFTS BOARD, DEPARTMENT OF THE INTERIOR NAVAJO ALL-WOOL WOVEN FABRICS; USE OF GOVERNMENT CERTIFICATE OF GENUINENESS § 307.4 Standards for fabrics. No fabric may carry the Government certificate of...

  19. Robust Polypropylene Fabrics Super-Repelling Various Liquids: A Simple, Rapid and Scalable Fabrication Method by Solvent Swelling.

    PubMed

    Zhu, Tang; Cai, Chao; Duan, Chunting; Zhai, Shuai; Liang, Songmiao; Jin, Yan; Zhao, Ning; Xu, Jian

    2015-07-01

    A simple, rapid (10 s) and scalable method to fabricate superhydrophobic polypropylene (PP) fabrics is developed by swelling the fabrics in cyclohexane/heptane mixture at 80 °C. The recrystallization of the swollen macromolecules on the fiber surface contributes to the formation of submicron protuberances, which increase the surface roughness dramatically and result in superhydrophobic behavior. The superhydrophobic PP fabrics possess excellent repellency to blood, urine, milk, coffee, and other common liquids, and show good durability and robustness, such as remarkable resistances to water penetration, abrasion, acidic/alkaline solution, and boiling water. The excellent comprehensive performance of the superhydrophobic PP fabrics indicates their potential applications as oil/water separation materials, protective garments, diaper pads, or other medical and health supplies. This simple, fast and low cost method operating at a relatively low temperature is superior to other reported techniques for fabricating superhydrophobic PP materials as far as large scale manufacturing is considered. Moreover, the proposed method is applicable for preparing superhydrophobic PP films and sheets as well.

  20. Fabrication and characterization of active nanostructures

    NASA Astrophysics Data System (ADS)

    Opondo, Noah F.

    Three different nanostructure active devices have been designed, fabricated and characterized. Junctionless transistors based on highly-doped silicon nanowires fabricated using a bottom-up fabrication approach are first discussed. The fabrication avoids the ion implantation step since silicon nanowires are doped in-situ during growth. Germanium junctionless transistors fabricated with a top down approach starting from a germanium on insulator substrate and using a gate stack of high-k dielectrics and GeO2 are also presented. The levels and origin of low-frequency noise in junctionless transistor devices fabricated from silicon nanowires and also from GeOI devices are reported. Low-frequency noise is an indicator of the quality of the material, hence its characterization can reveal the quality and perhaps reliability of fabricated transistors. A novel method based on low-frequency noise measurement to envisage trap density in the semiconductor bandgap near the semiconductor/oxide interface of nanoscale silicon junctionless transistors (JLTs) is presented. Low-frequency noise characterization of JLTs biased in saturation is conducted at different gate biases. The noise spectrum indicates either a Lorentzian or 1/f. A simple analysis of the low-frequency noise data leads to the density of traps and their energy within the semiconductor bandgap. The level of noise in silicon JLT devices is lower than reported values on transistors fabricated using a top-down approach. This noise level can be significantly improved by improving the quality of dielectric and the channel interface. A micro-vacuum electron device based on silicon field emitters for cold cathode emission is also presented. The presented work utilizes vertical Si nanowires fabricated by means of self-assembly, standard lithography and etching techniques as field emitters in this dissertation. To obtain a high nanowire density, hence a high current density, a simple and inexpensive Langmuir Blodgett technique

  1. Graphene oxide nanostructures modified multifunctional cotton fabrics

    NASA Astrophysics Data System (ADS)

    Krishnamoorthy, Karthikeyan; Navaneethaiyer, Umasuthan; Mohan, Rajneesh; Lee, Jehee; Kim, Sang-Jae

    2012-06-01

    Surface modification of cotton fabrics using graphene oxide (GO) nanostructures was reported. Scanning electron microscopic (SEM) investigations revealed that the GO nanostructure was coated onto the cotton fabric. The molecular level interaction between the graphene oxide and the cotton fabric is studied in detail using the Fourier transform infra-red (FTIR) spectra. Thermogravimetric analysis (TGA) showed that GO loaded cotton fabrics have enhanced thermal stability compared to the bare cotton fabrics. The photocatalytic activity of the GO-coated cotton fabrics was investigated by measuring the photoreduction of resazurin (RZ) into resorufin (RF) under UV light irradiation. The antibacterial activity was evaluated against both Gram-negative and Gram-positive bacteria and the results indicated that the GO-coated cotton fabrics are more toxic towards the Gram-positive ones. Our results provide a way to develop graphene oxide-based devices for the biomedical applications for improving health care.

  2. Thermoelectric fabrics: toward power generating clothing.

    PubMed

    Du, Yong; Cai, Kefeng; Chen, Song; Wang, Hongxia; Shen, Shirley Z; Donelson, Richard; Lin, Tong

    2015-03-23

    Herein, we demonstrate that a flexible, air-permeable, thermoelectric (TE) power generator can be prepared by applying a TE polymer (e.g. poly(3,4-ethylenedioxythiophene):poly(4-styrenesulfonate)) coated commercial fabric and subsequently by linking the coated strips with a conductive connection (e.g. using fine metal wires). The poly(3,4-ethylenedioxythiophene):poly(4-styrenesulfonate) coated fabric shows very stable TE properties from 300 K to 390 K. The fabric device can generate a TE voltage output (V) of 4.3 mV at a temperature difference (ΔT) of 75.2 K. The potential for using fabric TE devices to harvest body temperature energy has been discussed. Fabric-based TE devices may be useful for the development of new power generating clothing and self-powered wearable electronics.

  3. Fabrication of PDMS architecture

    NASA Astrophysics Data System (ADS)

    Adam, Tijjani; Hashim, U.

    2017-03-01

    The study report novel, yet simple and flexible fabrication method for micro channel patterning PDMS thin mold on glass surfaces, the method allows microstructures with critical dimensions to be formed using PDMS. Micro channel production is a two-step process. First, soft photolithography methods are implemented to fabricate a reusable mold. The mold is then used to create the micro channel, which consists of SU8, PDMS and glass. The micro channel design was performed using AutoCAD and the fabrication begins by creating a replicable mold. The mold is created on a glass slide. by spin-coating speed between 500 to 1250rpm with an acceleration of 100 rpm/s for 100 and 15 second ramp up and down speed respectively. Channel flow rate based on concentration were measured by analyzing the recorded flow profiles which was collected from the high powered microscope at. 80µ, 70µm, 50µm for inlet channel 1, 2, 3 respectively the channel flow were compared for flow efficiency at different concentrations and Re. Thus, the simplicity of device structure and fabrication makes it feasible to miniaturize it for the development of point-of-care kits, facilitating its use in both clinical and non-clinical environments. With its simple geometric structure and potential for mass commercial fabrication, the device can be developed to become a portable photo detection sensor that can be use for both environmental and diagnostic application.

  4. Nanogels for Pharmaceutical and Biomedical Applications and Their Fabrication Using 3D Printing Technologies

    PubMed Central

    Cho, Hyunah; Jammalamadaka, Udayabhanu

    2018-01-01

    Nanogels are hydrogels formed by connecting nanoscopic micelles dispersed in an aqueous medium, which give an opportunity for incorporating hydrophilic payloads to the exterior of the micellar networks and hydrophobic payloads in the core of the micelles. Biomedical and pharmaceutical applications of nanogels have been explored for tissue regeneration, wound healing, surgical device, implantation, and peroral, rectal, vaginal, ocular, and transdermal drug delivery. Although it is still in the early stages of development, due to the increasing demands of precise nanogel production to be utilized for personalized medicine, biomedical applications, and specialized drug delivery, 3D printing has been explored in the past few years and is believed to be one of the most precise, efficient, inexpensive, customizable, and convenient manufacturing techniques for nanogel production. PMID:29462901

  5. Characterization of surface modified polyester fabric.

    PubMed

    Joseph, Roy; Shelma, R; Rajeev, A; Muraleedharan, C V

    2009-12-01

    Woven polyethylene terephthalate (PET) fabric has been used in the construction of vascular grafts and sewing ring of prosthetic heart valves. In an effort to improve haemocompatibility and tissue response to PET fabric, a fluoropolymer, polyvinylidine fluoride (PVDF), was coated on PET fabric by dip coating technique. The coating was found to be uniform and no significant changes occurred on physical properties such as water permeability and burst strength. Cell culture cytotoxicity studies showed that coated PET was non-cytotoxic to L929 fibroblast cell lines. In vitro studies revealed that coating improved haemocompatibility of PET fabric material. Coating reduced platelet consumption of PET fabric by 50%. Upon surface modification leukocyte consumption of PET was reduced by 24%. About 60% reduction in partial thromboplastin time (PTT) observed when PET was coated with PVDF. Results of endothelial cell proliferation studies showed that surface coating did not have any substantial impact on cell proliferation. Overall results indicate that coating has potential to improve haemocompatibility of PET fabric without affecting its mechanical performance.

  6. Digital MOS integrated circuits

    NASA Astrophysics Data System (ADS)

    Elmasry, M. I.

    MOS in digital circuit design is considered along with aspects of digital VLSI, taking into account a comparison of MOSFET logic circuits, 1-micrometer MOSFET VLSI technology, a generalized guide for MOSFET miniaturization, processing technologies, novel circuit structures for VLSI, and questions of circuit and system design for VLSI. MOS memory cells and circuits are discussed, giving attention to a survey of high-density dynamic RAM cell concepts, one-device cells for dynamic random-access memories, variable resistance polysilicon for high density CMOS Ram, high performance MOS EPROMs using a stacked-gate cell, and the optimization of the latching pulse for dynamic flip-flop sensors. Programmable logic arrays are considered along with digital signal processors, microprocessors, static RAMs, and dynamic RAMs.

  7. Robust and durable superhydrophobic fabrics fabricated via simple Cu nanoparticles deposition route and its application in oil/water separation.

    PubMed

    Wang, Jintao; Wang, Hongfei

    2017-06-15

    The exploitation of separation materials with high selectivity for oil pollutants is of great importance due to severe environmental damage from oil spillages and industrial discharge of oils. A facile in situ growth process for creating superhydrophobic-superoleophilic fabrics for oil-water separation is developed. This proposed method is based mainly on the deposition Cu nanoparticles and subsequent hydrophobic modification. Compared with the hydrophilicity of original fabric, the water contact angle of the modified fabric rises to 154.5°, suggesting its superhydrophobicity. The as-prepared fabrics also exhibit wonderful oil-water selectivity, excellent recyclability, and high separation efficiency (>94.5%). Especially, via pumping the fabric rolled into a multilayered tube, various types of oils on water surface can be continuously separated in situ without any water uptake. Furthermore, the superhydrophobic fabrics show excellent superhydrophobic stability, and can resist different chemicals, such as salty, acidic, and alkaline solutions, oils, and hot water. After the abrasion of 400cycles, the broken fabric still possesses highly hydrophobicity with water contact angle of 145°. Therefore, due to simple fabrication steps, low cost, and scalable process, the as-prepared fabrics can be applied in the separation of oils and other organic solvents from water. Copyright © 2017 Elsevier Ltd. All rights reserved.

  8. 1 million-Q optomechanical microdisk resonators for sensing with very large scale integration

    NASA Astrophysics Data System (ADS)

    Hermouet, M.; Sansa, M.; Banniard, L.; Fafin, A.; Gely, M.; Allain, P. E.; Santos, E. Gil; Favero, I.; Alava, T.; Jourdan, G.; Hentz, S.

    2018-02-01

    Cavity optomechanics have become a promising route towards the development of ultrasensitive sensors for a wide range of applications including mass, chemical and biological sensing. In this study, we demonstrate the potential of Very Large Scale Integration (VLSI) with state-of-the-art low-loss performance silicon optomechanical microdisks for sensing applications. We report microdisks exhibiting optical Whispering Gallery Modes (WGM) with 1 million quality factors, yielding high displacement sensitivity and strong coupling between optical WGMs and in-plane mechanical Radial Breathing Modes (RBM). Such high-Q microdisks with mechanical resonance frequencies in the 102 MHz range were fabricated on 200 mm wafers with Variable Shape Electron Beam lithography. Benefiting from ultrasensitive readout, their Brownian motion could be resolved with good Signal-to-Noise ratio at ambient pressure, as well as in liquid, despite high frequency operation and large fluidic damping: the mechanical quality factor reduced from few 103 in air to 10's in liquid, and the mechanical resonance frequency shifted down by a few percent. Proceeding one step further, we performed an all-optical operation of the resonators in air using a pump-probe scheme. Our results show our VLSI process is a viable approach for the next generation of sensors operating in vacuum, gas or liquid phase.

  9. ELIPS: Toward a Sensor Fusion Processor on a Chip

    NASA Technical Reports Server (NTRS)

    Daud, Taher; Stoica, Adrian; Tyson, Thomas; Li, Wei-te; Fabunmi, James

    1998-01-01

    The paper presents the concept and initial tests from the hardware implementation of a low-power, high-speed reconfigurable sensor fusion processor. The Extended Logic Intelligent Processing System (ELIPS) processor is developed to seamlessly combine rule-based systems, fuzzy logic, and neural networks to achieve parallel fusion of sensor in compact low power VLSI. The first demonstration of the ELIPS concept targets interceptor functionality; other applications, mainly in robotics and autonomous systems are considered for the future. The main assumption behind ELIPS is that fuzzy, rule-based and neural forms of computation can serve as the main primitives of an "intelligent" processor. Thus, in the same way classic processors are designed to optimize the hardware implementation of a set of fundamental operations, ELIPS is developed as an efficient implementation of computational intelligence primitives, and relies on a set of fuzzy set, fuzzy inference and neural modules, built in programmable analog hardware. The hardware programmability allows the processor to reconfigure into different machines, taking the most efficient hardware implementation during each phase of information processing. Following software demonstrations on several interceptor data, three important ELIPS building blocks (a fuzzy set preprocessor, a rule-based fuzzy system and a neural network) have been fabricated in analog VLSI hardware and demonstrated microsecond-processing times.

  10. Data storage technology comparisons

    NASA Technical Reports Server (NTRS)

    Katti, Romney R.

    1990-01-01

    The role of data storage and data storage technology is an integral, though conceptually often underestimated, portion of data processing technology. Data storage is important in the mass storage mode in which generated data is buffered for later use. But data storage technology is also important in the data flow mode when data are manipulated and hence required to flow between databases, datasets and processors. This latter mode is commonly associated with memory hierarchies which support computation. VLSI devices can reasonably be defined as electronic circuit devices such as channel and control electronics as well as highly integrated, solid-state devices that are fabricated using thin film deposition technology. VLSI devices in both capacities play an important role in data storage technology. In addition to random access memories (RAM), read-only memories (ROM), and other silicon-based variations such as PROM's, EPROM's, and EEPROM's, integrated devices find their way into a variety of memory technologies which offer significant performance advantages. These memory technologies include magnetic tape, magnetic disk, magneto-optic disk, and vertical Bloch line memory. In this paper, some comparison between selected technologies will be made to demonstrate why more than one memory technology exists today, based for example on access time and storage density at the active bit and system levels.

  11. The mechanical response of woven Kevlar fabric

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Warren, W.E.

    1991-01-01

    Woven Kevlar fabrics exhibit a number of beneficial mechanical properties which include strength, flexibility, and relatively low density. The desire to engineer or design Kevlar fabrics for specific applications has stimulated interest in the development of theoretical models which relate their effective mechanical properties to specific aspects of the fabric morphology and microstructure. In this work the author provides a theoretical investigation of the large deformation elastic response of a plane woven Kevlar fabric and compares these theoretical results with experimental data obtained from uniaxially loaded Kevlar fabrics. The theoretical analysis assumes the woven fabric to be a regular networkmore » of orthogonal interlaced yarns and the individual yarns are modeled as extensible elastica, thus coupling stretching and bending effects at the outset. This comparison of experiment with theory indicates that the deformation of woven fabric can be quite accurately predicted by modeling the individual yarns as extensible elastica. 2 refs., 1 fig.« less

  12. The scientific data acquisition system of the GAMMA-400 space project

    NASA Astrophysics Data System (ADS)

    Bobkov, S. G.; Serdin, O. V.; Gorbunov, M. S.; Arkhangelskiy, A. I.; Topchiev, N. P.

    2016-02-01

    The description of scientific data acquisition system (SDAS) designed by SRISA for the GAMMA-400 space project is presented. We consider the problem of different level electronics unification: the set of reliable fault-tolerant integrated circuits fabricated on Silicon-on-Insulator 0.25 mkm CMOS technology and the high-speed interfaces and reliable modules used in the space instruments. The characteristics of reliable fault-tolerant very large scale integration (VLSI) technology designed by SRISA for the developing of computation systems for space applications are considered. The scalable net structure of SDAS based on Serial RapidIO interface including real-time operating system BAGET is described too.

  13. High sensitivity knitted fabric strain sensors

    NASA Astrophysics Data System (ADS)

    Xie, Juan; Long, Hairu; Miao, Menghe

    2016-10-01

    Wearable sensors are increasingly used in smart garments for detecting and transferring vital signals and body posture, movement and respiration. Existing fabric strain sensors made from metallized yarns have low sensitivity, poor comfort and low durability to washing. Here we report a knitted fabric strain sensor made from a cotton/stainless steel (SS) fibre blended yarn which shows much higher sensitivity than sensors knitted from metallized yarns. The fabric feels softer than pure cotton textiles owing to the ultrafine stainless steel fibres and does not lose its electrical property after washing. The reason for the high sensitivity of the cotton/SS knitted fabric sensor was explored by comparing its sensing mechanism with the knitted fabric sensor made from metallized yarns. The results show that the cotton/SS yarn-to-yarn contact resistance is highly sensitive to strain applied to hooked yarn loops.

  14. Other Fabric Structures

    NASA Technical Reports Server (NTRS)

    1985-01-01

    There are two kinds of fabric structures - tension, supported by cables and pylons, and those supported by air pressure within an enclosed fabric envelope. They are becoming increasingly popular with architects, engineers, etc., because of their aesthetic appeal, low cost and maintenance, energy efficiency and good space utilization. The Structo-Fab roof weighs only 1/30 as much as a conventional roof of that size. Giant fans are used to blow air into the envelope between the roof's outer membrane and its inner liner automatically maintaining the pressure differential necessary for roof rigidity.

  15. Screen printing of a capacitive cantilever-based motion sensor on fabric using a novel sacrificial layer process for smart fabric applications

    NASA Astrophysics Data System (ADS)

    Wei, Yang; Torah, Russel; Yang, Kai; Beeby, Steve; Tudor, John

    2013-07-01

    Free-standing cantilevers have been fabricated by screen printing sacrificial and structural layers onto a standard polyester cotton fabric. By printing additional conductive layers, a complete capacitive motion sensor on fabric using only screen printing has been fabricated. This type of free-standing structure cannot currently be fabricated using conventional fabric manufacturing processes. In addition, compared to conventional smart fabric fabrication processes (e.g. weaving and knitting), screen printing offers the advantages of geometric design flexibility and the ability to simultaneously print multiple devices of the same or different designs. Furthermore, a range of active inks exists from the printed electronics industry which can potentially be applied to create many types of smart fabric. Four cantilevers with different lengths have been printed on fabric using a five-layer structure with a sacrificial material underneath the cantilever. The sacrificial layer is subsequently removed at 160 °C for 30 min to achieve a freestanding cantilever above the fabric. Two silver electrodes, one on top of the cantilever and the other on top of the fabric, are used to capacitively detect the movement of the cantilever. In this way, an entirely printed motion sensor is produced on a standard fabric. The motion sensor was initially tested on an electromechanical shaker rig at a low frequency range to examine the linearity and the sensitivity of each design. Then, these sensors were individually attached to a moving human forearm to evaluate more representative results. A commercial accelerometer (Microstrain G-link) was mounted alongside for comparison. The printed sensors have a similar motion response to the commercial accelerometer, demonstrating the potential of a printed smart fabric motion sensor for use in intelligent clothing applications.

  16. Air-Inflated Fabric Structures

    DTIC Science & Technology

    2006-11-05

    environmental exposure to ultraviolet rays, moisture, fire, chemicals, etc. Coating such as urethane, PVC (polyvinyl chloride), neoprene, EPDM (ethylene...tests on rubber -coated, plain-woven fabrics and established that the initial shear response was dominated by the coating and with increased shearing...Farboodmanesh, S., Chen, J., Mead, J. L., White, K., "Effect of Construction on Mechanical Behavior of Fabric Reinforced Rubber ," Rubber Division

  17. Towards multifunctional cellulosic fabric: UV photo-reduction and in-situ synthesis of silver nanoparticles into cellulose fabrics.

    PubMed

    Rehan, Mohamed; Barhoum, Ahmed; Van Assche, Guy; Dufresne, Alain; Gätjen, Linda; Wilken, Ralph

    2017-05-01

    Herein, the highly multifunctional cotton fabric surfaces were designed with excellent coloration, UV-protection function, and antimicrobial activity. These multifunctional functions were developed by in-situ synthesis of silver nanoparticles (Ag NPs) into the cotton fabric surface using a simple green one-pot "UV-reduction" method. Cotton fabrics were pretreated with non-anionic detergent, immersed into alcoholic silver nitrate solution (concentration ranging from 100 to 500ppm), squeezed to remove excess solution and then exposed to UV-irradiation (range 320-400nm) for 1h. The influence UV-irradiation on the thermal, chemical, optical and biological properties of the cotton fabric surface was discussed in details. The UV-irradiation promotes reducing of Ag + ions and the cotton fabrics act as seed medium for Ag NPs formation by "heterogeneous nucleation". Increasing Ag + concentration (from 100 to 500ppm) results in Ag NPs of particle size (distribution) of 50-100nm. Interestingly, the Ag NPs exhibited different localized surface Plasmon resonance properties causing a coloration of the cotton fabrics with different color shades ranging from bright to dark brown with excellent color fastness properties. The treated cotton fabrics also show high protecting functions against UV-transmission (reduction of 65%) and Escherichia coli growth (99%). The side-effects of the UV-reduction process are further investigated. Published by Elsevier B.V.

  18. The other fiber, the other fabric, the other way

    NASA Astrophysics Data System (ADS)

    Stephens, Gary R.

    1993-02-01

    Coaxial cable and distributed switches provide a way to configure high-speed Fiber Channel fabrics. This type of fabric provides a cost-effective alternative to a fabric of optical fibers and centralized cross-point switches. The fabric topology is a simple tree. Products using parallel busses require a significant change to migrate to a serial bus. Coaxial cables and distributed switches require a smaller technology shift for these device manufacturers. Each distributed switch permits both medium type and speed changes. The fabric can grow and bridge to optical fibers as the needs expand. A distributed fabric permits earlier entry into high-speed serial operations. For very low-cost fabrics, a distributed switch may permit a link configured as a loop. The loop eliminates half of the ports when compared to a switched point-to-point fabric. A fabric of distributed switches can interface to a cross-point switch fabric. The expected sequence of migration is: closed loops, small closed fabrics, and, finally, bridges, to connect optical cross-point switch fabrics. This paper presents the concept of distributed fabrics, including address assignment, frame routing, and general operation.

  19. The Area-Time Complexity of Sorting.

    DTIC Science & Technology

    1984-12-01

    suggests a classification of keys into short (k < logn), long (k > 2 logn), and of medium length. Optimal or near-optimal designs of VLSI sorters are...suggests a classification of keys into short (k 4 logn ), long (k > 21ogn ), and of medium length. Optimal or near-optimal designs of VLSI sorters are...ARCHITECTURES 79 5.1 Introduction 79 5.2 Parallel Algorithms for Sorting 80 . 5.3 Parallel Architectures 88 6 OPTIMAL VLSI SORTERS FOR KEYS OF LENGTH k - logn

  20. Fabrication of rectangular cross-sectional microchannels on PMMA with a CO2 laser and underwater fabricated copper mask

    NASA Astrophysics Data System (ADS)

    Prakash, Shashi; Kumar, Subrata

    2017-09-01

    CO2 lasers are commonly used for fabricating polymer based microfluidic devices. Despite several key advantages like low cost, time effectiveness, easy to operate and no requirement of clean room facility, CO2 lasers suffer from few disadvantages like thermal bulging, improper dimensional control, difficulty to produce microchannels of other than Gaussian cross sectional shapes and inclined surface walls. Many microfluidic devices require square or rectangular cross-sections which are difficult to produce using normal CO2 laser procedures. In this work, a thin copper sheet of 40 μm was used as a mask above the PMMA (Polymethyl-methacrylate) substrate while fabricating the microchannels utilizing the raster scanning feature of the CO2 lasers. Microchannels with different width dimensions were fabricated utilizing a CO2 laser in with mask and without-mask conditions. A comparison of both the fabricating process has been made. It was found that microchannels with U shape cross section and rectangular cross-section can efficiently be produced using the with mask technique. In addition to this, this technique can provide perfect dimensional control and better surface quality of the microchannel walls. Such a microchannel fabrication process do not require any post-processing. The fabrication of mask using a nanosecond fiber laser has been discussed in details. An underwater laser fabrication method was adopted to overcome heat related defects in mask preparation. Overall, the technique was found to be easy to adopt and significant improvements were observed in microchannel fabrication.

  1. Fabricating Copper Nanotubes by Electrodeposition

    NASA Technical Reports Server (NTRS)

    Yang, E. H.; Ramsey, Christopher; Bae, Youngsam; Choi, Daniel

    2009-01-01

    Copper tubes having diameters between about 100 and about 200 nm have been fabricated by electrodeposition of copper into the pores of alumina nanopore membranes. Copper nanotubes are under consideration as alternatives to copper nanorods and nanowires for applications involving thermal and/or electrical contacts, wherein the greater specific areas of nanotubes could afford lower effective thermal and/or electrical resistivities. Heretofore, copper nanorods and nanowires have been fabricated by a combination of electrodeposition and a conventional expensive lithographic process. The present electrodeposition-based process for fabricating copper nanotubes costs less and enables production of copper nanotubes at greater rate.

  2. The elastic properties of woven polymeric fabric

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Warren, W.E.

    1989-01-01

    The in-plane linear elastic constants of woven fabric are determined in terms of the specific fabric microstructure. The fabric is assumed to be a spatially periodic interlaced network of orthogonal yarns and the individual yarns are modeled as extensible elastica. These results indicate that a significant coupling of bending and stretching effects occurs during deformation. Results of this theoretical analysis compare favorable with measured in-plane elastic constants for Vincel yarn fabrics. 17 refs., 2 figs., 1 tab.

  3. Flame retardant treatments of PBI fabric.

    NASA Technical Reports Server (NTRS)

    Temin, S. C.

    1972-01-01

    Fabrics knitted or woven from polybenzimidazole (PBI) fibers were treated to reduce flammability in oxygen atmospheres, particularly that of 5 psia oxygen. Bromination to approximately 15% weight gain of such fabrics led to markedly lower burning rates; samples brominated to over 80% weight gain were self-extinguishing in 5 psia oxygen. The loss in tensile strength of fabrics due to bromination was negligible although shrinkage was observed. Free fibers showed negligible losses on bromination. Treatment of PBI fabric with organophosphorus compounds also achieved self-extinguishing character in 5 psia oxygen but the enhanced flameproofing was largely lost on leaching. Reaction with POCl3 in pyridine led to a permanent reduction in flammability.

  4. Laboratory experiments in integrated circuit fabrication

    NASA Technical Reports Server (NTRS)

    Jenkins, Thomas J.; Kolesar, Edward S.

    1993-01-01

    The objectives of the experiment are fourfold: to provide practical experience implementing the fundamental processes and technology associated with the science and art of integrated circuit (IC) fabrication; to afford the opportunity for the student to apply the theory associated with IC fabrication and semiconductor device operation; to motivate the student to exercise engineering decisions associated with fabricating integrated circuits; and to complement the theory of n-channel MOS and diffused devices that are presented in the classroom by actually fabricating and testing them. Therefore, a balance between theory and practice can be realized in the education of young engineers, whose education is often criticized as lacking sufficient design and practical content.

  5. Additives in fibers and fabrics.

    PubMed

    Barker, R H

    1975-06-01

    The additives and contaminants which occur in textile fibers vary widely, depending on the type of fiber and the pretreatment which it has received. Synthetic fibers such as nylon and polyester contain trace amounts of contaminants such as catalysts and catalyst deactivators which remain after the synthesis of the basic polymers. In addition, there are frequently a number of materials which are added to perform specific functions in almost all man-made fibers. Examples of these would include traces of metals or metal salts used as tracers for identification of specific lots of fiber, TiO2 or similar materials added as delustrants, and a host of organic species added for such special purposes as antistatic agents or flame retardants. There may also be considerable quantities of residual monomer or small oligomers dissolved in the polymer matrix. The situation becomes even more complex after the fibers are converted into fabric form. Numerous materials are applied at various stages of fabric preparation to act as lubricants, sizing agents, antistats, bleaches, and wetting agents to facilitate the processing, but these are normally removed before the fabric reaches the cutters of the ultimate consumers and therefore usually do not constitute potential hazards. However, there are many other chemical agents which are frequently added during the later stages of fabric preparation and which are not designed to be removed. Aside from dyes and printing pigments, the most common additive for apparel fabrics is a durable press treatment. This generally involves the use of materials capable of crosslinking cellulosics by reacting through such functions as N-methylolated amides or related compounds such as ureas and carbamates. These materials pose some potential hazards due to both the nitrogenous bases and the formaldehyde which they usually release. There is usually also some residual catalyst in fabrics which have received such treatments. Other types of chemical treatments

  6. Additives in fibers and fabrics.

    PubMed Central

    Barker, R H

    1975-01-01

    The additives and contaminants which occur in textile fibers vary widely, depending on the type of fiber and the pretreatment which it has received. Synthetic fibers such as nylon and polyester contain trace amounts of contaminants such as catalysts and catalyst deactivators which remain after the synthesis of the basic polymers. In addition, there are frequently a number of materials which are added to perform specific functions in almost all man-made fibers. Examples of these would include traces of metals or metal salts used as tracers for identification of specific lots of fiber, TiO2 or similar materials added as delustrants, and a host of organic species added for such special purposes as antistatic agents or flame retardants. There may also be considerable quantities of residual monomer or small oligomers dissolved in the polymer matrix. The situation becomes even more complex after the fibers are converted into fabric form. Numerous materials are applied at various stages of fabric preparation to act as lubricants, sizing agents, antistats, bleaches, and wetting agents to facilitate the processing, but these are normally removed before the fabric reaches the cutters of the ultimate consumers and therefore usually do not constitute potential hazards. However, there are many other chemical agents which are frequently added during the later stages of fabric preparation and which are not designed to be removed. Aside from dyes and printing pigments, the most common additive for apparel fabrics is a durable press treatment. This generally involves the use of materials capable of crosslinking cellulosics by reacting through such functions as N-methylolated amides or related compounds such as ureas and carbamates. These materials pose some potential hazards due to both the nitrogenous bases and the formaldehyde which they usually release. There is usually also some residual catalyst in fabrics which have received such treatments. Other types of chemical treatments

  7. Microfluidic channel fabrication method

    DOEpatents

    Arnold, Don W.; Schoeniger, Joseph S.; Cardinale, Gregory F.

    2001-01-01

    A new channel structure for microfluidic systems and process for fabricating this structure. In contrast to the conventional practice of fabricating fluid channels as trenches or grooves in a substrate, fluid channels are fabricated as thin walled raised structures on a substrate. Microfluidic devices produced in accordance with the invention are a hybrid assembly generally consisting of three layers: 1) a substrate that can or cannot be an electrical insulator; 2) a middle layer, that is an electrically conducting material and preferably silicon, forms the channel walls whose height defines the channel height, joined to and extending from the substrate; and 3) a top layer, joined to the top of the channels, that forms a cover for the channels. The channels can be defined by photolithographic techniques and are produced by etching away the material around the channel walls.

  8. Stirling Microregenerators Fabricated and Tested

    NASA Technical Reports Server (NTRS)

    Moran, Matthew E.

    2004-01-01

    A mesoscale Stirling refrigerator patented by the NASA Glenn Research Center is currently under development. This refrigerator has a predicted efficiency of 30 percent of Carnot and potential uses in electronics, sensors, optical and radiofrequency systems, microarrays, and microsystems. The mesoscale Stirling refrigerator is most suited to volume-limited applications that require cooling below the ambient or sink temperature. Primary components of the planar device include two diaphragm actuators that replace the pistons found in traditional-scale Stirling machines and a microregenerator that stores and releases thermal energy to the working gas during the Stirling cycle. Diaphragms are used to eliminate frictional losses and bypass leakage concerns associated with pistons, while permitting reversal of the hot and cold sides of the device during operation to allow precise temperature control. Three candidate microregenerators were fabricated under NASA grants for initial evaluation: two constructed of porous ceramic, which were fabricated by Johns Hopkins Applied Physics Laboratory, and one made of multiple layers of nickel and photoresist, which was fabricated by Polar Thermal Technologies. The candidate regenerators are being tested by Johns Hopkins Applied Physics in a custom piezoelectric-actuated test apparatus designed to produce the Stirling refrigeration cycle. In parallel with the regenerator testing, Johns Hopkins is using deep reactive ion etching to fabricate electrostatically driven, comb-drive diaphragm actuators. These actuators will drive the Stirling cycle in the prototype device. The top photograph shows the porous ceramic microregenerators. Two microregenerators were fabricated with coarse pores and two with fine pores. The bottom photograph shows the test apparatus parts for evaluating the microregenerators, including the layered nickel-and-photoresist regenerator fabricated using LIGA techniques.

  9. 49 CFR 193.2703 - Design and fabrication.

    Code of Federal Regulations, 2011 CFR

    2011-10-01

    ... 49 Transportation 3 2011-10-01 2011-10-01 false Design and fabrication. 193.2703 Section 193.2703...: FEDERAL SAFETY STANDARDS Personnel Qualifications and Training § 193.2703 Design and fabrication. For the design and fabrication of components, each operator shall use— (a) With respect to design, persons who...

  10. Fabrication of Large YBCO Superconducting Disks

    NASA Technical Reports Server (NTRS)

    Koczor, Ronald J.; Noever, David A.; Robertson, Glen A.

    1999-01-01

    We have undertaken fabrication of large bulk items to develop a repeatable process and to provide test articles in laboratory experiments investigating reported coupling of electromagnetic fields with the local gravity field in the presence of rotating superconducting disks. A successful process was developed which resulted in fabrication of 30 cm diameter annular disks. The disks were fabricated of the superconductor YBa2Cu3O(7-x). Various material parameters of the disks were measured.

  11. Process development for high-resolution 3D-printing of bioresorbable vascular stents

    NASA Astrophysics Data System (ADS)

    Ware, Henry Oliver T.; Farsheed, Adam C.; van Lith, Robert; Baker, Evan; Ameer, Guillermo; Sun, Cheng

    2017-02-01

    The recent development of "continuous projection microstereolithography" also known as CLIP technology has successfully alleviated the main obstacles surrounding 3D printing technologies: production speed and part quality. Following the same working principle, we further developed the μCLIP process to address the needs for high-resolution 3D printing of biomedical devices with micron-scale precision. Compared to standard stereolithography (SLA) process, μCLIP fabrication can reduce fabrication time from several hours to as little as a few minutes. μCLIP can also produce better surface finish and more uniform mechanical properties than conventional SLA, as each individual "fabrication layer" continuously polymerizes into the subsequent layer. In this study, we report the process development in manufacturing high-resolution bioresorbable stents using our own μCLIP system. The bioresorbable photopolymerizable biomaterial (B-ink) used in this study is methacrylated poly(1, 12 dodecamethylene citrate) (mPDC). Through optimization of our μCLIP process and concentration of B-ink components, we have created a customizable bioresorbable stent with similar mechanical properties exhibited by nitinol stents. Upon optimization, fabricating a 2 cm tall vascular stent that comprises 4000 layers was accomplished in 26.5 minutes.

  12. Antimicrobial fabrication of cotton fabric and leather using green-synthesized nanosilver.

    PubMed

    Velmurugan, Palanivel; Cho, Min; Lee, Sang-Myeong; Park, Jung-Hee; Bae, Sunyoung; Oh, Byung-Taek

    2014-06-15

    This study aims to investigate the green synthesis of silver nanoparticles (AgNPs) by Erigeron annuus (L.) pers flower extract as reducing and capping agent, and evaluation of their antibacterial activities for the first time. The obtained product was confirmed by UV-Vis spectrum, high resolution-transmission electron microscopy, energy-dispersive X-ray spectroscopy, Fourier transform infrared spectroscopy, and X-ray diffraction studies. The optimum AgNPs production was achieved at pH 7, metal silver (Ag(+) ion) concentration of 2.0mM, flower extract concentration 4%, and time 335 min. In addition, the antibacterial activity of cotton fabrics and tanned leather loaded with AgNPs, commercial AgNPs, flower extract, Ag(+) ion and blend of flower extract with AgNPs were evaluated against Gram-positive odor causing bacteria Brevibacterium linens and Staphylococcus epidermidis. The results showed maximum zone of inhibition (ZOI) by the cotton fabrics embedded with blend of flower extract and AgNPs against B. linens. The structure and morphology of cotton fabric and leather samples embedded with AgNPs, Ag(+) ion and blend of flower extract with AgNPs were examined under field emission scanning electron microscope. Copyright © 2014 Elsevier Ltd. All rights reserved.

  13. Process for fabrication of cermets

    DOEpatents

    Landingham, Richard L [Livermore, CA

    2011-02-01

    Cermet comprising ceramic and metal components and a molten metal infiltration method and process for fabrication thereof. The light weight cermets having improved porosity, strength, durability, toughness, elasticity fabricated from presintered ceramic powder infiltrated with a molten metal or metal alloy. Alumina titanium cermets biocompatible with the human body suitable for bone and joint replacements.

  14. Thin silicon-solar cell fabrication

    NASA Technical Reports Server (NTRS)

    Lindmayer, J.

    1979-01-01

    Flexible silicon slices of uniform thicknesses are fabricated by etching in sodium hydroxide solution. Maintaining uniform thickness across slices during process(fabrication) is important for cell strength and resistance to damage in handling. Slices formed by procedure have reproducible surface with fine orange peel texture, and are far superior to slices prepared by other methods.

  15. Large-Constraint-Length, Fast Viterbi Decoder

    NASA Technical Reports Server (NTRS)

    Collins, O.; Dolinar, S.; Hsu, In-Shek; Pollara, F.; Olson, E.; Statman, J.; Zimmerman, G.

    1990-01-01

    Scheme for efficient interconnection makes VLSI design feasible. Concept for fast Viterbi decoder provides for processing of convolutional codes of constraint length K up to 15 and rates of 1/2 to 1/6. Fully parallel (but bit-serial) architecture developed for decoder of K = 7 implemented in single dedicated VLSI circuit chip. Contains six major functional blocks. VLSI circuits perform branch metric computations, add-compare-select operations, and then store decisions in traceback memory. Traceback processor reads appropriate memory locations and puts out decoded bits. Used as building block for decoders of larger K.

  16. Holographically Fabricated Photonic Crystals with Large Reflectance

    DTIC Science & Technology

    2008-07-16

    CLASSIFICATION OF: We report reflection and transmission spectra from three-dimensional polymer photonic crystals fabricated by holographic...transmission spectra from three-dimensional polymer photonic crystals fabricated by holographic lithography. The measured peak reflectance matches that... polymer photonic crystals fabricated by holographic lithography. The measured peak reflectance matches that predicted by both a finite-difference time

  17. Multifunctional non-woven fabrics of interfused graphene fibres

    PubMed Central

    Li, Zheng; Xu, Zhen; Liu, Yingjun; Wang, Ran; Gao, Chao

    2016-01-01

    Carbon-based fibres hold promise for preparing multifunctional fabrics with electrical conductivity, thermal conductivity, permeability, flexibility and lightweight. However, these fabrics are of limited performance mainly because of the weak interaction between fibres. Here we report non-woven graphene fibre fabrics composed of randomly oriented and interfused graphene fibres with strong interfibre bonding. The all-graphene fabrics obtained through a wet-fusing assembly approach are porous and lightweight, showing high in-plane electrical conductivity up to ∼2.8 × 104 S m−1 and prominent thermal conductivity of ∼301.5 W m−1 K−1. Given the low density (0.22 g cm−3), their specific electrical and thermal conductivities set new records for carbon-based papers/fabrics and even surpass those of individual graphene fibres. The as-prepared fabrics are further used as ultrafast responding electrothermal heaters and durable oil-adsorbing felts, demonstrating their great potential as high-performance and multifunctional fabrics in real-world applications. PMID:27901022

  18. Digital fabrication of multi-material biomedical objects.

    PubMed

    Cheung, H H; Choi, S H

    2009-12-01

    This paper describes a multi-material virtual prototyping (MMVP) system for modelling and digital fabrication of discrete and functionally graded multi-material objects for biomedical applications. The MMVP system consists of a DMMVP module, an FGMVP module and a virtual reality (VR) simulation module. The DMMVP module is used to model discrete multi-material (DMM) objects, while the FGMVP module is for functionally graded multi-material (FGM) objects. The VR simulation module integrates these two modules to perform digital fabrication of multi-material objects, which can be subsequently visualized and analysed in a virtual environment to optimize MMLM processes for fabrication of product prototypes. Using the MMVP system, two biomedical objects, including a DMM human spine and an FGM intervertebral disc spacer are modelled and digitally fabricated for visualization and analysis in a VR environment. These studies show that the MMVP system is a practical tool for modelling, visualization, and subsequent fabrication of biomedical objects of discrete and functionally graded multi-materials for biomedical applications. The system may be adapted to control MMLM machines with appropriate hardware for physical fabrication of biomedical objects.

  19. Paving fabrics for reducing reflective cracking

    DOT National Transportation Integrated Search

    1991-11-01

    This research effort was part of ADOT's New Product Evaluation Program. The objective was to evaluate the construction and field performances of three commercially available paving fabrics; Paveprep, Glassgrid, and Tapecoat. The fabrics were designed...

  20. Paving fabrics for reducing reflective cracking

    DOT National Transportation Integrated Search

    1989-12-01

    This report documents the installation of three commercially available paving fabrics for the reduction of reflective cracking in asphalt overlays. The fabrics installed were Paveprep, Glassgrid, and Tapecoat. The test section is in Willcox, Arizona,...

  1. Interpreting the formation of bloodstains on selected apparel fabrics.

    PubMed

    de Castro, Therese; Nickson, Tania; Carr, Debra; Knock, Clare

    2013-01-01

    Bloodstain pattern analysis (BPA) is the investigation and interpretation of blood deposited at crime scenes. However, the interaction of blood and apparel fabrics has not been widely studied. In this work, the development of bloodstains (passive, absorbed and transferred) dropped from three different heights (500, 1,000, 1,500 mm) on two cotton apparel fabrics (1 × 1 rib knit, drill) was investigated. High-speed video was used to investigate the interaction of the blood and fabric at impact. The effect of drop height on the development of passive, absorbed and transferred bloodstains was investigated using image analysis and statistical tools. Visually, the passive bloodstain patterns produced on the technical face of fabrics from the different drop heights were similar. The blood soaked unequally through to the technical rear of both fabrics. Very little blood was transferred between a bloody fabric and a second piece of fabric. Statistically, drop height did not affect the size of the parent bloodstain (wet or dry), but did affect the number of satellite bloodstains formed. Some differences between the two fabrics were noted, therefore fabric structure and properties must be considered when conducting BPA on apparel fabrics.

  2. Crimp-Imbalanced Protective (CRIMP) Fabrics: An Analytical Investigation into the Relationship Between Crimp Contents, Energy Absorption, and Fabric Ballistic Performance

    DTIC Science & Technology

    2010-09-15

    migration and yarn stretching. These mechanisms relate to the force required to pull a yarn out from the fabric. If the fabric is made of low...the following assumptions were made : The fabric architecture is plain-woven. The yarns have a circular cross section with diameter D equal to 1.0... Bulletproof Aramid Fabric," Journal of Materials Science, vol. 32, pp. 4167-4173, 1997. 16. D. A. Shockey, D. C. Erlich, and J. W. Simons, "Improved

  3. Recent Advances in 3D Printing of Aliphatic Polyesters

    PubMed Central

    Frone, Adriana Nicoleta; Brandabur, Călin

    2017-01-01

    3D printing represents a valuable alternative to traditional processing methods, clearly demonstrated by the promising results obtained in the manufacture of various products, such as scaffolds for regenerative medicine, artificial tissues and organs, electronics, components for the automotive industry, art objects and so on. This revolutionary technique showed unique capabilities for fabricating complex structures, with precisely controlled physical characteristics, facile tunable mechanical properties, biological functionality and easily customizable architecture. In this paper, we provide an overview of the main 3D-printing technologies currently employed in the case of poly (lactic acid) (PLA) and polyhydroxyalkanoates (PHA), two of the most important classes of thermoplastic aliphatic polyesters. Moreover, a short presentation of the main 3D-printing methods is briefly discussed. Both PLA and PHA, in the form of filaments or powder, proved to be suitable for the fabrication of artificial tissue or scaffolds for bone regeneration. The processability of PLA and PHB blends and composites fabricated through different 3D-printing techniques, their final characteristics and targeted applications in bioengineering are thoroughly reviewed. PMID:29295559

  4. Processing and Characterization of a Novel Distributed Strain Sensor Using Carbon Nanotube-Based Nonwoven Composites

    PubMed Central

    Dai, Hongbo; Thostenson, Erik T.; Schumacher, Thomas

    2015-01-01

    This paper describes the development of an innovative carbon nanotube-based non-woven composite sensor that can be tailored for strain sensing properties and potentially offers a reliable and cost-effective sensing option for structural health monitoring (SHM). This novel strain sensor is fabricated using a readily scalable process of coating Carbon nanotubes (CNT) onto a nonwoven carrier fabric to form an electrically-isotropic conductive network. Epoxy is then infused into the CNT-modified fabric to form a free-standing nanocomposite strain sensor. By measuring the changes in the electrical properties of the sensing composite the deformation can be measured in real-time. The sensors are repeatable and linear up to 0.4% strain. Highest elastic strain gage factors of 1.9 and 4.0 have been achieved in the longitudinal and transverse direction, respectively. Although the longitudinal gage factor of the newly formed nanocomposite sensor is close to some metallic foil strain gages, the proposed sensing methodology offers spatial coverage, manufacturing customizability, distributed sensing capability as well as transverse sensitivity. PMID:26197323

  5. Recent Advances in 3D Printing of Aliphatic Polyesters.

    PubMed

    Chiulan, Ioana; Frone, Adriana Nicoleta; Brandabur, Călin; Panaitescu, Denis Mihaela

    2017-12-24

    3D printing represents a valuable alternative to traditional processing methods, clearly demonstrated by the promising results obtained in the manufacture of various products, such as scaffolds for regenerative medicine, artificial tissues and organs, electronics, components for the automotive industry, art objects and so on. This revolutionary technique showed unique capabilities for fabricating complex structures, with precisely controlled physical characteristics, facile tunable mechanical properties, biological functionality and easily customizable architecture. In this paper, we provide an overview of the main 3D-printing technologies currently employed in the case of poly (lactic acid) (PLA) and polyhydroxyalkanoates (PHA), two of the most important classes of thermoplastic aliphatic polyesters. Moreover, a short presentation of the main 3D-printing methods is briefly discussed. Both PLA and PHA, in the form of filaments or powder, proved to be suitable for the fabrication of artificial tissue or scaffolds for bone regeneration. The processability of PLA and PHB blends and composites fabricated through different 3D-printing techniques, their final characteristics and targeted applications in bioengineering are thoroughly reviewed.

  6. Preparation and dyeing of super hydrophilic polyethylene terephthalate fabric

    NASA Astrophysics Data System (ADS)

    Zheng, D. D.; Zhou, J. F.; Xu, F.; Zhang, F. X.; Zhang, G. X.

    2016-07-01

    In this study, the dyeing properties of PET fabrics modified with sulfuric acid was investigated using disperse red E-4B and disperse blue 2BLNG-L at high temperature and high pressure. The results revealed that the sulfuric acid modification improved the K/S value of dyeing PET fabrics, and the modified PET fabric could be dyed uniformly. The a, b, C, L and H of modified PET fabric were almost the same as that of original PET fabric. The water contact angles were still 0o after 10s, indicating that the hydrophilic property of modified PET fabrics still kept excellent. The wash fastness of dyed PET fabrics after modification was generally good.

  7. Fabrication of self-aligned, nanoscale, complex oxide varactors

    NASA Astrophysics Data System (ADS)

    Fu, Richard X.; Toonen, Ryan C.; Hirsch, Samuel G.; Ivill, Mathew P.; Cole, Melanie W.; Strawhecker, Kenneth E.

    2015-01-01

    Applications in ferroelectric random access memory and superparaelectric devices require the fabrication of ferroelectric capacitors at the nanoscale that exhibit extremely small leakage currents. To systematically study the material-size dependence of ferroelectric varactor performance, arrays of parallel-plate structures have been fabricated with nanoscale dielectric diameters. Electron beam lithography and inductively coupled plasma dry etching have been used to fabricate arrays of ferroelectric varactors using top electrodes as a self-aligned etch mask. Parallel-plate test structures using RF-sputtered Ba0.6Sr0.4TiO3 thin-films were used to optimize the fabrication process. Varactors with diameters down to 20 nm were successfully fabricated. Current-voltage (I-V) characteristics were measured to evaluate the significance of etch-damage and fabrication quality by ensuring low leakage currents through the structures.

  8. Fabrication of magnetic bubble memory overlay

    NASA Technical Reports Server (NTRS)

    1973-01-01

    Self-contained magnetic bubble memory overlay is fabricated by process that employs epitaxial deposition to form multi-layered complex of magnetically active components on single chip. Overlay fabrication comprises three metal deposition steps followed by subtractive etch.

  9. Vapor-liquid interfacial reaction to fabricate superhydrophilic and underwater superoleophobic thiol-ene/silica hybrid decorated fabric for oil/water separation

    NASA Astrophysics Data System (ADS)

    Li, Hongqiang; Liang, Tao; Lai, Xuejun; Su, Xiaojing; Zhang, Lin; Zeng, Xingrong

    2018-01-01

    With oil spill accidents and oil industrial wastewater increasing, oil/water separation has attracted much attention in recent years. Herein, we report the fabrication of superhydrophilic and underwater superoleophobic thiol-ene/silica hybrid decorated fabrics for oil/water separation via vapor-liquid interfacial reaction. It is based on sol-gel reaction of tetraethyl orthosilicate (TEOS) to generate silica and thiol-ene reaction between poly(ethylene glycol) dimethacrylate (PEGDMA) and trimethylolpropane tris(3-mercaptopropionate) (TTMP) to form crosslinked hydrophilic polymer on polyester fabric under the catalysis of butylamine/ammonia vapor. The chemical structure of the surfaces on thiol-ene/silica hybrid decorated fabric was confirmed by FTIR and XPS, and obvious micro-nano morphology and roughness were observed with SEM and AFM. The water contact angle of the fabric attained 0° in 0.36 s, and the underwater oil contact angle reached up to 160°. Importantly, the fabric exhibited high separation efficiency at 99.5%, fast water flux above 71600 Lm-2h-1 and excellent recyclability in oil/water separation. Our findings open a new strategy to fabricate organic-inorganic hybrid superhydrophobic and underwater superoleophobic materials for oil/water separation.

  10. Fabrication of superhydrophobic and antibacterial surface on cotton fabric by doped silica-based sols with nanoparticles of copper

    PubMed Central

    2011-01-01

    The study discussed the synthesis of silica sol using the sol-gel method, doped with two different amounts of Cu nanoparticles. Cotton fabric samples were impregnated by the prepared sols and then dried and cured. To block hydroxyl groups, some samples were also treated with hexadecyltrimethoxysilane. The average particle size of colloidal silica nanoparticles were measured by the particle size analyzer. The morphology, roughness, and hydrophobic properties of the surface fabricated on cotton samples were analyzed and compared via the scanning electron microscopy, the transmission electron microscopy, the scanning probe microscopy, with static water contact angle (SWC), and water shedding angle measurements. Furthermore, the antibacterial efficiency of samples was quantitatively evaluated using AATCC 100 method. The addition of 0.5% (wt/wt) Cu into silica sol caused the silica nanoparticles to agglomerate in more grape-like clusters on cotton fabrics. Such fabricated surface revealed the highest value of SWC (155° for a 10-μl droplet) due to air trapping capability of its inclined structure. However, the presence of higher amounts of Cu nanoparticles (2% wt/wt) in silica sol resulted in the most slippery smooth surface on cotton fabrics. All fabricated surfaces containing Cu nanoparticles showed the perfect antibacterial activity against both of gram-negative and gram-positive bacteria. PMID:22085594

  11. Fabrication of capsule assemblies, phase 3

    NASA Technical Reports Server (NTRS)

    Keeton, A. R.; Stemann, L. G.

    1973-01-01

    Thirteen capsule assemblies were fabricated for evaluation of fuel pin design concepts for a fast spectrum lithium cooled compact space power reactor. These instrumented assemblies were designed for real time test of prototype fuel pins. Uranium mononitride fuel pins were encased in AISI 304L stainless steel capsules. Fabrication procedures were fully qualified by process development and assembly qualification tests. Instrumentation reliability was achieved utilizing specially processed and closely controlled thermocouple hot zone fabrication and by thermal screening tests. Overall capsule reliability was achieved with an all electron beam welded assembly.

  12. Tactile Fabric Panel in an Eight Zones Structure

    PubMed Central

    Alsina, Maria; Escudero, Francesc; Margalef, Jordi; Luengo, Sonia

    2007-01-01

    By introducing a percentage of conductive material during the manufacture of sewing thread, it is possible to obtain a fabric which is able to detect variations in pressure in certain areas. In previous experiments the existence of resistance variations has been demonstrated, although some constrains of cause and effect were found in the fabric. The research has been concentrated in obtaining a fabric that allows electronic detection of its shape changes. Additionally, and because a causal behavior is needed, it is necessary that the fabric recovers its original shape when the external forces cease. The structure of the fabric varies with the type of deformation applied. Two kinds of deformation are described: those caused by stretching and those caused by pressure. This last type of deformation gives different responses depending on the conductivity of the object used to cause the pressure. This effect is related to the type of thread used to manufacture the fabric. So, if the pressure is caused by a finger the response is different compared to the response caused by a conductive object. Another fact that has to be mentioned is that a pressure in a specific point of the fabric can affect other detection points depending on the force applied. This effect is related to the fabric structure. The goals of this article are validating the structure of the fabric used, as well as the study of the two types of deformation mentioned before. PMID:28903272

  13. Integrated optical circuits for numerical computation

    NASA Technical Reports Server (NTRS)

    Verber, C. M.; Kenan, R. P.

    1983-01-01

    The development of integrated optical circuits (IOC) for numerical-computation applications is reviewed, with a focus on the use of systolic architectures. The basic architecture criteria for optical processors are shown to be the same as those proposed by Kung (1982) for VLSI design, and the advantages of IOCs over bulk techniques are indicated. The operation and fabrication of electrooptic grating structures are outlined, and the application of IOCs of this type to an existing 32-bit, 32-Mbit/sec digital correlator, a proposed matrix multiplier, and a proposed pipeline processor for polynomial evaluation is discussed. The problems arising from the inherent nonlinearity of electrooptic gratings are considered. Diagrams and drawings of the application concepts are provided.

  14. Method for Fabricating Composite Structures Using Pultrusion Processing

    NASA Technical Reports Server (NTRS)

    Farley, Gary L. (Inventor)

    2000-01-01

    A method for fabricating composite structures at a low-cost, moderate-to-high production rate. A first embodiment of the method includes employing a continuous press forming fabrication process. A second embodiment of the method includes employing a pultrusion process for obtaining composite structures. The methods include coating yarns with matrix material, weaving the yarn into fabric to produce a continuous fabric supply and feeding multiple layers of net-shaped fabrics having optimally oriented fibers into a debulking tool to form an undebulked preform. The continuous press forming fabrication process includes partially debulking the preform, cutting the partially debulked preform and debulking the partially debulked preform to form a net-shape. An electron-beam or similar technique then cures the structure. The pultrusion fabric process includes feeding the undebulked preform into a heated die and gradually debulking the undebulked preform. The undebulked preform in the heated die changes dimension until a desired cross-sectional dimension is achieved. This process further includes obtaining a net-shaped infiltrated uncured preform, cutting the uncured preform to a desired length and electron-beam curing (or similar technique) the uncured preform. These fabrication methods produce superior structures formed at higher production rates, resulting in lower cost and high structural performance.

  15. Method for Fabricating Composite Structures Using Pultrusion Processing

    NASA Technical Reports Server (NTRS)

    Farley, Gary L. (Inventor)

    2000-01-01

    A method for fabricating composite structures at a low-cost, moderate-to-high production rate. A first embodiment of the method includes employing a continuous press forming fabrication process. A second embodiment of the method includes employing a pultrusion process for obtaining composite structures. The methods include coating yarns with matrix material, weaving the yarn into fabric to produce a continuous fabric supply and feeding multiple layers of net-shaped fabrics having optimally oriented fibers into a debulking tool to form an undebulked preform. The continuous press forming fabrication process includes partially debulking the preform, cutting the partially debulked preform and debulking the partially debulked preform to form a netshape. An electron-beam or similar technique then cures the structure. The pultrusion fabric process includes feeding the undebulked preform into a heated die and gradually debulking the undebulked preform. The undebulked preform in the heated die changes dimension until a desired cross-sectional dimension is achieved. This process further includes obtaining a net-shaped infiltrated uncured preform, cutting the uncured preform to a desired length and electronbeam curing (or similar technique) the uncured preform. These fabrication methods produce superior structures formed at higher production rates, resulting in lower cost and high structural performance.

  16. The testing of balloon fabrics

    NASA Technical Reports Server (NTRS)

    Edwards, Junius David; Moore, Irwin L

    1920-01-01

    Report describes methods and materials used in waterproofing and fireproofing airplane fabrics using dopes. The determination of the probable life of a balloon fabric in service by experimental means is of great value in choosing the most suitable fabrics for a given purpose and in pointing the way to improvements in compounding and construction. The usefulness of exposure to the weather for this purpose has been amply demonstrated. Various attempts have been made to reproduce by artificial means the conditions promoting deterioration in service, but without marked success. Exposure to the weather remains the most satisfactory method for this purpose, and a consideration of the characteristics of such tests is therefore important. This report presents the results of a typical series of exposure tests made in 1917.

  17. Electromagnetic micropores: fabrication and operation.

    PubMed

    Basore, Joseph R; Lavrik, Nickolay V; Baker, Lane A

    2010-12-21

    We describe the fabrication and characterization of electromagnetic micropores. These devices consist of a micropore encompassed by a microelectromagnetic trap. Fabrication of the device involves multiple photolithographic steps, combined with deep reactive ion etching and subsequent insulation steps. When immersed in an electrolyte solution, application of a constant potential across the micropore results in an ionic current. Energizing the electromagnetic trap surrounding the micropore produces regions of high magnetic field gradients in the vicinity of the micropore that can direct motion of a ferrofluid onto or off of the micropore. This results in dynamic gating of the ion current through the micropore structure. In this report, we detail fabrication and characterize the electrical and ionic properties of the prepared electromagnetic micropores.

  18. Nanoimprint lithography for nanodevice fabrication

    NASA Astrophysics Data System (ADS)

    Barcelo, Steven; Li, Zhiyong

    2016-09-01

    Nanoimprint lithography (NIL) is a compelling technique for low cost nanoscale device fabrication. The precise and repeatable replication of nanoscale patterns from a single high resolution patterning step makes the NIL technique much more versatile than other expensive techniques such as e-beam or even helium ion beam lithography. Furthermore, the use of mechanical deformation during the NIL process enables grayscale lithography with only a single patterning step, not achievable with any other conventional lithography techniques. These strengths enable the fabrication of unique nanoscale devices by NIL for a variety of applications including optics, plasmonics and even biotechnology. Recent advances in throughput and yield in NIL processes demonstrate the potential of being adopted for mainstream semiconductor device fabrication as well.

  19. Three-Dimensional-Bioprinted Dopamine-Based Matrix for Promoting Neural Regeneration.

    PubMed

    Zhou, Xuan; Cui, Haitao; Nowicki, Margaret; Miao, Shida; Lee, Se-Jun; Masood, Fahed; Harris, Brent T; Zhang, Lijie Grace

    2018-03-14

    Central nerve repair and regeneration remain challenging problems worldwide, largely because of the extremely weak inherent regenerative capacity and accompanying fibrosis of native nerves. Inadequate solutions to the unmet needs for clinical therapeutics encourage the development of novel strategies to promote nerve regeneration. Recently, 3D bioprinting techniques, as one of a set of valuable tissue engineering technologies, have shown great promise toward fabricating complex and customizable artificial tissue scaffolds. Gelatin methacrylate (GelMA) possesses excellent biocompatible and biodegradable properties because it contains many arginine-glycine-aspartic acids (RGD) and matrix metalloproteinase sequences. Dopamine (DA), as an essential neurotransmitter, has proven effective in regulating neuronal development and enhancing neurite outgrowth. In this study, GelMA-DA neural scaffolds with hierarchical structures were 3D-fabricated using our custom-designed stereolithography-based printer. DA was functionalized on GelMA to synthesize a biocompatible printable ink (GelMA-DA) for improving neural differentiation. Additionally, neural stem cells (NSCs) were employed as the primary cell source for these scaffolds because of their ability to terminally differentiate into a variety of cell types including neurons, astrocytes, and oligodendrocytes. The resultant GelMA-DA scaffolds exhibited a highly porous and interconnected 3D environment, which is favorable for supporting NSC growth. Confocal microscopy analysis of neural differentiation demonstrated that a distinct neural network was formed on the GelMA-DA scaffolds. In particular, the most significant improvements were the enhanced neuron gene expression of TUJ1 and MAP2. Overall, our results demonstrated that 3D-printed customizable GelMA-DA scaffolds have a positive role in promoting neural differentiation, which is promising for advancing nerve repair and regeneration in the future.

  20. Lightweight, variable solidity knitted parachute fabric. [for aerodynamic decelerators

    NASA Technical Reports Server (NTRS)

    Matthews, F. R., Jr.; White, E. C. (Inventor)

    1973-01-01

    A parachute fabric for aerodynamic decelerator applications is described. The fabric will permit deployment of the decelerator at high altitudes and low density conditions. The fabric consists of lightweight, highly open, circular knitted parachute fabric with ribbon-like yarns to assist in air deflection.

  1. Composite metal foil and ceramic fabric materials

    DOEpatents

    Webb, B.J.; Antoniak, Z.I.; Prater, J.T.; DeSteese, J.G.

    1992-03-24

    The invention comprises new materials useful in a wide variety of terrestrial and space applications. In one aspect, the invention comprises a flexible cloth-like material comprising a layer of flexible woven ceramic fabric bonded with a layer of metallic foil. In another aspect, the invention includes a flexible fluid impermeable barrier comprising a flexible woven ceramic fabric layer having metal wire woven therein. A metallic foil layer is incontinuously welded to the woven metal wire. In yet another aspect, the invention includes a material comprising a layer of flexible woven ceramic fabric bonded with a layer of an organic polymer. In still another aspect, the invention includes a rigid fabric structure comprising a flexible woven ceramic fabric and a resinous support material which has been hardened as the direct result of exposure to ultraviolet light. Inventive methods for producing such material are also disclosed. 11 figs.

  2. Three dimensional fabric evolution of sheared sand

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hasan, Alsidqi; Alshibli, Khalid

    2012-10-24

    Granular particles undergo translation and rolling when they are sheared. This paper presents a three-dimensional (3D) experimental assessment of fabric evolution of sheared sand at the particle level. F-75 Ottawa sand specimen was tested under an axisymmetric triaxial loading condition. It measured 9.5 mm in diameter and 20 mm in height. The quantitative evaluation was conducted by analyzing 3D high-resolution x-ray synchrotron micro-tomography images of the specimen at eight axial strain levels. The analyses included visualization of particle translation and rotation, and quantification of fabric orientation as shearing continued. Representative individual particles were successfully tracked and visualized to assess themore » mode of interaction between them. This paper discusses fabric evolution and compares the evolution of particles within and outside the shear band as shearing continues. Changes in particle orientation distributions are presented using fabric histograms and fabric tensor.« less

  3. Optimizing Double-Network Hydrogel for Biomedical Soft Robots.

    PubMed

    Banerjee, Hritwick; Ren, Hongliang

    2017-09-01

    Double-network hydrogel with standardized chemical parameters demonstrates a reasonable and viable alternative to silicone in soft robotic fabrication due to its biocompatibility, comparable mechanical properties, and customizability through the alterations of key variables. The most viable hydrogel sample in our article shows tensile strain of 851% and maximum tensile strength of 0.273 MPa. The elasticity and strength range of this hydrogel can be customized according to application requirements by simple alterations in the recipe. Furthermore, we incorporated Agar/PAM hydrogel into our highly constrained soft pneumatic actuator (SPA) design and eventually produced SPAs with escalated capabilities, such as larger range of motion, higher force output, and power efficiency. Incorporating SPAs made of Agar/PAM hydrogel resulted in low viscosity, thermos-reversibility, and ultralow elasticity, which we believe can help to combine with the other functions of hydrogel, tailoring a better solution for fabricating biocompatible soft robots.

  4. Enabling complex nanoscale pattern customization using directed self-assembly.

    PubMed

    Doerk, Gregory S; Cheng, Joy Y; Singh, Gurpreet; Rettner, Charles T; Pitera, Jed W; Balakrishnan, Srinivasan; Arellano, Noel; Sanders, Daniel P

    2014-12-16

    Block copolymer directed self-assembly is an attractive method to fabricate highly uniform nanoscale features for various technological applications, but the dense periodicity of block copolymer features limits the complexity of the resulting patterns and their potential utility. Therefore, customizability of nanoscale patterns has been a long-standing goal for using directed self-assembly in device fabrication. Here we show that a hybrid organic/inorganic chemical pattern serves as a guiding pattern for self-assembly as well as a self-aligned mask for pattern customization through cotransfer of aligned block copolymer features and an inorganic prepattern. As informed by a phenomenological model, deliberate process engineering is implemented to maintain global alignment of block copolymer features over arbitrarily shaped, 'masking' features incorporated into the chemical patterns. These hybrid chemical patterns with embedded customization information enable deterministic, complex two-dimensional nanoscale pattern customization through directed self-assembly.

  5. New Comprehensive System to Construct Speleothem Fabrics Time Series

    NASA Astrophysics Data System (ADS)

    Frisia, S.; Borsato, A.

    2014-12-01

    Speleothem fabrics record processes that influence the way geochemical proxy data are encoded in speleothems, yet, there has been little advance in the use of fabrics as a complement to palaeo-proxy datasets since the fabric classification proposed by us in 2010. The systematic use of fabrics documentation in speleothem science has been limited by the absence of a comprehensive, numerical system that would allow constructing fabric time series comparable with the widely used geochemical time series. Documentation of speleothem fabrics is fundamental for a robust interpretation of speleothem time series where stable isotopes and trace elements are used as proxy, because fabrics highlight depositional as well as post-depositional processes whose understanding complements reconstructions based on geochemistry. Here we propose a logic system allowing transformation of microscope observations into numbers tied to acronyms that specify each fabric type and subtype. The rationale for ascribing progressive numbers to fabrics is based on the most up-to-date growth models. In this conceptual framework, the progression reflects hydrological conditions, bio-mediation and diagenesis. The lowest numbers are given to calcite fabrics formed at relatively constant drip rates: the columnar types (compact and open). Higher numbers are ascribed to columnar fabrics characterized by presence of impurities that cause elongation or lattice distortion (Elongated, Fascicular Optic and Radiaxial calcites). The sequence progresses with the dendritic fabrics, followed by micrite (M), which has been observed in association with microbial films. Microsparite (Ms) and mosaic calcite (Mc) have the highest numbers, being considered as diagenetic. Acronyms and subfixes are intended to become universally acknowledged. Thus, fabrics can be plotted vs. age to yield time series, where numbers are replaced by the acronyms. This will result in a visual representation of climate- or environmental

  6. Systematic investigation of drip stains on apparel fabrics: The effects of prior-laundering, fibre content and fabric structure on final stain appearance.

    PubMed

    de Castro, Therese C; Taylor, Michael C; Kieser, Jules A; Carr, Debra J; Duncan, W

    2015-05-01

    Bloodstain pattern analysis is the investigation of blood deposited at crime scenes and the interpretation of that pattern. The surface that the blood gets deposited onto could distort the appearance of the bloodstain. The interaction of blood and apparel fabrics is in its infancy, but the interaction of liquids and apparel fabrics has been well documented and investigated in the field of textile science (e.g. the processes of wetting and wicking of fluids on fibres, yarns and fabrics). A systematic study on the final appearance of drip stains on torso apparel fabrics (100% cotton plain woven, 100% polyester plain woven, blend of polyester and cotton plain woven and 100% cotton single jersey knit) that had been laundered for six, 26 and 52 cycles prior to testing was investigated in the paper. The relationship between drop velocity (1.66±0.50m/s, 4.07±0.03m/s, 5.34±0.18m/s) and the stain characteristics (parent stain area, axes 1 and 2 and number of satellite stains) for each fabric was examined using analysis of variance. The experimental design and effect of storing blood were investigated on a reference sample, which indicated that the day (up to five days) at which the drops were generated did not affect the bloodstain. The effect of prior-laundering (six, 26 and 52 laundering cycles), fibre content (cotton vs. polyester vs. blend) and fabric structure (plain woven vs. single jersey knit) on the final appearance of the bloodstain were investigated. Distortion in the bloodstains produced on non-laundered fabrics indicated the importance of laundering fabrics to remove finishing treatments before conducting bloodstain experiments. For laundered fabrics, both the cotton fabrics and the blend had a circular to oval stain appearance, while the polyester fabric had a circular appearance with evidence of spread along the warp and weft yarns, which resulted in square-like stains at the lowest drop velocity. A significant (p<0.001) increase in the stain size on

  7. Transfer of bacteria from fabrics to hands and other fabrics: development and application of a quantitative method using Staphylococcus aureus as a model.

    PubMed

    Sattar, S A; Springthorpe, S; Mani, S; Gallant, M; Nair, R C; Scott, E; Kain, J

    2001-06-01

    To develop and apply a quantitative protocol for assessing the transfer of bacteria from bleached and undyed fabrics of 100% cotton and 50% cotton + 50% polyester (poly cotton) to fingerpads or other pieces of fabric. Test pieces of the fabrics were mounted on custom-made stainless steel carriers to give a surface area of 1 cm in diameter, and each piece seeded with about 10(5) cfu of Staphylococcus aureus from an overnight broth culture; the inoculum contained 5% fetal bovine serum as the soil load. Transfer from fabric to fabric was performed by direct contact using moist and dry fabrics. Transfers from fabrics to fingerpads of adult volunteers were tested using moist, dry and re-moistened pieces of the fabrics, with or without friction during the contact. Bacterial transfer from fabrics to moistened fingerpads was also studied. All the transfers were conducted under ambient conditions at an applied pressure of 0.2 kg cm(-2). After the transfer, the recipient fingerpads or fabric pieces were eluted, the eluates spread-plated, along with appropriate controls, on tryptic soy agar and the percentage transfer calculated after the incubation of the plates for 24 h at 37 degrees C. Bacterial transfer from moist donor fabrics using recipients with moisture was always higher than that to and from dry ones. Friction increased the level of transfer from fabrics to fingerpads by as much as fivefold. Bacterial transfer from poly cotton was consistently higher when compared with that from all-cotton material. The data generated should help in the development of better models to assess the role fabrics may play as vehicles for infectious agents. Also, the basic design of the reported methodology lends itself to work with other types of human pathogens.

  8. Fabrication of submicron proteinaceous structures by direct laser writing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Serien, Daniela; Takeuchi, Shoji, E-mail: takeuchi@iis.u-tokyo.ac.jp; ERATO Takeuchi Biohybrid Innovation Project, Japan Science and Technology Agency, 4-6-1 Komaba, Meguro-ku, 153-8505 Tokyo

    In this paper, we provide a characterization of truly free-standing proteinaceous structures with submicron feature sizes depending on the fabrication conditions by model-based analysis. Protein cross-linking of bovine serum albumin is performed by direct laser writing and two-photon excitation of flavin adenine dinucleotide. We analyze the obtainable fabrication resolution and required threshold energy for polymerization. The applied polymerization model allows prediction of fabrication conditions and resulting fabrication size, alleviating the application of proteinaceous structure fabrication.

  9. Silicone nanocomposite coatings for fabrics

    NASA Technical Reports Server (NTRS)

    Lee, Stein S. (Inventor); Ou, Runqing (Inventor); Eberts, Kenneth (Inventor); Singhal, Amit (Inventor)

    2011-01-01

    A silicone based coating for fabrics utilizing dual nanocomposite fillers providing enhanced mechanical and thermal properties to the silicone base. The first filler includes nanoclusters of polydimethylsiloxane (PDMS) and a metal oxide and a second filler of exfoliated clay nanoparticles. The coating is particularly suitable for inflatable fabrics used in several space, military, and consumer applications, including airbags, parachutes, rafts, boat sails, and inflatable shelters.

  10. Precision engineering center. 1988 Annual report, Volume VI

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dow, T.; Fornaro, R.; Keltie, R.

    To reverse the downward trend in the balance of trade, American companies must concentrate on increasing research into new products, boosting productivity, and improving manufacturing processes. The Precision Engineering Center at North Carolina State University is a multidisciplinary research and graduate education program dedicated to providing the new technology necessary to respond to this challenge. One extremely demanding manufacturing area is the fabrication and assembly of optical systems. These systems are at the heart of such consumer products as cameras, lenses, copy machines, laser bar-code scanners, VCRs, and compact audio discs - products that the Japanese and other East Asianmore » countries are building dominance. A second critical area is the fabrication of VLSI and ULSI circuits. The tolerances required to produce the next generation of components for such systems have created the need for new approaches - approaches that could either make or break America`s competitive position. This report contains individual reports on research projects grouped into three broad areas: measurement and actuation; real-time control; precision fabrication. Separate abstracts for these articles have been indexed into the energy database.« less

  11. Meissner-levitated micro-systems

    NASA Astrophysics Data System (ADS)

    Coombs, T. A.; Samad, I.; Hong, Z.; Eves, D.; Rastogi, A.

    2006-06-01

    Advanced silicon processing techniques developed for the Very Large Scale Integration (VLSI) industry have been exploited in recent years to enable the production of micro-fabricated moving mechanical systems known as Micro Electro Mechanical Systems (MEMS). These devices offer advantages in terms of cost, scalability and robustness over their preceding equivalents. Cambridge University have worked for many years on the investigation of high temperature superconductors (HTS) in flywheel energy storage applications. This experience is now being used to research into superconducting Micro-Bearings for MEMS, whereby circular permanent magnet arrays are levitated and spun above a superconductor to produce bearings suitable for motors and other micron scale devices. The novelty in the device lies in the fact that the rotor is levitated into position by Meissner flux exclusion, whilst stability is provided by flux pinned within the body of the superconductor. This work includes: the investigation of the properties of various magnetic materials, their fabrication processes and their suitability for MEMS; finite element analysis to analyse the interaction between the magnetic materials and YBCO to determine the stiffness and height of levitation. Finally a micro-motor with the above principles is currently being fabricated within the group.

  12. Advanced composites: Fabrication processes for selected resin matrix materials

    NASA Technical Reports Server (NTRS)

    Welhart, E. K.

    1976-01-01

    This design note is based on present state of the art for epoxy and polyimide matrix composite fabrication technology. Boron/epoxy and polyimide and graphite/epoxy and polyimide structural parts can be successfully fabricated. Fabrication cycles for polyimide matrix composites have been shortened to near epoxy cycle times. Nondestructive testing has proven useful in detecting defects and anomalies in composite structure elements. Fabrication methods and tooling materials are discussed along with the advantages and disadvantages of different tooling materials. Types of honeycomb core, material costs and fabrication methods are shown in table form for comparison. Fabrication limits based on tooling size, pressure capabilities and various machining operations are also discussed.

  13. Nanoscale fabrication using single-ion impacts

    NASA Astrophysics Data System (ADS)

    Millar, Victoria; Pakes, Chris I.; Cimmino, Alberto; Brett, David; Jamieson, David N.; Prawer, Steven D.; Yang, Changyi; Rout, Bidhudutta; McKinnon, Rita P.; Dzurak, Andrew S.; Clark, Robert G.

    2001-11-01

    We describe a novel technique for the fabrication of nanoscale structures, based on the development of localized chemical modification caused in a PMMA resist by the implantation of single ions. The implantation of 2 MeV He ions through a thin layer of PMMA into an underlying silicon substrate causes latent damage in the resist. On development of the resist we demonstrate the formation within the PMMA layer of clearly defined etched holes, of typical diameter 30 nm, observed using an atomic force microscope employing a carbon nanotube SPM probe in intermittent-contact mode. This technique has significant potential applications. Used purely to register the passage of an ion, it may be a useful verification of the impact sites in an ion-beam modification process operating at the single-ion level. Furthermore, making use of the hole in the PMMA layer to perform subsequent fabrication steps, it may be applied to the fabrication of self-aligned structures in which surface features are fabricated directly above regions of an underlying substrate that are locally doped by the implanted ion. Our primary interest in single-ion resists relates to the development of a solid-state quantum computer based on an array of 31P atoms (which act as qubits) embedded with nanoscale precision in a silicon matrix. One proposal for the fabrication of such an array is by phosphorous-ion implantation. A single-ion resist would permit an accurate verification of 31P implantation sites. Subsequent metalisation of the latent damage may allow the fabrication of self-aligned metal gates above buried phosphorous atoms.

  14. One-step fabrication of multifunctional micromotors

    NASA Astrophysics Data System (ADS)

    Gao, Wenlong; Liu, Mei; Liu, Limei; Zhang, Hui; Dong, Bin; Li, Christopher Y.

    2015-08-01

    Although artificial micromotors have undergone tremendous progress in recent years, their fabrication normally requires complex steps or expensive equipment. In this paper, we report a facile one-step method based on an emulsion solvent evaporation process to fabricate multifunctional micromotors. By simultaneously incorporating various components into an oil-in-water droplet, upon emulsification and solidification, a sphere-shaped, asymmetric, and multifunctional micromotor is formed. Some of the attractive functions of this model micromotor include autonomous movement in high ionic strength solution, remote control, enzymatic disassembly and sustained release. This one-step, versatile fabrication method can be easily scaled up and therefore may have great potential in mass production of multifunctional micromotors for a wide range of practical applications.Although artificial micromotors have undergone tremendous progress in recent years, their fabrication normally requires complex steps or expensive equipment. In this paper, we report a facile one-step method based on an emulsion solvent evaporation process to fabricate multifunctional micromotors. By simultaneously incorporating various components into an oil-in-water droplet, upon emulsification and solidification, a sphere-shaped, asymmetric, and multifunctional micromotor is formed. Some of the attractive functions of this model micromotor include autonomous movement in high ionic strength solution, remote control, enzymatic disassembly and sustained release. This one-step, versatile fabrication method can be easily scaled up and therefore may have great potential in mass production of multifunctional micromotors for a wide range of practical applications. Electronic supplementary information (ESI) available: Videos S1-S4 and Fig. S1-S3. See DOI: 10.1039/c5nr03574k

  15. 3D bioprinting for vascularized tissue fabrication

    PubMed Central

    Richards, Dylan; Jia, Jia; Yost, Michael; Markwald, Roger; Mei, Ying

    2016-01-01

    3D bioprinting holds remarkable promise for rapid fabrication of 3D tissue engineering constructs. Given its scalability, reproducibility, and precise multi-dimensional control that traditional fabrication methods do not provide, 3D bioprinting provides a powerful means to address one of the major challenges in tissue engineering: vascularization. Moderate success of current tissue engineering strategies have been attributed to the current inability to fabricate thick tissue engineering constructs that contain endogenous, engineered vasculature or nutrient channels that can integrate with the host tissue. Successful fabrication of a vascularized tissue construct requires synergy between high throughput, high-resolution bioprinting of larger perfusable channels and instructive bioink that promotes angiogenic sprouting and neovascularization. This review aims to cover the recent progress in the field of 3D bioprinting of vascularized tissues. It will cover the methods of bioprinting vascularized constructs, bioink for vascularization, and perspectives on recent innovations in 3D printing and biomaterials for the next generation of 3D bioprinting for vascularized tissue fabrication. PMID:27230253

  16. Fabricating 3D figurines with personalized faces.

    PubMed

    Tena, J Rafael; Mahler, Moshe; Beeler, Thabo; Grosse, Max; Hengchin Yeh; Matthews, Iain

    2013-01-01

    We present a semi-automated system for fabricating figurines with faces that are personalised to the individual likeness of the customer. The efficacy of the system has been demonstrated by commercial deployments at Walt Disney World Resort and Star Wars Celebration VI in Orlando Florida. Although the system is semi automated, human intervention is limited to a few simple tasks to maintain the high throughput and consistent quality required for commercial application. In contrast to existing systems that fabricate custom heads that are assembled to pre-fabricated plastic bodies, our system seamlessly integrates 3D facial data with a predefined figurine body into a unique and continuous object that is fabricated as a single piece. The combination of state-of-the-art 3D capture, modelling, and printing that are the core of our system provide the flexibility to fabricate figurines whose complexity is only limited by the creativity of the designer.

  17. Beneficial effects of softened fabrics on atopic skin.

    PubMed

    Hermanns, J F; Goffin, V; Arrese, J E; Rodriguez, C; Piérard, G E

    2001-01-01

    There is general concern about the possible cutaneous adverse effects of wearing garments treated with household laundry products, particularly on atopic skin. Our objective was to compare softened and non- softened fabrics in a forearm wet and dry test, under conditions simulating real-life conditions. Twenty atopic volunteers entered a single-blind 12-day (3 sessions per day) forearm wetting and drying test. Cotton fabrics were machine washed and liquid fabric conditioner was added or not to the final rinse. To simulate conditions of skin damage, a dilute solution of sodium lauryl sulphate was applied under occlusion to the forearm of each volunteer before the start of the study. Skin effects were evaluated by visual grading (redness, dryness and smoothness), squamometry and in vivo instrumental measurements (capacitance, transepidermal water loss and colorimetry). Rubbing of atopic skin with fabrics generally resulted in discrete to moderate alterations of the structure of the stratum corneum. Both for control and pre-irritated skin, all measured parameters indicated that softened fabric was less aggressive to the skin than unsoftened fabric. In the case of pre-irritated skin, the recovery of the skin was significantly faster when rubbed with softened than with unsoftened fabrics. In conclusion, softened fabrics help mitigate the skin condition in atopic patients. Copyright 2001 S. Karger AG, Basel.

  18. Nanocrystal thin film fabrication methods and apparatus

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kagan, Cherie R.; Kim, David K.; Choi, Ji-Hyuk

    Nanocrystal thin film devices and methods for fabricating nanocrystal thin film devices are disclosed. The nanocrystal thin films are diffused with a dopant such as Indium, Potassium, Tin, etc. to reduce surface states. The thin film devices may be exposed to air during a portion of the fabrication. This enables fabrication of nanocrystal-based devices using a wider range of techniques such as photolithography and photolithographic patterning in an air environment.

  19. Hydrophobic Surface Modification of Silk Fabric Using Plasma-Polymerized Hmdso

    NASA Astrophysics Data System (ADS)

    Rani, K. Vinisha; Chandwani, Nisha; Kikani, Purvi; Nema, S. K.; Sarma, Arun Kumar; Sarma, Bornali

    In this work, we study the hydrophobic properties of silk fabrics by deposition of plasma-polymerized (pp) hexamethyldisiloxane (HMDSO) using low-pressure plasma-enhanced chemical vapor deposition. Recently, hydrophobic properties are under active research in textile industry. The effects of coating time and power on the HMDSO-coated silk fabrics are investigated. Water contact angle of pp-HMDSO-coated silk fabric surface is measured as a function of power and coating time. Fabric surface shows an enhancement in hydrophobicity after coating. Attenuated total reflectance-Fourier transform infrared spectroscopy reveals the surface chemistry, and scanning electron microscopy shows the surface morphology of the uncoated and HMDSO-coated fabrics, respectively. In the case of uncoated fabric, water droplet absorbs swiftly, whereas in the case of HMDSO-coated fabric, water droplet remains on the fabric surface with a maximum contact angle of 140∘. The HMDSO-deposited silk surface is found to be durable after detergent washing. Common stains such as ink, tea, milk, turmeric and orange juice are tested on the surface of both fabrics. In HMDSO-coated fabrics, all the stains are bedded like ball droplet. In order to study the self-cleaning property, the fabric is tilted to 45∘ angle; stain droplets easily roll off from the fabric.

  20. Fabrication of tungsten wire reinforced nickel-base alloy composites

    NASA Technical Reports Server (NTRS)

    Brentnall, W. D.; Toth, I. J.

    1974-01-01

    Fabrication methods for tungsten fiber reinforced nickel-base superalloy composites were investigated. Three matrix alloys in pre-alloyed powder or rolled sheet form were evaluated in terms of fabricability into composite monotape and multi-ply forms. The utility of monotapes for fabricating more complex shapes was demonstrated. Preliminary 1093C (2000F) stress rupture tests indicated that efficient utilization of fiber strength was achieved in composites fabricated by diffusion bonding processes. The fabrication of thermal fatigue specimens is also described.

  1. OpenSoC Fabric

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    2014-08-21

    Recent advancements in technology scaling have shown a trend towards greater integration with large-scale chips containing thousands of processors connected to memories and other I/O devices using non-trivial network topologies. Software simulation proves insufficient to study the tradeoffs in such complex systems due to slow execution time, whereas hardware RTL development is too time-consuming. We present OpenSoC Fabric, an on-chip network generation infrastructure which aims to provide a parameterizable and powerful on-chip network generator for evaluating future high performance computing architectures based on SoC technology. OpenSoC Fabric leverages a new hardware DSL, Chisel, which contains powerful abstractions provided by itsmore » base language, Scala, and generates both software (C++) and hardware (Verilog) models from a single code base. The OpenSoC Fabric2 infrastructure is modeled after existing state-of-the-art simulators, offers large and powerful collections of configuration options, and follows object-oriented design and functional programming to make functionality extension as easy as possible.« less

  2. Fabrics for fire resistant passenger seats in aircraft

    NASA Technical Reports Server (NTRS)

    Tesoro, G. C.

    1978-01-01

    The essential elements of the problem and of approaches to improved fire resistance in aircraft seats are reviewed. The performance requirements and availability of materials, delay in the ignition of upholstery fabric by a small source are considered a realistic objective. Results of experimental studies on the thermal response of fabrics and fabric/foam combinations suggest significant conclusions regarding: (1) the ignition behavior of a commercial 90/10 wool/nylon upholstery fabric relative to fabrics made from thermally stable polymers; (2) the role of the foam backing; (3) the behavior of seams. These results, coupled with data from other sources, also confirm the importance of materials' interactions in multicomponent assemblies, and the need for system testing prior to materials' selection. The use of an interlinear or thermal barrier between upholstery fabric and foam is a promising and viable approach to improved fire resistance of the seat assembly, but experimental evaluation of specific combinations of materials or systems is an essential part of the selection process.

  3. Development Of Methodologies Using PhabrOmeter For Fabric Drape Evaluation

    NASA Astrophysics Data System (ADS)

    Lin, Chengwei

    Evaluation of fabric drape is important for textile industry as it reveals the aesthetic and functionality of the cloth and apparel. Although many fabric drape measuring methods have been developed for several decades, they are falling behind the need for fast product development by the industry. To meet the requirement of industries, it is necessary to develop an effective and reliable method to evaluate fabric drape. The purpose of the present study is to determine if PhabrOmeter can be applied to fabric drape evaluation. PhabrOmeter is a fabric sensory performance evaluating instrument which is developed to provide fast and reliable quality testing results. This study was sought to determine the relationship between fabric drape and other fabric attributes. In addition, a series of conventional methods including AATCC standards, ASTM standards and ISO standards were used to characterize the fabric samples. All the data were compared and analyzed with linear correlation method. The results indicate that PhabrOmeter is reliable and effective instrument for fabric drape evaluation. Besides, some effects including fabric structure, testing directions were considered to examine their impact on fabric drape.

  4. Redundancy of Supply in the International Nuclear Fuel Fabrication Market: Are Fabrication Services Assured?

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Seward, Amy M.; Toomey, Christopher; Ford, Benjamin E.

    2011-11-14

    For several years, Pacific Northwest National Laboratory (PNNL) has been assessing the reliability of nuclear fuel supply in support of the U.S. Department of Energy/National Nuclear Security Administration. Three international low enriched uranium reserves, which are intended back up the existing and well-functioning nuclear fuel market, are currently moving toward implementation. These backup reserves are intended to provide countries credible assurance that of the uninterrupted supply of nuclear fuel to operate their nuclear power reactors in the event that their primary fuel supply is disrupted, whether for political or other reasons. The efficacy of these backup reserves, however, may bemore » constrained without redundant fabrication services. This report presents the findings of a recent PNNL study that simulated outages of varying durations at specific nuclear fuel fabrication plants. The modeling specifically enabled prediction and visualization of the reactors affected and the degree of fuel delivery delay. The results thus provide insight on the extent of vulnerability to nuclear fuel supply disruption at the level of individual fabrication plants, reactors, and countries. The simulation studies demonstrate that, when a reasonable set of qualification criteria are applied, existing fabrication plants are technically qualified to provide backup fabrication services to the majority of the world's power reactors. The report concludes with an assessment of the redundancy of fuel supply in the nuclear fuel market, and a description of potential extra-market mechanisms to enhance the security of fuel supply in cases where it may be warranted. This report is an assessment of the ability of the existing market to respond to supply disruptions that occur for technical reasons. A forthcoming report will address political disruption scenarios.« less

  5. Disc resonator gyroscope fabrication process requiring no bonding alignment

    NASA Technical Reports Server (NTRS)

    Shcheglov, Kirill V. (Inventor)

    2010-01-01

    A method of fabricating a resonant vibratory sensor, such as a disc resonator gyro. A silicon baseplate wafer for a disc resonator gyro is provided with one or more locating marks. The disc resonator gyro is fabricated by bonding a blank resonator wafer, such as an SOI wafer, to the fabricated baseplate, and fabricating the resonator structure according to a pattern based at least in part upon the location of the at least one locating mark of the fabricated baseplate. MEMS-based processing is used for the fabrication processing. In some embodiments, the locating mark is visualized using optical and/or infrared viewing methods. A disc resonator gyroscope manufactured according to these methods is described.

  6. Stiffness Customization and Patterning for Property Modulation of Silicone-Based Soft Pneumatic Actuators.

    PubMed

    Sun, Yi; Yap, Hong Kai; Liang, Xinquan; Guo, Jin; Qi, Peng; Ang, Marcelo H; Yeow, Chen-Hua

    2017-09-01

    Soft pneumatic actuators (SPAs), as novel types of motion drivers for robotic devices, excel in many applications, such as rehabilitation and biomimicry, which demand compliance and softness. To further expand their scope of utilization, the SPAs should be customizable to meet the distinctive requirements of different applications. This article proposes a novel perspective on the SPA working mechanism based on stiffness distribution and then presents a versatile method called stiffness customization and patterning (SCP) for SPA body stiffness layout as a novel attempt to customize SPAs with distinctive properties. We fabricated a hybrid type of material combining unstretchable material and silicone with customizable aggregated elasticity. The tensile results showed that embedding unstretchable material directly increases the stiffness of the hybrid material sample, and our stress-strain model for SCP is able to adequately predict the elasticity of hybrid samples with specific material ratios. By applying this approach to bending-type SPAs, we are able to mitigate SPA buckling, a main failure mode of SPAs, and improve the SPA tip force by using hybrid material with globally increased stiffness. We also diversify bending modalities with different stiffness configurations in the hybrid material. SCP offers numerous ways to engineer SPAs for more applications.

  7. Integrated digital printing of flexible circuits for wireless sensing (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Mei, Ping; Whiting, Gregory L.; Schwartz, David E.; Ng, Tse Nga; Krusor, Brent S.; Ready, Steve E.; Daniel, George; Veres, Janos; Street, Bob

    2016-09-01

    Wireless sensing has broad applications in a wide variety of fields such as infrastructure monitoring, chemistry, environmental engineering and cold supply chain management. Further development of sensing systems will focus on achieving light weight, flexibility, low power consumption and low cost. Fully printed electronics provide excellent flexibility and customizability, as well as the potential for low cost and large area applications, but lack solutions for high-density, high-performance circuitry. Conventional electronics mounted on flexible printed circuit boards provide high performance but are not digitally fabricated or readily customizable. Incorporation of small silicon dies or packaged chips into a printed platform enables high performance without compromising flexibility or cost. At PARC, we combine high functionality c-Si CMOS and digitally printed components and interconnects to create an integrated platform that can read and process multiple discrete sensors. Our approach facilitates customization to a wide variety of sensors and user interfaces suitable for a broad range of applications including remote monitoring of health, structures and environment. This talk will describe several examples of printed wireless sensing systems. The technologies required for these sensor systems are a mix of novel sensors, printing processes, conventional microchips, flexible substrates and energy harvesting power solutions.

  8. Magnetic fabric constraints of the emplacement of igneous intrusions

    NASA Astrophysics Data System (ADS)

    Maes, Stephanie M.

    Fabric analysis is critical to evaluating the history, kinematics, and dynamics of geological deformation. This is particularly true of igneous intrusions, where the development of fabric is used to constrain magmatic flow and emplacement mechanisms. Fabric analysis was applied to three mafic intrusions, with different tectonic and petrogenetic histories, to study emplacement and magma flow: the Insizwa sill (Mesozoic Karoo Large Igneous Province, South Africa), Sonju Lake intrusion (Proterozoic Midcontinent Rift, Minnesota, USA), and Palisades sill (Mesozoic rift basin, New Jersey, USA). Multiple fabric analysis techniques were used to define the fabric in each intrusive body. Using digital image analysis techniques on multiple thin sections, the three-dimensional shape-preferred orientation (SPO) of populations of mineral phases were calculated. Low-field anisotropy of magnetic susceptibility (AMS) measurements were used as a proxy for the mineral fabric of the ferromagnetic phases (e.g., magnetite). In addition, a new technique---high-field AMS---was used to isolate the paramagnetic component of the fabric (e.g., silicate fabric). Each fabric analysis technique was then compared to observable field fabrics as a framework for interpretation. In the Insizwa sill, magnetic properties were used to corroborate vertical petrologic zonation and distinguish sub-units within lithologically defined units. Abrupt variation in magnetic properties provides evidence supporting the formation of the Insizwa sill by separate magma intrusions. Low-field AMS fabrics in the Sonju Lake intrusion exhibit consistent SW-plunging lineations and SW-dipping foliations. These fabric orientations provide evidence that the cumulate layers in the intrusion were deposited in a dynamic environment, and indicate magma flowed from southwest to northeast, parallel to the pre-existing rift structures. In the Palisades sill, the magnetite SPO and low-field AMS lineation have developed orthogonal to

  9. 21 CFR 872.3600 - Partially fabricated denture kit.

    Code of Federal Regulations, 2014 CFR

    2014-04-01

    ... 21 Food and Drugs 8 2014-04-01 2014-04-01 false Partially fabricated denture kit. 872.3600 Section... (CONTINUED) MEDICAL DEVICES DENTAL DEVICES Prosthetic Devices § 872.3600 Partially fabricated denture kit. (a) Identification. A partially fabricated denture kit is a device composed of connected preformed teeth that is...

  10. 21 CFR 872.3600 - Partially fabricated denture kit.

    Code of Federal Regulations, 2013 CFR

    2013-04-01

    ... 21 Food and Drugs 8 2013-04-01 2013-04-01 false Partially fabricated denture kit. 872.3600 Section... (CONTINUED) MEDICAL DEVICES DENTAL DEVICES Prosthetic Devices § 872.3600 Partially fabricated denture kit. (a) Identification. A partially fabricated denture kit is a device composed of connected preformed teeth that is...

  11. 21 CFR 872.3600 - Partially fabricated denture kit.

    Code of Federal Regulations, 2012 CFR

    2012-04-01

    ... 21 Food and Drugs 8 2012-04-01 2012-04-01 false Partially fabricated denture kit. 872.3600 Section... (CONTINUED) MEDICAL DEVICES DENTAL DEVICES Prosthetic Devices § 872.3600 Partially fabricated denture kit. (a) Identification. A partially fabricated denture kit is a device composed of connected preformed teeth that is...

  12. 21 CFR 872.3600 - Partially fabricated denture kit.

    Code of Federal Regulations, 2011 CFR

    2011-04-01

    ... 21 Food and Drugs 8 2011-04-01 2011-04-01 false Partially fabricated denture kit. 872.3600 Section... (CONTINUED) MEDICAL DEVICES DENTAL DEVICES Prosthetic Devices § 872.3600 Partially fabricated denture kit. (a) Identification. A partially fabricated denture kit is a device composed of connected preformed teeth that is...

  13. Thermal Skin fabrication technology

    NASA Technical Reports Server (NTRS)

    Milam, T. B.

    1972-01-01

    Advanced fabrication techniques applicable to Thermal Skin structures were investigated, including: (1) chemical machining; (2) braze bonding; (3) diffusion bonding; and (4) electron beam welding. Materials investigated were nickel and nickel alloys. Sample Thermal Skin panels were manufactured using the advanced fabrication techniques studied and were structurally tested. Results of the program included: (1) development of improved chemical machining processes for nickel and several nickel alloys; (2) identification of design geometry limits; (3) identification of diffusion bonding requirements; (4) development of a unique diffusion bonding tool; (5) identification of electron beam welding limits; and (6) identification of structural properties of Thermal Skin material.

  14. Fabrication of Pd-Cr wire

    NASA Technical Reports Server (NTRS)

    Diamond, Sidney; Leach, Dennen M.

    1989-01-01

    Fabrication of Pd-13 percent Cr alloy wires is described. Melting, casting, swaging and annealing processes are discussed. Drawing to reach two diameters (0.003 inch and 0.00176 inch) of wire is described. Representative micrographs of the Pd-Cr alloy at selected stages during wire fabrication are included. The resistance of the wire was somewhat lower, by about 15 to 20 percent, than comparable wire of other alloys used for strain gages.

  15. Factors Affecting the Persistence of Staphylococcus aureus on Fabrics

    PubMed Central

    Wilkoff, Lee J.; Westbrook, Louise; Dixon, Glen J.

    1969-01-01

    The persistence of Staphylococcus aureus (Smith) on wool blanket, wool gabardine, cotton sheeting, cotton knit jersey, cotton terry cloth, and cotton wash-and-wear fabrics was studied. The fabrics were exposed to bacterial populations by three methods: direct contact, aerosol, and a lyophilized mixture of bacteria and dust having a high content of textile fibers. The contaminated fabrics were held in 35 or 78% relative humidities at 25 C. In general, the persistence time of S. aureus populations on fabrics held in 35% relative humidity was substantially longer when the fabrics were contaminated by exposure to aerosolized cultures or to dust containing bacteria than when contaminated by direct contact. In a 78% relative humidity, bacterial populations on the fabrics persisted for substantially shorter periods of time regardless of the mode of contamination or fabric type. Cotton wash-and-wear fabric (treated with a modified triazone resin) was the material on which populations of S. aureus persisted for the shortest time. This organism retained its virulence for Swiss mice after being recovered from wool gabardine swatches held 4 weeks in 35% relative humidity and 6 weeks in 78% relative humidity. Images PMID:5775911

  16. Piezoelectric Sol-Gel Composite Film Fabrication by Stencil Printing.

    PubMed

    Kaneko, Tsukasa; Iwata, Kazuki; Kobayashi, Makiko

    2015-09-01

    Piezoelectric films using sol-gel composites could be useful as ultrasonic transducers in various industrial fields. For sol-gel composite film fabrication, the spray coating technique has been used often because of its adaptability for various substrates. However, the spray technique requires multiple spray coating processes and heating processes and this is an issue of concern, especially for on-site fabrication in controlled areas. Stencil printing has been developed to solve this issue because this method can be used to fabricate thick sol-gel composite films with one coating process. In this study, PbTiO3 (PT)/Pb(Zr,Ti)O3 (PZT) films, PZT/PZT films, and Bi4Ti3O12 (BiT)/PZT films were fabricated by stencil printing, and PT/ PZT films were also fabricated using the spray technique. After fabrication, a thermal cycle test was performed for the samples to compare their ultrasonic performance. The sensitivity and signal-to-noise-ratio (SNR) of the ultrasonic response of PT/PZT fabricated by stencil printing were equivalent to those of PT/PZT fabricated by the spray technique, and better than those of other samples between room temperature and 300°C. Therefore, PT/PZT films fabricated by stencil printing could be a good candidate for nondestructive testing (NDT) ultrasonic transducers from room temperature to 300°C.

  17. Interpreting inverse magnetic fabric in dikes from Eastern Iceland

    NASA Astrophysics Data System (ADS)

    Trippanera, Daniele; Urbani, Stefano; Porreca, Massimiliano; Acocella, Valerio; Kissel, Catherine; Sagnotti, Leonardo; Winkler, Aldo

    2017-04-01

    Since the 70's magnetic fabric analysis has been used to infer magma emplacement in dikes. However, the interpretation of magmatic flow orientation in dikes is often complicated by the occurrence of anomalous (i.e. inverse) magnetic fabric. This latter may either reflect the presence of single-domain (SD) grains or result from peculiar orientation mechanisms of magnetic minerals in magmas of different viscosities. Tertiary dike swarms of extinct volcanic systems in Eastern Iceland represent the ideal case study to clarify the origin of anomalous magnetic fabric. Here we present the results of a multidisciplinary study on dikes belonging to the Alftafjordur volcanic system (Eastern Iceland), including a: (1) structural field study in order to identify kinematic and thermal indicators of dikes; (2) anisotropy of low-field magnetic susceptibility (AMS) analysis, to investigate the magnetic fabric and reconstruct the flow direction of 25 dikes; (3) first order reversal curve (FORC) diagrams and thermomagnetic properties of selected dikes to define the magnetic mineralogy; (4) petrofabric and image analyses at different microscopic scales to investigate the origin of the magnetic fabric and compare the AMS results with mineral texture. Our results show that half of the dikes show a well defined inverse magnetic fabrics (k max orthogonal to the dike margins) and anomalous high anisotropy degrees. Only 7 dikes have a normal magnetic fabric and other 6 dikes have an intermediate magnetic fabric. No clear prevalence of SD grains, which could explain the inverse magnetic fabric, was observed. On the contrary, petrofabric and thermomagnetic analysis reveal the presence of low Ti-content coarse magnetite and high Ti-content elongated magnetite grains as the main contributors to most of the observed magnetic fabrics. In particular, the orientation of the elongated high Ti-content magnetite grains, though usually scattered, is partly comparable with that of the maximum and

  18. Fabrication of fuel pin assemblies, phase 3

    NASA Technical Reports Server (NTRS)

    Keeton, A. R.; Stemann, L. G.

    1972-01-01

    Five full size and eight reduced length fuel pins were fabricated for irradiation testing to evaluate design concepts for a fast spectrum lithium cooled compact space power reactor. These assemblies consisted of uranium mononitride fuel pellets encased in a T-111 (Ta-8W-2Hf) clad with a tungsten barrier separating fuel and clad. Fabrication procedures were fully qualified by process development and assembly qualification tests. Detailed specifications and procedures were written for the fabrication and assembly of prototype fuel pins.

  19. Continuous unidirectional fiber reinforced composites: Fabrication and testing

    NASA Technical Reports Server (NTRS)

    Weber, M. D.; Spiegel, F. X.; West, Harvey A.

    1994-01-01

    The study of the anisotropic mechanical properties of an inexpensively fabricated composite with continuous unidirectional fibers and a clear matrix was investigated. A method has been developed to fabricate these composites with aluminum fibers and a polymer matrix. These composites clearly demonstrate the properties of unidirectional composites and cost less than five dollars each to fabricate.

  20. Olivine and spinel fabric development in lineated peridotites

    NASA Astrophysics Data System (ADS)

    German, Lindsey; Newman, Julie; Chatzaras, Vasileios; Kruckenberg, Seth; Stewart, Eric; Tikoff, Basil

    2016-04-01

    Investigation of olivine and spinel fabrics in lineated harzburgites from the Red Hills peridotite massif, New Zealand, reveals that the spinel grain population records the same orientation of the principal finite strain axes as olivine grains, however, olivine grains generally record stronger fabric anisotropy. Further, olivine crystallographic preferred orientation (CPO) reflects the constrictional kinematic context of these rocks. In these harzburgites, deformed at ~1200 °C and >6 kbar, spinel grains are variably oriented and display weak to no CPO. Shape fabric in spinels, determined using X-ray computed tomography (XRCT) indicates a range of geometries (L>S, L=S and Lfabric) to +0.55 (oblate fabric). Olivine grains (mean diameter: 0.13 - 0.27 mm) exhibit evidence for dislocation creep, including subgrains, undulose extinction and a strong shape preferred orientation, with long axes parallel or subparallel to the mean spinel long axis orientation derived from XRCT. Olivine fabric analyses, carried out using Image SXM on grain traces from optical photomicrographs of two mutually perpendicular thin sections from each sample, yield moderately to strongly prolate fabrics (L>S tectonites) for olivine in all samples. CPO, plotted with respect to lineation and foliation as defined by XRCT analyses of spinel grains, is characterized by [100] maxima parallel or subparallel to the lineation; [010] and [001] form girdles perpendicular to the lineation, consistent with the D-type CPO for olivine. Olivine CPO is typically interpreted in the context of deformation conditions (e.g., temperature, stress) based on experimental studies. However, the D-type CPO for olivine is generally associated with deformation at relatively lower temperatures than suggested by the mineral compositions in these rocks. Our data suggest that olivine CPO may not only respond to deformation conditions, but may be controlled by the

  1. High-Thermal-Conductivity Fabrics

    NASA Technical Reports Server (NTRS)

    Chibante, L. P. Felipe

    2012-01-01

    Heat management with common textiles such as nylon and spandex is hindered by the poor thermal conductivity from the skin surface to cooling surfaces. This innovation showed marked improvement in thermal conductivity of the individual fibers and tubing, as well as components assembled from them. The problem is centered on improving the heat removal of the liquid-cooled ventilation garments (LCVGs) used by astronauts. The current design uses an extensive network of water-cooling tubes that introduces bulkiness and discomfort, and increases fatigue. Range of motion and ease of movement are affected as well. The current technology is the same as developed during the Apollo program of the 1960s. Tubing material is hand-threaded through a spandex/nylon mesh layer, in a series of loops throughout the torso and limbs such that there is close, form-fitting contact with the user. Usually, there is a nylon liner layer to improve comfort. Circulating water is chilled by an external heat exchanger (sublimator). The purpose of this innovation is to produce new LCVG components with improved thermal conductivity. This was addressed using nanocomposite engineering incorporating high-thermalconductivity nanoscale fillers in the fabric and tubing components. Specifically, carbon nanotubes were added using normal processing methods such as thermoplastic melt mixing (compounding twin screw extruder) and downstream processing (fiber spinning, tubing extrusion). Fibers were produced as yarns and woven into fabric cloths. The application of isotropic nanofillers can be modeled using a modified Nielsen Model for conductive fillers in a matrix based on Einstein s viscosity model. This is a drop-in technology with no additional equipment needed. The loading is limited by the ability to maintain adequate dispersion. Undispersed materials will plug filtering screens in processing equipment. Generally, the viscosity increases were acceptable, and allowed the filled polymers to still be

  2. Neutron detector and fabrication method thereof

    DOEpatents

    Bhandari, Harish B.; Nagarkar, Vivek V.; Ovechkina, Olena E.

    2016-08-16

    A neutron detector and a method for fabricating a neutron detector. The neutron detector includes a photodetector, and a solid-state scintillator operatively coupled to the photodetector. In one aspect, the method for fabricating a neutron detector includes providing a photodetector, and depositing a solid-state scintillator on the photodetector to form a detector structure.

  3. Fabrication of elliptical SRF cavities

    NASA Astrophysics Data System (ADS)

    Singer, W.

    2017-03-01

    The technological and metallurgical requirements of material for high-gradient superconducting cavities are described. High-purity niobium, as the preferred metal for the fabrication of superconducting accelerating cavities, should meet exact specifications. The content of interstitial impurities such as oxygen, nitrogen, and carbon must be below 10 μg g-1. The hydrogen content should be kept below 2 μg g-1 to prevent degradation of the quality factor (Q-value) under certain cool-down conditions. The material should be free of flaws (foreign material inclusions or cracks and laminations) that can initiate a thermal breakdown. Traditional and alternative cavity mechanical fabrication methods are reviewed. Conventionally, niobium cavities are fabricated from sheet niobium by the formation of half-cells by deep drawing, followed by trim machining and electron beam welding. The welding of half-cells is a delicate procedure, requiring intermediate cleaning steps and a careful choice of weld parameters to achieve full penetration of the joints. A challenge for a welded construction is the tight mechanical and electrical tolerances. These can be maintained by a combination of mechanical and radio-frequency measurements on half-cells and by careful tracking of weld shrinkage. The main aspects of quality assurance and quality management are mentioned. The experiences of 800 cavities produced for the European XFEL are presented. Another cavity fabrication approach is slicing discs from the ingot and producing cavities by deep drawing and electron beam welding. Accelerating gradients at the level of 35-45 MV m-1 can be achieved by applying electrochemical polishing treatment. The single-crystal option (grain boundary free) is discussed. It seems that in this case, high performance can be achieved by a simplified treatment procedure. Fabrication of the elliptical resonators from a seamless pipe as an alternative is briefly described. This technology has yielded good

  4. Flexible Metal-Fabric Radiators

    NASA Technical Reports Server (NTRS)

    Cross, Cynthia; Nguyen, Hai D.; Ruemmele, Warren; Andish, Kambiz K.; McCalley, Sean

    2005-01-01

    Flexible metal-fabric radiators have been considered as alternative means of dissipating excess heat from spacecraft and space suits. The radiators also may be useful in such special terrestrial applications as rejecting heat from space-suit-like protective suits worn in hot work environments. In addition to flexibility and consequent ease of deployment and installation on objects of varying sizes and shapes, the main advantages of these radiators over conventional rigid radiators are that they weigh less and occupy less volume for a given amount of cooling capacity. A radiator of this type includes conventional stainless-steel tubes carrying a coolant fluid. The main radiating component consists of a fabric of interwoven aluminum-foil strips bonded to the tubes by use of a proprietary process. The strip/tube bonds are strong and highly thermally conductive. Coolant is fed to and from the tubes via flexible stainless-steel manifolds designed to accommodate flexing of, and minimize bending forces on, the fabric. The manifolds are sized to minimize pressure drops and distribute the flow of coolant evenly to all the tubes. The tubes and manifolds are configured in two independent flow loops for operational flexibility and protective redundancy.

  5. Mechanical and Tear Properties of Fabric/Film Laminates

    NASA Technical Reports Server (NTRS)

    Said, Magdi A.

    1998-01-01

    Films reinforced with woven fabrics are being considered for the development of a material suitable for long duration scientific balloons under a program managed by the National Aeronautics and Space Administration (NASA). Recently developed woven fabrics provide a relatively high strength to weight ratio compared to standard homogenous films. Woven fabrics also have better crack propagation resistance and rip stop capabilities when compared to homogenous lightweight, high strength polymeric films such as polyester and nylon. If joining is required, such as in the case of scientific balloons, woven fabrics have the advantage over polymeric thin films to utilize traditional textile methods as well as other techniques including hot sealing, adhesion, and ultrasonic means. Woven fabrics, however, lack the barrier properties required for helium filled scientific balloons, therefore lamination with homogenous films is required to provide the gas barrier capabilities required in these applications.

  6. One-step fabrication of multifunctional micromotors.

    PubMed

    Gao, Wenlong; Liu, Mei; Liu, Limei; Zhang, Hui; Dong, Bin; Li, Christopher Y

    2015-09-07

    Although artificial micromotors have undergone tremendous progress in recent years, their fabrication normally requires complex steps or expensive equipment. In this paper, we report a facile one-step method based on an emulsion solvent evaporation process to fabricate multifunctional micromotors. By simultaneously incorporating various components into an oil-in-water droplet, upon emulsification and solidification, a sphere-shaped, asymmetric, and multifunctional micromotor is formed. Some of the attractive functions of this model micromotor include autonomous movement in high ionic strength solution, remote control, enzymatic disassembly and sustained release. This one-step, versatile fabrication method can be easily scaled up and therefore may have great potential in mass production of multifunctional micromotors for a wide range of practical applications.

  7. [Micro fabricated enzyme battery].

    PubMed

    Sasaki, S; Karube, I

    1996-10-01

    Although various work has been done in the field of implantable micro actuators such as artificial organs and micro surgery robots, a suitable electric power supply for these is yet to be developed. For this purpose a micro fabricated enzyme fuel cell was developed which uses glucose contained in the human body as a fuel. In order to obtain enough voltage each cell was formed as part of a serial array on a silicon wafer. Glucose solution enters the cells by a capillary effect. In this article fuel cells already developed using biocatalysts are described, and the future possibility of a micro fabricated enzyme battery is discussed.

  8. Fabrication of Nanochannels

    PubMed Central

    Zhang, Yuqi; Kong, Xiang-Yu; Gao, Loujun; Tian, Ye; Wen, Liping; Jiang, Lei

    2015-01-01

    Nature has inspired the fabrication of intelligent devices to meet the needs of the advanced community and better understand the imitation of biology. As a biomimetic nanodevice, nanochannels/nanopores aroused increasing interest because of their potential applications in nanofluidic fields. In this review, we have summarized some recent results mainly focused on the design and fabrication of one-dimensional nanochannels, which can be made of many materials, including polymers, inorganics, biotic materials, and composite materials. These nanochannels have some properties similar to biological channels, such as selectivity, voltage-dependent current fluctuations, ionic rectification current and ionic gating, etc. Therefore, they show great potential for the fields of biosensing, filtration, and energy conversions. These advances can not only help people to understand the living processes in nature, but also inspire scientists to develop novel nanodevices with better performance for mankind. PMID:28793564

  9. Method for Fabricating Composite Structures Using Continuous Press Forming

    NASA Technical Reports Server (NTRS)

    Farley, Gary L. (Inventor)

    1997-01-01

    A method for fabricating composite structures at a low-cost. moderate-to-high production rate. A first embodiment of the method includes employing a continuous press forming fabrication process. A second embodiment of the method includes employing a pultrusion process for obtaining composite structures. The methods include coating yarns with matrix material, weaving the yarn into fabric to produce a continuous fabric supply and feeding multiple layers of net-shaped fabrics having optimally oriented fibers into a debulking tool to form an undebulked preform. The continuous press forming fabrication process includes partially debulking the preform, cutting the partially debulked preform and debulking the partially debulked preform to form a net-shape. An electron-beam or similar technique then cures the structure. The pultrusion fabric process includes feeding the undebulked preform into a heated die and gradually debulking the undebulked preform. The undebulked preform in the heated die changes dimension until a desired cross-sectional dimension is achieved. This process further includes obtaining a net-shaped infiltrated uncured preform, cutting the uncured preform to a desired length and electron-beam curing (or similar technique) the uncured preform. These fabrication methods produce superior structures formed at higher production rates. resulting in lower cost and high structural performance.

  10. Fabricating Structural Stiffeners By Superplastic Forming

    NASA Technical Reports Server (NTRS)

    Bales, Thomas T.; Shinn, Joseph M., Jr.; Hales, Stephen J.; James, William F.

    1994-01-01

    Superplastic forming (SPF) of aluminum alloys effective technique for making strong, lightweight structural components conforming to close dimensional tolerances. Technique applied in experimental fabrication of prototypes of stiffening ribs for cylindrical tanks. When making structural panel, stiffening ribs spot-welded to metal skin. Use of discrete eliminates machining waste, and use of SPF. Cost of fabrication reduced.

  11. A Study on Ultraviolet Protection of 100% Cotton Knitted Fabric: Effect of Fabric Parameters

    PubMed Central

    Kan, C. W.

    2014-01-01

    The effect of fabric parameters such as weight, thickness, and stitch density on the ultraviolet (UV) protection of knitted fabrics was studied. Different knitting structures such as plain, pineapple, lacoste, and other combinations of different knitting stitches of knit, tuck, and miss as well as half milano, full milano, half cardigan, full cardigan, 1 × 1 rib, and interlock were prepared. Experimental results revealed that weight was the most important factor that affected UV protection while thickness and stitch density were not the leading factor in determining UV protection. PMID:24955409

  12. Fabrication and Characterization of SMA Hybrid Composites

    NASA Technical Reports Server (NTRS)

    Turner, Travis L.; Lach, Cynthia L.; Cano, Robert J.

    2001-01-01

    Results from an effort to fabrication shape memory alloy hybrid composite (SMAHC) test specimens and characterize the material system are presented in this study. The SMAHC specimens are conventional composite structures with an embedded SMA constituent. The fabrication and characterization work was undertaken to better understand the mechanics of the material system, address fabrication issues cited in the literature, and provide specimens for experimental validation of a recently developed thermomechanical model for SMAHC structures. Processes and hardware developed for fabrication of the SMAHC specimens are described. Fabrication of a SMA14C laminate with quasi-isotropic lamination and ribbon-type Nitinol actuators embedded in the 0' layers is presented. Beam specimens are machined from the laminate and are the focus of recent work, but the processes and hardware are readily extensible to more practical structures. Results of thermomechanical property testing on the composite matrix and Nitinol ribbon are presented. Test results from the Nitinol include stress-strain behavior, modulus versus temperature. and constrained recovery stress versus temperature and thermal cycle. Complex thermomechanical behaviors of the Nitinol and composite matrix are demonstrated, which have significant implications for modeling of SMAHC structures.

  13. Robust and Drain Resistant Lubricated Omniphobic Fabrics

    NASA Astrophysics Data System (ADS)

    Kido, Cassidee; Damle, Viraj; Sun, Xiaoda; Roopesh, Ajay; Doudrick, Kyle; Rykaczewski, Konrad

    2014-11-01

    The implications of omniphobic fabrics range from stainproof clothing to civilian and military protection from chemical weapons. The challenge comes in developing a product that remains effective in repelling droplets of liquids with a wide range of surface tensions even after being subjected to various stimuli imposed by human use. Omniphobic fabrics can be made by infusing hydrophobic nanoparticle coated fibers with a low surface energy lubricant. These types of lubricant impregnated surfaces can shed large deposited droplets as well as condensed microdroplets of variety of low surface tension liquids. However, here we show that lubricated omniphobic fabrics can easily lose their properties due to degradation of the nanostructure coating or drainage of the lubricant upon contact with a porous surface. We also demonstrate that this issue can be resolved with use of cross-linked polymer coated fibers that are swollen with the lubricant. Use of flexible polymers avoids structure degradation due to fabric deformation, while swelling of the polymer with lubricant minimizes lubricant drainage upon contact maintaining the omniphobic characteristics of the fabric. KR acknowledges startup funding from ASU and collaborative effort with Dr. Tim Burgin and James R. Lee from Naval Surface Warfare Center Dahlgren Division.

  14. Superhydrophobic/Superhydrophilic Janus Fabrics Reducing Blood Loss.

    PubMed

    Zhu, Tang; Wu, Junrong; Zhao, Ning; Cai, Chao; Qian, Zhenchao; Si, Fangfang; Luo, Heng; Guo, Jing; Lai, Xuan; Shao, Longquan; Xu, Jian

    2018-04-01

    Hemostatic fabrics are most commonly used in baseline emergency treatment; however, the unnecessary blood loss due to the excessive blood absorption by traditional superhydrophilic fabrics is overlooked. Herein, for the first time, superhydrophobic/superhydrophilic Janus fabrics (superhydrophobic on one side and superhydrophilic on the other) are proposed: the superhydrophilic part absorbs water in the blood to expedite the clotting while the superhydrophobic part prevents blood from further permeating. Compared with the common counterparts, effective bleeding control with reducing blood loss more than 50% can be achieved while the breathability largely remain by using Janus fabrics. The proposed prototypes can even prolong the survival time in the rat model with serious bleeding. This strategy for reducing blood loss via simply tuning wettability is promising for the practical applications. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Double-shell target fabrication workshop-2016 report

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Y. Morris; Oertel, John; Farrell, Michael

    On June 30, 2016, over 40 representatives from Lawrence Livermore National Laboratory (LLNL), Los Alamos National Laboratory (LANL), General Atomics (GA), Laboratory for Laser Energetics (LLE), Schafer Corporation, and NNSA headquarter attended a double-shell (DS) target fabrication workshop at Livermore, California. Pushered-single-shell (PSS) and DS metalgas platforms potentially have a large impact on programmatic applications. The goal of this focused workshop is to bring together target fabrication scientists, physicists, and designers to brainstorm future PSS and DS target fabrication needs and strategies. This one-day workshop intends to give an overall view of historical information, recent approaches, and future research activitiesmore » at each participating organization. Five topical areas have been discussed that are vital to the success of future DS target fabrications, including inner metal shells, foam spheres, outer ablators, fill tube assembly, and metrology.« less

  16. Nanoscale Resolution 3D Printing with Pin-Modified Electrified Inkjets for Tailorable Nano/Macrohybrid Constructs for Tissue Engineering.

    PubMed

    Kim, Jeong In; Kim, Cheol Sang

    2018-04-18

    Cells respond to their microenvironment, which is of a size comparable to that of the cells. The macroscale features of three-dimensional (3D) printing struts typically result in whole cell contact guidance (CCG). In contrast, at the nanoscale, where features are of a size similar to that of receptors of cells, the response of cells is more complex. The cell-nanotopography interaction involves nanoscale adhesion localized structures, which include cell adhesion-related particles that change in response to the clustering of integrin. For this reason, it is necessary to develop a technique for manufacturing tailorable nano/macrohybrid constructs capable of freely controlling the cellular activity. In this study, a hierarchical 3D nano- to microscale hybrid structure was fabricated by combinational processing of 3D printing and electrified inkjet spinning via pin motions. This method overcomes the disadvantages of conventional 3D printing, providing a novel combinatory technique for the fabrication of 3D hybrid constructs with excellent cell proliferation. Through a pin-modified electrified inkjet spinning, we have successfully fabricated customizable nano-/microscale hybrid constructs in a fibrous or mesh form, which can control the cell fate. We have conducted this study of cell-topography interactions from the fabrication approach to accelerate the development of next-generation 3D scaffolds.

  17. Optical Fabrication and Measurement AXAF and CIRS

    NASA Technical Reports Server (NTRS)

    Engelhaupt, Darell

    1997-01-01

    This paper presents a final report on Optical Fabrication and Measurement AXAF (Advanced X-Ray Astrophysics Facility) and CIRS (Composite Infrared Spectrometer) from July 12, 1994 to August 16, 1996.. This paper includes specific tasks to be performed. The tasks are as follows: 1) Preparation and Characterization of Zerodur Glass Samples; 2) Develop and Fabricate AXAF and CIRS Metrology Tooling; 3) Update AXAF Technical Data Base; and 4) Perform Fabrication Related Metrology Tasks for CIRS. This paper also includes final activities from the July, 1996 report to August 1996.

  18. Fabrication Process for Cantilever Beam Micromechanical Switches

    DTIC Science & Technology

    1993-08-01

    Beam Design ................................................................... 13 B. Chemistry and Materials Used in Cantilever Beam Process...7 3. Photomask levels and composite...pp 410-413. 5 2. Cantilever Beam Fabrication Process The beam fabrication process incorporates four different photomasking levels with 62 processing

  19. 3-D printing of liquid metals for stretchable and flexible conductors

    NASA Astrophysics Data System (ADS)

    Trlica, Chris; Parekh, Dishit Paresh; Panich, Lazar; Ladd, Collin; Dickey, Michael D.

    2014-06-01

    3-D printing is an emerging technology that has been used primarily on small scales for rapid prototyping, but which could also herald a wider movement towards decentralized, highly customizable manufacturing. Polymers are the most common materials to be 3-D printed today, but there is great demand for a way to easily print metals. Existing techniques for 3-D printing metals tend to be expensive and energy-intensive, and usually require high temperatures or pressures, making them incompatible with polymers, organics, soft materials, and biological materials. Here, we describe room temperature liquid metals as complements to polymers for 3-D printing applications. These metals enable the fabrication of soft, flexible, and stretchable devices. We survey potential room temperature liquid metal candidates and describe the benefits of gallium and its alloys for these purposes. We demonstrate the direct printing of a liquid gallium alloy in both 2-D and 3-D and highlight the structures and shapes that can be fabricated using these processes.

  20. Concurrent design of quasi-random photonic nanostructures

    PubMed Central

    Lee, Won-Kyu; Yu, Shuangcheng; Engel, Clifford J.; Reese, Thaddeus; Rhee, Dongjoon; Chen, Wei

    2017-01-01

    Nanostructured surfaces with quasi-random geometries can manipulate light over broadband wavelengths and wide ranges of angles. Optimization and realization of stochastic patterns have typically relied on serial, direct-write fabrication methods combined with real-space design. However, this approach is not suitable for customizable features or scalable nanomanufacturing. Moreover, trial-and-error processing cannot guarantee fabrication feasibility because processing–structure relations are not included in conventional designs. Here, we report wrinkle lithography integrated with concurrent design to produce quasi-random nanostructures in amorphous silicon at wafer scales that achieved over 160% light absorption enhancement from 800 to 1,200 nm. The quasi-periodicity of patterns, materials filling ratio, and feature depths could be independently controlled. We statistically represented the quasi-random patterns by Fourier spectral density functions (SDFs) that could bridge the processing–structure and structure–performance relations. Iterative search of the optimal structure via the SDF representation enabled concurrent design of nanostructures and processing. PMID:28760975