Sample records for fabrication process development

  1. Development and demonstration of manufacturing processes for fabricating graphite/LARC 160 polyimide structural elements

    NASA Technical Reports Server (NTRS)

    Frost, R. K.; Jones, J. S.; Dynes, P. J.; Wykes, D. H.

    1981-01-01

    The development and demonstration of manufacturing technologies for the structural application of Celion graphite/LARC-160 polyimide composite material is discussed. Process development and fabrication of demonstration components are discussed. Process development included establishing quality assurance of the basic composite material and processing, nondestructive inspection of fabricated components, developing processes for specific structural forms, and qualification of processes through mechanical testing. Demonstration components were fabricated. The demonstration components consisted of flat laminates, skin/stringer panels, honeycomb panels, chopped fiber compression moldings, and a technology demonstrator segment (TDS) representative of the space shuttle aft body flap.

  2. Development and fabrication of a solar cell junction processing system

    NASA Technical Reports Server (NTRS)

    Bunker, S.

    1981-01-01

    A solar cell junction processing system was developed and fabricated. A pulsed electron beam for the four inch wafers is being assembled and tested, wafers were successfully pulsed, and solar cells fabricated. Assembly of the transport locks is completed. The transport was operated successfully but not with sufficient reproducibility. An experiment test facility to examine potential scaleup problems associated with the proposed ion implanter design was constructed and operated. Cells were implanted and found to have efficiency identical to the normal Spire implant process.

  3. Silicon solar cell process. Development, fabrication and analysis

    NASA Technical Reports Server (NTRS)

    Yoo, H. I.; Iles, P. A.; Tanner, D. P.

    1978-01-01

    Solar cells were fabricated from unconventional silicon sheets, and the performances were characterized with an emphasis on statistical evaluation. A number of solar cell fabrication processes were used and conversion efficiency was measured under AMO condition at 25 C. Silso solar cells using standard processing showed an average efficiency of about 9.6%. Solar cells with back surface field process showed about the same efficiency as the cells from standard process. Solar cells from grain boundary passivation process did not show any improvements in solar cell performance.

  4. Development of the Direct Fabrication Process for Plutonium Immobilization

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Congdon, J.W.

    2001-07-10

    The current baseline process for fabricating pucks for the Plutonium Immobilization Program includes granulation of the milled feed prior to compaction. A direct fabrication process was demonstrated that eliminates the need for granulation.

  5. Batch fabrication process development for ferrite logic conductors

    NASA Technical Reports Server (NTRS)

    Heckler, C. H., Jr.; Bhiwandker, N. C.

    1972-01-01

    A process for fabricating ultrareliable magnetic ferrite logic circuits is described in which the conductors are formed by a combination of two batch type processes - photolithography and electroplating - and a mechanized writing process for completing conductors in the third dimension. Up to 4 turns, through an aperture 1 mm in diameter, are formed by the described process. The number of joints in the conductors is reduced by use of this process to only those which are required for input, output and power connections of a logic block. To demonstrate feasibility, 8-stage magnetic ring counter circuits have been fabricated.

  6. Development and demonstration of manufacturing processes for fabricating graphite/LARC-160 polyimide structural elements, part 4, paragraph B

    NASA Technical Reports Server (NTRS)

    1980-01-01

    A quality assurance program was developed which included specifications for celion/LARC-160 polyimide materials and quality control of materials and processes. The effects of monomers and/or polymer variables and prepeg variables on the processibility of celion/LARC prepeg were included. Processes for fabricating laminates, honeycomb core panels, and chopped fiber moldings were developed. Specimens and conduct tests were fabricated to qualify the processes for fabrication of demonstration components.

  7. Silicon solar cell process development, fabrication and analysis

    NASA Technical Reports Server (NTRS)

    Yoo, H. I.; Iles, P. A.; Leung, D. C.

    1981-01-01

    Solar cells were fabricated from EFG ribbons dendritic webs, cast ingots by heat exchanger method, and cast ingots by ubiquitous crystallization process. Baseline and other process variations were applied to fabricate solar cells. EFG ribbons grown in a carbon-containing gas atmosphere showed significant improvement in silicon quality. Baseline solar cells from dendritic webs of various runs indicated that the quality of the webs under investigation was not as good as the conventional CZ silicon, showing an average minority carrier diffusion length of about 60 um versus 120 um of CZ wafers. Detail evaluation of large cast ingots by HEM showed ingot reproducibility problems from run to run and uniformity problems of sheet quality within an ingot. Initial evaluation of the wafers prepared from the cast polycrystalline ingots by UCP suggested that the quality of the wafers from this process is considerably lower than the conventional CZ wafers. Overall performance was relatively uniform, except for a few cells which showed shunting problems caused by inclusions.

  8. Manufacturing processes for fabricating graphite/PMR 15 polyimide structural elements

    NASA Technical Reports Server (NTRS)

    Sheppard, C. H.; Hoggatt, J. T.; Symonds, W. A.

    1979-01-01

    Investigations were conducted to obtain commercially available graphite/PMR-15 polyimide prepreg, develop an autoclave manufacturing process, and demonstrate the process by manufacturing structural elements. Controls were established on polymer, prepreg, composite fabrication, and quality assurance, Successful material quality control and processes were demonstrated by fabricating major structural elements including flat laminates, hat sections, I beam sections, honeycomb sandwich structures, and molded graphite reinforced fittings. Successful fabrication of structural elements and simulated section of the space shuttle aft body flap shows that the graphite/PMR-15 polyimide system and the developed processes are ready for further evaluation in flight test hardware.

  9. Development of a Batch Fabrication Process for Chemical Nanosensors: Recent Advancements at NASA Glenn Research Center

    NASA Technical Reports Server (NTRS)

    Biaggi-Labiosa, Azlin M.

    2014-01-01

    A major objective in aerospace sensor development is to produce sensors that are small in size, easy to batch fabricate and low in cost, and have low power consumption. Chemical sensors involving nanostructured materials can provide these characteristics as well as the potential for the development of sensor systems with unique properties and improved performance. However, the fabrication and processing of nanostructures for sensor applications currently is limited by the ability to control their location on the sensor platform, which in turn hinders the progress for batch fabrication. This presentation will discuss the following: the development of a novel room temperature methane (CH4) sensor fabricated using porous tin oxide (SnO2) nanorods as the sensing material, the advantages of using nanomaterials in sensor designs, the challenges encountered with the integration of nanostructures into microsensordevices, and the different methods that have been attempted to address these challenges. An approach for the mass production of sensors with nanostructures using a method developed by our group at the NASA Glenn Research Center to control the alignment of nanostructures onto a sensor platform will also be described.

  10. In-process fault detection for textile fabric production: onloom imaging

    NASA Astrophysics Data System (ADS)

    Neumann, Florian; Holtermann, Timm; Schneider, Dorian; Kulczycki, Ashley; Gries, Thomas; Aach, Til

    2011-05-01

    Constant and traceable high fabric quality is of high importance both for technical and for high-quality conventional fabrics. Usually, quality inspection is carried out by trained personal, whose detection rate and maximum period of concentration are limited. Low resolution automated fabric inspection machines using texture analysis were developed. Since 2003, systems for the in-process inspection on weaving machines ("onloom") are commercially available. With these defects can be detected, but not measured quantitative precisely. Most systems are also prone to inevitable machine vibrations. Feedback loops for fault prevention are not established. Technology has evolved since 2003: Camera and computer prices dropped, resolutions were enhanced, recording speeds increased. These are the preconditions for real-time processing of high-resolution images. So far, these new technological achievements are not used in textile fabric production. For efficient use, a measurement system must be integrated into the weaving process; new algorithms for defect detection and measurement must be developed. The goal of the joint project is the development of a modern machine vision system for nondestructive onloom fabric inspection. The system consists of a vibration-resistant machine integration, a high-resolution machine vision system, and new, reliable, and robust algorithms with quality database for defect documentation. The system is meant to detect, measure, and classify at least 80 % of economically relevant defects. Concepts for feedback loops into the weaving process will be pointed out.

  11. Development of Impregnated Agglomerate Pelletization (IAP) process for fabrication of (Th,U)O 2 mixed oxide pellets

    NASA Astrophysics Data System (ADS)

    Khot, P. M.; Nehete, Y. G.; Fulzele, A. K.; Baghra, Chetan; Mishra, A. K.; Afzal, Mohd.; Panakkal, J. P.; Kamath, H. S.

    2012-01-01

    Impregnated Agglomerate Pelletization (IAP) technique has been developed at Advanced Fuel Fabrication Facility (AFFF), BARC, Tarapur, for manufacturing (Th, 233U)O 2 mixed oxide fuel pellets, which are remotely fabricated in hot cell or shielded glove box facilities to reduce man-rem problem associated with 232U daughter radionuclides. This technique is being investigated to fabricate the fuel for Indian Advanced Heavy Water Reactor (AHWR). In the IAP process, ThO 2 is converted to free flowing spheroids by powder extrusion route in an unshielded facility which are then coated with uranyl nitrate solution in a shielded facility. The dried coated agglomerate is finally compacted and then sintered in oxidizing/reducing atmosphere to obtain high density (Th,U)O 2 pellets. In this study, fabrication of (Th,U)O 2 mixed oxide pellets containing 3-5 wt.% UO 2 was carried out by IAP process. The pellets obtained were characterized using optical microscopy, XRD and alpha autoradiography. The results obtained were compared with the results for the pellets fabricated by other routes such as Coated Agglomerate Pelletization (CAP) and Powder Oxide Pelletization (POP) route.

  12. Mask fabrication process

    DOEpatents

    Cardinale, Gregory F.

    2000-01-01

    A method for fabricating masks and reticles useful for projection lithography systems. An absorber layer is conventionally patterned using a pattern and etch process. Following the step of patterning, the entire surface of the remaining top patterning photoresist layer as well as that portion of an underlying protective photoresist layer where absorber material has been etched away is exposed to UV radiation. The UV-exposed regions of the protective photoresist layer and the top patterning photoresist layer are then removed by solution development, thereby eliminating the need for an oxygen plasma etch and strip and chances for damaging the surface of the substrate or coatings.

  13. USHPRR FUEL FABRICATION PILLAR: FABRICATION STATUS, PROCESS OPTIMIZATIONS, AND FUTURE PLANS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wight, Jared M.; Joshi, Vineet V.; Lavender, Curt A.

    The Fuel Fabrication (FF) Pillar, a project within the U.S. High Performance Research Reactor Conversion program of the National Nuclear Security Administration’s Office of Material Management and Minimization, is tasked with the scale-up and commercialization of high-density monolithic U-Mo fuel for the conversion of appropriate research reactors to use of low-enriched fuel. The FF Pillar has made significant steps to demonstrate and optimize the baseline co-rolling process using commercial-scale equipment at both the Y-12 National Security Complex (Y-12) and BWX Technologies (BWXT). These demonstrations include the fabrication of the next irradiation experiment, Mini-Plate 1 (MP-1), and casting optimizations at Y-12.more » The FF Pillar uses a detailed process flow diagram to identify potential gaps in processing knowledge or demonstration, which helps direct the strategic research agenda of the FF Pillar. This paper describes the significant progress made toward understanding the fuel characteristics, and models developed to make informed decisions, increase process yield, and decrease lifecycle waste and costs.« less

  14. Development of a low-cost, modified resin transfer molding process using elastomeric tooling and automated preform fabrication

    NASA Technical Reports Server (NTRS)

    Doane, William J.; Hall, Ronald G.

    1992-01-01

    This paper describes the design and process development of low-cost structural parts made by a modified resin transfer molding process. Innovative application of elastomeric tooling to increase laminate fiber volume and automated forming of fiber preforms are discussed, as applied to fabrication of a representative section of a cruise missile fuselage.

  15. Development of a method for fabricating metallic matrix composite shapes by a continuous mechanical process

    NASA Technical Reports Server (NTRS)

    Divecha, A. P.

    1974-01-01

    Attempts made to develop processes capable of producing metal composites in structural shapes and sizes suitable for space applications are described. The processes must be continuous and promise to lower fabrication costs. Special attention was given to the aluminum boride (Al/b) composite system. Results show that despite adequate temperature control, the consolidation characteristics did not improve as expected. Inadequate binder removal was identified as the cause responsible. An Al/c (aluminum-graphite) composite was also examined.

  16. Fabrication Process for Cantilever Beam Micromechanical Switches

    DTIC Science & Technology

    1993-08-01

    Beam Design ................................................................... 13 B. Chemistry and Materials Used in Cantilever Beam Process...7 3. Photomask levels and composite...pp 410-413. 5 2. Cantilever Beam Fabrication Process The beam fabrication process incorporates four different photomasking levels with 62 processing

  17. Development and demonstration of manufacturing processes for fabricating graphite/Larc-160 polyimide structural elements, part 4, paragraph C

    NASA Technical Reports Server (NTRS)

    1981-01-01

    Progress in the development of processes for production of Celion/LARC-160 graphite-polyimide materials, quality control methods, and the fabrication of Space Shuttle composite structure components is reported. The formulation and processing limits for three batches of resin are presented. Process improvements for simplification of the imidizing and autoclave cure cycles are described. Imidized and autoclave cured test panels were prepared. Celion/LARC-160 cure process verification and the fabrication of honeycomb sandwich panel elements and skin/stringer panels are described. C-scans of laminates imidized at 163 C to 218 C for periods from 30 to 180 minutes, and of process verification laminates made from different batches of prepreg are presented. Failure modes and load/strain characteristics of sandwich elements and C-scans of stringer to skin bond joints are also given.

  18. Silicon solar cell process development, fabrication, and analysis

    NASA Technical Reports Server (NTRS)

    Yoo, H. I.; Iles, P. A.; Leung, D. C.

    1981-01-01

    Work has progressed in fabrication and characterization of solar cells from ubiquitous crystallization process (UCP) wafers and LASS ribbons. Gettering tests applied to UCP wafers made little change on their performance compared with corresponding baseline data. Advanced processes such as shallow junction (SJ), back surface field (BSF), and multilayer antireflection (MLAR) were also applied. While BSF by Al paste had shunting problems, cells with SJ and BSF by evaporated Al, and MLAR did achieve 14.1% AMI on UCP silicon. The study of LASS material was very preliminary. Only a few cells with SJ, BSR, (no BSF) and MLAR were completed due to mechanical yield problems after lapping the material. Average efficiency was 10.7% AMI with 13.4% AMI for CZ controls. Relatively high minority carrier diffusion lengths were obtained. The lower than expected Jsc could be partially explained by low active area due to irregular sizes.

  19. Optimum processing parameters for the fabrication of twill flax fabric-reinforced polypropylene (PP) composites

    NASA Astrophysics Data System (ADS)

    Zuhudi, Nurul Zuhairah Mahmud; Minhat, Mulia; Shamsuddin, Mohd Hafizi; Isa, Mohd Dali; Nur, Nurhayati Mohd

    2017-12-01

    In recent years, natural fabric thermoplastic composites such as flax have received much attention due to its attractive capabilities for structural applications. It is crucial to study the processing of flax fabric materials in order to achieve good quality and cost-effectiveness in fibre reinforced composites. Though flax fabric has been widely utilized for several years in composite applications due to its high strength and abundance in nature, much work has been concentrated on short flax fibre and very little work focused on using flax fabric. The effectiveness of the flax fabric is expected to give higher strength performance due to its structure but the processing needs to be optimised. Flax fabric composites were fabricated using compression moulding due to its simplicity, gives good surface finish and relatively low cost in terms of labour and production. Further, the impregnation of the polymer into the fabric is easier in this process. As the fabric weave structure contributes to the impregnation quality which leads to the overall performance, the processing parameters of consolidation i.e. pressure, time, and weight fraction of fabric were optimized using the Taguchi method. This optimization enhances the consolidation quality of the composite by improving the composite mechanical properties, three main tests were conducted i.e. tensile, flexural and impact test. It is observed that the processing parameter significantly affected the consolidation and quality of composite.

  20. Development and Optimization of Silver Nanoparticle Formulation for Fabrication

    DTIC Science & Technology

    2015-08-14

    Development and Optimization of Silver Nanoparticle Formulation for Fabrication Publication Type: DJournal/ Paper D Book Chapter ~ Tech Report D...leofPublicationorPresentation: Deve l opment and Optimization of Silver Nanoparticle Formulation for Fabrication 3. Author(s): (List authors starting...fabrication process of silver nanoparticl es could improve future silver containing products , which is i mpor tant to l owering toxicity and improving

  1. Nanoparticle Selective Laser Processing for a Flexible Display Fabrication

    NASA Astrophysics Data System (ADS)

    Seung Hwan Ko,; Heng Pan,; Daeho Lee,; Costas P. Grigoropoulos,; Hee K. Park,

    2010-05-01

    To demonstrate a first step for a novel fabrication method of a flexible display, nanomaterial based laser processing schemes to demonstrate organic light emitting diode (OLED) pixel transfer and organic field effect transistor (OFET) fabrication on a polymer substrate without using any conventional vacuum or photolithography processes were developed. The unique properties of nanomaterials allow laser induced forward transfer of organic light emitting material at low laser energy while maintaining good fluorescence and also allow high resolution transistor electrode patterning at plastic compatible low temperature. These novel processes enable an environmentally friendly and cost effective process as well as a low temperature manufacturing sequence to realize inexpensive, large area, flexible electronics on polymer substrates.

  2. Method for Fabricating Composite Structures Using Pultrusion Processing

    NASA Technical Reports Server (NTRS)

    Farley, Gary L. (Inventor)

    2000-01-01

    A method for fabricating composite structures at a low-cost, moderate-to-high production rate. A first embodiment of the method includes employing a continuous press forming fabrication process. A second embodiment of the method includes employing a pultrusion process for obtaining composite structures. The methods include coating yarns with matrix material, weaving the yarn into fabric to produce a continuous fabric supply and feeding multiple layers of net-shaped fabrics having optimally oriented fibers into a debulking tool to form an undebulked preform. The continuous press forming fabrication process includes partially debulking the preform, cutting the partially debulked preform and debulking the partially debulked preform to form a net-shape. An electron-beam or similar technique then cures the structure. The pultrusion fabric process includes feeding the undebulked preform into a heated die and gradually debulking the undebulked preform. The undebulked preform in the heated die changes dimension until a desired cross-sectional dimension is achieved. This process further includes obtaining a net-shaped infiltrated uncured preform, cutting the uncured preform to a desired length and electron-beam curing (or similar technique) the uncured preform. These fabrication methods produce superior structures formed at higher production rates, resulting in lower cost and high structural performance.

  3. Method for Fabricating Composite Structures Using Pultrusion Processing

    NASA Technical Reports Server (NTRS)

    Farley, Gary L. (Inventor)

    2000-01-01

    A method for fabricating composite structures at a low-cost, moderate-to-high production rate. A first embodiment of the method includes employing a continuous press forming fabrication process. A second embodiment of the method includes employing a pultrusion process for obtaining composite structures. The methods include coating yarns with matrix material, weaving the yarn into fabric to produce a continuous fabric supply and feeding multiple layers of net-shaped fabrics having optimally oriented fibers into a debulking tool to form an undebulked preform. The continuous press forming fabrication process includes partially debulking the preform, cutting the partially debulked preform and debulking the partially debulked preform to form a netshape. An electron-beam or similar technique then cures the structure. The pultrusion fabric process includes feeding the undebulked preform into a heated die and gradually debulking the undebulked preform. The undebulked preform in the heated die changes dimension until a desired cross-sectional dimension is achieved. This process further includes obtaining a net-shaped infiltrated uncured preform, cutting the uncured preform to a desired length and electronbeam curing (or similar technique) the uncured preform. These fabrication methods produce superior structures formed at higher production rates, resulting in lower cost and high structural performance.

  4. Process for fabrication of cermets

    DOEpatents

    Landingham, Richard L [Livermore, CA

    2011-02-01

    Cermet comprising ceramic and metal components and a molten metal infiltration method and process for fabrication thereof. The light weight cermets having improved porosity, strength, durability, toughness, elasticity fabricated from presintered ceramic powder infiltrated with a molten metal or metal alloy. Alumina titanium cermets biocompatible with the human body suitable for bone and joint replacements.

  5. Development and demonstration of manufacturing processes for fabricating graphite/Larc-160 polyimide structural elements, part 4, paragraph B

    NASA Technical Reports Server (NTRS)

    1981-01-01

    Progress in the development of processes for production of Celion/LARC-160 graphite-polyimide materials, quality control, and the fabrication of Space Shuttle composite structure components is reported. Liquid chromatographic analyses of three repeatibility batches were performed and are compared to previous Hexcel standard production and to variables study LARC-160 intermediate resins. Development of processes for chopped fiber molding are described and flexural strength, elastic modulus, and other physical and mechanical properties of the molding are presented.

  6. Development and fabrication of improved Schottky power diodes

    NASA Technical Reports Server (NTRS)

    Cordes, L. F.; Garfinkel, M.; Taft, E. A.

    1975-01-01

    Reproducible methods for the fabrication of silicon Schottky diodes have been developed for tungsten, aluminum, conventional platinum silicide, and low temperature platinum silicide. Barrier heights and barrier lowering under reverse bias have been measured, permitting the accurate prediction of forward and reverse diode characteristics. Processing procedures have been developed that permit the fabrication of large area (about 1 sq cm) mesageometry power Schottky diodes with forward and reverse characteristics that approach theoretical values. A theoretical analysis of the operation of bridge rectifier circuits has been performed, which indicates the ranges of frequency and voltage for which Schottky rectifiers are preferred to p-n junctions. Power Schottky rectifiers have been fabricated and tested for voltage ratings up to 140 volts.

  7. In-Process Thermal Imaging of the Electron Beam Freeform Fabrication Process

    NASA Technical Reports Server (NTRS)

    Taminger, Karen M.; Domack, Christopher S.; Zalameda, Joseph N.; Taminger, Brian L.; Hafley, Robert A.; Burke, Eric R.

    2016-01-01

    Researchers at NASA Langley Research Center have been developing the Electron Beam Freeform Fabrication (EBF3) metal additive manufacturing process for the past 15 years. In this process, an electron beam is used as a heat source to create a small molten pool on a substrate into which wire is fed. The electron beam and wire feed assembly are translated with respect to the substrate to follow a predetermined tool path. This process is repeated in a layer-wise fashion to fabricate metal structural components. In-process imaging has been integrated into the EBF3 system using a near-infrared (NIR) camera. The images are processed to provide thermal and spatial measurements that have been incorporated into a closed-loop control system to maintain consistent thermal conditions throughout the build. Other information in the thermal images is being used to assess quality in real time by detecting flaws in prior layers of the deposit. NIR camera incorporation into the system has improved the consistency of the deposited material and provides the potential for real-time flaw detection which, ultimately, could lead to the manufacture of better, more reliable components using this additive manufacturing process.

  8. A new planetary structure fabrication process using phosphoric acid

    NASA Astrophysics Data System (ADS)

    Buchner, Christoph; Pawelke, Roland H.; Schlauf, Thomas; Reissner, Alexander; Makaya, Advenit

    2018-02-01

    Minimising the launch mass is an important aspect of exploration mission planning. In-situ resource utilisation (ISRU) can improve this by reducing the amount of terrestrial materials needed for planetary exploration activities. We report on a recently concluded investigation into the requirements and available technologies for creating hardware on extra-terrestrial bodies, using the limited resources available on site. A trade-off of ISRU technologies for hardware manufacturing was conducted. A new additive manufacturing process suitable for fabricating structures on the Moon or Mars was developed. The process uses planetary regolith as the base material and concentrated phosphoric acid as the liquid binder. Mixing the reagents creates a sticky construction paste that slowly solidifies into a hard, rock-like material. Prior to solidification, the paste is extruded in layers, creating the desired structures in a 3D printing process. We used Martian regolith simulant JSC-Mars-1A, but the process is not selective towards regolith composition. Samples were exposed to thermal cycles and were mechanically characterised. Reduced-scale demonstrator structures were printed to demonstrate structure fabrication using the developed process.

  9. Improved Design of Optical MEMS Using the SUMMiT Fabrication Process

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Michalicek, M.A.; Comtois, J.H.; Barron, C.C.

    This paper describes the design and fabrication of optical Microelectromechanical Systems (MEMS) devices using the Sandia Ultra planar Multilevel MEMS Technology (SUMMiT) fabrication process. This state of the art process, offered by Sandia National Laboratories, provides unique and very advantageous features which make it ideal for optical devices. This enabling process permits the development of micromirror devices with near ideal characteristics which have previously been unrealizable in standard polysilicon processes. This paper describes such characteristics as elevated address electrodes, individual address wiring beneath the device, planarized mirror surfaces, unique post-process metallization, and the best active surface area to date.

  10. U-10Mo Baseline Fuel Fabrication Process Description

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hubbard, Lance R.; Arendt, Christina L.; Dye, Daniel F.

    This document provides a description of the U.S. High Power Research Reactor (USHPRR) low-enriched uranium (LEU) fuel fabrication process. This document is intended to be used in conjunction with the baseline process flow diagram (PFD) presented in Appendix A. The baseline PFD is used to document the fabrication process, communicate gaps in technology or manufacturing capabilities, convey alternatives under consideration, and as the basis for a dynamic simulation model of the fabrication process. The simulation model allows for the assessment of production rates, costs, and manufacturing requirements (manpower, fabrication space, numbers and types of equipment, etc.) throughout the lifecycle ofmore » the USHPRR program. This document, along with the accompanying PFD, is updated regularly« less

  11. Silicon Solar Cell Process Development, Fabrication and Analysis, Phase 1

    NASA Technical Reports Server (NTRS)

    Yoo, H. I.; Iles, P. A.; Tanner, D. P.

    1979-01-01

    Solar cells from RTR ribbons, EFG (RF and RH) ribbons, dendritic webs, Silso wafers, cast silicon by HEM, silicon on ceramic, and continuous Czochralski ingots were fabricated using a standard process typical of those used currently in the silicon solar cell industry. Back surface field (BSF) processing and other process modifications were included to give preliminary indications of possible improved performance. The parameters measured included open circuit voltage, short circuit current, curve fill factor, and conversion efficiency (all taken under AM0 illumination). Also measured for typical cells were spectral response, dark I-V characteristics, minority carrier diffusion length, and photoresponse by fine light spot scanning. the results were compared to the properties of cells made from conventional single crystalline Czochralski silicon with an emphasis on statistical evaluation. Limited efforts were made to identify growth defects which will influence solar cell performance.

  12. Multi-Step Deep Reactive Ion Etching Fabrication Process for Silicon-Based Terahertz Components

    NASA Technical Reports Server (NTRS)

    Reck, Theodore (Inventor); Perez, Jose Vicente Siles (Inventor); Lee, Choonsup (Inventor); Cooper, Ken B. (Inventor); Jung-Kubiak, Cecile (Inventor); Mehdi, Imran (Inventor); Chattopadhyay, Goutam (Inventor); Lin, Robert H. (Inventor); Peralta, Alejandro (Inventor)

    2016-01-01

    A multi-step silicon etching process has been developed to fabricate silicon-based terahertz (THz) waveguide components. This technique provides precise dimensional control across multiple etch depths with batch processing capabilities. Nonlinear and passive components such as mixers and multipliers waveguides, hybrids, OMTs and twists have been fabricated and integrated into a small silicon package. This fabrication technique enables a wafer-stacking architecture to provide ultra-compact multi-pixel receiver front-ends in the THz range.

  13. Optimizing The DSSC Fabrication Process Using Lean Six Sigma

    NASA Astrophysics Data System (ADS)

    Fauss, Brian

    Alternative energy technologies must become more cost effective to achieve grid parity with fossil fuels. Dye sensitized solar cells (DSSCs) are an innovative third generation photovoltaic technology, which is demonstrating tremendous potential to become a revolutionary technology due to recent breakthroughs in cost of fabrication. The study here focused on quality improvement measures undertaken to improve fabrication of DSSCs and enhance process efficiency and effectiveness. Several quality improvement methods were implemented to optimize the seven step individual DSSC fabrication processes. Lean Manufacturing's 5S method successfully increased efficiency in all of the processes. Six Sigma's DMAIC methodology was used to identify and eliminate each of the root causes of defects in the critical titanium dioxide deposition process. These optimizations resulted with the following significant improvements in the production process: 1. fabrication time of the DSSCs was reduced by 54 %; 2. fabrication procedures were improved to the extent that all critical defects in the process were eliminated; 3. the quantity of functioning DSSCs fabricated was increased from 17 % to 90 %.

  14. Silicon solar cell process development, fabrication and analysis

    NASA Technical Reports Server (NTRS)

    Minahan, J. A.

    1981-01-01

    The fabrication of solar cells from several unconventional silicon materials is described, and cell performance measured and analyzed. Unconventional materials evaluated are edge defined film fed grown (EFG), heat exchanger method (HEM), dendritic web grown, and continuous CZ silicons. Resistivity, current voltage, and spectral sensitivity of the cells were measured. Current voltage was measured under AM0 and AM1 conditions. Maximum conversion efficiencies of cells fabricated from these and other unconventional silicons were compared and test results analyzed. The HEM and continuous CZ silicon were found to be superior to silicon materials considered previously.

  15. Silicon Web Process Development. [for solar cell fabrication

    NASA Technical Reports Server (NTRS)

    Duncan, C. S.; Seidensticker, R. G.; Hopkins, R. H.; Mchugh, J. P.; Hill, F. E.; Heimlich, M. E.; Driggers, J. M.

    1979-01-01

    Silicon dendritic web, ribbon form of silicon and capable of fabrication into solar cells with greater than 15% AMl conversion efficiency, was produced from the melt without die shaping. Improvements were made both in the width of the web ribbons grown and in the techniques to replenish the liquid silicon as it is transformed to web. Through means of improved thermal shielding stress was reduced sufficiently so that web crystals nearly 4.5 cm wide were grown. The development of two subsystems, a silicon feeder and a melt level sensor, necessary to achieve an operational melt replenishment system, is described. A gas flow management technique is discussed and a laser reflection method to sense and control the melt level as silicon is replenished is examined.

  16. Plasma Processes for Semiconductor Fabrication

    NASA Astrophysics Data System (ADS)

    Hitchon, W. N. G.

    1999-01-01

    Plasma processing is a central technique in the fabrication of semiconductor devices. This self-contained book provides an up-to-date description of plasma etching and deposition in semiconductor fabrication. It presents the basic physics and chemistry of these processes, and shows how they can be accurately modeled. The author begins with an overview of plasma reactors and discusses the various models for understanding plasma processes. He then covers plasma chemistry, addressing the effects of different chemicals on the features being etched. Having presented the relevant background material, he then describes in detail the modeling of complex plasma systems, with reference to experimental results. The book closes with a useful glossary of technical terms. No prior knowledge of plasma physics is assumed in the book. It contains many homework exercises and serves as an ideal introduction to plasma processing and technology for graduate students of electrical engineering and materials science. It will also be a useful reference for practicing engineers in the semiconductor industry.

  17. A cochlear implant fabricated using a bulk silicon-surface micromachining process

    NASA Astrophysics Data System (ADS)

    Bell, Tracy Elizabeth

    1999-11-01

    This dissertation presents the design and fabrication of two generations of a silicon microelectrode array for use in a cochlear implant. A cochlear implant is a device that is inserted into the inner ear and uses electrical stimulation to provide sound sensations to the profoundly deaf. The first-generation silicon cochlear implant is a passive device fabricated using silicon microprobe technology developed at the University of Michigan. It contains twenty-two iridium oxide (IrO) stimulating sites that are 250 mum in diameter and spaced at 750 mum intervals. In-vivo recordings were made in guinea pig auditory cortex in response to electrical stimulation with this device, verifying its ability to electrically evoke an auditory response. Auditory thresholds as low as 78 muA were recorded. The second-generation implant is a thirty-two site, four-channel device with on-chip CMOS site-selection circuitry and integrated position sensing. It was fabricated using a novel bulk silicon surface micromachining process which was developed as a part of this dissertation work. While the use of semiconductor technology offers many advantages in fabricating cochlear implants over the methods currently used, it was felt that even further advantages could be gained by developing a new micromachining process which would allow circuitry to be distributed along the full length of the cochlear implant substrate. The new process uses electropolishing of an n+ bulk silicon sacrificial layer to undercut and release n- epitaxial silicon structures from the wafer. An extremely abrupt etch-stop between the n+ and n- silicon is obtained, with no electropolishing taking place in the n-type silicon that is doped lower than 1 x 1017 cm-3 in concentration. Lateral electropolishing rates of up to 50 mum/min were measured using this technique, allowing one millimeter-wide structures to be fully undercut in as little as 10 minutes. The new micromachining process was integrated with a standard p

  18. Bioinspired superhydrophobic surfaces, fabricated through simple and scalable roll-to-roll processing

    PubMed Central

    Park, Sung-Hoon; Lee, Sangeui; Moreira, David; Bandaru, Prabhakar R.; Han, InTaek; Yun, Dong-Jin

    2015-01-01

    A simple, scalable, non-lithographic, technique for fabricating durable superhydrophobic (SH) surfaces, based on the fingering instabilities associated with non-Newtonian flow and shear tearing, has been developed. The high viscosity of the nanotube/elastomer paste has been exploited for the fabrication. The fabricated SH surfaces had the appearance of bristled shark skin and were robust with respect to mechanical forces. While flow instability is regarded as adverse to roll-coating processes for fabricating uniform films, we especially use the effect to create the SH surface. Along with their durability and self-cleaning capabilities, we have demonstrated drag reduction effects of the fabricated films through dynamic flow measurements. PMID:26490133

  19. Bioinspired superhydrophobic surfaces, fabricated through simple and scalable roll-to-roll processing.

    PubMed

    Park, Sung-Hoon; Lee, Sangeui; Moreira, David; Bandaru, Prabhakar R; Han, InTaek; Yun, Dong-Jin

    2015-10-22

    A simple, scalable, non-lithographic, technique for fabricating durable superhydrophobic (SH) surfaces, based on the fingering instabilities associated with non-Newtonian flow and shear tearing, has been developed. The high viscosity of the nanotube/elastomer paste has been exploited for the fabrication. The fabricated SH surfaces had the appearance of bristled shark skin and were robust with respect to mechanical forces. While flow instability is regarded as adverse to roll-coating processes for fabricating uniform films, we especially use the effect to create the SH surface. Along with their durability and self-cleaning capabilities, we have demonstrated drag reduction effects of the fabricated films through dynamic flow measurements.

  20. Processing and Characterization of PETI Composites Fabricated by High Temperature VARTM

    NASA Technical Reports Server (NTRS)

    Ghose, Sayata; Cano, Roberto J.; Watson, Kent A.; Britton, Sean M.; Jensen, Brian J.; Connell, John W.; Smith, Joseph G.; Loos, Alfred C.; Heider, Dirk

    2011-01-01

    The use of composites as primary structures on aerospace vehicles has increased dramatically over the past decade. As these advanced structures increase in size and complexity, their production costs have grown significantly. A major contributor to these manufacturing costs is the requirement of elevated processing pressures, during the thermal cure, to create fully consolidated composites. For certain composite parts, high temperature vacuum assisted resin transfer molding (HT-VARTM) can offer reduced fabrication costs compared to conventional autoclave techniques. The process has been successfully used with phenylethynyl terminated imide (PETI) resins developed by NASA LaRC. In the current study, two PETI resins, LARC(TradeMark) PETI-330 and LARC(TradeMark) PETI-8 have been used to make test specimens using HT-VARTM. Based on previous work at NASA LaRC, larger panels with a quasi-isotropic lay-up were fabricated. The resultant composite specimens exhibited void contents <3% by volume depending on the type of carbon fabric preform used. Mechanical properties of the panels were determined at both room and elevated temperatures. These included open-hole compressive (OHC) and short beam shear (SBS) properties. Limited process modeling efforts were carried out including infusion times, composite panel size limitations and fabric permeability characterization. Work has also been carried out to develop new PETI based resins specifically geared towards HT-VARTM. The results of this work are presented herein.

  1. Disc resonator gyroscope fabrication process requiring no bonding alignment

    NASA Technical Reports Server (NTRS)

    Shcheglov, Kirill V. (Inventor)

    2010-01-01

    A method of fabricating a resonant vibratory sensor, such as a disc resonator gyro. A silicon baseplate wafer for a disc resonator gyro is provided with one or more locating marks. The disc resonator gyro is fabricated by bonding a blank resonator wafer, such as an SOI wafer, to the fabricated baseplate, and fabricating the resonator structure according to a pattern based at least in part upon the location of the at least one locating mark of the fabricated baseplate. MEMS-based processing is used for the fabrication processing. In some embodiments, the locating mark is visualized using optical and/or infrared viewing methods. A disc resonator gyroscope manufactured according to these methods is described.

  2. Fabrication process for a gradient index x-ray lens

    DOEpatents

    Bionta, R.M.; Makowiecki, D.M.; Skulina, K.M.

    1995-01-17

    A process is disclosed for fabricating high efficiency x-ray lenses that operate in the 0.5-4.0 keV region suitable for use in biological imaging, surface science, and x-ray lithography of integrated circuits. The gradient index x-ray optics fabrication process broadly involves co-sputtering multi-layers of film on a wire, followed by slicing and mounting on block, and then ion beam thinning to a thickness determined by periodic testing for efficiency. The process enables the fabrication of transmissive gradient index x-ray optics for the 0.5-4.0 keV energy range. This process allows the fabrication of optical elements for the next generation of imaging and x-ray lithography instruments in the soft x-ray region. 13 figures.

  3. Fabrication process for a gradient index x-ray lens

    DOEpatents

    Bionta, Richard M.; Makowiecki, Daniel M.; Skulina, Kenneth M.

    1995-01-01

    A process for fabricating high efficiency x-ray lenses that operate in the 0.5-4.0 keV region suitable for use in biological imaging, surface science, and x-ray lithography of integrated circuits. The gradient index x-ray optics fabrication process broadly involves co-sputtering multi-layers of film on a wire, followed by slicing and mounting on block, and then ion beam thinning to a thickness determined by periodic testing for efficiency. The process enables the fabrication of transmissive gradient index x-ray optics for the 0.5-4.0 keV energy range. This process allows the fabrication of optical elements for the next generation of imaging and x-ray lithography instruments m the soft x-ray region.

  4. Improved Process for Fabricating Carbon Nanotube Probes

    NASA Technical Reports Server (NTRS)

    Stevens, R.; Nguyen, C.; Cassell, A.; Delzeit, L.; Meyyappan, M.; Han, Jie

    2003-01-01

    An improved process has been developed for the efficient fabrication of carbon nanotube probes for use in atomic-force microscopes (AFMs) and nanomanipulators. Relative to prior nanotube tip production processes, this process offers advantages in alignment of the nanotube on the cantilever and stability of the nanotube's attachment. A procedure has also been developed at Ames that effectively sharpens the multiwalled nanotube, which improves the resolution of the multiwalled nanotube probes and, combined with the greater stability of multiwalled nanotube probes, increases the effective resolution of these probes, making them comparable in resolution to single-walled carbon nanotube probes. The robust attachment derived from this improved fabrication method and the natural strength and resiliency of the nanotube itself produces an AFM probe with an extremely long imaging lifetime. In a longevity test, a nanotube tip imaged a silicon nitride surface for 15 hours without measurable loss of resolution. In contrast, the resolution of conventional silicon probes noticeably begins to degrade within minutes. These carbon nanotube probes have many possible applications in the semiconductor industry, particularly as devices are approaching the nanometer scale and new atomic layer deposition techniques necessitate a higher resolution characterization technique. Previously at Ames, the use of nanotube probes has been demonstrated for imaging photoresist patterns with high aspect ratio. In addition, these tips have been used to analyze Mars simulant dust grains, extremophile protein crystals, and DNA structure.

  5. Solar Cell Fabrication Studies Pertinent to Developing Countries.

    NASA Astrophysics Data System (ADS)

    Prah, Joseph Henry

    That there is a need in the world today, and in the Third World in particular, for developing renewable energy sources is a proposition without question. Toward that end, the harnessing of solar energy has attracted much attention recently. In this thesis, we have addressed the question of Photovoltaics among the many approaches to the problem as being of poignant relevance in the Third World. Based on our studies, which involved the physics of solar cells, various solar cell configurations, the materials for their fabrication and their fabrication sequences, we arrived at the conclusion that silicon homojunction solar cells are best suited to the present needs and environment of, and suitable for development in the Third World, though Cadmium Sulphide-Cuprous Sulphide solar cell could be considered as a viable future candidate. Attendant with the adoption of photovoltaics as electric energy supply, is the problem of technology transfer and development. Towards that goal, we carried out in the laboratory, the fabrication of solar cells using very simple fabrication sequences and materials to demonstrate that tolerable efficiencies are achievable by their use. The view is also presented that for a thriving and viable solar cell industry in the Third World, the sine qua non is an integrated national policies involving all facets of solar cell manufacture and application, namely, material processing and fabrication, basic research, and development and socio -economic acceptance of solar cell appliances. To demonstrate how basic research could benefit solar cell fabrication, we undertook a number of experiments, such as varying our fabrication sequences and materials, finding their radiation tolerance, and carrying out Deep Level Transient Spectroscopy (DLTS) studies, in an attempt to understand some of the fabrication and environmental factors which limit solar cell performance. We thus found that subjecting wafers to preheat treatments does not improve solar cell

  6. Neural Network Modeling for Gallium Arsenide IC Fabrication Process and Device Characteristics.

    NASA Astrophysics Data System (ADS)

    Creech, Gregory Lee, I.

    This dissertation presents research focused on the utilization of neurocomputing technology to achieve enhanced yield and effective yield prediction in integrated circuit (IC) manufacturing. Artificial neural networks are employed to model complex relationships between material and device characteristics at critical stages of the semiconductor fabrication process. Whole wafer testing was performed on the starting substrate material and during wafer processing at four critical steps: Ohmic or Post-Contact, Post-Recess, Post-Gate and Final, i.e., at completion of fabrication. Measurements taken and subsequently used in modeling include, among others, doping concentrations, layer thicknesses, planar geometries, layer-to-layer alignments, resistivities, device voltages, and currents. The neural network architecture used in this research is the multilayer perceptron neural network (MLPNN). The MLPNN is trained in the supervised mode using the generalized delta learning rule. It has one hidden layer and uses continuous perceptrons. The research focuses on a number of different aspects. First is the development of inter-process stage models. Intermediate process stage models are created in a progressive fashion. Measurements of material and process/device characteristics taken at a specific processing stage and any previous stages are used as input to the model of the next processing stage characteristics. As the wafer moves through the fabrication process, measurements taken at all previous processing stages are used as input to each subsequent process stage model. Secondly, the development of neural network models for the estimation of IC parametric yield is demonstrated. Measurements of material and/or device characteristics taken at earlier fabrication stages are used to develop models of the final DC parameters. These characteristics are computed with the developed models and compared to acceptance windows to estimate the parametric yield. A sensitivity analysis is

  7. Development of Self-Cleaning Denim Fabrics

    NASA Astrophysics Data System (ADS)

    Uğur, Ş. S.; Sarıışık, A. M.; Çavuşlar, E.; Ertek, M.

    2017-10-01

    Denim fabrics coated with TiO2 nanolayers for self-cleaning properties by using a continuous layer-by-layer method. Nanolayer coated denim fabrics washed with an enzyme process for aging affect. Fabrics were analyzed with SEM-EDX and XPS measurements. Self-cleaning properties of the nanolayer deposited denim fabrics were tested according to red wine stain against to Suntest visible light irradiation after 72 h. And also, some physical (air permeability, tensile strength) and color (color difference and rubbing fastness) properties were evaluated.

  8. 2D all-solid state fabric supercapacitor fabricated via an all solution process for use in smart textiles

    NASA Astrophysics Data System (ADS)

    Jang, Yunseok; Jo, Jeongdai; Woo, Kyoohee; Lee, Seung-Hyun; Kwon, Sin; Kim, Kwang-Young; Kang, Dongwoo

    2017-05-01

    We propose a method to fabricate a supercapacitor for smart textiles using silver (Ag) nanoparticle (NP) ink, simple spray patterning systems, and intense pulsed light (IPL) sintering systems. The Ag NP current collectors provided as high conductivity as the metal current collectors. The spray patterning technique is useful for fabricating supercapacitors because it is simple, fast, and cheap. IPL systems reduced the sintering temperature of Ag NPs and prevented thermal damage to the textiles during the Ag NP sintering process. The two-dimensional (2D) all-solid state fabric supercapacitor with an interdigitated configuration, developed here, exhibited a specific capacitance of 25.7 F/g and an energy density of 1.5 Wh/kg at a power density of 64.3 W/kg. These results support the utility of our proposed method in the development of energy textiles.

  9. An infiltration/cure model for manufacture of fabric composites by the resin infusion process

    NASA Technical Reports Server (NTRS)

    Weideman, Mark H.; Loos, Alfred C.; Dexter, H. Benson; Hasko, Gregory H.

    1992-01-01

    A 1-D infiltration/cure model was developed to simulate fabrication of advanced textile composites by the resin film infusion process. The simulation model relates the applied temperature and pressure processing cycles, along with the experimentally measured compaction and permeability characteristics of the fabric preforms, to the temperature distribution, the resin degree of cure and viscosity, and the infiltration flow front position as a function of time. The model also predicts the final panel thickness, fiber volume fraction, and resin mass for full saturation as a function of compaction pressure. Composite panels were fabricated using the RTM (Resin Transfer Molding) film infusion technique from knitted, knitted/stitched, and 2-D woven carbon preforms and Hercules 3501-6 resin. Fabric composites were fabricated at different compaction pressures and temperature cycles to determine the effects of the processing on the properties. The composites were C-scanned and micrographed to determine the quality of each panel. Advanced cure cycles, developed from the RTM simulation model, were used to reduce the total cure cycle times by a factor of 3 and the total infiltration times by a factor of 2.

  10. Layered Metals Fabrication Technology Development for Support of Lunar Exploration at NASA/MSFC

    NASA Technical Reports Server (NTRS)

    Cooper, Kenneth G.; Good, James E.; Gilley, Scott D.

    2007-01-01

    NASA's human exploration initiative poses great opportunity and risk for missions to the Moon and beyond. In support of these missions, engineers and scientists at the Marshall Space Flight Center are developing technologies for ground-based and in-situ fabrication capabilities utilizing provisioned and locally-refined materials. Development efforts are pushing state-of-the art fabrication technologies to support habitat structure development, tools and mechanical part fabrication, as well as repair and replacement of ground support and space mission hardware such as life support items, launch vehicle components and crew exercise equipment. This paper addresses current fabrication technologies relative to meeting targeted capabilities, near term advancement goals, and process certification of fabrication methods.

  11. A Highly Controllable Electrochemical Anodization Process to Fabricate Porous Anodic Aluminum Oxide Membranes

    NASA Astrophysics Data System (ADS)

    Lin, Yuanjing; Lin, Qingfeng; Liu, Xue; Gao, Yuan; He, Jin; Wang, Wenli; Fan, Zhiyong

    2015-12-01

    Due to the broad applications of porous alumina nanostructures, research on fabrication of anodized aluminum oxide (AAO) with nanoporous structure has triggered enormous attention. While fabrication of highly ordered nanoporous AAO with tunable geometric features has been widely reported, it is known that its growth rate can be easily affected by the fluctuation of process conditions such as acid concentration and temperature during electrochemical anodization process. To fabricate AAO with various geometric parameters, particularly, to realize precise control over pore depth for scientific research and commercial applications, a controllable fabrication process is essential. In this work, we revealed a linear correlation between the integrated electric charge flow throughout the circuit in the stable anodization process and the growth thickness of AAO membranes. With this understanding, we developed a facile approach to precisely control the growth process of the membranes. It was found that this approach is applicable in a large voltage range, and it may be extended to anodization of other metal materials such as Ti as well.

  12. A Highly Controllable Electrochemical Anodization Process to Fabricate Porous Anodic Aluminum Oxide Membranes.

    PubMed

    Lin, Yuanjing; Lin, Qingfeng; Liu, Xue; Gao, Yuan; He, Jin; Wang, Wenli; Fan, Zhiyong

    2015-12-01

    Due to the broad applications of porous alumina nanostructures, research on fabrication of anodized aluminum oxide (AAO) with nanoporous structure has triggered enormous attention. While fabrication of highly ordered nanoporous AAO with tunable geometric features has been widely reported, it is known that its growth rate can be easily affected by the fluctuation of process conditions such as acid concentration and temperature during electrochemical anodization process. To fabricate AAO with various geometric parameters, particularly, to realize precise control over pore depth for scientific research and commercial applications, a controllable fabrication process is essential. In this work, we revealed a linear correlation between the integrated electric charge flow throughout the circuit in the stable anodization process and the growth thickness of AAO membranes. With this understanding, we developed a facile approach to precisely control the growth process of the membranes. It was found that this approach is applicable in a large voltage range, and it may be extended to anodization of other metal materials such as Ti as well.

  13. Development and fabrication of a solar cell junction processing system

    NASA Technical Reports Server (NTRS)

    Kiesling, R.

    1981-01-01

    The major component fabrication program was completed. Assembly and system testing of the pulsed electron beam annealing machine are described. The design program for the transport reached completion, and the detailed drawings were released for fabrication and procurement of the long lead time components.

  14. Development of the activated diffusion brazing process for fabrication of finned shell to strut turbine blades

    NASA Technical Reports Server (NTRS)

    Wilbers, L. G.; Berry, T. F.; Kutchera, R. E.; Edmonson, R. E.

    1971-01-01

    The activated diffusion brazing process was developed for attaching TD-NiCr and U700 finned airfoil shells to matching Rene 80 struts obstructing the finned cooling passageways. Creep forming the finned shells to struts in combination with precise preplacement of brazing alloy resulted in consistently sound joints, free of cooling passageway clogging. Extensive tensile and stress rupture testing of several joint orientation at several temperatures provided a critical assessment of joint integrity of both material combinations. Trial blades of each material combination were fabricated followed by destructive metallographic examination which verified high joint integrity.

  15. Electron Beam Freeform Fabrication Technology Development for Aerospace Applications

    NASA Technical Reports Server (NTRS)

    Taminger, Karen M.

    2006-01-01

    NASA Langley has developed a the EBF(sup 3)process and currently has two EBF(sup 3) systems in house. EBF(sup 3) process offers potential cost reduction and fabrication of complex unitized structures out of metals. EBF(sup 3) has been successfully demonstrated on Al, Al-Li, Ti, and Ni alloys to date.

  16. Processing and Characterization of Peti Composites Fabricated by High Temperature Vartm (Section)

    NASA Technical Reports Server (NTRS)

    Ghose, Sayata; Cano, Roberto J.; Watson, Kent A.; Britton, Sean M.; Jensen, Brian J.; Connell, John W.; Smith, Joseph G., Jr.; Loos, Alfred C.; Heider, Dirk

    2011-01-01

    The use of composites as primary structures on aerospace vehicles has increased dramatically over the past decade, but so have the production costs associated with their fabrication. For certain composites, high temperature vacuum assisted resin transfer molding (HT-VARTM) can offer reduced fabrication costs compared to conventional autoclave techniques. The process has been successfully used with phenylethynyl terminated imide (PETI) resins developed by NASA Langley Research Center (LaRC). In the current study, three PETI resins have been used to make test specimens using HT-VARTM. Based on previous work at NASA LaRC, larger panels with a quasi-isotropic lay-up were fabricated. The resultant composite specimens exhibited void contents of 3% by volume depending on the type of carbon fabric preform used. Mechanical properties of the panels were determined at both room and elevated temperatures. Fabric permeability characterizations and limited process modeling efforts were carried out to determine infusion times and composite panel size limitations. In addition, new PETI based resins were synthesized specifically for HT-VARTM.

  17. Ultrasonic imaging system for in-process fabric defect detection

    DOEpatents

    Sheen, Shuh-Haw; Chien, Hual-Te; Lawrence, William P.; Raptis, Apostolos C.

    1997-01-01

    An ultrasonic method and system are provided for monitoring a fabric to identify a defect. A plurality of ultrasonic transmitters generate ultrasonic waves relative to the fabric. An ultrasonic receiver means responsive to the generated ultrasonic waves from the transmitters receives ultrasonic waves coupled through the fabric and generates a signal. An integrated peak value of the generated signal is applied to a digital signal processor and is digitized. The digitized signal is processed to identify a defect in the fabric. The digitized signal processing includes a median value filtering step to filter out high frequency noise. Then a mean value and standard deviation of the median value filtered signal is calculated. The calculated mean value and standard deviation are compared with predetermined threshold values to identify a defect in the fabric.

  18. Deep Etching Process Developed for the Fabrication of Silicon Carbide Microsystems

    NASA Technical Reports Server (NTRS)

    Beheim, Glenn M.

    2000-01-01

    Silicon carbide (SiC), because of its superior electrical and mechanical properties at elevated temperatures, is a nearly ideal material for the microminiature sensors and actuators that are used in harsh environments where temperatures may reach 600 C or greater. Deep etching using plasma methods is one of the key processes used to fabricate silicon microsystems for more benign environments, but SiC has proven to be a more difficult material to etch, and etch depths in SiC have been limited to several micrometers. Recently, the Sensors and Electronics Technology Branch at the NASA Glenn Research Center at Lewis Field developed a plasma etching process that was shown to be capable of etching SiC to a depth of 60 mm. Deep etching of SiC is achieved by inductive coupling of radiofrequency electrical energy to a sulfur hexafluoride (SF6) plasma to direct a high flux of energetic ions and reactive fluorine atoms to the SiC surface. The plasma etch is performed at a low pressure, 5 mtorr, which together with a high gas throughput, provides for rapid removal of the gaseous etch products. The lateral topology of the SiC microstructure is defined by a thin film of etch-resistant material, such as indium-tin-oxide, which is patterned using conventional photolithographic processes. Ions from the plasma bombard the exposed SiC surfaces and supply the energy needed to initiate a reaction between SiC and atomic fluorine. In the absence of ion bombardment, no reaction occurs, so surfaces perpendicular to the wafer surface (the etch sidewalls) are etched slowly, yielding the desired vertical sidewalls.

  19. Optimal fabrication processes for unidirectional metal-matrix composites: A computational simulation

    NASA Technical Reports Server (NTRS)

    Saravanos, D. A.; Murthy, P. L. N.; Morel, M.

    1990-01-01

    A method is proposed for optimizing the fabrication process of unidirectional metal matrix composites. The temperature and pressure histories are optimized such that the residual microstresses of the composite at the end of the fabrication process are minimized and the material integrity throughout the process is ensured. The response of the composite during the fabrication is simulated based on a nonlinear micromechanics theory. The optimal fabrication problem is formulated and solved with non-linear programming. Application cases regarding the optimization of the fabrication cool-down phases of unidirectional ultra-high modulus graphite/copper and silicon carbide/titanium composites are presented.

  20. Optimal fabrication processes for unidirectional metal-matrix composites - A computational simulation

    NASA Technical Reports Server (NTRS)

    Saravanos, D. A.; Murthy, P. L. N.; Morel, M.

    1990-01-01

    A method is proposed for optimizing the fabrication process of unidirectional metal matrix composites. The temperature and pressure histories are optimized such that the residual microstresses of the composite at the end of the fabrication process are minimized and the material integrity throughout the process is ensured. The response of the composite during the fabrication is simulated based on a nonlinear micromechanics theory. The optimal fabrication problem is formulated and solved with nonlinear programming. Application cases regarding the optimization of the fabrication cool-down phases of unidirectional ultra-high modulus graphite/copper and silicon carbide/titanium composites are presented.

  1. A sacrificial process for fabrication of biodegradable polymer membranes with submicron thickness.

    PubMed

    Beardslee, Luke A; Stolwijk, Judith; Khaladj, Dimitrius A; Trebak, Mohamed; Halman, Justin; Torrejon, Karen Y; Niamsiri, Nuttawee; Bergkvist, Magnus

    2016-08-01

    A new sacrificial molding process using a single mask has been developed to fabricate ultrathin 2-dimensional membranes from several biocompatible polymeric materials. The fabrication process is similar to a sacrificial microelectromechanical systems (MEMS) process flow, where a mold is created from a material that can be coated with a biodegradable polymer and subsequently etched away, leaving behind a very thin polymer membrane. In this work, two different sacrificial mold materials, silicon dioxide (SiO2 ) and Liftoff Resist (LOR) were used. Three different biodegradable materials; polycaprolactone (PCL), poly(lactic-co-glycolic acid) (PLGA), and polyglycidyl methacrylate (PGMA), were chosen as model polymers. We demonstrate that this process is capable of fabricating 200-500 nm thin, through-hole polymer membranes with various geometries, pore-sizes and spatial features approaching 2.5 µm using a mold fabricated via a single contact photolithography exposure. In addition, the membranes can be mounted to support rings made from either SU8 or PCL for easy handling after release. Cell culture compatibility of the fabricated membranes was evaluated with human dermal microvascular endothelial cells (HDMECs) seeded onto the ultrathin porous membranes, where the cells grew and formed confluent layers with well-established cell-cell contacts. Furthermore, human trabecular meshwork cells (HTMCs) cultured on these scaffolds showed similar proliferation as on flat PCL substrates, further validating its compatibility. All together, these results demonstrated the feasibility of our sacrificial fabrication process to produce biocompatible, ultra-thin membranes with defined microstructures (i.e., pores) with the potential to be used as substrates for tissue engineering applications. © 2015 Wiley Periodicals, Inc. J Biomed Mater Res Part B: Appl Biomater, 104B: 1192-1201, 2016. © 2015 Wiley Periodicals, Inc.

  2. Advanced ACTPol Multichroic Polarimeter Array Fabrication Process for 150 mm Wafers

    NASA Astrophysics Data System (ADS)

    Duff, S. M.; Austermann, J.; Beall, J. A.; Becker, D.; Datta, R.; Gallardo, P. A.; Henderson, S. W.; Hilton, G. C.; Ho, S. P.; Hubmayr, J.; Koopman, B. J.; Li, D.; McMahon, J.; Nati, F.; Niemack, M. D.; Pappas, C. G.; Salatino, M.; Schmitt, B. L.; Simon, S. M.; Staggs, S. T.; Stevens, J. R.; Van Lanen, J.; Vavagiakis, E. M.; Ward, J. T.; Wollack, E. J.

    2016-08-01

    Advanced ACTPol (AdvACT) is a third-generation cosmic microwave background receiver to be deployed in 2016 on the Atacama Cosmology Telescope (ACT). Spanning five frequency bands from 25 to 280 GHz and having just over 5600 transition-edge sensor (TES) bolometers, this receiver will exhibit increased sensitivity and mapping speed compared to previously fielded ACT instruments. This paper presents the fabrication processes developed by NIST to scale to large arrays of feedhorn-coupled multichroic AlMn-based TES polarimeters on 150-mm diameter wafers. In addition to describing the streamlined fabrication process which enables high yields of densely packed detectors across larger wafers, we report the details of process improvements for sensor (AlMn) and insulator (SiN_x) materials and microwave structures, and the resulting performance improvements.

  3. Advanced ACTPol Multichroic Polarimeter Array Fabrication Process for 150 mm Wafers

    NASA Technical Reports Server (NTRS)

    Duff, S. M.; Austermann, J.; Beall, J. A.; Becker, D.; Datta, R.; Gallardo, P. A.; Henderson, S. W.; Hilton, G. C.; Ho, S. P.; Hubmayr, J.; hide

    2016-01-01

    Advanced ACTPol (AdvACT) is a third-generation cosmic microwave background receiver to be deployed in 2016 on the Atacama Cosmology Telescope (ACT). Spanning five frequency bands from 25 to 280 GHz and having just over 5600 transition-edge sensor (TES) bolometers, this receiver will exhibit increased sensitivity and mapping speed compared to previously fielded ACT instruments. This paper presents the fabrication processes developed by NIST to scale to large arrays of feedhorn-coupled multichroic AlMn-based TES polarimeters on 150-mm diameter wafers. In addition to describing the streamlined fabrication process which enables high yields of densely packed detectors across larger wafers, we report the details of process improvements for sensor (AlMn) and insulator (SiN(sub x)) materials and microwave structures, and the resulting performance improvements.

  4. Development and fabrication of improved Schottky power diodes, phases I and II

    NASA Technical Reports Server (NTRS)

    Cordes, L. F.; Garfinkle, M.; Taft, E. A.

    1974-01-01

    Reproducible methods for the fabrication of silicon Schottky diodes were developed for the metals tungsten, aluminum, conventional platinum silicide and low temperature platinum silicide. Barrier heights and barrier lowering were measured permitting the accurate prediction of ideal forward and reverse diode performance. Processing procedures were developed which permit the fabrication of large area (approximately 1 sqcm) mesa-geometry power Schottky diodes with forward and reverse characteristics that approach theoretical values.

  5. Thermoelectric microdevice fabricated by a MEMS-like electrochemical process

    NASA Technical Reports Server (NTRS)

    Snyder, G. Jeffrey; Lim, James R.; Huang, Chen-Kuo; Fleurial, Jean-Pierre

    2003-01-01

    Microelectromechanical systems (MEMS) are the basis of many rapidly growing technologies, because they combine miniature sensors and actuators with communications and electronics at low cost. Commercial MEMS fabrication processes are limited to silicon-based materials or two-dimensional structures. Here we show an inexpensive, electrochemical technique to build MEMS-like structures that contain several different metals and semiconductors with three-dimensional bridging structures. We demonstrate this technique by building a working microthermoelectric device. Using repeated exposure and development of multiple photoresist layers, several different metals and thermoelectric materials are fabricated in a three-dimensional structure. A device containing 126 n-type and p-type (Bi, Sb)2Te3 thermoelectric elements, 20 microm tall and 60 microm in diameter with bridging metal interconnects, was fabricated and cooling demonstrated. Such a device should be of technological importance for precise thermal control when operating as a cooler, and for portable power when operating as a micro power generator.

  6. Preform Characterization in VARTM Process Model Development

    NASA Technical Reports Server (NTRS)

    Grimsley, Brian W.; Cano, Roberto J.; Hubert, Pascal; Loos, Alfred C.; Kellen, Charles B.; Jensen, Brian J.

    2004-01-01

    Vacuum-Assisted Resin Transfer Molding (VARTM) is a Liquid Composite Molding (LCM) process where both resin injection and fiber compaction are achieved under pressures of 101.3 kPa or less. Originally developed over a decade ago for marine composite fabrication, VARTM is now considered a viable process for the fabrication of aerospace composites (1,2). In order to optimize and further improve the process, a finite element analysis (FEA) process model is being developed to include the coupled phenomenon of resin flow, preform compaction and resin cure. The model input parameters are obtained from resin and fiber-preform characterization tests. In this study, the compaction behavior and the Darcy permeability of a commercially available carbon fabric are characterized. The resulting empirical model equations are input to the 3- Dimensional Infiltration, version 5 (3DINFILv.5) process model to simulate infiltration of a composite panel.

  7. Scalable, Economical Fabrication Processes for Ultra-Compact Warm-White LEDs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lowes, Ted

    Conventional warm-white LED component fabrication consists of a large number of sequential steps which are required to incorporate electrical, mechanical, and optical functionality into the component. Each of these steps presents cost and yield challenges which multiply throughout the entire process. Although there has been significant progress in LED fabrication over the last decade, significant advances are needed to enable further reductions in cost per lumen while not sacrificing efficacy or color quality. Cree conducted a focused 18-month program to develop a new low-cost, high-efficiency light emitting diode (LED) architecture enabled by novel large-area parallel processing technologies, reduced number ofmore » fabrication steps, and minimized raw materials use. This new scheme is expected to enable ultra-compact LED components exhibiting simultaneously high efficacy and high color quality. By the end of the program, Cree fabricated warm-white LEDs with a room-temperature “instant on” efficacy of >135 lm/W at ~3500K and 90 CRI (when driven at the DOE baseline current density of 35 A/cm2). Cree modified the conventional LED fabrication process flow in a manner that is expected to translate into simultaneously high throughput and yield for ultra-compact packages. Building on its deep expertise in LED wafer fabrication, Cree developed these ultra-compact LEDs to have no compromises in color quality or efficacy compared to their conventional counterparts. Despite their very small size, the LEDs will also be robustly electrically integrated into luminaire systems with the same attach yield as conventional packages. The versatility of the prototype high-efficacy LED architecture will likely benefit solid-state lighting (SSL) luminaire platforms ranging from bulbs to troffers. We anticipate that the prototype LEDs will particularly benefit luminaires with large numbers of distributed compact packages, such as linear and area luminaires (e.g. troffers). The

  8. Development of advanced second-generation micromirror devices fabricated in a four-level planarized surface-micromachined polycrystalline silicon process

    NASA Astrophysics Data System (ADS)

    Michalicek, M. Adrian; Comtois, John H.; Schriner, Heather K.

    1998-04-01

    This paper describes the design and characterization of several types of micromirror devices to include process capabilities, device modeling, and test data resulting in deflection versus applied potential curves and surface contour measurements. These devices are the first to be fabricated in the state-of-the-art four-level planarized polysilicon process available at Sandia National Laboratories known as the Sandia Ultra-planar Multi-level MEMS Technology. This enabling process permits the development of micromirror devices with near-ideal characteristics which have previously been unrealizable in standard three-layer polysilicon processes. This paper describes such characteristics which have previously been unrealizable in standard three-layer polysilicon processes. This paper describes such characteristics as elevated address electrodes, various address wiring techniques, planarized mirror surfaces suing Chemical Mechanical Polishing, unique post-process metallization, and the best active surface area to date.

  9. Fabrication Process for Large Size Mold and Alignment Method for Nanoimprint System

    NASA Astrophysics Data System (ADS)

    Ishibashi, Kentaro; Kokubo, Mitsunori; Goto, Hiroshi; Mizuno, Jun; Shoji, Shuichi

    Nanoimprint technology is considered one of the mass production methods of the display for cellular phone or notebook computer, with Anti-Reflection Structures (ARS) pattern and so on. In this case, the large size mold with nanometer order pattern is very important. Then, we describe the fabrication process for large size mold, and the alignment method for UV nanoimprint system. We developed the original mold fabrication process using nanoimprint method and etching techniques. In 66 × 45 mm2 area, 200nm period seamless patterns were formed using this process. And, we constructed original alignment system that consists of the CCD-camera system, X-Y-θ table, method of moiré fringe, and image processing system, because the accuracy of pattern connection depends on the alignment method. This alignment system accuracy was within 20nm.

  10. Combined micromechanical and fabrication process optimization for metal-matrix composites

    NASA Technical Reports Server (NTRS)

    Morel, M.; Saravanos, D. A.; Chamis, C. C.

    1991-01-01

    A method is presented to minimize the residual matrix stresses in metal matrix composites. Fabrication parameters such as temperature and consolidation pressure are optimized concurrently with the characteristics (i.e., modulus, coefficient of thermal expansion, strength, and interphase thickness) of a fiber-matrix interphase. By including the interphase properties in the fabrication process, lower residual stresses are achievable. Results for an ultra-high modulus graphite (P100)/copper composite show a reduction of 21 percent for the maximum matrix microstress when optimizing the fabrication process alone. Concurrent optimization of the fabrication process and interphase properties show a 41 percent decrease in the maximum microstress. Therefore, this optimization method demonstrates the capability of reducing residual microstresses by altering the temperature and consolidation pressure histories and tailoring the interphase properties for an improved composite material. In addition, the results indicate that the consolidation pressures are the most important fabrication parameters, and the coefficient of thermal expansion is the most critical interphase property.

  11. Fabrication Processes and Mechanical Behavior of CNT/Metal Nanocomposites

    DTIC Science & Technology

    2013-12-01

    process, were investigated and applied for fabrication of CNT/Cu and CNT/Ni nanocomposite powders. The spark plasma sintering process was applied... spark plasma sintering process to fabricate CNT/NiTi and CNT/Al-Cu nanocomposites. It is confirmed that the CNTs were homogeneously dispersed in NiTi...can be seen in Figure 1-1. The CNT/NiTi composite powders were consolidated by spark plasma sintering (SPS, Dr. Sinter Lab., Sumitomo). The CNT/NiTi

  12. Process for High-Rate Fabrication of Alumina Nanotemplates

    NASA Technical Reports Server (NTRS)

    Myung, Nosang; Fleurial, Jean-Pierre; Yun, Minhee; West, William; Choi, Daniel

    2007-01-01

    An anodizing process, at an early stage of development at the time of reporting the information for this article, has shown promise as a means of fabricating alumina nanotemplates integrated with silicon wafers. Alumina nanotemplates are basically layers of alumina, typically several microns thick, in which are formed approximately regular hexagonal arrays of holes having typical diameters of the order of 10 to 100 nm. Interest in alumina nanotemplates has grown in recent years because they have been found to be useful as templates in the fabrication of nanoscale magnetic, electronic, optoelectronic, and other devices. The present anodizing process is attractive for the fabrication of alumina nanotemplates integrated with silicon wafers in two respects: (1) the process involves self-ordering of the holes; that is, the holes as formed by the process are spontaneously arranged in approximately regular hexagonal arrays; and (2) the rates of growth (that is, elongation) of the holes are high enough to make the process compatible with other processes used in the mass production of integrated circuits. In preparation for fabrication of alumina nanotemplates in this process, one first uses electron-beam evaporation to deposit thin films of titanium, followed by thin films of aluminum, on silicon wafers. Then the alumina nanotemplates are formed by anodizing the aluminum layers, as described below. In experiments in which the process was partially developed, the titanium films were 200 A thick and the aluminum films were 5 m thick. The aluminum films were oxidized to alumina, and the arrays of holes were formed by anodizing the aluminum in aqueous solutions of sulfuric and/or oxalic acid at room temperature (see figure). The diameters, spacings, and rates of growth of the holes were found to depend, variously, on the composition of the anodizing solution, the applied current, or the applied potential, as follows: In galvanostatically controlled anodizing, regardless of the

  13. Development and fabrication of an augmented power transistor

    NASA Technical Reports Server (NTRS)

    Geisler, M. J.; Hill, F. E.; Ostop, J. A.

    1983-01-01

    The development of device design and processing techniques for the fabrication of an augmented power transistor capable of fast switching and high voltage power conversion is discussed. The major device goals sustaining voltages in the range of 800 to 1000 V at 80 A and 50 A, respectively, at a gain of 14. The transistor switching rise and fall times were both to have been less than 0.5 microseconds. The development of a passivating glass technique to shield the device high voltage junction from moisture and ionic contaminants is discussed as well as the development of an isolated package that separates the thermal and electrical interfaces. A new method was found to alloy the transistors to the molybdenum disc at a relatively low temperature. The measured electrical performance compares well with the predicted optimum design specified in the original proposed design. A 40 mm diameter transistor was fabricated with seven times the emitter area of the earlier 23 mm diameter device.

  14. Method for Fabricating Composite Structures Including Continuous Press Forming and Pultrusion Processing

    NASA Technical Reports Server (NTRS)

    Farley, Gary L. (Inventor)

    1995-01-01

    A method for fabricating composite structures at a low-cost, moderate-to-high production rate is disclosed. A first embodiment of the method includes employing a continuous press forming fabrication process. A second embodiment of the method includes employing a pultrusion process for obtaining composite structures. The methods include coating yarns with matrix material, weaving the yarn into fabric to produce a continuous fabric supply, and feeding multiple layers of net-shaped fabrics having optimally oriented fibers into a debulking tool to form an undebulked preform. The continuous press forming fabrication process includes partially debulking the preform, cutting the partially debulked preform, and debulking the partially debulked preform to form a netshape. An electron-beam or similar technique then cures the structure. The pultrusion fabric process includes feeding the undebulked preform into a heated die and gradually debulking the undebulked preform. The undebulked preform in the heated die changes dimension until a desired cross-sectional dimension is achieved. This process further includes obtaining a net-shaped infiltrated uncured preform, cutting the uncured preform to a desired length, and electron-beam curing (or similar technique) the uncured preform. These fabrication methods produce superior structures formed at higher production rates, resulting in lower cost and high structural performance.

  15. Develop and demonstrate manufacturing processes for fabricating graphite filament reinforced polymide (Gr/PI) composite structural elements

    NASA Technical Reports Server (NTRS)

    Chase, V. A.; Harrison, E. S.

    1985-01-01

    A study was conducted to assess the merits of using graphite/polyimide, NR-150B2 resin, for structural applications on advanced space launch vehicles. The program was divided into two phases: (1) Fabrication Process Development; and (2) Demonstration Components. The first phase of the program involved the selection of a graphite fiber, quality assurance of the NR-150B2 polyimide resin, and the quality assurance of the graphite/polyimide prepreg. In the second phase of the program, a limited number of components were fabricated before the NR-150B2 resin system was removed from the market by the supplier, Du Pont. The advancement of the NR-150B2 polyimide resin binder was found to vary significantly based on previous time and temperature history during the prepregging operation. Strength retention at 316C (600F) was found to be 50% that of room temperature strength. However, the composite would retain its initial strength after 200 hours exposure at 316C (600F). Basic chemistry studies are required for determining NR-150B2 resin binder quality assurance parameters. Graphite fibers are available that can withstand high temperature cure and postcure cycles.

  16. Development of a Micro-Fabricated Total-Field Magnetometer

    DTIC Science & Technology

    2011-03-01

    are made with fluxgate technologies. Fluxgates have lower sensitivity than Cs magnetometers , yet they continue to be used in small wands simply...extraction process by providing the sensitivity of a Cs magnetometer with the convenience and low cost of a fluxgate wand. Extremely small and low cost...FINAL REPORT Development of a Micro-Fabricated Total-Field Magnetometer SERDP Project MR-1512 MARCH 2011 Mark Prouty Geometrics, Inc

  17. Fundamentals and advances in the development of remote welding fabrication systems

    NASA Technical Reports Server (NTRS)

    Agapakis, J. E.; Masubuchi, K.; Von Alt, C.

    1986-01-01

    Operational and man-machine issues for welding underwater, in outer space, and at other remote sites are investigated, and recent process developments are described. Probable remote welding missions are classified, and the essential characteristics of fundamental remote welding tasks are analyzed. Various possible operational modes for remote welding fabrication are identified, and appropriate roles for humans and machines are suggested. Human operator performance in remote welding fabrication tasks is discussed, and recent advances in the development of remote welding systems are described, including packaged welding systems, stud welding systems, remotely operated welding systems, and vision-aided remote robotic welding and autonomous welding systems.

  18. The role of rapid solidification processing in the fabrication of fiber reinforced metal matrix composites

    NASA Technical Reports Server (NTRS)

    Locci, Ivan E.; Noebe, Ronald D.

    1989-01-01

    Advanced composite processing techniques for fiber reinforced metal matrix composites require the flexibility to meet several widespread objectives. The development of uniquely desired matrix microstructures and uniformly arrayed fiber spacing with sufficient bonding between fiber and matrix to transmit load between them without degradation to the fiber or matrix are the minimum requirements necessary of any fabrication process. For most applications these criteria can be met by fabricating composite monotapes which are then consolidated into composite panels or more complicated components such as fiber reinforced turbine blades. Regardless of the end component, composite monotapes are the building blocks from which near net shape composite structures can be formed. The most common methods for forming composite monotapes are the powder cloth, foil/fiber, plasma spray, and arc spray processes. These practices, however, employ rapid solidification techniques in processing of the composite matrix phase. Consequently, rapid solidification processes play a vital and yet generally overlooked role in composite fabrication. The future potential of rapid solidification processing is discussed.

  19. Electron Beam Freeform Fabrication: A Rapid Metal Deposition Process

    NASA Technical Reports Server (NTRS)

    Taminger, Karen M. B.; Hafley, Robert A.

    2003-01-01

    Manufacturing of structural metal parts directly from computer aided design (CAD) data has been investigated by numerous researchers over the past decade. Researchers at NASA Langley REsearch Center are developing a new solid freeform fabrication process, electron beam freeform fabrication (EBF), as a rapid metal deposition process that works efficiently with a variety of weldable alloys. The EBF process introduces metal wire feedstock into a molten pool that is created and sustained using a focused electron beam in a vacuum environment. Thus far, this technique has been demonstrated on aluminum and titanium alloys of interest for aerospace structural applications nickel and ferrous based alloys are also planned. Deposits resulting from 2219 aluminum demonstrations have exhibited a range of grain morphologies depending upon the deposition parameters. These materials ave exhibited excellent tensile properties comparable to typical handbook data for wrought plate product after post-processing heat treatments. The EBF process is capable of bulk metal deposition at deposition rated in excess of 2500 cubic centimeters per hour (150 cubic inches per our) or finer detail at lower deposition rates, depending upon the desired application. This process offers the potential for rapidly adding structural details to simpler cast or forged structures rather than the conventional approach of machining large volumes of chips to produce a monolithic metallic structure. Selective addition of metal onto simpler blanks of material can have a significant effect on lead time reduction and lower material and machining costs.

  20. Concurrent micromechanical tailoring and fabrication process optimization for metal-matrix composites

    NASA Technical Reports Server (NTRS)

    Morel, M.; Saravanos, D. A.; Chamis, Christos C.

    1990-01-01

    A method is presented to minimize the residual matrix stresses in metal matrix composites. Fabrication parameters such as temperature and consolidation pressure are optimized concurrently with the characteristics (i.e., modulus, coefficient of thermal expansion, strength, and interphase thickness) of a fiber-matrix interphase. By including the interphase properties in the fabrication process, lower residual stresses are achievable. Results for an ultra-high modulus graphite (P100)/copper composite show a reduction of 21 percent for the maximum matrix microstress when optimizing the fabrication process alone. Concurrent optimization of the fabrication process and interphase properties show a 41 percent decrease in the maximum microstress. Therefore, this optimization method demonstrates the capability of reducing residual microstresses by altering the temperature and consolidation pressure histories and tailoring the interphase properties for an improved composite material. In addition, the results indicate that the consolidation pressures are the most important fabrication parameters, and the coefficient of thermal expansion is the most critical interphase property.

  1. Electronic Devices Based on Oxide Thin Films Fabricated by Fiber-to-Film Process.

    PubMed

    Meng, You; Liu, Ao; Guo, Zidong; Liu, Guoxia; Shin, Byoungchul; Noh, Yong-Young; Fortunato, Elvira; Martins, Rodrigo; Shan, Fukai

    2018-05-30

    Technical development for thin-film fabrication is essential for emerging metal-oxide (MO) electronics. Although impressive progress has been achieved in fabricating MO thin films, the challenges still remain. Here, we report a versatile and general thermal-induced nanomelting technique for fabricating MO thin films from the fiber networks, briefly called fiber-to-film (FTF) process. The high quality of the FTF-processed MO thin films was confirmed by various investigations. The FTF process is generally applicable to numerous technologically relevant MO thin films, including semiconducting thin films (e.g., In 2 O 3 , InZnO, and InZrZnO), conducting thin films (e.g., InSnO), and insulating thin films (e.g., AlO x ). By optimizing the fabrication process, In 2 O 3 /AlO x thin-film transistors (TFTs) were successfully integrated by fully FTF processes. High-performance TFT was achieved with an average mobility of ∼25 cm 2 /(Vs), an on/off current ratio of ∼10 7 , a threshold voltage of ∼1 V, and a device yield of 100%. As a proof of concept, one-transistor-driven pixel circuit was constructed, which exhibited high controllability over the light-emitting diodes. Logic gates based on fully FTF-processed In 2 O 3 /AlO x TFTs were further realized, which exhibited good dynamic logic responses and voltage amplification by a factor of ∼4. The FTF technique presented here offers great potential in large-area and low-cost manufacturing for flexible oxide electronics.

  2. Development Of Methodologies Using PhabrOmeter For Fabric Drape Evaluation

    NASA Astrophysics Data System (ADS)

    Lin, Chengwei

    Evaluation of fabric drape is important for textile industry as it reveals the aesthetic and functionality of the cloth and apparel. Although many fabric drape measuring methods have been developed for several decades, they are falling behind the need for fast product development by the industry. To meet the requirement of industries, it is necessary to develop an effective and reliable method to evaluate fabric drape. The purpose of the present study is to determine if PhabrOmeter can be applied to fabric drape evaluation. PhabrOmeter is a fabric sensory performance evaluating instrument which is developed to provide fast and reliable quality testing results. This study was sought to determine the relationship between fabric drape and other fabric attributes. In addition, a series of conventional methods including AATCC standards, ASTM standards and ISO standards were used to characterize the fabric samples. All the data were compared and analyzed with linear correlation method. The results indicate that PhabrOmeter is reliable and effective instrument for fabric drape evaluation. Besides, some effects including fabric structure, testing directions were considered to examine their impact on fabric drape.

  3. Development of the weld-braze joining process

    NASA Technical Reports Server (NTRS)

    Bales, T. T.; Royster, D. M.; Arnold, W. E., Jr.

    1973-01-01

    A joining process, designated weld-brazing, was developed which combines resistance spot welding and brazing. Resistance spot welding is used to position and aline the parts, as well as to establish a suitable faying-surface gap for brazing. Fabrication is then completed at elevated temperature by capillary flow of the braze alloy into the joint. The process was used successfully to fabricate Ti-6Al-4V alloy joints by using 3003 aluminum braze alloy and should be applicable to other metal-braze systems. Test results obtained on single-overlap and hat-stiffened panel specimens show that weld-brazed joints were superior in tensile shear, stress rupture, fatigue, and buckling compared with joints fabricated by conventional means. Another attractive feature of the process is that the brazed joint is hermetically sealed by the braze material, which may eliminate many of the sealing problems encountered with riveted or spot welded structures. The relative ease of fabrication associated with the weld-brazing process may make it cost effective over conventional joining techniques.

  4. Development of the technology for the fabrication of reliable laminar from control panels

    NASA Technical Reports Server (NTRS)

    Meade, L. E.; Kays, A. O.; Ferrill, R. S.; Young, H. R.

    1977-01-01

    Materials were assessed and fabrication techniques were developed for use in the manufacture of wing surface materials compatible with the application of both aluminum alloys and nonmetallic composites. The concepts investigated included perforations and slots in the metallic test panels and microporosity and perforations in the composite test panels. Perforations were produced in the metallic test panels by the electron beam process and slots were developed by controlled gaps between the metal sheets. Microporosity was produced in the composite test panels by the resin bleed process, and perforations were produced by the fugitive fiber technique. Each of these concepts was fabricated into test panels, and air flow tests were conducted on the panels.

  5. End-of-fabrication CMOS process monitor

    NASA Technical Reports Server (NTRS)

    Buehler, M. G.; Allen, R. A.; Blaes, B. R.; Hannaman, D. J.; Lieneweg, U.; Lin, Y.-S.; Sayah, H. R.

    1990-01-01

    A set of test 'modules' for verifying the quality of a complementary metal oxide semiconductor (CMOS) process at the end of the wafer fabrication is documented. By electrical testing of specific structures, over thirty parameters are collected characterizing interconnects, dielectrics, contacts, transistors, and inverters. Each test module contains a specification of its purpose, the layout of the test structure, the test procedures, the data reduction algorithms, and exemplary results obtained from 3-, 2-, or 1.6-micrometer CMOS/bulk processes. The document is intended to establish standard process qualification procedures for Application Specific Integrated Circuits (ASIC's).

  6. Printing Outside the Box: Additive Manufacturing Processes for Fabrication of Large Aerospace Structures

    NASA Technical Reports Server (NTRS)

    Babai, Majid; Peters, Warren

    2015-01-01

    To achieve NASA's mission of space exploration, innovative manufacturing processes are being applied to the fabrication of propulsion elements. Liquid rocket engines (LREs) are comprised of a thrust chamber and nozzle extension as illustrated in figure 1 for the J2X upper stage engine. Development of the J2X engine, designed for the Ares I launch vehicle, is currently being incorporated on the Space Launch System. A nozzle extension is attached to the combustion chamber to obtain the expansion ratio needed to increase specific impulse. If the nozzle extension could be printed as one piece using free-form additive manufacturing (AM) processes, rather than the current method of forming welded parts, a considerable time savings could be realized. Not only would this provide a more homogenous microstructure than a welded structure, but could also greatly shorten the overall fabrication time. The main objective of this study is to fabricate test specimens using a pulsed arc source and solid wire as shown in figure 2. The mechanical properties of these specimens will be compared with those fabricated using the powder bed, selective laser melting technology at NASA Marshall Space Flight Center. As printed components become larger, maintaining a constant temperature during the build process becomes critical. This predictive capability will require modeling of the moving heat source as illustrated in figure 3. Predictive understanding of the heat profile will allow a constant temperature to be maintained as a function of height from substrate while printing complex shapes. In addition, to avoid slumping, this will also allow better control of the microstructural development and hence the properties. Figure 4 shows a preliminary comparison of the mechanical properties obtained.

  7. Optimised process for fabricating tapered long period gratings

    NASA Astrophysics Data System (ADS)

    Mullaney, K.; Staines, S. E.; James, S. W.; Tatam, R. P.

    2017-04-01

    The process of fabricating tapered long period gratings (TLPGs) using a CO2 laser is described. TLPGs with a period spacing of 378 μm, were fabricated by optimization of the taper waist diameter and careful control of the duty-cycle and its uniformity along the length of the grating. The 6-period TLPGs exhibited a pass-band insertion loss of 0.6 dB, resonance band extinction values of 3 dB and had a physical length of 2.27 mm.

  8. Functionally Graded Aluminum Foam Fabricated by Friction Powder Sintering Process with Traversing Tool

    NASA Astrophysics Data System (ADS)

    Hangai, Yoshihiko; Morita, Tomoaki; Koyama, Shinji; Kuwazuru, Osamu; Yoshikawa, Nobuhiro

    2016-09-01

    Functionally graded aluminum foam (FG Al foam) is a new class of Al foam in which the pore structure varies over the foam, resulting in corresponding variations in the mechanical properties of the foam. In this study, FG Al foam plates were fabricated by a friction powder sintering (FPS) process with a traversing tool that is based on a previously developed sintering and dissolution process. The variation of the mechanical properties was realized by setting the volume fraction φ of NaCl in the mixture to 60, 70, and 80%. Long FG Al foam plates were fabricated with a length equal to the tool traversing length with φ varying in the tool traversing direction. From x-ray computed tomography observation, it was shown that the density of the Al foam decreased with increasing φ. In contrast, almost uniform pore structures were obtained in each area. According to the results of compression tests on each area, the plateau stress and energy absorption tended to decrease with increasing φ. Therefore, it was shown that FG Al foam plates with varying mechanical properties can be fabricated by the FPS process with the traversing tool.

  9. Development of a Fabrication Path for Au-Organothiol-Carbon Nanotube Molecular Junctions

    NASA Astrophysics Data System (ADS)

    Moscatello, Jason

    2011-04-01

    Silicon electronics is at the scaling limit and new approaches are necessary. Nanomaterials have significant promise in addressing this problem and each has its own potentially useful properties; yet making the material is only the first step in harnessing those properties. Transitioning from developing materials to integrating them into devices is no small endeavor - placement, wiring, etc. are nontrivial on the nanoscale. This talk details work done at Michigan Tech developing a fabrication process for Molecular Electronic Junctions (MEJs). The goal is to study the lifetime of MEJs containing strong bonds because short lifetime is the largest limiting factor in many MEJs. It is important that the physics studied remains accurate even if the size is scaled down and the MEJs are arranged into arrays - two things that are necessary for MEJs to be used commercially. In addition the process is widely usable, since it only utilizes inexpensive and/or common processes (e.g. dielectrophoresis and photolithography). An overview of the fabrication process will be detailed, along with carbon nanotube (top electrode) placement by dielectrophoresis, and initial results.

  10. Development, fabrication and test of a high purity silica heat shield

    NASA Technical Reports Server (NTRS)

    Rusert, E. L.; Drennan, D. N.; Biggs, M. S.

    1978-01-01

    A highly reflective hyperpure ( 25 ppm ion impurities) slip cast fused silica heat shield material developed for planetary entry probes was successfully scaled up. Process development activities for slip casting large parts included green strength improvements, casting slip preparation, aggregate casting, strength, reflectance, and subscale fabrication. Successful fabrication of a one-half scale Saturn probe (shape and size) heat shield was accomplished while maintaining the silica high purity and reflectance through the scale-up process. However, stress analysis of this original aggregate slip cast material indicated a small margin of safety (MS. = +4%) using a factor of safety of 1.25. An alternate hyperpure material formulation to increase the strength and toughness for a greater safety margin was evaluated. The alternate material incorporates short hyperpure silica fibers into the casting slip. The best formulation evaluated has a 50% by weight fiber addition resulting in an 80% increase in flexural strength and a 170% increase in toughness over the original aggregate slip cast materials with comparable reflectance.

  11. Fabrication process for polymer PLC platforms with V-grooves for passive alignment

    NASA Astrophysics Data System (ADS)

    Park, Suntak; Lee, Jong-Moo; Ahn, Joon Tae; Baek, Yong-Soon

    2005-12-01

    A method for polymer planar lightwave circuit (PLC) devices fabricated on a substrate with V-grooves is developed for passive alignment of an optical fiber to a polymer waveguide. In order to minimize thickness nonuniformity of polymer layers caused by the V-grooves, dry film resist (DFR) is used. The V-grooves are covered with the DFR before the polymer layers are spin-coated on the substrate. The DFR prevents the polymer from being filled in the V-grooves as well as from being spin-coated nonuniformly on the substrate. This process provides a simple and cost-effective fabrication method of polymer PLCs or platforms for passive alignment.

  12. Fabric geometry distortion during composites processing

    NASA Technical Reports Server (NTRS)

    Chen, Julie

    1994-01-01

    Waviness and tow misalignment are often cited as possible causes of data scatter and lower compression stiffness and strength in textile composites. Strength differences of as much as 40 percent have been seen in composites that appear to have the same basic material and structural properties -- i.e., yarn orientation, yarn size, interlacing geometry. Fabric geometry distortion has been suggested as a possible reason for this discrepancy, but little quantitative data or substantial evidence exists. The focus of this research is to contribute to the present understanding of the causes and effects of geometric distortion in textile composites. The initial part of the study was an attempt to gather qualitative information on a variety of textile structures. Existing and new samples confirmed that structures with a significant direction presence would be more susceptible to distortion due to the compaction process. Thus, uniweaves (fiber vol frac: 54-72 percent) biaxial braids (vf: 34-58 percent) demonstrated very little fabric geometry distortion. In stitched panels, only slight buckling of z-direction stitches was observed, primarily near the surface. In contrast, for structures with high compaction ratios -- e.g., large cylindrical yarns (2.5:1) orpowder towpreg (4:1) -- there were visible distortions where previously smooth and periodic undulations were transformed to abrupt changes in direction. A controlled study of the effect of forming pressure on distortion was conducted on type 162 glass plain weave fabrics. Panels (6 x 6 in) were produced via a resin infusion type setup, but with an EPON 815 epoxy resin. Pressures ranging from hand layup to 200 psi were used (vf: 34-54 percent). Photomicrographs indicated that at pressures up to 50 psi, large changes in thickness were due primarily to resin squeeze out. At higher pressures, when intimate contact was made between the layers, there was some tow flattening and in-plane shifting to optimize nesting. However

  13. Solution-Processable High-Purity Semiconducting SWCNTs for Large-Area Fabrication of High-Performance Thin-Film Transistors.

    PubMed

    Gu, Jianting; Han, Jie; Liu, Dan; Yu, Xiaoqin; Kang, Lixing; Qiu, Song; Jin, Hehua; Li, Hongbo; Li, Qingwen; Zhang, Jin

    2016-09-01

    For the large-area fabrication of thin-film transistors (TFTs), a new conjugated polymer poly[9-(1-octylonoyl)-9H-carbazole-2,7-diyl] is developed to harvest ultrahigh-purity semiconducting single-walled carbon nanotubes. Combined with spectral and nanodevice characterization, the purity is estimated up to 99.9%. High density and uniform network formed by dip-coating process is liable to fabricate high-performance TFTs on a wafer-scale and the as-fabricated TFTs exhibit a high degree of uniformity. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. Development and fabrication of a graphite polyimide box beam

    NASA Technical Reports Server (NTRS)

    Nadler, M. A.; Darms, F. J.

    1972-01-01

    The state-of-the-art of graphite/polyimide structures was evaluated and key design and fabrication issues to be considered in future hardware programs are defined. The fabrication and testing at 500 F of a graphite/polyimide center wing box beam using OV-10A aircraft criteria was accomplished. The baseline design of this box was developed in a series of studies of other advanced composite materials: glass/epoxy, boron/epoxy, and boron/polyimide. The use of this basic design permits ready comparison of the performance of graphite/polyimide with these materials. Modifications to the baseline composite design were made only in those areas effected by the change of materials. Processing studies of graphite fiber polyimide resins systems resulted in the selection of a Modmor II/Gemon L material.

  15. Development of a 0.1 μm linewidth fabrication process for x-ray lithography with a laser plasma source

    NASA Astrophysics Data System (ADS)

    Bobkowski, Romuald; Fedosejevs, Robert; Broughton, James N.

    1999-06-01

    A process has been developed for the purpose of fabricating 0.1 micron linewidth interdigital electrode patterns based on proximity x-ray lithography using a laser-plasma source. Such patterns are required in the manufacture of surface acoustic wave devices. The x-ray lithography was carried out using emission form a Cu plasma produced by a 15Hz, 248nm KrF excimer laser. A temporally multiplexed 50ps duration seed pulse was used to extract the KrF laser energy producing a train of several 50ps pulses spaced approximately 2ns apart within each output pulse. Each short pulse within the train gave the high focal spot intensity required to achieve high efficiency emission of keV x-rays. The first stage of the overall process involves the fabrication of x-ray mask patterns on 1 micron thick Si3N4 membranes using 3-beam lithography followed by gold electroplating. The second stage involves x-ray exposure of a chemically amplified resist through the mask patterns to produce interdigital electrode patterns with 0.1 micron linewidth. Helium background gas and thin polycarbonate/aluminum filters are employed to prevent debris particles from the laser-plasma source form reaching the exposed sample. A computer control system fires the laser and monitors the x-ray flux from the laser-plasma source to insure the desired x-ray exposure is achieved at the resist. In order to reduce diffusion effects in the chemically amplified resist during the post exposure bake the temperature had to be reduced from that normally used. Good reproduction of 0.1 micron linewidth patterns into the x-ray resist was obtained once the exposure parameters and post exposure bake were optimized. A compact exposure station using flowing helium at atmospheric pressure has also been developed for the process, alleviating the need for a vacuum chamber. The details of the overall process and the compact exposure station will be presented.

  16. Experimental analysis for fabrication of high-aspect-ratio piezoelectric ceramic structure by micro-powder injection molding process

    NASA Astrophysics Data System (ADS)

    Han, Jun Sae; Gal, Chang Woo; Park, Jae Man; Kim, Jong Hyun; Park, Seong Jin

    2018-04-01

    Aspect ratio effects in the micro-powder injection molding process were experimentally analyzed for fabrication of high-aspect-ratio piezoelectric ceramic structure. The mechanisms of critical defects have been studied according to individual manufacturing steps. In the molding process, incomplete filling phenomenon determines the critical aspect ratios of a micro pattern. According to mold temperature, an incomplete filling phenomenon has been analyzed with respect to different pattern sizes and aspect ratio. In demolding and drying process, the capillary behavior of sacrificial polymeric mold insert determines the critical aspect ratio of a micro pattern. With respect to pattern dimensions, slumping behavior has been analyzed. Based on our current systems, micro PZT feature has stability when it has lower aspect ratio than 5. Under optimized processing conditions, 20 μm and 40 μm ceramic rod array feature which has 5 of aspect ratio were successfully fabricated by the developed process. Further modification points to fabricate the smaller and higher feature were specifically addressed.

  17. From Lunar Regolith to Fabricated Parts: Technology Developments and the Utilization of Moon Dirt

    NASA Technical Reports Server (NTRS)

    McLemore, C. A.; Fikes, J. C.; McCarley, K. S.; Good, J. E.; Gilley, S. D.; Kennedy, J. P.

    2008-01-01

    The U.S. Space Exploration Policy has as a cornerstone the establishment of an outpost on the moon. This lunar outpost wil1 eventually provide the necessary planning, technology development, testbed, and training for manned missions in the future beyond the Moon. As part of the overall activity, the National Aeronautics and Space Administration (NASA) is investigating how the in situ resources can be utilized to improve mission success by reducing up-mass, improving safety, reducing risk, and bringing down costs for the overall mission. Marshall Space Flight Center (MSFC), along with other NASA centers, is supporting this endeavor by exploring how lunar regolith can be mined for uses such as construction, life support, propulsion, power, and fabrication. An infrastructure capable of fabrication and nondestructive evaluation will be needed to support habitat structure development and maintenance, tools and mechanical parts fabrication, as well as repair and replacement of space-mission hardware such as life-support items, vehicle components, and crew systems, This infrastructure will utilize the technologies being developed under the In Situ Fabrication and Repair (ISFR) element, which is working in conjunction with the technologies being developed under the In Situ Resources Utilization (ISRU) element, to live off the land. The ISFR Element supports the Space Exploration Initiative by reducing downtime due to failed components; decreasing risk to crew by recovering quickly from degraded operation of equipment; improving system functionality with advanced geometry capabilities; and enhancing mission safety by reducing assembly part counts of original designs where possible. This paper addresses the need and plan for understanding the properties of the lunar regolith to determine the applicability of using this material in a fabrication process. This effort includes the development of high fidelity simulants that will be used in fabrication processes on the ground to

  18. Screen printing of a capacitive cantilever-based motion sensor on fabric using a novel sacrificial layer process for smart fabric applications

    NASA Astrophysics Data System (ADS)

    Wei, Yang; Torah, Russel; Yang, Kai; Beeby, Steve; Tudor, John

    2013-07-01

    Free-standing cantilevers have been fabricated by screen printing sacrificial and structural layers onto a standard polyester cotton fabric. By printing additional conductive layers, a complete capacitive motion sensor on fabric using only screen printing has been fabricated. This type of free-standing structure cannot currently be fabricated using conventional fabric manufacturing processes. In addition, compared to conventional smart fabric fabrication processes (e.g. weaving and knitting), screen printing offers the advantages of geometric design flexibility and the ability to simultaneously print multiple devices of the same or different designs. Furthermore, a range of active inks exists from the printed electronics industry which can potentially be applied to create many types of smart fabric. Four cantilevers with different lengths have been printed on fabric using a five-layer structure with a sacrificial material underneath the cantilever. The sacrificial layer is subsequently removed at 160 °C for 30 min to achieve a freestanding cantilever above the fabric. Two silver electrodes, one on top of the cantilever and the other on top of the fabric, are used to capacitively detect the movement of the cantilever. In this way, an entirely printed motion sensor is produced on a standard fabric. The motion sensor was initially tested on an electromechanical shaker rig at a low frequency range to examine the linearity and the sensitivity of each design. Then, these sensors were individually attached to a moving human forearm to evaluate more representative results. A commercial accelerometer (Microstrain G-link) was mounted alongside for comparison. The printed sensors have a similar motion response to the commercial accelerometer, demonstrating the potential of a printed smart fabric motion sensor for use in intelligent clothing applications.

  19. Low cost damage tolerant composite fabrication

    NASA Technical Reports Server (NTRS)

    Palmer, R. J.; Freeman, W. T.

    1988-01-01

    The resin transfer molding (RTM) process applied to composite aircraft parts offers the potential for using low cost resin systems with dry graphite fabrics that can be significantly less expensive than prepreg tape fabricated components. Stitched graphite fabric composites have demonstrated compression after impact failure performance that equals or exceeds that of thermoplastic or tough thermoset matrix composites. This paper reviews methods developed to fabricate complex shape composite parts using stitched graphite fabrics to increase damage tolerance with RTM processes to reduce fabrication cost.

  20. Rapid prototype fabrication processes for high-performance thrust cells

    NASA Technical Reports Server (NTRS)

    Hunt, K.; Chwiedor, T.; Diab, J.; Williams, R.

    1994-01-01

    The Thrust Cell Technologies Program (Air Force Phillips Laboratory Contract No. F04611-92-C-0050) is currently being performed by Rocketdyne to demonstrate advanced materials and fabrication technologies which can be utilized to produce low-cost, high-performance thrust cells for launch and space transportation rocket engines. Under Phase 2 of the Thrust Cell Technologies Program (TCTP), rapid prototyping and investment casting techniques are being employed to fabricate a 12,000-lbf thrust class combustion chamber for delivery and hot-fire testing at Phillips Lab. The integrated process of investment casting directly from rapid prototype patterns dramatically reduces design-to-delivery cycle time, and greatly enhances design flexibility over conventionally processed cast or machined parts.

  1. Impact of process temperature on GaSb metal-oxide-semiconductor interface properties fabricated by ex-situ process

    NASA Astrophysics Data System (ADS)

    Yokoyama, Masafumi; Asakura, Yuji; Yokoyama, Haruki; Takenaka, Mitsuru; Takagi, Shinichi

    2014-06-01

    We have studied the impact of process temperature on interface properties of GaSb metal-oxide-semiconductor (MOS) structures fabricated by an ex-situ atomic-layer-deposition (ALD) process. We have found that the ALD temperature strongly affects the Al2O3/GaSb MOS interface properties. The Al2O3/GaSb MOS interfaces fabricated at the low ALD temperature of 150 °C have the minimum interface-trap density (Dit) of ˜4.5 × 1013 cm-2 eV-1. We have also found that the post-metalization annealing at temperature higher than 200 °C degrades the Al2O3/GaSb MOS interface properties. The low-temperature process is preferable in fabricating GaSb MOS interfaces in the ex-situ ALD process to avoid the high-temperature-induced degradations.

  2. In-situ measurement of processing properties during fabrication in a production tool

    NASA Technical Reports Server (NTRS)

    Kranbuehl, D. E.; Haverty, P.; Hoff, M.; Loos, A. C.

    1988-01-01

    Progress is reported on the use of frequency-dependent electromagnetic measurements (FDEMs) as a single, convenient technique for continuous in situ monitoring of polyester cure during fabrication in a laboratory and manufacturing environment. Preliminary FDEM sensor and modeling work using the Loss-Springer model in order to develop an intelligent closed-loop, sensor-controlled cure process is described. FDEMs using impedance bridges in the Hz to MHz region is found to be ideal for automatically monitoring polyester processing properties continuously throughout the cure cycle.

  3. Capillary-Driven Microfluidic Chips for Miniaturized Immunoassays: Efficient Fabrication and Sealing of Chips Using a "Chip-Olate" Process.

    PubMed

    Temiz, Yuksel; Delamarche, Emmanuel

    2017-01-01

    The fabrication of silicon-based microfluidic chips is invaluable in supporting the development of many microfluidic concepts for research in the life sciences and in vitro diagnostic applications such as the realization of miniaturized immunoassays using capillary-driven chips. While being extremely abundant, the literature covering microfluidic chip fabrication and assay development might not have addressed properly the challenge of fabricating microfluidic chips on a wafer level or the need for dicing wafers to release chips that need then to be further processed, cleaned, rinsed, and dried one by one. Here, we describe the "chip-olate" process wherein microfluidic structures are formed on a silicon wafer, followed by partial dicing, cleaning, and drying steps. Then, integration of reagents (if any) can be done, followed by lamination of a sealing cover. Breaking by hand the partially diced wafer yields individual chips ready for use.

  4. Fabrication Technology

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Blaedel, K.L.

    1993-03-01

    The mission of the Fabrication Technology thrust area is to have an adequate base of manufacturing technology, not necessarily resident at Lawrence Livermore National Laboratory (LLNL), to conduct the future business of LLNL. The specific goals continue to be to (1) develop an understanding of fundamental fabrication processes; (2) construct general purpose process models that will have wide applicability; (3) document findings and models in journals; (4) transfer technology to LLNL programs, industry, and colleagues; and (5) develop continuing relationships with the industrial and academic communities to advance the collective understanding of fabrication processes. The strategy to ensure success ismore » changing. For technologies in which they are expert and which will continue to be of future importance to LLNL, they can often attract outside resources both to maintain their expertise by applying it to a specific problem and to help fund further development. A popular vehicle to fund such work is the Cooperative Research and Development Agreement with industry. For technologies needing development because of their future critical importance and in which they are not expert, they use internal funding sources. These latter are the topics of the thrust area. Three FY-92 funded projects are discussed in this section. Each project clearly moves the Fabrication Technology thrust area towards the goals outlined above. They have also continued their membership in the North Carolina State University Precision Engineering Center, a multidisciplinary research and graduate program established to provide the new technologies needed by high-technology institutions in the US. As members, they have access to and use of the results of their research projects, many of which parallel the precision engineering efforts at LLNL.« less

  5. Fabrication technology

    NASA Astrophysics Data System (ADS)

    Blaedel, K. L.

    1993-03-01

    The mission of the Fabrication Technology thrust area is to have an adequate base of manufacturing technology, not necessarily resident at Lawrence Livermore National Laboratory (LLNL), to conduct the future business of LLNL. The specific goals continue to be to do the following: (1) develop an understanding of fundamental fabrication processes; (2) construct general purpose process models that will have wide applicability; (3) document findings and models in journals; (4) transfer technology to LLNL programs, industry, and colleagues; and (5) develop continuing relationships with the industrial and academic communities to advance the collective understanding of fabrication processes. The strategy to ensure success is changing. For technologies in which they are expert and which will continue to be of future importance to LLNL, they can often attract outside resources both to maintain their expertise by applying it to a specific problem and to help fund further development. A popular vehicle to fund such work is the Cooperative Research and Development Agreement with industry. For technologies needing development because of their future critical importance and in which they are not expert, they use internal funding sources. These latter are the topics of the thrust area. Three FY-92 funded projects are discussed in this section. Each project clearly moves the Fabrication Technology thrust area towards the goals outlined above. They have also continued their membership in the North Carolina State University Precision Engineering Center, a multidisciplinary research and graduate program established to provide the new technologies needed by high-technology institutions in the U.S. As members, they have access to and use of the results of their research projects, many of which parallel the precision engineering efforts at LLNL.

  6. Fabrication of Large YBCO Superconducting Disks

    NASA Technical Reports Server (NTRS)

    Koczor, Ronald J.; Noever, David A.; Robertson, Glen A.

    1999-01-01

    We have undertaken fabrication of large bulk items to develop a repeatable process and to provide test articles in laboratory experiments investigating reported coupling of electromagnetic fields with the local gravity field in the presence of rotating superconducting disks. A successful process was developed which resulted in fabrication of 30 cm diameter annular disks. The disks were fabricated of the superconductor YBa2Cu3O(7-x). Various material parameters of the disks were measured.

  7. Development of Cotton Fabrics with Durable UV Protective and Self-cleaning Property by Deposition of Low TiO2 Levels through Sol-gel Process.

    PubMed

    Mishra, Anu; Butola, Bhupendra Singh

    2018-01-19

    In this article, the deposition of TiO 2 on cotton fabric using sol-gel technique has been described. Various process routes (pad-dry-cure, pad-dry-hydrothermal and pad-dry-solvothermal) were examined to impart a stable coating of TiO 2 on fabric. The role of precursor concentration, process temperature and time of treatment were studied to aim at a wash durable, UV protective and self-cleaning property in the treated fabric. EDX and ICP-MS techniques were used to examine the add-on percentage of TiO 2 on cotton fabrics treated via different routes. It has been found that the TiO 2 remains largely amorphous and nondurable if it is given a short thermal treatment. To convert the deposited TiO 2 to its anatase crystal form, a prolonged hydrothermal treatment for at least 3 h needs to be given. TiO 2 deposition levels of less than 0.1% were found to be effective in imparting reasonable degree of UV protection and self-cleaning property to the cotton fabric. The self-cleaning ability of the treated fabric against coffee stain was also studied and was found to be related to the process route and the deposition levels of TiO 2 . © 2018 The American Society of Photobiology.

  8. Research, Development and Fabrication of Lithium Solar Cells, Part 2

    NASA Technical Reports Server (NTRS)

    Iles, P. A.

    1972-01-01

    The development and fabrication of lithium solar cells are discussed. Several single-step, lithium diffusion schedules using lower temperatures and times are described. A comparison was made using evaporated lithium metal as the lithium source, and greatly improved consistency in lithium concentrations was obtained. It was possible to combine all processing steps to obtain lithium doped cells of high output which also contained adequate lithium to ensure good recoverability.

  9. Rapid Fabrication of Lightweight SiC Optics using Reactive Atom Plasma (RAP) Processing

    NASA Technical Reports Server (NTRS)

    Fiske, Peter S.

    2006-01-01

    Reactive Atom Plasma (RAP) processing is a non-contact, plasma-based processing technology that can be used to generate damage-free optical surfaces. We have developed tools and processes using RAP that allow us to shape extremely lightweight mirror Surfaces made from extremely hard-to-machine materials (e.g. SiC). We will describe our latest results using RAP in combination with other technologies to produce finished lightweight SiC mirrors and also discuss applications for RAP in the rapid fabrication of mirror segments for reflective and grazing incidence telescopes.

  10. Development of an Indirect Stereolithography Technology for Scaffold Fabrication with a Wide Range of Biomaterial Selectivity

    PubMed Central

    Kang, Hyun-Wook

    2012-01-01

    Tissue engineering, which is the study of generating biological substitutes to restore or replace tissues or organs, has the potential to meet current needs for organ transplantation and medical interventions. Various approaches have been attempted to apply three-dimensional (3D) solid freeform fabrication technologies to tissue engineering for scaffold fabrication. Among these, the stereolithography (SL) technology not only has the highest resolution, but also offers quick fabrication. However, a lack of suitable biomaterials is a barrier to applying the SL technology to tissue engineering. In this study, an indirect SL method that combines the SL technology and a sacrificial molding process was developed to address this challenge. A sacrificial mold with an inverse porous shape was fabricated from an alkali-soluble photopolymer by the SL technology. A sacrificial molding process was then developed for scaffold construction using a variety of biomaterials. The results indicated a wide range of biomaterial selectivity and a high resolution. Achievable minimum pore and strut sizes were as large as 50 and 65 μm, respectively. This technology can also be used to fabricate three-dimensional organ shapes, and combined with traditional fabrication methods to construct a new type of scaffold with a dual-pore size. Cytotoxicity tests, as well as nuclear magnetic resonance and gel permeation chromatography analyses, showed that this technology has great potential for tissue engineering applications. PMID:22443315

  11. Turbine component, turbine blade, and turbine component fabrication process

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Delvaux, John McConnell; Cairo, Ronald Ralph; Parolini, Jason Robert

    A turbine component, a turbine blade, and a turbine component fabrication process are disclosed. The turbine component includes ceramic matrix composite plies and a feature configured for preventing interlaminar tension of the ceramic matrix composite plies. The feature is selected from the group consisting of ceramic matrix composite tows or precast insert tows extending through at least a portion of the ceramic matrix composite plies, a woven fabric having fiber tows or a precast insert preventing contact between a first set of the ceramic matrix composite plies and a second set of the ceramic matrix composite plies, and combinations thereof.more » The process includes laying up ceramic matrix composite plies in a preselected arrangement and securing a feature configured for interlaminar tension.« less

  12. Process for fabricating composite material having high thermal conductivity

    DOEpatents

    Colella, Nicholas J.; Davidson, Howard L.; Kerns, John A.; Makowiecki, Daniel M.

    2001-01-01

    A process for fabricating a composite material such as that having high thermal conductivity and having specific application as a heat sink or heat spreader for high density integrated circuits. The composite material produced by this process has a thermal conductivity between that of diamond and copper, and basically consists of coated diamond particles dispersed in a high conductivity metal, such as copper. The composite material can be fabricated in small or relatively large sizes using inexpensive materials. The process basically consists, for example, of sputter coating diamond powder with several elements, including a carbide forming element and a brazeable material, compacting them into a porous body, and infiltrating the porous body with a suitable braze material, such as copper-silver alloy, thereby producing a dense diamond-copper composite material with a thermal conductivity comparable to synthetic diamond films at a fraction of the cost.

  13. Fabrication technology

    NASA Astrophysics Data System (ADS)

    1988-05-01

    Many laboratory programs continue to need optical components of ever-increasing size and accuracy. Unfortunately, optical surfaces produced by the conventional sequence of grinding, lapping, and polishing can become prohibitively expensive. Research in the Fabrication Technology area focuses on methods of fabricating components with heretofore unrealized levels of precision. In FY87, researchers worked to determine the fundamental mechanical limits of material removal, experimented with unique material removal and deposition processes, developed servo systems for controlling the geometric position of ultraprecise machine tools, and advanced the ability to precisely measure contoured workpieces. Continued work in these areas will lead to more cost-effective processes to fabricate even higher quality optical components for advanced lasers and for visible, ultraviolet, and X-ray diagnostic systems.

  14. Fully Solution-Processable Fabrication of Multi-Layered Circuits on a Flexible Substrate Using Laser Processing

    PubMed Central

    Ji, Seok Young; Choi, Wonsuk; Jeon, Jin-Woo; Chang, Won Seok

    2018-01-01

    The development of printing technologies has enabled the realization of electric circuit fabrication on a flexible substrate. However, the current technique remains restricted to single-layer patterning. In this paper, we demonstrate a fully solution-processable patterning approach for multi-layer circuits using a combined method of laser sintering and ablation. Selective laser sintering of silver (Ag) nanoparticle-based ink is applied to make conductive patterns on a heat-sensitive substrate and insulating layer. The laser beam path and irradiation fluence are controlled to create circuit patterns for flexible electronics. Microvia drilling using femtosecond laser through the polyvinylphenol-film insulating layer by laser ablation, as well as sequential coating of Ag ink and laser sintering, achieves an interlayer interconnection between multi-layer circuits. The dimension of microvia is determined by a sophisticated adjustment of the laser focal position and intensity. Based on these methods, a flexible electronic circuit with chip-size-package light-emitting diodes was successfully fabricated and demonstrated to have functional operations. PMID:29425144

  15. Optical device fabrication using femtosecond laser processing with glass-hologram

    NASA Astrophysics Data System (ADS)

    Suzuki, Jun'ichi; Arima, Yasunori; Tanaka, Shuhei

    2011-03-01

    Using femtosecond laser processing with glass-hologram, fabrication of 1cm-long straight waveguide and X-coupler is reported in this paper. We design and fabricate 4-level glass-hologram which generates 1cm-long straight line intensity. We fabricate 1cm-long waveguides inside fused silica at one shot exposure with the glass-hologram. We investigate the waveguide performance of near field pattern and propagation loss at wavelength of 1550nm. The near field pattern is almost circular shape. The propagation loss at 1550nm is estimated to be < 1.0 dB/cm. As an example of an optical device consisting of straight waveguides, we fabricate X-coupler or 2x2 coupler using straight line waveguides, and observe the output power ratio depending on crossing angle.

  16. Process Development of Gallium Nitride Phosphide Core-Shell Nanowire Array Solar Cell

    NASA Astrophysics Data System (ADS)

    Chuang, Chen

    Dilute Nitride GaNP is a promising materials for opto-electronic applications due to its band gap tunability. The efficiency of GaNxP1-x /GaNyP1-y core-shell nanowire solar cell (NWSC) is expected to reach as high as 44% by 1% N and 9% N in the core and shell, respectively. By developing such high efficiency NWSCs on silicon substrate, a further reduction of the cost of solar photovoltaic can be further reduced to 61$/MWh, which is competitive to levelized cost of electricity (LCOE) of fossil fuels. Therefore, a suitable NWSC structure and fabrication process need to be developed to achieve this promising NWSC. This thesis is devoted to the study on the development of fabrication process of GaNxP 1-x/GaNyP1-y core-shell Nanowire solar cell. The thesis is divided into two major parts. In the first parts, previously grown GaP/GaNyP1-y core-shell nanowire samples are used to develop the fabrication process of Gallium Nitride Phosphide nanowire solar cell. The design for nanowire arrays, passivation layer, polymeric filler spacer, transparent col- lecting layer and metal contact are discussed and fabricated. The property of these NWSCs are also characterized to point out the future development of Gal- lium Nitride Phosphide NWSC. In the second part, a nano-hole template made by nanosphere lithography is studied for selective area growth of nanowires to improve the structure of core-shell NWSC. The fabrication process of nano-hole templates and the results are presented. To have a consistent features of nano-hole tem- plate, the Taguchi Method is used to optimize the fabrication process of nano-hole templates.

  17. Fabricating capacitive micromachined ultrasonic transducers with a novel silicon-nitride-based wafer bonding process.

    PubMed

    Logan, Andrew; Yeow, John T W

    2009-05-01

    We report the fabrication and experimental testing of 1-D 23-element capacitive micromachined ultrasonic transducer (CMUT) arrays that have been fabricated using a novel wafer-bonding process whereby the membrane and the insulation layer are both silicon nitride. The membrane and cell cavities are deposited and patterned on separate wafers and fusion-bonded in a vacuum environment to create CMUT cells. A user-grown silicon-nitride membrane layer avoids the need for expensive silicon-on-insulator (SOI) wafers, reduces parasitic capacitance, and reduces dielectric charging. It allows more freedom in selecting the membrane thickness while also providing the benefits of wafer-bonding fabrication such as excellent fill factor, ease of vacuum sealing, and a simplified fabrication process when compared with the more standard sacrificial release process. The devices fabricated have a cell diameter of 22 microm, a membrane thickness of 400 nm, a gap depth of 150 nm, and an insulation thickness of 250 nm. The resonant frequency of the CMUT in air is 17 MHz and has an attenuation compensated center frequency of approximately 9 MHz in immersion with a -6 dB fractional bandwidth of 123%. This paper presents the fabrication process and some characterization results.

  18. The onset of fabric development in deep marine sediments

    NASA Astrophysics Data System (ADS)

    Maffione, Marco; Morris, Antony

    2017-09-01

    Post-depositional compaction is a key stage in the formation of sedimentary rocks that results in porosity reduction, grain realignment and the production of sedimentary fabrics. The progressive time-depth evolution of the onset of fabric development in deep marine sediments is poorly constrained due to the limited quantity and resolution of existing data. Here we present high-resolution anisotropy of magnetic susceptibility (AMS) results from clay-rich deep marine sediments recovered at International Ocean Discovery Program Site U1438 (Philippine Sea). AMS is a petrofabric tool sensitive to the preferred orientation of grains in rocks. Down-section variations of AMS parameters, density, porosity and the inclination of magnetic remanences demonstrate that fabrics develop in response to compaction and dewatering but also that they do not develop progressively with depth below the mudline. Instead, a horizontal foliation first forms at 83 mbsf once the sediment load reaches an effective stress threshold for the onset of compaction and is then continuously enhanced down to 113 mbsf, defining a 30 m-thick 'initial compaction window'. The magnetostratigraphic age model for IODP Site U1438 indicates a delay of 5.7 Ma in initial fabric formation following sediment deposition, with strongly defined fabrics then taking an additional 6.5 Ma to develop.

  19. Processing Techniques Developed to Fabricate Lanthanum Titanate Piezoceramic Material for High-Temperature Smart Structures

    NASA Technical Reports Server (NTRS)

    Goldsby, Jon C.; Farmer, Serene C.; Sayir, Ali

    2004-01-01

    Piezoelectric ceramic materials are potential candidates for use as actuators and sensors in intelligent gas turbine engines. For piezoceramics to be applied in gas turbine engines, they will have to be able to function in temperatures ranging from 1000 to 2500 F. However, the maximum use temperature for state-of-the-art piezoceramic materials is on the order of 300 to 400 F. Research activities have been initiated to develop high-temperature piezoceramic materials for gas turbine engine applications. Lanthanum titanate has been shown to have high-temperature piezoelectric properties with Curie temperatures of T(sub c) = 1500 C and use temperatures greater than 1000 C. However, the fabrication of lanthanum titanate poses serious challenges because of the very high sintering temperatures required for densification. Two different techniques have been developed at the NASA Glenn Research Center to fabricate dense lanthanum titanate piezoceramic material. In one approach, lower sintering temperatures were achieved by adding yttrium oxide to commercially available lanthanum titanate powder. Addition of only 0.1 mol% yttrium oxide lowered the sintering temperature by as much as 300 C, to just 1100 C, and dense lanthanum titanate was produced by pressure-assisted sintering. The second approach utilized the same commercially available powders but used an innovative sintering approach called differential sintering, which did not require any additive.

  20. Development and fabrication of an advanced liquid cooling garment

    NASA Technical Reports Server (NTRS)

    Hixon, C. W.

    1978-01-01

    A tube/fin concept liquid cooling garment head cooler was developed, fabricated and delivered to NASA-ARC. The head cooler was fabricated from polyurethane film which sandwiches the transport fluid tubing and a thermally conductive fin material. The head cooler garment is sewn to form a skull cap and covered with a comfort liner. In addition, two Neonate heating garments were fabricated and supplied to NASA for further finishing and use in medical tests. The resulting garment is flexible, elastic and conforms to the head comfortably. Tests on a tube/fin element of identical construction as the head cooler demonstrated good thermal effectiveness. Use of commercially available materials and development of relatively simple fabrication techniques give the potential for a low garment cost.

  1. Experiments Related to the Fabrication of Carbon Fiber/AMB-21 Polyimide Composite Tubes Using the RTM Process

    NASA Technical Reports Server (NTRS)

    Exum, Daniel

    1996-01-01

    AMB-21 is a new polymer developed by Mr. Ray Vannucci, NASA, LeRC as a noncarcinogenic polyimide matrix which may be suitable for fabricating composite parts by the Resin Transfer Modeling (RTM) process. The polyimide for this project was prepared at the Center of Composite Materials Research at N.C. A&T State University because it is not currently an item of commerce. The RTM process is especially suitable for producing geometrically complex composite parts at a low cost. Because of the high melting point and very high viscosity at the time of processing, polyimides have not been extensively used in the RTM process. The process for preparing AMB-21 as well as the process for fabricating composite plates will be described. The basic fabrication process consists of injecting a solvent solution of AMP-21 into a carbon fiber preform, evaporating the solvent, imidizing the polyimide, and vacuum/compression modeling the impregnated preform. All the above molding steps are preformed in a specially designed RTM mold which will be described. The results of this process have been inconsistent. Where as some experiments have resulted in a reasonably sound panels, others have not. Further refinements of the process are required to establish a reliable process.

  2. Impact of process temperature on GaSb metal-oxide-semiconductor interface properties fabricated by ex-situ process

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yokoyama, Masafumi, E-mail: yokoyama@mosfet.t.u-tokyo.ac.jp; Takenaka, Mitsuru; Takagi, Shinichi

    We have studied the impact of process temperature on interface properties of GaSb metal-oxide-semiconductor (MOS) structures fabricated by an ex-situ atomic-layer-deposition (ALD) process. We have found that the ALD temperature strongly affects the Al{sub 2}O{sub 3}/GaSb MOS interface properties. The Al{sub 2}O{sub 3}/GaSb MOS interfaces fabricated at the low ALD temperature of 150 °C have the minimum interface-trap density (D{sub it}) of ∼4.5 × 10{sup 13 }cm{sup −2} eV{sup −1}. We have also found that the post-metalization annealing at temperature higher than 200 °C degrades the Al{sub 2}O{sub 3}/GaSb MOS interface properties. The low-temperature process is preferable in fabricating GaSb MOS interfaces in the ex-situmore » ALD process to avoid the high-temperature-induced degradations.« less

  3. Development of parametric material, energy, and emission inventories for wafer fabrication in the semiconductor industry.

    PubMed

    Murphy, Cynthia F; Kenig, George A; Allen, David T; Laurent, Jean-Philippe; Dyer, David E

    2003-12-01

    Currently available data suggest that most of the energy and material consumption related to the production of an integrated circuit is due to the wafer fabrication process. The complexity of wafer manufacturing, requiring hundreds of steps that vary from product to product and from facility to facility and which change every few years, has discouraged the development of material, energy, and emission inventory modules for the purpose of insertion into life cycle assessments. To address this difficulty, a flexible, process-based system for estimating material requirements, energy requirements, and emissions in wafer fabrication has been developed. The method accounts for mass and energy use atthe unit operation level. Parametric unit operation modules have been developed that can be used to predict changes in inventory as the result of changes in product design, equipment selection, or process flow. A case study of the application of the modules is given for energy consumption, but a similar methodology can be used for materials, individually or aggregated.

  4. Finite Element Models for Electron Beam Freeform Fabrication Process

    NASA Technical Reports Server (NTRS)

    Chandra, Umesh

    2012-01-01

    Electron beam freeform fabrication (EBF3) is a member of an emerging class of direct manufacturing processes known as solid freeform fabrication (SFF); another member of the class is the laser deposition process. Successful application of the EBF3 process requires precise control of a number of process parameters such as the EB power, speed, and metal feed rate in order to ensure thermal management; good fusion between the substrate and the first layer and between successive layers; minimize part distortion and residual stresses; and control the microstructure of the finished product. This is the only effort thus far that has addressed computer simulation of the EBF3 process. The models developed in this effort can assist in reducing the number of trials in the laboratory or on the shop floor while making high-quality parts. With some modifications, their use can be further extended to the simulation of laser, TIG (tungsten inert gas), and other deposition processes. A solid mechanics-based finite element code, ABAQUS, was chosen as the primary engine in developing these models whereas a computational fluid dynamics (CFD) code, Fluent, was used in a support role. Several innovative concepts were developed, some of which are highlighted below. These concepts were implemented in a number of new computer models either in the form of stand-alone programs or as user subroutines for ABAQUS and Fluent codes. A database of thermo-physical, mechanical, fluid, and metallurgical properties of stainless steel 304 was developed. Computing models for Gaussian and raster modes of the electron beam heat input were developed. Also, new schemes were devised to account for the heat sink effect during the deposition process. These innovations, and others, lead to improved models for thermal management and prediction of transient/residual stresses and distortions. Two approaches for the prediction of microstructure were pursued. The first was an empirical approach involving the

  5. Development of feedback-speed-control system of fixed-abrasive tool for mat-surface fabrication

    NASA Astrophysics Data System (ADS)

    Yanagihara, K.; Kita, R.

    2018-01-01

    This study deals with the new method to fabricate a mat-surface by using fixed-abrasive tool. Mat-surface is a surface with microscopic irregularities whose dimensions are close to the wavelengths of visible light (400-700 nanometers). In order to develop the new method to fabricate mat-surface without pre-masking and large scale back up facility, utilization of fixed-abrasive tool is discussed. The discussion clarifies that abrasives in shot blasting are given kinetic energy along to only plunge-direction while excluding traverse-direction. If the relative motion between tool and work in fixed-abrasive process can be realized as that in blasting, mat-surface will be accomplished with fixed-abrasive process. To realize the proposed idea, new surface-fabrication system to which is adopted feedback-speed-control of abrasive wheel has been designed. The system consists of micro-computer unit (MPU), work-speed sensor, fixed-abrasive wheel, and wheel driving unit. The system can control relative speed between work and wheel in optimum range to produce mat-surface. Finally experiment to verify the developed system is carried out. The results of experiments show that the developed system is effective and it can produce the surface from grinding to mat-surface seamlessly.

  6. Development and fabrication of improved power transistor switches

    NASA Technical Reports Server (NTRS)

    Hower, P. L.; Chu, C. K.

    1979-01-01

    A new class of high-voltage power transistors was achieved by adapting present interdigitated thyristor processing techniques to the fabrication of npn Si transistors. Present devices are 2.3 cm in diameter and have V sub CEO (sus) in the range of 400 to 600V. V sub CEO (sus) = 450V devices were made with an (h sub FE)(I sub C) product of 900A at V sub CE = 2.5V. The electrical performance obtained was consistent with the predictions of an optimum design theory specifically developed for power switching transistors. The device design, wafer processing, and assembly techniques are described. Experimental measurements of the dc characteristics, forward SOA, and switching times are included. A new method of characterizing the switching performance of power transistors is proposed.

  7. A three-mask process for fabricating vacuum-sealed capacitive micromachined ultrasonic transducers using anodic bonding.

    PubMed

    Yamaner, F Yalçın; Zhang, Xiao; Oralkan, Ömer

    2015-05-01

    This paper introduces a simplified fabrication method for vacuum-sealed capacitive micromachined ultrasonic transducer (CMUT) arrays using anodic bonding. Anodic bonding provides the established advantages of wafer-bondingbased CMUT fabrication processes, including process simplicity, control over plate thickness and properties, high fill factor, and ability to implement large vibrating cells. In addition to these, compared with fusion bonding, anodic bonding can be performed at lower processing temperatures, i.e., 350°C as opposed to 1100°C; surface roughness requirement for anodic bonding is more than 10 times more relaxed, i.e., 5-nm rootmean- square (RMS) roughness as opposed to 0.5 nm for fusion bonding; anodic bonding can be performed on smaller contact area and hence improves the fill factor for CMUTs. Although anodic bonding has been previously used for CMUT fabrication, a CMUT with a vacuum cavity could not have been achieved, mainly because gas is trapped inside the cavities during anodic bonding. In the approach we present in this paper, the vacuum cavity is achieved by opening a channel in the plate structure to evacuate the trapped gas and subsequently sealing this channel by conformal silicon nitride deposition in the vacuum environment. The plate structure of the fabricated CMUT consists of the single-crystal silicon device layer of a silicon-on-insulator wafer and a thin silicon nitride insulation layer. The presented fabrication approach employs only three photolithographic steps and combines the advantages of anodic bonding with the advantages of a patterned metal bottom electrode on an insulating substrate, specifically low parasitic series resistance and low parasitic shunt capacitance. In this paper, the developed fabrication scheme is described in detail, including process recipes. The fabricated transducers are characterized using electrical input impedance measurements in air and hydrophone measurements in immersion. A representative

  8. Fabrication of nanofibers reinforced polymer microstructures using femtosecond laser material processing

    NASA Astrophysics Data System (ADS)

    Alubaidy, Mohammed-Amin

    A new method has been introduced for the formation of microfeatures made of nanofibers reinforced polymer, using femtosecond laser material processing. The Femtosecond laser is used for the generation of three-dimensional interweaved nanofibers and the construction of microfeatures, like microchannels and voxels, through multi photon polymerization of nanofiber dispersed polymer resin. A new phenomenon of multiphoton polymerization induced by dual wavelength irradiation was reported for the first time. A significant improvement in the spatial resolution, compared to the two photon absorption (2PA) and the three photon absorption (3PA) processes has been achieved. Conductive polymer microstructures and magnetic polymer microstructures have been fabricated through this method. The mechanical properties of nanofiber reinforced polymer microstructures has been investigated by means of nanoindentation and the volume fraction of the generated nanofibers in the nanocomposite was calculated by using nanoindentation analysis. The results showed significant improvement in strength of the material. The electrical conductivity of the two photon polymerization (TPP) generated microfeatures was measured by a two-probe system at room temperature and the conductivity-temperature relationship was measured at a certain temperature range. The results suggest that the conductive polymer microstructure is reproducible and has a consistent conductivity-temperature relation. The magnetic strength has been characterized using Guassmeter. To demonstrate the potential application of the new fabrication method, a novel class of DNA-functionalized three-dimensional (3D), stand-free, and nanostructured electrodes were fabricated. The developed nanofibrous DNA biosensor has been characterized by cyclic voltammetry with the use of ferrocyanide as an electrochemical redox indicator. Results showed that the probe--target recognition has been improved. This research demonstrated that femtosecond

  9. Process development for high-resolution 3D-printing of bioresorbable vascular stents

    NASA Astrophysics Data System (ADS)

    Ware, Henry Oliver T.; Farsheed, Adam C.; van Lith, Robert; Baker, Evan; Ameer, Guillermo; Sun, Cheng

    2017-02-01

    The recent development of "continuous projection microstereolithography" also known as CLIP technology has successfully alleviated the main obstacles surrounding 3D printing technologies: production speed and part quality. Following the same working principle, we further developed the μCLIP process to address the needs for high-resolution 3D printing of biomedical devices with micron-scale precision. Compared to standard stereolithography (SLA) process, μCLIP fabrication can reduce fabrication time from several hours to as little as a few minutes. μCLIP can also produce better surface finish and more uniform mechanical properties than conventional SLA, as each individual "fabrication layer" continuously polymerizes into the subsequent layer. In this study, we report the process development in manufacturing high-resolution bioresorbable stents using our own μCLIP system. The bioresorbable photopolymerizable biomaterial (B-ink) used in this study is methacrylated poly(1, 12 dodecamethylene citrate) (mPDC). Through optimization of our μCLIP process and concentration of B-ink components, we have created a customizable bioresorbable stent with similar mechanical properties exhibited by nitinol stents. Upon optimization, fabricating a 2 cm tall vascular stent that comprises 4000 layers was accomplished in 26.5 minutes.

  10. Electron Beam Freeform Fabrication: A Fabrication Process that Revolutionizes Aircraft Structural Designs and Spacecraft Supportability

    NASA Technical Reports Server (NTRS)

    Taminger, Karen M.

    2008-01-01

    The technological inception and challenges, as well as current applications of the electron beam freeform fabrication (EBF3) process are outlined. The process was motivated by the need for a new metals technology that would be cost-effective, enable the production of new alloys and that would could be used for efficient, lightweight structures. EBF3 is a rapid metal fabrication, layer-additive process that uses no molds or tools and which yields properties equivalent to wrought. The benefits of EBF3 include it near-net shape which minimizes scrap and reduces part count; efficiency in design which allows for lighter weight and enhanced performance; and, its "green" manufacturing process which yields minimal waste products. EBF3 also has a high tensile strength, while a structural test comparison found that EBF3 panels performed 5% lower than machined panels. Technical challenges in the EBF3 process include a need for process control monitoring and an improvement in localized heat response. Currently, the EBF3 process can be used to add details onto forgings and to construct and form complex shapes. However, it has potential uses in a variety of industries including aerospace, automotive, sporting goods and medical implant devices. The novel structural design capabilities of EBF3 have the ability to yield curved stiffeners which may be optimized for performance, low weight, low noise and damage tolerance applications. EBF3 has also demonstrated its usefulness in 0-gravity environments for supportability in space applications.

  11. Evaluation of Double-Vacuum-Bag Process For Composite Fabrication

    NASA Technical Reports Server (NTRS)

    Hou, T. H.; Jensen, B. J.

    2004-01-01

    A non-autoclave vacuum bag process using atmospheric pressure alone that eliminates the need for external pressure normally supplied by an autoclave or a press is an attractive method for composite fabrication. This type of process does not require large capital expenditures for tooling and processing equipment. In the molding cycle (temperature/pressure profile) for a given composite system, the vacuum application point has to be carefully selected to achieve the final consolidated laminate net shape and resin content without excessive resin squeeze-out. The traditional single-vacuum- bag (SVB) process is best suited for molding epoxy matrix based composites because of their superior flow and the absence of reaction by-products or other volatiles. Other classes of materials, such as polyimides and phenolics, generate water during cure. In addition, these materials are commonly synthesized as oligomers using solvents to facilitate processability. Volatiles (solvents and reaction byproducts) management therefore becomes a critical issue. SVB molding, without additional pressure, normally fails to yield void-free quality composites for these classes of resin systems. A double-vacuum- bag (DVB) process for volatile management was envisioned, designed and built at the NASA Langley Research Center. This experimental DVB process affords superior volatiles management compared to the traditional SVB process. Void-free composites are consistently fabricated as measured by C-scan and optical photomicroscopy for high performance polyimide and phenolic resins.

  12. Process for fabrication of large titanium diboride ceramic bodies

    DOEpatents

    Moorhead, Arthur J.; Bomar, E. S.; Becher, Paul F.

    1989-01-01

    A process for manufacturing large, fully dense, high purity TiB.sub.2 articles by pressing powders with a sintering aid at relatively low temperatures to reduce grain growth. The process requires stringent temperature and pressure applications in the hot-pressing step to ensure maximum removal of sintering aid and to avoid damage to the fabricated article or the die.

  13. Fabrication of polycrystalline thin films by pulsed laser processing

    DOEpatents

    Mitlitsky, Fred; Truher, Joel B.; Kaschmitter, James L.; Colella, Nicholas J.

    1998-02-03

    A method for fabricating polycrystalline thin films on low-temperature (or high-temperature) substrates which uses processing temperatures that are low enough to avoid damage to the substrate, and then transiently heating select layers of the thin films with at least one pulse of a laser or other homogenized beam source. The pulse length is selected so that the layers of interest are transiently heated to a temperature which allows recrystallization and/or dopant activation while maintaining the substrate at a temperature which is sufficiently low to avoid damage to the substrate. This method is particularly applicable in the fabrication of solar cells.

  14. Fabrication and characterization of oxide-based thin film transistors, and process development for oxide heterostructures

    NASA Astrophysics Data System (ADS)

    Lim, Wantae

    2009-12-01

    This dissertation is focused on the development of thin film transistors (TFTs) using oxide materials composed of post-transitional cations with (n-1)d 10ns0 (n≥4). The goal is to achieve high performance oxide-based TFTs fabricated at low processing temperature on either glass or flexible substrates for next generation display applications. In addition, etching mechanism and Ohmic contact formation for oxide heterostructure (ZnO/CuCrO 2) system is demonstrated. The deposition and characterization of oxide semiconductors (In 2O3-ZnO, and InGaZnO4) using a RF-magnetron sputtering system are studied. The main influence on the resistivity of the films is found to be the oxygen partial pressure in the sputtering ambient. The films remained amorphous and transparent (> 70%) at all process conditions. These films showed good transmittance at suitable conductivity for transistor fabrication. The electrical characteristics of both top- and bottom-gate type Indium Zinc Oxide (InZnO) and Indium Gallium Zinc Oxide (InGaZnO4)-based TFTs are reported. The InZnO films were favorable for depletion-mode TFTs due to their tendency to form oxygen vacancies, while enhancement-mode devices were realized with InGaZnO4 films. The InGaZnO4-based TFTs fabricated on either glass or plastic substrates at low temperature (<100°C) exhibit good electrical properties: the saturation mobility of 5--12 cm2.V-1.s-1 and threshold voltage of 0.5--2.5V. The devices are also examined as a function of aging time in order to verify long-term stability in air. The effect of gate dielectric materials on electrical properties of InGaZnO 4-based TFTs was investigated. The use of SiNx film as a gate dielectric reduces the trap density and the roughness at the channel/gate dielectric interface compared to SiO2 gate dielectric, resulting in an improvement of device parameters by reducing scattering of trapped charges at the interface. The quality of interface is shown to have large effect on TFT performance

  15. ASRM test report: Autoclave cure process development

    NASA Technical Reports Server (NTRS)

    Nachbar, D. L.; Mitchell, Suzanne

    1992-01-01

    ASRM insulated segments will be autoclave cured following insulation pre-form installation and strip wind operations. Following competitive bidding, Aerojet ASRM Division (AAD) Purchase Order 100142 was awarded to American Fuel Cell and Coated Fabrics Company, Inc. (Amfuel), Magnolia, AR, for subcontracted insulation autoclave cure process development. Autoclave cure process development test requirements were included in Task 3 of TM05514, Manufacturing Process Development Specification for Integrated Insulation Characterization and Stripwind Process Development. The test objective was to establish autoclave cure process parameters for ASRM insulated segments. Six tasks were completed to: (1) evaluate cure parameters that control acceptable vulcanization of ASRM Kevlar-filled EPDM insulation material; (2) identify first and second order impact parameters on the autoclave cure process; and (3) evaluate insulation material flow-out characteristics to support pre-form configuration design.

  16. Development and fabrication of structural components for a scramjet engine

    NASA Technical Reports Server (NTRS)

    Buchmann, O. A.

    1990-01-01

    A program broadly directed toward design and development of long-life (100 hours and 1,000 cycles with a goal of 1,000 hours and 10,000 cycles) hydrogen-cooled structures for application to scramjets is presented. Previous phases of the program resulted in an overall engine design and analytical and experimental characterization of selected candidate materials and concepts. The latter efforts indicated that the basic life goals for the program can be reached with available means. The main objective of this effort was an integrated, experimental evaluation of the results of the previous program phases. The fuel injection strut was selected for this purpose, including fabrication development and fabrication of a full-scale strut. Testing of the completed strut was to be performed in a NASA-Langley wind tunnel. In addition, conceptual designs were formulated for a heat transfer test unit and a flat panel structural test unit. Tooling and fabrication procedures required to fabricate the strut were developed, and fabrication and delivery to NASA of all strut components, including major subassemblies, were completed.

  17. Characterization of high-purity niobium structures fabricated using the electron beam melting process

    NASA Astrophysics Data System (ADS)

    Terrazas Najera, Cesar Adrian

    Additive Manufacturing (AM) refers to the varied set of technologies utilized for the fabrication of complex 3D components from digital data in a layer-by-layer fashion. The use of these technologies promises to revolutionize the manufacturing industry. The electron beam melting (EBM) process has been utilized for the fabrication of fully dense near-net-shape components from various metallic materials. This process, catalogued as a powder bed fusion technology, consists of the deposition of thin layers (50 - 120microm) of metallic powder particles which are fused by the use of a high energy electron beam and has been commercialized by Swedish company Arcam AB. Superconducting radio frequency (SRF) cavities are key components that are used in linear accelerators and other light sources for studies of elemental physics. Currently, cavity fabrication is done by employing different forming processes including deep-drawing and spinning. In both of the latter techniques, a feedstock high-purity niobium sheet with a thickness ranging from 3-4 mm is mechanically deformed and shaped into the desired geometry. In this manner, half cavities are formed that are later joined by electron beam welding (EBW). The welding step causes variability in the shape of the cavity and can also introduce impurities at the surface of the weld interface. The processing route and the purity of niobium are also of utmost importance since the presence of impurities such as inclusions or defects can be detrimental for the SRF properties of cavities. The focus of this research was the use of the EBM process in the manufacture of high purity niobium parts with potential SRF applications. Reactor grade niobium was plasma atomized and used as the precursor material for fabrication using EBM. An Arcam A2 system was utilized for the fabrication. The system had all internal components of the fabrication chamber replaced and was cleaned to prevent contamination of niobium powder. A mini-vat, developed at

  18. Man-computer Inactive Data Access System (McIDAS). [design, development, fabrication, and testing

    NASA Technical Reports Server (NTRS)

    1973-01-01

    A technical description is given of the effort to design, develop, fabricate, and test the two dimensional data processing system, McIDAS. The system has three basic sections: an access and data archive section, a control section, and a display section. Areas reported include hardware, system software, and applications software.

  19. 3D printed electromagnetic transmission and electronic structures fabricated on a single platform using advanced process integration techniques

    NASA Astrophysics Data System (ADS)

    Deffenbaugh, Paul Issac

    3D printing has garnered immense attention from many fields including in-office rapid prototyping of mechanical parts, outer-space satellite replication, garage functional firearm manufacture, and NASA rocket engine component fabrication. 3D printing allows increased design flexibility in the fabrication of electronics, microwave circuits and wireless antennas and has reached a level of maturity which allows functional parts to be printed. Much more work is necessary in order to perfect the processes of 3D printed electronics especially in the area of automation. Chapter 1 shows several finished prototypes of 3D printed electronics as well as newly developed techniques in fabrication. Little is known about the RF and microwave properties and applications of the standard materials which have been developed for 3D printing. Measurement of a wide variety of materials over a broad spectrum of frequencies up to 10 GHz using a variety of well-established measurement methods is performed throughout chapter 2. Several types of high frequency RF transmission lines are fabricated and valuable model-matched data is gathered and provided in chapter 3 for future designers' use. Of particular note is a fully 3D printed stripline which was automatically fabricated in one process on one machine. Some core advantages of 3D printing RF/microwave components include rapid manufacturing of complex, dimensionally sensitive circuits (such as antennas and filters which are often iteratively tuned) and the ability to create new devices that cannot be made using standard fabrication techniques. Chapter 4 describes an exemplary fully 3D printed curved inverted-F antenna.

  20. Key Processes of Silicon-On-Glass MEMS Fabrication Technology for Gyroscope Application.

    PubMed

    Ma, Zhibo; Wang, Yinan; Shen, Qiang; Zhang, Han; Guo, Xuetao

    2018-04-17

    MEMS fabrication that is based on the silicon-on-glass (SOG) process requires many steps, including patterning, anodic bonding, deep reactive ion etching (DRIE), and chemical mechanical polishing (CMP). The effects of the process parameters of CMP and DRIE are investigated in this study. The process parameters of CMP, such as abrasive size, load pressure, and pH value of SF1 solution are examined to optimize the total thickness variation in the structure and the surface quality. The ratio of etching and passivation cycle time and the process pressure are also adjusted to achieve satisfactory performance during DRIE. The process is optimized to avoid neither the notching nor lag effects on the fabricated silicon structures. For demonstrating the capability of the modified CMP and DRIE processes, a z-axis micro gyroscope is fabricated that is based on the SOG process. Initial test results show that the average surface roughness of silicon is below 1.13 nm and the thickness of the silicon is measured to be 50 μm. All of the structures are well defined without the footing effect by the use of the modified DRIE process. The initial performance test results of the resonant frequency for the drive and sense modes are 4.048 and 4.076 kHz, respectively. The demands for this kind of SOG MEMS device can be fulfilled using the optimized process.

  1. Development of Flame Resistant Combat Uniform Fabrics Made from Long Staple Wool and Aramid Blend Yarn

    DTIC Science & Technology

    2013-04-15

    Kentwool recombed the wool top ( wool is first combed during the production of wool top); a second combing process is an optional step sometimes used in...RESISTANT COMBAT UNIFORM FABRICS MADE FROM LONG STAPLE WOOL AND ARAMID BLEND YARN by Parvez Mehta* Mitchell Driggers* and Carole...SUBTITLE DEVELOPMENT OF FLAME RESISTANT COMBAT UNIFORM FABRICS MADE FROM LONG STAPLE WOOL AND ARAMID BLEND YARN 5a. CONTRACT NUMBER W911QY-11

  2. Development of flame retardant cotton fabric based on ionic liquids via sol-gel technique.

    NASA Astrophysics Data System (ADS)

    Bentis, A.; Boukhriss, A.; Boyer, D.; Gmouh, S.

    2017-10-01

    In this study, flame retardant cotton fabrics were developed by the sol-gel method, in order to enhance their flame retardant proprieties. For this aim, seven sols were prepared using tetraethylorthosilicate (TEOS) and different ionic liquids (ILs) consist on pyridinium and Methylimidazolium cations with different anions such as: PF6-, CH3COO-, and Br-. Those sols were applied separately to the cotton fabrics by a pad-dry-cure process. The flame retardant properties of functionalized cotton fabrics before and after washing were determined by the vertical flame tests according to ISO6940:2004(F) standard. The effects of anions have been thoroughly investigated, aiming at the optimization of the targeted properties. Thermogravimetric and mechanical according to NF EN ISO 13934-1:2013standard, analyses have been also investigated. The results showed that flame retardancy, thermal stability and mechanical properties of treated fabrics were enhanced by using ionic liquids.

  3. Metal matrix composite fabrication processes for high performance aerospace structures

    NASA Astrophysics Data System (ADS)

    Ponzi, C.

    A survey is conducted of extant methods of metal matrix composite (MMC) production in order to serve as a basis for prospective MMC users' selection of a matrix/reinforcement combination, cost-effective primary fabrication methods, and secondary fabrication techniques for the achievement of desired performance levels. Attention is given to the illustrative cases of structural fittings, control-surface connecting rods, hypersonic aircraft air inlet ramps, helicopter swash plates, and turbine rotor disks. Methods for technical and cost analysis modeling useful in process optimization are noted.

  4. A Fully Contained Resin Infusion Process for Fiber-Reinforced Polymer Composite Fabrication and Repair

    DTIC Science & Technology

    2013-01-01

    Figures iv  Acknowledgments v  1.  Introduction 1  2.  Experimental 2  2.1  Composite Laminate Fabrication...2 Figure 2. Image of fiberglass composite being fabricated using VARTM processing. 2. Experimental 2.1 Composite Laminate Fabrication...style 5 × 5 plain 5 weave prepreg S-2 fiberglass fabric and a honeycomb core cured in an autoclave, much like the composite parts fielded in

  5. Fabrication of polycrystalline thin films by pulsed laser processing

    DOEpatents

    Mitlitsky, F.; Truher, J.B.; Kaschmitter, J.L.; Colella, N.J.

    1998-02-03

    A method is disclosed for fabricating polycrystalline thin films on low-temperature (or high-temperature) substrates which uses processing temperatures that are low enough to avoid damage to the substrate, and then transiently heating select layers of the thin films with at least one pulse of a laser or other homogenized beam source. The pulse length is selected so that the layers of interest are transiently heated to a temperature which allows recrystallization and/or dopant activation while maintaining the substrate at a temperature which is sufficiently low to avoid damage to the substrate. This method is particularly applicable in the fabrication of solar cells. 1 fig.

  6. Development of nano-fabrication technique utilizing self-organizational behavior of point defects induced by ion irradiation

    NASA Astrophysics Data System (ADS)

    Nitta, Noriko; Taniwaki, Masafumi

    2006-04-01

    The present authors proposed a novel nano-fabrication technique that is able to arrange the fine cells orderly, based on their finding in GaSb implanted at a low temperature. In this article, first the experimental results that anomalous cellular structure was formed in GaSb by ion implantation is introduced and the self-organizational formation mechanism of the structure is described. Next a nano-fabrication technique that utilizes focused ion beam is described. This technique consists of two procedures, i.e. the formation process of the voids array and the development of the initial array to ordered cellular structure. Finally, the nano-fabrication is actually performed by this technique and their results are reported. Fabrication succeeded in structures where the dot (cell) interval was 100 nm or larger. The minimum ion dose for initial voids which develops to the ordered cellular structure is evaluated. It is also shown that the substrate temperature during implantation is an essential parameter for this technique.

  7. 3D MEMS in Standard Processes: Fabrication, Quality Assurance, and Novel Measurement Microstructures

    NASA Technical Reports Server (NTRS)

    Lin, Gisela; Lawton, Russell A.

    2000-01-01

    Three-dimensional MEMS microsystems that are commercially fabricated require minimal post-processing and are easily integrated with CMOS signal processing electronics. Measurements to evaluate the fabrication process (such as cross-sectional imaging and device performance characterization) provide much needed feedback in terms of reliability and quality assurance. MEMS technology is bringing a new class of microscale measurements to fruition. The relatively small size of MEMS microsystems offers the potential for higher fidelity recordings compared to macrosize counterparts, as illustrated in the measurement of muscle cell forces.

  8. Smart Fabrics Technology Development

    NASA Technical Reports Server (NTRS)

    Simon, Cory; Potter, Elliott; Potter, Elliott; McCabe, Mary; Baggerman, Clint

    2010-01-01

    Advances in Smart Fabrics technology are enabling an exciting array of new applications for NASA exploration missions, the biomedical community, and consumer electronics. This report summarizes the findings of a brief investigation into the state of the art and potential applications of smart fabrics to address challenges in human spaceflight.

  9. Fabrication of three-dimensional collagen scaffold using an inverse mould-leaching process.

    PubMed

    Ahn, SeungHyun; Lee, SuYeon; Cho, Youngseok; Chun, Wook; Kim, GeunHyung

    2011-09-01

    Natural biopolymers, such as collagen or chitosan, are considered ideal for biomedical scaffolds. However, low processability of the materials has hindered the fabrication of designed pore structures controlled by various solid freeform-fabrication methods. A new technique to fabricate a biomedical three-dimensional collagen scaffold, supplemented with a sacrificial poly(ethylene oxide) mould is proposed. The fabricated collagen scaffold shows a highly porous surface and a three-dimensional structure with high porosity as well as mechanically stable structure. To show its feasibility for biomedical applications, fibroblasts/keratinocytes were co-cultured on the scaffold, and the cell proliferation and cell migration of the scaffold was more favorable than that obtained with a spongy-type collagen scaffold.

  10. Antimicrobial nano-silver non-woven polyethylene terephthalate fabric via an atmospheric pressure plasma deposition process

    PubMed Central

    Deng, Xiaolong; Yu Nikiforov, Anton; Coenye, Tom; Cools, Pieter; Aziz, Gaelle; Morent, Rino; De Geyter, Nathalie; Leys, Christophe

    2015-01-01

    An antimicrobial nano-silver non-woven polyethylene terephthalate (PET) fabric has been prepared in a three step process. The fabrics were first pretreated by depositing a layer of organosilicon thin film using an atmospheric pressure plasma system, then silver nano-particles (AgNPs) were incorporated into the fabrics by a dipping-dry process, and finally the nano-particles were covered by a second organosilicon layer of 10-50 nm, which acts as a barrier layer. Different surface characterization techniques like SEM and XPS have been implemented to study the morphology and the chemical composition of the nano-silver fabrics. Based on these techniques, a uniform immobilization of AgNPs in the PET matrix has been observed. The antimicrobial activity of the treated fabrics has also been tested using P. aeruginosa, S. aureus and C. albicans. It reveals that the thickness of the barrier layer has a strong effect on the bacterial reduction of the fabrics. The durability and stability of the AgNPs on the fabrics has also been investigated in a washing process. By doing so, it is confirmed that the barrier layer can effectively prevent the release of AgNPs and that the thickness of the barrier layer is an important parameter to control the silver ions release. PMID:25951432

  11. Microstructure fabrication process induced modulations in CVD graphene

    NASA Astrophysics Data System (ADS)

    Matsubayashi, Akitomo; Zhang, Zhenjun; Lee, Ji Ung; LaBella, Vincent P.

    2014-12-01

    The systematic Raman spectroscopic study of a "mimicked" graphene device fabrication is presented. Upon photoresist baking, compressive stress is induced in the graphene which disappears after it is removed. The indirect irradiation from the electron beam (through the photoresist) does not significantly alter graphene characteristic Raman peaks indicating that graphene quality is preserved upon the exposure. The 2D peak shifts and the intensity ratio of 2D and G band, I(2D)/I(G), decreases upon direct metal deposition (Co and Py) suggesting that the electronic modulation occurs due to sp2 C-C bond weakening. In contrast, a thin metal oxide film deposited graphene does not show either the significant 2D and G peaks shift or I(2D)/I(G) decrease upon the metal deposition suggesting the oxide protect the graphene quality in the fabrication process.

  12. Development of olivine crystallographic preferred orientation in response to strain-induced fabric geometry

    NASA Astrophysics Data System (ADS)

    Chatzaras, Vasileios; Kruckenberg, Seth C.; Cohen, Shaina M.; Medaris, L. Gordon, Jr.; Withers, Anthony C.; Bagley, Brian

    2016-04-01

    conditions estimated in the Marie Byrd Land xenoliths, nor by the activation of the slip systems predicted by deformation experiments. Rather, our data show that olivine CPO is controlled by transitions in strain-induced fabric geometry. Microstructures and deformation mechanism maps suggest that deformation is dominated by dislocation-accommodated grain boundary sliding. We propose that slip of olivine glide planes and rotation of olivine grains occur so as to accommodate the imposed material flow, which is guided by the 3D strain-induced fabric geometry. As a result of this process, the axial-[010] and B-type patterns form in relation to oblate fabric ellipsoids, the A-type pattern forms in a range of fabric ellipsoids, and the axial-[100] pattern is associated with prolate fabric ellipsoids. We therefore suggest that the well-known process of strain geometry-induced development of CPO is also applicable to upper mantle rocks.

  13. Fabrication of flexible grating sensing waveguide based on nano-imprint lithography and micro-replication process

    NASA Astrophysics Data System (ADS)

    Liu, Yueming; Tian, Weijian; Zhang, Shaojun

    2009-05-01

    Soft and flexible grating sensing waveguides is urgently demanded in application of micro-bending sensing and surface distortion sensing in medical catheter and smart skin sensing unit etc. Based on Nano-imprint Lithography and micro-replication process, polymer grating waveguides with core size 4μm×20μm and pitch 0.75μm are fabricated successfully in this paper. This novel grating waveguides is soft and flexible enough for related application and with the bio-medical safe feature when used in human body catheter. Fabricated processes are presented including the fabrication of micro mould and UV-replication process, and relative skills are discussed also in this paper.

  14. Smart fabrics: integrating fiber optic sensors and information networks.

    PubMed

    El-Sherif, Mahmoud

    2004-01-01

    "Smart Fabrics" are defined as fabrics capable of monitoring their own "health", and sensing environmental conditions. They consist of special type of sensors, signal processing, and communication network embedded into textile substrate. Available conventional sensors and networking systems are not fully technologically mature for such applications. New classes of miniature sensors, signal processing and networking systems are urgently needed for such application. Also, the methodology for integration into textile structures has to be developed. In this paper, the development of smart fabrics with embedded fiber optic systems is presented for applications in health monitoring and diagnostics. Successful development of such smart fabrics with embedded sensors and networks is mainly dependent on the development of the proper miniature sensors technology, and on the integration of these sensors into textile structures. The developed smart fabrics will be discussed and samples of the results will be presented.

  15. DEVELOPMENT OF A FABRICATION PROCESS FOR SOL-GEL/METAL HYDRIDE COMPOSITE GRANULES

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hansen, E; Eric Frickey, E; Leung Heung, L

    An external gelation process was developed to produce spherical granules that contain metal hydride particles in a sol-gel matrix. Dimensionally stable granules containing metal hydrides are needed for applications such as hydrogen separation and hydrogen purification that require columns containing metal hydrides. Gases must readily flow through the metal hydride beds in the columns. Metal hydrides reversibly absorb and desorb hydrogen and hydrogen isotopes. This is accompanied by significant volume changes that cause the metal hydride to break apart or decrepitate. Repeated cycling results in very fine metal hydride particles that are difficult to handle and contain. Fine particles tendmore » to settle and pack making it more difficult to flow gases through a metal hydride bed. Furthermore, the metal hydrides can exert a significant force on the containment vessel as they expand. These problems associated with metal hydrides can be eliminated with the granulation process described in this report. Small agglomerates of metal hydride particles and abietic acid (a pore former) were produced and dispersed in a colloidal silica/water suspension to form the feed slurry. Fumed silica was added to increase the viscosity of the feed slurry which helped to keep the agglomerates in suspension. Drops of the feed slurry were injected into a 27-foot tall column of hot ({approx}70 C), medium viscosity ({approx}3000 centistokes) silicone oil. Water was slowly evaporated from the drops as they settled. The drops gelled and eventually solidified to form spherical granules. This process is referred to as external gelation. Testing was completed to optimize the design of the column, the feed system, the feed slurry composition, and the operating parameters of the column. The critical process parameters can be controlled resulting in a reproducible fabrication technique. The residual silicone oil on the surface of the granules was removed by washing in mineral spirits. The granules

  16. Development and fabrication of a solar cell junction processing system

    NASA Technical Reports Server (NTRS)

    Banker, S.

    1982-01-01

    Development of a pulsed electron beam subsystem, wafer transport system, and ion implanter are discussed. A junction processing system integration and cost analysis are reviewed. Maintenance of the electron beam processor and the experimental test unit of the non-mass analyzed ion implanter is reviewed.

  17. Microstructure fabrication process induced modulations in CVD graphene

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Matsubayashi, Akitomo, E-mail: amatsubayashi@albany.edu; Zhang, Zhenjun; Lee, Ji Ung

    The systematic Raman spectroscopic study of a “mimicked” graphene device fabrication is presented. Upon photoresist baking, compressive stress is induced in the graphene which disappears after it is removed. The indirect irradiation from the electron beam (through the photoresist) does not significantly alter graphene characteristic Raman peaks indicating that graphene quality is preserved upon the exposure. The 2D peak shifts and the intensity ratio of 2D and G band, I(2D)/I(G), decreases upon direct metal deposition (Co and Py) suggesting that the electronic modulation occurs due to sp{sup 2} C-C bond weakening. In contrast, a thin metal oxide film deposited graphenemore » does not show either the significant 2D and G peaks shift or I(2D)/I(G) decrease upon the metal deposition suggesting the oxide protect the graphene quality in the fabrication process.« less

  18. Biological implications of lab-on-a-chip devices fabricated using multi-jet modelling and stereolithography processes

    NASA Astrophysics Data System (ADS)

    Zhu, Feng; Macdonald, Niall; Skommer, Joanna; Wlodkowic, Donald

    2015-06-01

    Current microfabrication methods are often restricted to two-dimensional (2D) or two and a half dimensional (2.5D) structures. Those fabrication issues can be potentially addressed by emerging additive manufacturing technologies. Despite rapid growth of additive manufacturing technologies in tissue engineering, microfluidics has seen relatively little developments with regards to adopting 3D printing for rapid fabrication of complex chip-based devices. This has been due to two major factors: lack of sufficient resolution of current rapid-prototyping methods (usually >100 μm ) and optical transparency of polymers to allow in vitro imaging of specimens. We postulate that adopting innovative fabrication processes can provide effective solutions for prototyping and manufacturing of chip-based devices with high-aspect ratios (i.e. above ration of 20:1). This work provides a comprehensive investigation of commercially available additive manufacturing technologies as an alternative for rapid prototyping of complex monolithic Lab-on-a-Chip devices for biological applications. We explored both multi-jet modelling (MJM) and several stereolithography (SLA) processes with five different 3D printing resins. Compared with other rapid prototyping technologies such as PDMS soft lithography and infrared laser micromachining, we demonstrated that selected SLA technologies had superior resolution and feature quality. We also for the first time optimised the post-processing protocols and demonstrated polymer features under scanning electronic microscope (SEM). Finally we demonstrate that selected SLA polymers have optical properties enabling high-resolution biological imaging. A caution should be, however, exercised as more work is needed to develop fully bio-compatible and non-toxic polymer chemistries.

  19. Development and fabrication of an advanced liquid cooling garment

    NASA Technical Reports Server (NTRS)

    Leith, J. R.; Hixon, C. W.

    1976-01-01

    The elastomeric film fin/tube concept which was developed is a composite of polyurethane film, fine expanded silver mesh, a serpentine pattern polyurethane transport tubing and an integral comfort liner, all bonded via adhesive application and vacuum-bagged for final cure. As demonstrated by thermal analysis, the composite garment material is capable of removing a 293 watt (1000 BTU/hr) metabolic load through a head and torso cooling area of .46 sq m (5 sq ft) with tube spacing of slightly under one inch. A total of 60 test elements, each .15m x .15m (6 in. x 6 in.) were fabricated in support of the liquid cooling garment concept development. In parallel with the fabrication of these elements a continuing series of laboratory tests to support the fabrication techniques was carried out. The elements and supporting tests are described.

  20. Flat-plate solar array project. Volume 5: Process development

    NASA Technical Reports Server (NTRS)

    Gallagher, B.; Alexander, P.; Burger, D.

    1986-01-01

    The goal of the Process Development Area, as part of the Flat-Plate Solar Array (FSA) Project, was to develop and demonstrate solar cell fabrication and module assembly process technologies required to meet the cost, lifetime, production capacity, and performance goals of the FSA Project. R&D efforts expended by Government, Industry, and Universities in developing processes capable of meeting the projects goals during volume production conditions are summarized. The cost goals allocated for processing were demonstrated by small volume quantities that were extrapolated by cost analysis to large volume production. To provide proper focus and coverage of the process development effort, four separate technology sections are discussed: surface preparation, junction formation, metallization, and module assembly.

  1. Influence of multiple-passes on microstructure and mechanical properties of Al-Mg/SiC surface composites fabricated via underwater friction stir processing

    NASA Astrophysics Data System (ADS)

    Srivastava, Manu; Rathee, Sandeep; Maheshwari, Sachin; Siddiquee, Arshad Noor

    2018-06-01

    Friction stir processing (FSP) is a relatively newly developed solid-state process involving surface modifications for fabricating metal matrix surface composites. Obtaining metal matrix nano-composites with uniform dispersion of reinforcement particles via FSP route is an intricate task to accomplish. In this work, AA5059/SiC nano surface composites (SCs) were developed. Effect of multiple FSP passes and SiC addition on microstructure and mechanical properties of fabricated SCs during underwater condition was investigated. Results reflected that the average microhardness value of base metal (BM) increases from 85 Hv to 159 Hv in stir zone of four pass underwater friction stir processed (FSPed) SC. Highest ultimate tensile strength (UTS) achieved during four pass FSPed sample was 377 MPa that is higher than UTS of BM (321 MPa) and four pass FSPed sample developed at ambient air FSP conditions (347 MPa). An appreciably narrower heat affected zone is obtained owing to fast cooling and reduced heat conduction during underwater FSP, amounting to higher UTS as compared to BM and SC at ambient conditions. Thus, it can be concluded that surrounding medium and number of FSP passes have significant impact on mechanical properties of fabricated SCs. Analysis of microstructures and distribution of SiC particles in fabricated SCs were studied by optical microscope and FESEM respectively and found in good corroboration with the mechanical properties.

  2. Fabrication of capsule assemblies, phase 3

    NASA Technical Reports Server (NTRS)

    Keeton, A. R.; Stemann, L. G.

    1973-01-01

    Thirteen capsule assemblies were fabricated for evaluation of fuel pin design concepts for a fast spectrum lithium cooled compact space power reactor. These instrumented assemblies were designed for real time test of prototype fuel pins. Uranium mononitride fuel pins were encased in AISI 304L stainless steel capsules. Fabrication procedures were fully qualified by process development and assembly qualification tests. Instrumentation reliability was achieved utilizing specially processed and closely controlled thermocouple hot zone fabrication and by thermal screening tests. Overall capsule reliability was achieved with an all electron beam welded assembly.

  3. Composite material having high thermal conductivity and process for fabricating same

    DOEpatents

    Colella, N.J.; Davidson, H.L.; Kerns, J.A.; Makowiecki, D.M.

    1998-07-21

    A process is disclosed for fabricating a composite material such as that having high thermal conductivity and having specific application as a heat sink or heat spreader for high density integrated circuits. The composite material produced by this process has a thermal conductivity between that of diamond and copper, and basically consists of coated diamond particles dispersed in a high conductivity metal, such as copper. The composite material can be fabricated in small or relatively large sizes using inexpensive materials. The process basically consists, for example, of sputter coating diamond powder with several elements, including a carbide forming element and a brazeable material, compacting them into a porous body, and infiltrating the porous body with a suitable braze material, such as copper-silver alloy, thereby producing a dense diamond-copper composite material with a thermal conductivity comparable to synthetic diamond films at a fraction of the cost. 7 figs.

  4. Composite material having high thermal conductivity and process for fabricating same

    DOEpatents

    Colella, Nicholas J.; Davidson, Howard L.; Kerns, John A.; Makowiecki, Daniel M.

    1998-01-01

    A process for fabricating a composite material such as that having high thermal conductivity and having specific application as a heat sink or heat spreader for high density integrated circuits. The composite material produced by this process has a thermal conductivity between that of diamond and copper, and basically consists of coated diamond particles dispersed in a high conductivity metal, such as copper. The composite material can be fabricated in small or relatively large sizes using inexpensive materials. The process basically consists, for example, of sputter coating diamond powder with several elements, including a carbide forming element and a brazeable material, compacting them into a porous body, and infiltrating the porous body with a suitable braze material, such as copper-silver alloy, thereby producing a dense diamond-copper composite material with a thermal conductivity comparable to synthetic diamond films at a fraction of the cost.

  5. Development of self extinguishing Durette and/or Nomex fabric

    NASA Technical Reports Server (NTRS)

    1972-01-01

    A treatment was developed for Nomex or Durette fabric which renders it self-extinguishing in a 70% oxygen, 30% nitrogen atmosphere at 5 psia. The treatment does not degrade the physical and textile properties of the fabric excessively and does not outgas or have an objectional odor. The treatment is permanent and does not lose its fire protecting qualities after laundering. The treatment utilizes a mixture of formaldehyde, phosphorous acid, and tri-methyl phosphate in methanol. The cloth to be treated is held in a boiling solution of the above reagents for 24 hours, removed, air dried, and then heated to 120 C in a circulating air oven for 90 minutes. The treatment turns Nomex a pale yellow but does not have an appreciable effect on drape or hand. One inch wide strips of treated cloth failed in tension at 192 lbs, while untreated controls broke at 215 lbs. To remove any excess reagents, the cloth is processed in a home laundry through one wash and dry cycle without the use of any detergents. The thermal shrinkage of the treated material is 23% compared to a control of 40% for unwashed Stern Nomex HT 90-40.

  6. A novel, two-step top seeded infiltration and growth process for the fabrication of single grain, bulk (RE)BCO superconductors

    NASA Astrophysics Data System (ADS)

    Namburi, Devendra K.; Shi, Yunhua; Palmer, Kysen G.; Dennis, Anthony R.; Durrell, John H.; Cardwell, David A.

    2016-09-01

    A fundamental requirement of the fabrication of high performing, (RE)-Ba-Cu-O bulk superconductors is achieving a single grain microstructure that exhibits good flux pinning properties. The top seeded melt growth (TSMG) process is a well-established technique for the fabrication of single grain (RE)BCO bulk samples and is now applied routinely by a number of research groups around the world. The introduction of a buffer layer to the TSMG process has been demonstrated recently to improve significantly the general reliability of the process. However, a number of growth-related defects, such as porosity and the formation of micro-cracks, remain inherent to the TSMG process, and are proving difficult to eliminate by varying the melt process parameters. The seeded infiltration and growth (SIG) process has been shown to yield single grain samples that exhibit significantly improved microstructures compared to the TSMG technique. Unfortunately, however, SIG leads to other processing challenges, such as the reliability of fabrication, optimisation of RE2BaCuO5 (RE-211) inclusions (size and content) in the sample microstructure, practical oxygenation of as processed samples and, hence, optimisation of the superconducting properties of the bulk single grain. In the present paper, we report the development of a near-net shaping technique based on a novel two-step, buffer-aided top seeded infiltration and growth (BA-TSIG) process, which has been demonstrated to improve greatly the reliability of the single grain growth process and has been used to fabricate successfully bulk, single grain (RE)BCO superconductors with improved microstructures and superconducting properties. A trapped field of ˜0.84 T and a zero field current density of 60 kA cm-2 have been measured at 77 K in a bulk, YBCO single grain sample of diameter 25 mm processed by this two-step BA-TSIG technique. To the best of our knowledge, this value of trapped field is the highest value ever reported for a sample

  7. Fabrication of 4H-SiC PiN diodes without bipolar degradation by improved device processes

    NASA Astrophysics Data System (ADS)

    Bu, Yuan; Yoshimoto, Hiroyuki; Watanabe, Naoki; Shima, Akio

    2017-12-01

    We developed a simple technology for fabricating bipolar degradation-free 6.5 kV SiC PiN diodes on the basal plane dislocation (BPD)-free areas of commercially available 4H-SiC wafers. In order to suppress process-induced basal plane dislocation, we first investigated the causes of BPD generation during fabrication and then improved the processes. We found that no BPD was induced on a flat Si-face, but a large number of BPDs were concentrated in the mesa edge after high-dose Al ions were implanted [p++ ion implantation (I. I.)] at room temperature (RT) followed by activation annealing. Therefore, we examined new technologies in device processes including (I) long-term high-temperature oxidation after the mesa process to remove etching damage in the mesa edge and (II) reducing the Al dose (p+ I. I.) in the mesa edge to suppress BPD generation. We investigated the effect of the Al dose in the mesa edge on BPD generation and bipolar degradation. The results indicated that no BPD appeared when the dose was lower than 1 × 1015 atoms/cm2 and when long-term high-temperature oxidation was applied after the mesa process. As a result, we successfully fabricated 6.5 kV PiN diodes without bipolar degradation on BPD-free areas. Moreover, the diodes are very stable when applying 270 A/cm2 for over 100 h. Photoluminescence (PL) observation indicated that no BPD was generated during the improved fabrication processes. Besides, the Ir-Vr measurements showed that the breakdown voltage was over 8 kV at RT. The leakage currents are as low as 7.6 × 10-5 mA/cm2 (25 °C) and 6.3 × 10-4 mA/cm2 (150 °C) at 6.5 kV. Moreover, this result is applicable not only for PiN diodes but also for MOSFETs (body diode), IGBTs, thyristors, etc.

  8. Closed-Loop Process Control for Electron Beam Freeform Fabrication and Deposition Processes

    NASA Technical Reports Server (NTRS)

    Taminger, Karen M. (Inventor); Hofmeister, William H. (Inventor); Martin, Richard E. (Inventor); Hafley, Robert A. (Inventor)

    2013-01-01

    A closed-loop control method for an electron beam freeform fabrication (EBF(sup 3)) process includes detecting a feature of interest during the process using a sensor(s), continuously evaluating the feature of interest to determine, in real time, a change occurring therein, and automatically modifying control parameters to control the EBF(sup 3) process. An apparatus provides closed-loop control method of the process, and includes an electron gun for generating an electron beam, a wire feeder for feeding a wire toward a substrate, wherein the wire is melted and progressively deposited in layers onto the substrate, a sensor(s), and a host machine. The sensor(s) measure the feature of interest during the process, and the host machine continuously evaluates the feature of interest to determine, in real time, a change occurring therein. The host machine automatically modifies control parameters to the EBF(sup 3) apparatus to control the EBF(sup 3) process in a closed-loop manner.

  9. Fabrication of Copper-Rich Cu-Al Alloy Using the Wire-Arc Additive Manufacturing Process

    NASA Astrophysics Data System (ADS)

    Dong, Bosheng; Pan, Zengxi; Shen, Chen; Ma, Yan; Li, Huijun

    2017-12-01

    An innovative wire-arc additive manufacturing (WAAM) process is used to fabricate Cu-9 at. pct Al on pure copper plates in situ, through separate feeding of pure Cu and Al wires into a molten pool, which is generated by the gas tungsten arc welding (GTAW) process. After overcoming several processing problems, such as opening the deposition molten pool on the extremely high-thermal conductive copper plate and conducting the Al wire into the molten pool with low feed speed, the copper-rich Cu-Al alloy was successfully produced with constant predesigned Al content above the dilution-affected area. Also, in order to homogenize the as-fabricated material and improve the mechanical properties, two further homogenization heat treatments at 1073 K (800 °C) and 1173 K (900 °C) were applied. The material and mechanical properties of as-fabricated and heat-treated samples were compared and analyzed in detail. With increased annealing temperatures, the content of precipitate phases decreased and the samples showed gradual improvements in both strength and ductility with little variation in microstructures. The present research opened a gate for in-situ fabrication of Cu-Al alloy with target chemical composition and full density using the additive manufacturing process.

  10. ITER Central Solenoid Module Fabrication

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Smith, John

    The fabrication of the modules for the ITER Central Solenoid (CS) has started in a dedicated production facility located in Poway, California, USA. The necessary tools have been designed, built, installed, and tested in the facility to enable the start of production. The current schedule has first module fabrication completed in 2017, followed by testing and subsequent shipment to ITER. The Central Solenoid is a key component of the ITER tokamak providing the inductive voltage to initiate and sustain the plasma current and to position and shape the plasma. The design of the CS has been a collaborative effort betweenmore » the US ITER Project Office (US ITER), the international ITER Organization (IO) and General Atomics (GA). GA’s responsibility includes: completing the fabrication design, developing and qualifying the fabrication processes and tools, and then completing the fabrication of the seven 110 tonne CS modules. The modules will be shipped separately to the ITER site, and then stacked and aligned in the Assembly Hall prior to insertion in the core of the ITER tokamak. A dedicated facility in Poway, California, USA has been established by GA to complete the fabrication of the seven modules. Infrastructure improvements included thick reinforced concrete floors, a diesel generator for backup power, along with, cranes for moving the tooling within the facility. The fabrication process for a single module requires approximately 22 months followed by five months of testing, which includes preliminary electrical testing followed by high current (48.5 kA) tests at 4.7K. The production of the seven modules is completed in a parallel fashion through ten process stations. The process stations have been designed and built with most stations having completed testing and qualification for carrying out the required fabrication processes. The final qualification step for each process station is achieved by the successful production of a prototype coil. Fabrication of

  11. A sustainable and green process for scouring of cotton fabrics using xylano-pectinolytic synergism: switching from noxious chemicals to eco-friendly catalysts.

    PubMed

    Singh, Avtar; Kaur, Amanjot; Patra, Arun Kumar; Mahajan, Ritu

    2018-04-01

    The objective of this research was to develop an appropriate, eco-friendly, cost-effective bioscouring methodology for removing natural impurities from cotton fabric. Maximum bioscouring was achieved using 5.0 IU xylanase and 4.0 IU pectinase with material to liquid ratio of 1:15 in a 50 mM buffer (glycine-NaOH buffer, 1.0 mM EDTA and 1% Tween-80, pH 8.5) with a treatment time of 60 min at 50 °C and an agitation speed of 60 rpm. The bioscoured cotton fabrics showed a gain of 1.17% in whiteness, 3.23% in brightness and a reduction of 4.18% in yellowness in comparison to fabric scoured with an alkaline scouring method. Further, after bleaching, the whiteness, brightness and tensile strength of the bioscoured fabrics were increased by 2.18, 2.33 and 11.74% along with a decrease of 4.61% in yellowness of bioscoured plus bleached fabrics in comparison to chemically scoured plus bleached fabrics. From the results, it is clear that bioscouring is more efficient, energy saving and an eco-friendly process and has the potential to replace the environment-damaging scouring process with the xylano-pectinolytic bioscouring process.

  12. Nano-fabricated size exclusion chromatograph

    NASA Technical Reports Server (NTRS)

    Svehla, D.; Feldman, S.; Feldman, J.; Grunthaner, F.; Shakkottai, P.; Castillo, L. del; White, V.

    2002-01-01

    This paper describes the development of a nano-fabricated size exclusion chromatograph (nSEC) based on the principle that molecules traveling through amicrocolumn containing nano-fabricated features will have characteristic elution times that directly correlate to molecular weight. Compared to conventional size exclusion chromatography, the nSEC offers greater control over the size exclusion process; mass fabrication; integration of the separation column with associated valves, pumps, and detectors; and dramatic reductions in instrument mass and power requirements.

  13. Recent developments in the fabrication of ordered nanostructure arrays based on nanosphere lithography.

    PubMed

    Wei, Xueyong

    2010-11-01

    Since it was invented two decades ago, Nanosphere Lithography (NSL) has been widely studied as a low cost and flexible technique to fabricate nanostructures. Based on the registered patents and some selected papers, this review will discuss recent developments of different NSL strategies for the fabrication of ordered nanostructure arrays. The mechanism of self-assembly process and the techniques for preparing the self-assembled nanosphere template are first briefly introduced. The nanosphere templates are used either as shadow masks or as moulds for pattern transfer. Much more work now combines NSL with other lithographic techniques and material growth methods to form novel nanostructures of complex shape or various materials. Hence, this review finally gives a discussion on some future directions in NSL study.

  14. Effect of fabrication processes on mechanical properties of glass fiber reinforced polymer composites for 49 meter (160 foot) recreational yachts

    NASA Astrophysics Data System (ADS)

    Kim, Dave (dea-wook); Hennigan, Daniel John; Beavers, Kevin Daniel

    2010-03-01

    Polymer composite materialsoffer high strength and stiffness to weight ratio, corrosion resistance, and total life cost reductions that appeal to the marine industry. The advantages of composite construction have led to their incorporation in U.S. yacht hull structures over 46 meters (150 feet) in length. In order to construct even larger hull structures, higher quality composites with a lower cost production techniques need to be developed. In this study, the effect of composite hull fabrication processes on mechanical properties of glass fiber reinforced plastic(GFRP) composites is presented. Fabrication techniques used in this study are hand lay-up (HL), vacuum infusion (VI), and hybrid (HL+VI) processes. Mechanical property testing includes: tensile, compressive, and ignition loss sample analysis. Results demonstrate that the vacuum pressure implemented during composite fabrication has an effect on mechanical properties. The VI processed GFRP yields improved mechanical properties in tension/compression strengths and tensile modulus. The hybrid GFRP composites, however, failed in a sequential manor, due to dissimilar failure modes in the HL and VI processed sides. Fractography analysis was conducted to validate the mechanical property testing results

  15. Concurrent tailoring of fabrication process and interphase layer to reduce residual stresses in metal matrix composites

    NASA Technical Reports Server (NTRS)

    Saravanos, D. A.; Chamis, C. C.; Morel, M.

    1991-01-01

    A methodology is presented to reduce the residual matrix stresses in continuous fiber metal matrix composites (MMC) by optimizing the fabrication process and interphase layer characteristics. The response of the fabricated MMC was simulated based on nonlinear micromechanics. Application cases include fabrication tailoring, interphase tailoring, and concurrent fabrication-interphase optimization. Two composite systems, silicon carbide/titanium and graphite/copper, are considered. Results illustrate the merits of each approach, indicate that concurrent fabrication/interphase optimization produces significant reductions in the matrix residual stresses and demonstrate the strong coupling between fabrication and interphase tailoring.

  16. Advanced refractory-metal and process technology for the fabrication of x-ray masks

    NASA Astrophysics Data System (ADS)

    Brooks, Cameron J.; Racette, Kenneth C.; Lercel, Michael J.; Powers, Lynn A.; Benoit, Douglas E.

    1999-06-01

    This paper provides an in-depth report of the advanced materials and process technology being developed for x-ray mask manufacturing at IBM. Masks using diamond membranes as replacement for silicon carbide are currently being fabricated. Alternate tantalum-based absorbers, such as tantalum boron, which offer improved etch resolution and critical dimension control, as well as higher x-ray absorption, are also being investigated. In addition to the absorber studies, the development of conductive chromium- based hard-mask films to replace the current silicon oxynitride layer is being explored. The progress of this advanced-materials work, which includes significant enhancements to x-ray mask image-placement performance, will be outlined.

  17. Film Delivery Module For Fiber Placement Fabrication of Hybridized Composite Structures

    NASA Technical Reports Server (NTRS)

    Hulcher, Anthony Bruce; Young, Greg

    2005-01-01

    A new fabrication technology has been developed at the NASA Marshall Space Flight Center that will allow for the fabrication of hybridized composite structures using fiber placement processing. This technology was originally developed in response to a need to address the issue of hydrogen permeation and microcracking in cryogenic propellant tanks. Numerous thin polymeric and metallized films were investigated under low temperatures conditions for use as barrier films in a composite tank. Manufacturing studies conducted at that time did not address the processing issues related to fabrication of a hybridized tank wall. A film processing head was developed that will allow for the processing of thin polymeric and metallized films, metallic foils, and adhesives using fiber placement processing machinery. The film head is designed to enable the simultaneous processing of film materials and composite tape/tow during the composite part layup process and is also capable of processing the film during an independent operation. Several initial demonstrations were conducted to assess the performance of the film module device. Such assessments included film strip lay-up accuracy, capability to fabricate panels having internal film liners, and fabrication of laminates with embedded film layers.

  18. Development of a Prototype Low-Voltage Electron Beam Freeform Fabrication System

    NASA Technical Reports Server (NTRS)

    Watson, J. K.; Taminger, K. M.; Hafley, R. A.; Petersen, D. D.

    2002-01-01

    NASA's Langley Research Center and Johnson Space Center are developing a solid freeform fabrication system utilizing an electron beam energy source and wire feedstock. This system will serve as a testbed for exploring the influence of gravitational acceleration on the deposition process and will be a simplified prototype for future systems that may be deployed during long-duration space missions for assembly, fabrication, and production of structural and mechanical replacement components. Critical attributes for this system are compactness, minimal mass, efficiency in use of feedstock material, energy use efficiency, and safety. The use of a low-voltage (less than 15kV) electron beam energy source will reduce radiation so that massive shielding is not required to protect adjacent personnel. Feedstock efficiency will be optimized by use of wire, and energy use efficiency will be achieved by use of the electron beam energy source. This system will be evaluated in a microgravity environment using the NASA KC-135A aircraft.

  19. Heater Development, Fabrication, and Testing: Analysis of Fabricated Heaters

    NASA Technical Reports Server (NTRS)

    Bragg-Sitton, S. M.; Dickens, R. E.; Farmer, J. T.; Davis, J. D.; Adams, M. R.; Martin, J. J.; Webster, K. L.

    2008-01-01

    Thermal simulators (highly designed heater elements) developed at the Early Flight Fission Test Facility (EFF-TF) are used to simulate the heat from nuclear fission in a variety of reactor concepts. When inserted into the reactor geometry, the purpose of the thermal simulators is to deliver thermal power to the test article in the same fashion as if nuclear fuel were present. Considerable effort has been expended to mimic heat from fission as closely as possible. To accurately represent the fuel, the simulators should be capable of matching the overall properties of the nuclear fuel rather than simply matching the fuel temperatures. This includes matching thermal stresses in the pin, pin conductivities, total core power, and core power profile (axial and radial). This Technical Memorandum discusses the historical development of the thermal simulators used in nonnuclear testing at the EFF-TF and provides a basis for the development of the current series of thermal simulators. The status of current heater fabrication and testing is assessed, providing data and analyses for both successes and failures experienced in the heater development and testing program.

  20. Fabrication of large area woodpile structure in polymer

    NASA Astrophysics Data System (ADS)

    Gupta, Jaya Prakash; Dutta, Neilanjan; Yao, Peng; Sharkawy, Ahmed S.; Prather, Dennis W.

    2009-02-01

    A fabrication process of three-dimensional Woodpile photonic crystals based on multilayer photolithography from commercially available photo resist SU8 have been demonstrated. A 6-layer, 2 mm × 2mm woodpile has been fabricated. Different factors that influence the spin thickness on multiple resist application have been studied. The fabrication method used removes, the problem of intermixing, and is more repeatable and robust than the multilayer fabrication techniques for three dimensional photonic crystal structures that have been previously reported. Each layer is developed before next layer photo resist spin, instead of developing the whole structure in the final step as used in multilayer process. The desired thickness for each layer is achieved by the calibration of spin speed and use of different photo resist compositions. Deep UV exposure confinement has been the defining parameter in this process. Layer uniformity for every layer is independent of the previous developed layers and depends on the photo resist planarizing capability, spin parameters and baking conditions. The intermixing problem, which results from the previous layers left uncrossed linked photo resist, is completely removed in this process as the previous layers are fully developed, avoiding any intermixing between the newly spun and previous layers. Also this process gives the freedom to redo every spin any number of times without affecting the previously made structure, which is not possible in other multilayer process where intermediate developing is not performed.

  1. Continuously graded extruded polymer composites for energetic applications fabricated using twin-screw extrusion processing technology

    NASA Astrophysics Data System (ADS)

    Gallant, Frederick M.

    A novel method of fabricating functionally graded extruded composite materials is proposed for propellant applications using the technology of continuous processing with a Twin-Screw Extruder. The method is applied to the manufacturing of grains for solid rocket motors in an end-burning configuration with an axial gradient in ammonium perchlorate volume fraction and relative coarse/fine particle size distributions. The fabrication of functionally graded extruded polymer composites with either inert or energetic ingredients has yet to be investigated. The lack of knowledge concerning the processing of these novel materials has necessitated that a number of research issues be addressed. Of primary concern is characterizing and modeling the relationship between the extruder screw geometry, transient processing conditions, and the gradient architecture that evolves in the extruder. Recent interpretations of the Residence Time Distributions (RTDs) and Residence Volume Distributions (RVDs) for polymer composites in the TSE are used to develop new process models for predicting gradient architectures in the direction of extrusion. An approach is developed for characterizing the sections of the extrudate using optical, mechanical, and compositional analysis to determine the gradient architectures. The effects of processing on the burning rate properties of extruded energetic polymer composites are characterized for homogeneous formulations over a range of compositions to determine realistic gradient architectures for solid rocket motor applications. The new process models and burning rate properties that have been characterized in this research effort will be the basis for an inverse design procedure that is capable of determining gradient architectures for grains in solid rocket motors that possess tailored burning rate distributions that conform to user-defined performance specifications.

  2. Fabrication Process of Silicone-based Dielectric Elastomer Actuators

    PubMed Central

    Rosset, Samuel; Araromi, Oluwaseun A.; Schlatter, Samuel; Shea, Herbert R.

    2016-01-01

    This contribution demonstrates the fabrication process of dielectric elastomer transducers (DETs). DETs are stretchable capacitors consisting of an elastomeric dielectric membrane sandwiched between two compliant electrodes. The large actuation strains of these transducers when used as actuators (over 300% area strain) and their soft and compliant nature has been exploited for a wide range of applications, including electrically tunable optics, haptic feedback devices, wave-energy harvesting, deformable cell-culture devices, compliant grippers, and propulsion of a bio-inspired fish-like airship. In most cases, DETs are made with a commercial proprietary acrylic elastomer and with hand-applied electrodes of carbon powder or carbon grease. This combination leads to non-reproducible and slow actuators exhibiting viscoelastic creep and a short lifetime. We present here a complete process flow for the reproducible fabrication of DETs based on thin elastomeric silicone films, including casting of thin silicone membranes, membrane release and prestretching, patterning of robust compliant electrodes, assembly and testing. The membranes are cast on flexible polyethylene terephthalate (PET) substrates coated with a water-soluble sacrificial layer for ease of release. The electrodes consist of carbon black particles dispersed into a silicone matrix and patterned using a stamping technique, which leads to precisely-defined compliant electrodes that present a high adhesion to the dielectric membrane on which they are applied. PMID:26863283

  3. Fabrication and evaluation of valsartan–polymer– surfactant composite nanoparticles by using the supercritical antisolvent process

    PubMed Central

    Kim, Min-Soo; Baek, In-hwan

    2014-01-01

    The aim of this study was to fabricate valsartan composite nanoparticles by using the supercritical antisolvent (SAS) process, and to evaluate the correlation between in vitro dissolution and in vivo pharmacokinetic parameters for the poorly water-soluble drug valsartan. Spherical composite nanoparticles with a mean size smaller than 400 nm, which contained valsartan, were successfully fabricated by using the SAS process. X-ray diffraction and thermal analyses indicated that valsartan was present in an amorphous form within the composite nanoparticles. The in vitro dissolution and oral bioavailability of valsartan were dramatically enhanced by the composite nanoparticles. Valsartan–hydroxypropyl methylcellulose–poloxamer 407 nanoparticles exhibited faster drug release (up to 90% within 10 minutes under all dissolution conditions) and higher oral bioavailability than the raw material, with an approximately 7.2-fold higher maximum plasma concentration. In addition, there was a positive linear correlation between the pharmacokinetic parameters and the in vitro dissolution efficiency. Therefore, the preparation of composite nanoparticles with valsartan–hydroxypropyl methylcellulose and poloxamer 407 by using the SAS process could be an effective formulation strategy for the development of a new dosage form of valsartan with high oral bioavailability. PMID:25404856

  4. Design and Characterization of Next-Generation Micromirrors Fabricated in a Four-Level, Planarized Surface-Micromachined Polycrystalline Silicon Process

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Michalicek, M.A.; Comtois, J.H.; Barron, C.C.

    This paper describes the design and characterization of several types of micromirror devices to include process capabilities, device modeling, and test data resulting in deflection versus applied potential curves. These micromirror devices are the first to be fabricated in the state-of-the-art four-level planarized polysilicon process available at Sandia National Laboratories known as the Sandia Ultra-planar Multi-level MEMS Technology (SUMMiT). This enabling process permits the development of micromirror devices with near-ideal characteristics which have previously been unrealizable in standard three-layer polysilicon processes. This paper describes such characteristics as elevated address electrodes, individual address wiring beneath the device, planarized mirror surfaces usingmore » Chemical Mechanical Polishing (CMP), unique post-process metallization, and the best active surface area to date. This paper presents the design, fabrication, modeling, and characterization of several variations of Flexure-Beam (FBMD) and Axial-Rotation Micromirror Devices (ARMD). The released devices are first metallized using a standard sputtering technique relying on metallization guards and masks that are fabricated next to the devices. Such guards are shown to enable the sharing of bond pads between numerous arrays of micromirrors in order to maximize the number of on-chip test arrays. The devices are modeled and then empirically characterized using a laser interferometer setup located at the Air Force Institute of Technology (AFIT) at Wright-Patterson AFB in Dayton, Ohio. Unique design considerations for these devices and the process are also discussed.« less

  5. Ultrastable Photoelectrodes for Solar Water Splitting Based on Organic Metal Halide Perovskite Fabricated by Lift-Off Process.

    PubMed

    Nam, SeongSik; Mai, Cuc Thi Kim; Oh, Ilwhan

    2018-05-02

    Herein, we report an integrated photoelectrolysis of water employing organic metal halide (OMH) perovskite material. As generic OMH perovskite material and device architecture are highly susceptible to degradation by aqueous electrolytes, we have developed a versatile mold-cast and lift-off process to fabricate and assemble multipurpose metal encapsulation onto perovskite devices. With the metal encapsulation effectively protecting the perovskite cell and also functioning as electrocatalyst, the high-performance perovskite photoelectrodes exhibit high photovoltage and photocurrent that are effectively inherited from the original solid-state solar cell. More importantly, thus-fabricated perovskite photoelectrode demonstrates record-long unprecedented stability even at highly oxidizing potential in strong alkaline electrolyte. We expect that this versatile lift-off process can be adapted in a wide variety of photoelectrochemical devices to protect the material surfaces from corroding electrolyte and facilitate various electrochemical reactions.

  6. Wafer-Level Membrane-Transfer Process for Fabricating MEMS

    NASA Technical Reports Server (NTRS)

    Yang, Eui-Hyeok; Wiberg, Dean

    2003-01-01

    A process for transferring an entire wafer-level micromachined silicon structure for mating with and bonding to another such structure has been devised. This process is intended especially for use in wafer-level integration of microelectromechanical systems (MEMS) that have been fabricated on dissimilar substrates. Unlike in some older membrane-transfer processes, there is no use of wax or epoxy during transfer. In this process, the substrate of a wafer-level structure to be transferred serves as a carrier, and is etched away once the transfer has been completed. Another important feature of this process is that two electrodes constitutes an electrostatic actuator array. An SOI wafer and a silicon wafer (see Figure 1) are used as the carrier and electrode wafers, respectively. After oxidation, both wafers are patterned and etched to define a corrugation profile and electrode array, respectively. The polysilicon layer is deposited on the SOI wafer. The carrier wafer is bonded to the electrode wafer by using evaporated indium bumps. The piston pressure of 4 kPa is applied at 156 C in a vacuum chamber to provide hermetic sealing. The substrate of the SOI wafer is etched in a 25 weight percent TMAH bath at 80 C. The exposed buried oxide is then removed by using 49 percent HF droplets after an oxygen plasma ashing. The SOI top silicon layer is etched away by using an SF6 plasma to define the corrugation profile, followed by the HF droplet etching of the remaining oxide. The SF6 plasma with a shadow mask selectively etches the polysilicon membrane, if the transferred membrane structure needs to be patterned. Electrostatic actuators with various electrode gaps have been fabricated by this transfer technique. The gap between the transferred membrane and electrode substrate is very uniform ( 0.1 m across a wafer diameter of 100 mm, provided by optimizing the bonding control). Figure 2 depicts the finished product.

  7. Ecosystem protection by effluent bioremediation: silver nanoparticles impregnation in a textile fabrics process

    NASA Astrophysics Data System (ADS)

    Durán, Nelson; Marcato, Priscyla D.; Alves, Oswaldo L.; Da Silva, João P. S.; De Souza, Gabriel I. H.; Rodrigues, Flávio A.; Esposito, Elisa

    2010-01-01

    This work studied a bioremediation process of silver nanoparticles with the bacterium Chromobacterium violaceum. These nanoparticles were obtained from several washes of cotton fabrics impregnated with silver nanoparticles produced by the fungus Fusarium oxysporum. The optimized growth of C. violaceum for silver nanoparticles bioremediation was obtained. The effluents of wash process of the cotton fabric were efficiently treated with C. violaceum. This treatment was based on biosorption which was very efficient for the elimination of silver nanoparticles remaining in the wash water. The bacteria after biosorption were morphologically transformed, but the normal morphology after a new culture was completely restored. The process also allowed the recovery of silver material that was leached into the effluent for a reutilization avoiding any effect to the eco-environment.

  8. Computer-Aided Process Planning for the Layered Fabrication of Porous Scaffold Matrices

    NASA Astrophysics Data System (ADS)

    Starly, Binil

    Rapid Prototyping (RP) technology promises to have a tremendous impact on the design and fabrication of porous tissue replacement structures for applications in tissue engineering and regenerative medicine. The layer-by-layer fabrication technology enables the design of patient-specific medical implants and complex structures for diseased tissue replacement strategies. Combined with advancements in imaging modalities and bio-modeling software, physicians can engage themselves in advanced solutions for craniofacial and mandibular reconstruction. For example, prior to the advancement of RP technologies, solid titanium parts used as implants for mandibular reconstruction were fashioned out of molding or CNC-based machining processes (Fig. 3.1). Titanium implants built using this process are often heavy, leading to increased patient discomfort. In addition, the Young's modulus of titanium is almost five times that of healthy cortical bone resulting in stress shielding effects [1,2]. With the advent of CAD/CAM-based tools, the virtual reconstruction of the implants has resulted in significant design improvements. The new generation of implants can be porous, enabling the in-growth of healthy bone tissue for additional implant fixation and stabilization. Newer implants would conform to the external shape of the defect site that is intended to be filled in. More importantly, the effective elastic modulus of the implant can be designed to match that of surrounding tissue. Ideally, the weight of the implant can be designed to equal the weight of the tissue that is being replaced resulting in increased patient comfort. Currently, such porous structures for reconstruction can only be fabricated using RP-based metal fabrication technologies such as Electron Beam Melting (EBM), Selective Laser Sintering (SLS®), and 3D™ Printing processes.

  9. Digital fabrication of textiles: an analysis of electrical networks in 3D knitted functional fabrics

    NASA Astrophysics Data System (ADS)

    Vallett, Richard; Knittel, Chelsea; Christe, Daniel; Castaneda, Nestor; Kara, Christina D.; Mazur, Krzysztof; Liu, Dani; Kontsos, Antonios; Kim, Youngmoo; Dion, Genevieve

    2017-05-01

    Digital fabrication methods are reshaping design and manufacturing processes through the adoption of pre-production visualization and analysis tools, which help minimize waste of materials and time. Despite the increasingly widespread use of digital fabrication techniques, comparatively few of these advances have benefited the design and fabrication of textiles. The development of functional fabrics such as knitted touch sensors, antennas, capacitors, and other electronic textiles could benefit from the same advances in electrical network modeling that revolutionized the design of integrated circuits. In this paper, the efficacy of using current state-of-the-art digital fabrication tools over the more common trialand- error methods currently used in textile design is demonstrated. Gaps are then identified in the current state-of-the-art tools that must be resolved to further develop and streamline the rapidly growing field of smart textiles and devices, bringing textile production into the realm of 21st century manufacturing.

  10. Effect of Electron Beam Freeform Fabrication (EBF3) Processing Parameters on Composition of Ti-6-4

    NASA Technical Reports Server (NTRS)

    Lach, Cynthia L.; Taminger, Karen; Schuszler, A. Bud, II; Sankaran, Sankara; Ehlers, Helen; Nasserrafi, Rahbar; Woods, Bryan

    2007-01-01

    The Electron Beam Freeform Fabrication (EBF3) process developed at NASA Langley Research Center was evaluated using a design of experiments approach to determine the effect of processing parameters on the composition and geometry of Ti-6-4 deposits. The effects of three processing parameters: beam power, translation speed, and wire feed rate, were investigated by varying one while keeping the remaining parameters constant. A three-factorial, three-level, fully balanced mutually orthogonal array (L27) design of experiments approach was used to examine the effects of low, medium, and high settings for the processing parameters on the chemistry, geometry, and quality of the resulting deposits. Single bead high deposits were fabricated and evaluated for 27 experimental conditions. Loss of aluminum in Ti-6-4 was observed in EBF3 processing due to selective vaporization of the aluminum from the sustained molten pool in the vacuum environment; therefore, the chemistries of the deposits were measured and compared with the composition of the initial wire and base plate to determine if the loss of aluminum could be minimized through careful selection of processing parameters. The influence of processing parameters and coupling between these parameters on bulk composition, measured by Direct Current Plasma (DCP), local microchemistries determined by Wavelength Dispersive Spectrometry (WDS), and deposit geometry will also be discussed.

  11. Development and fabrication of a solar cell junction processing system

    NASA Technical Reports Server (NTRS)

    1984-01-01

    A processing system capable of producing solar cell junctions by ion implantation followed by pulsed electron beam annealing was developed and constructed. The machine was to be capable of processing 4-inch diameter single-crystal wafers at a rate of 10(7) wafers per year. A microcomputer-controlled pulsed electron beam annealer with a vacuum interlocked wafer transport system was designed, built and demonstrated to produce solar cell junctions on 4-inch wafers with an AMI efficiency of 12%. Experiments showed that a non-mass-analyzed (NMA) ion beam could implant 10 keV phosphorous dopant to form solar cell junctions which were equivalent to mass-analyzed implants. A NMA ion implanter, compatible with the pulsed electron beam annealer and wafer transport system was designed in detail but was not built because of program termination.

  12. X-ray mask fabrication advancements at the Microlithographic Mask Development Center

    NASA Astrophysics Data System (ADS)

    Kimmel, Kurt R.; Hughes, Patrick J.

    1996-05-01

    The Microlithographic Mask Development Center (MMD) was established as the X-ray mask manufacturing facility at the IBM Microelectronics Division semiconductor fabricator in Essex Junction, Vermont. This center, in operation for over two years, produces high yielding, defect-free X-ray masks for competitive logic and memory products at 250nm groundrules and below. The MMD is a complete mask facility that manufactures silicon membrane mask blanks in the NIST format and finished masks with electroplated gold X-ray absorber. Mask patterning, with dimensions as small as 180 nm, is accomplished using IBM-built variable shaped spot e-beam systems. Masks are routinely inspected and repaired using state-of-the-art equipment: two KLA SEM Specs for defect inspection, a Leica LMS 2000 for image placement characterization, an Amray 2040c for image dimension characterization and a Micrion 8000 XMR for defect repair. This facility maintains a baseline mask process with daily production of 250nm, 32Mb SRAM line monitor masks for the continuous improvement of mask quality and processes. Development masks are produced for several semiconductor manufacturers including IBM, Motorola, Loral, and Sanders. Masks for 64Mb and 256Mb DRAM (IBM) and advanced logic/SRAM (IBM and Motorola) designs have also been delivered. This paper describes the MMD facility and its technical capabilities. Key manufacturing metrics such as mask turnaround time, parametric yield learning and defect reduction activities are highlighted. The challenges associated with improved mask quality, sub-180nm mask fabrication, and the transition to refractory metal absorber are discussed.

  13. Thermal Skin fabrication technology

    NASA Technical Reports Server (NTRS)

    Milam, T. B.

    1972-01-01

    Advanced fabrication techniques applicable to Thermal Skin structures were investigated, including: (1) chemical machining; (2) braze bonding; (3) diffusion bonding; and (4) electron beam welding. Materials investigated were nickel and nickel alloys. Sample Thermal Skin panels were manufactured using the advanced fabrication techniques studied and were structurally tested. Results of the program included: (1) development of improved chemical machining processes for nickel and several nickel alloys; (2) identification of design geometry limits; (3) identification of diffusion bonding requirements; (4) development of a unique diffusion bonding tool; (5) identification of electron beam welding limits; and (6) identification of structural properties of Thermal Skin material.

  14. Superconductor Electronics Fabrication Process with MoNx Kinetic Inductors and Self-Shunted Josephson Junctions

    NASA Astrophysics Data System (ADS)

    Tolpygo, Sergey K.; Bolkhovsky, Vladimir; Oates, Daniel E.; Rastogi, Ravi; Zarr, Scott; Day, Alexandra L.; Weir, Tarence J.; Wynn, Alex; Johnson, Leonard M.

    2018-06-01

    Recent progress in superconductor electronics fabrication has enabled single-flux-quantum (SFQ) digital circuits with close to one million Josephson junctions (JJs) on 1-cm$^2$ chips. Increasing the integration scale further is challenging because of the large area of SFQ logic cells, mainly determined by the area of resistively shunted Nb/AlO$_x$-Al/Nb JJs and geometrical inductors utilizing multiple layers of Nb. To overcome these challenges, we are developing a fabrication process with self-shunted high-J$_c$ JJs and compact thin-film MoN$_x$ kinetic inductors instead of geometrical inductors. We present fabrication details and properties of MoN$_x$ films with a wide range of T$_c$, including residual stress, electrical resistivity, critical current, and magnetic field penetration depth {\\lambda}$_0$. As kinetic inductors, we implemented Mo$_2$N films with T$_c$ about 8 K, {\\lambda}$_0$ about 0.51 {\\mu}m, and inductance adjustable in the range from 2 to 8 pH/sq. We also present data on fabrication and electrical characterization of Nb-based self-shunted JJs with AlO$_x$ tunnel barriers and J$_c$ = 0.6 mA/{\\mu}m$^2$, and with 10-nm thick Si$_{1-x}$Nb$_x$ barriers, with x from 0.03 to 0.15, fabricated on 200-mm wafers by co-sputtering. We demonstrate that the electron transport mechanism in Si$_{1-x}$Nb$_x$ barriers at x < 0.08 is inelastic resonant tunneling via chains of multiple localized states. At larger x, their Josephson characteristics are strongly dependent on x and residual stress in Nb electrodes, and in general are inferior to AlO$_x$ tunnel barriers.

  15. Fabrication of advanced electrochemical energy materials using sol-gel processing techniques

    NASA Technical Reports Server (NTRS)

    Chu, C. T.; Chu, Jay; Zheng, Haixing

    1995-01-01

    Advanced materials play an important role in electrochemical energy devices such as batteries, fuel cells, and electrochemical capacitors. They are being used as both electrodes and electrolytes. Sol-gel processing is a versatile solution technique used in fabrication of ceramic materials with tailored stoichiometry, microstructure, and properties. The application of sol-gel processing in the fabrication of advanced electrochemical energy materials will be presented. The potentials of sol-gel derived materials for electrochemical energy applications will be discussed along with some examples of successful applications. Sol-gel derived metal oxide electrode materials such as V2O5 cathodes have been demonstrated in solid-slate thin film batteries; solid electrolytes materials such as beta-alumina for advanced secondary batteries had been prepared by the sol-gel technique long time ago; and high surface area transition metal compounds for capacitive energy storage applications can also be synthesized with this method.

  16. Electrochemical/Pyrometallurgical Waste Stream Processing and Waste Form Fabrication

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Steven Frank; Hwan Seo Park; Yung Zun Cho

    This report summarizes treatment and waste form options being evaluated for waste streams resulting from the electrochemical/pyrometallurgical (pyro ) processing of used oxide nuclear fuel. The technologies that are described are South Korean (Republic of Korea – ROK) and United States of America (US) ‘centric’ in the approach to treating pyroprocessing wastes and are based on the decade long collaborations between US and ROK researchers. Some of the general and advanced technologies described in this report will be demonstrated during the Integrated Recycle Test (IRT) to be conducted as a part of the Joint Fuel Cycle Study (JFCS) collaboration betweenmore » US Department of Energy (DOE) and ROK national laboratories. The JFCS means to specifically address and evaluated the technological, economic, and safe guard issues associated with the treatment of used nuclear fuel by pyroprocessing. The IRT will involve the processing of commercial, used oxide fuel to recover uranium and transuranics. The recovered transuranics will then be fabricated into metallic fuel and irradiated to transmutate, or burn the transuranic elements to shorter lived radionuclides. In addition, the various process streams will be evaluated and tested for fission product removal, electrolytic salt recycle, minimization of actinide loss to waste streams and waste form fabrication and characterization. This report specifically addresses the production and testing of those waste forms to demonstrate their compatibility with treatment options and suitability for disposal.« less

  17. Continuous and scalable fabrication of bioinspired dry adhesives via a roll-to-roll process with modulated ultraviolet-curable resin.

    PubMed

    Yi, Hoon; Hwang, Insol; Lee, Jeong Hyeon; Lee, Dael; Lim, Haneol; Tahk, Dongha; Sung, Minho; Bae, Won-Gyu; Choi, Se-Jin; Kwak, Moon Kyu; Jeong, Hoon Eui

    2014-08-27

    A simple yet scalable strategy for fabricating dry adhesives with mushroom-shaped micropillars is achieved by a combination of the roll-to-roll process and modulated UV-curable elastic poly(urethane acrylate) (e-PUA) resin. The e-PUA combines the major benefits of commercial PUA and poly(dimethylsiloxane) (PDMS). It not only can be cured within a few seconds like commercial PUA but also possesses good mechanical properties comparable to those of PDMS. A roll-type fabrication system equipped with a rollable mold and a UV exposure unit is also developed for the continuous process. By integrating the roll-to-roll process with the e-PUA, dry adhesives with spatulate tips in the form of a thin flexible film can be generated in a highly continuous and scalable manner. The fabricated dry adhesives with mushroom-shaped microstructures exhibit a strong pull-off strength of up to ∼38.7 N cm(-2) on the glass surface as well as high durability without any noticeable degradation. Furthermore, an automated substrate transportation system equipped with the dry adhesives can transport a 300 mm Si wafer over 10,000 repeating cycles with high accuracy.

  18. Combined fabrication process for high-precision aspheric surface based on smoothing polishing and magnetorheological finishing

    NASA Astrophysics Data System (ADS)

    Nie, Xuqing; Li, Shengyi; Song, Ci; Hu, Hao

    2014-08-01

    Due to the different curvature everywhere, the aspheric surface is hard to achieve high-precision accuracy by the traditional polishing process. Controlling of the mid-spatial frequency errors (MSFR), in particular, is almost unapproachable. In this paper, the combined fabrication process based on the smoothing polishing (SP) and magnetorheological finishing (MRF) is proposed. The pressure distribution of the rigid polishing lap and semi-flexible polishing lap is calculated. The shape preserving capacity and smoothing effect are compared. The feasibility of smoothing aspheric surface with the semi-flexible polishing lap is verified, and the key technologies in the SP process are discussed. Then, A K4 parabolic surface with the diameter of 500mm is fabricated based on the combined fabrication process. A Φ150 mm semi-flexible lap is used in the SP process to control the MSFR, and the deterministic MRF process is applied to figure the surface error. The root mean square (RMS) error of the aspheric surface converges from 0.083λ (λ=632.8 nm) to 0.008λ. The power spectral density (PSD) result shows that the MSFR are well restrained while the surface error has a great convergence.

  19. Fabrication of High Temperature Cermet Materials for Nuclear Thermal Propulsion

    NASA Technical Reports Server (NTRS)

    Hickman, Robert; Panda, Binayak; Shah, Sandeep

    2005-01-01

    Processing techniques are being developed to fabricate refractory metal and ceramic cermet materials for Nuclear Thermal Propulsion (NTP). Significant advances have been made in the area of high-temperature cermet fuel processing since RoverNERVA. Cermet materials offer several advantages such as retention of fission products and fuels, thermal shock resistance, hydrogen compatibility, high conductivity, and high strength. Recent NASA h d e d research has demonstrated the net shape fabrication of W-Re-HfC and other refractory metal and ceramic components that are similar to UN/W-Re cermet fuels. This effort is focused on basic research and characterization to identify the most promising compositions and processing techniques. A particular emphasis is being placed on low cost processes to fabricate near net shape parts of practical size. Several processing methods including Vacuum Plasma Spray (VPS) and conventional PM processes are being evaluated to fabricate material property samples and components. Surrogate W-Re/ZrN cermet fuel materials are being used to develop processing techniques for both coated and uncoated ceramic particles. After process optimization, depleted uranium-based cermets will be fabricated and tested to evaluate mechanical, thermal, and hot H2 erosion properties. This paper provides details on the current results of the project.

  20. Development of failure criterion for Kevlar-epoxy fabric laminates

    NASA Technical Reports Server (NTRS)

    Tennyson, R. C.; Elliott, W. G.

    1984-01-01

    The development of the tensor polynomial failure criterion for composite laminate analysis is discussed. In particular, emphasis is given to the fabrication and testing of Kevlar-49 fabric (Style 285)/Narmco 5208 Epoxy. The quadratic-failure criterion with F(12)=0 provides accurate estimates of failure stresses for the Kevlar/Epoxy investigated. The cubic failure criterion was re-cast into an operationally easier form, providing the engineer with design curves that can be applied to laminates fabricated from unidirectional prepregs. In the form presented no interaction strength tests are required, although recourse to the quadratic model and the principal strength parameters is necessary. However, insufficient test data exists at present to generalize this approach for all undirectional prepregs and its use must be restricted to the generic materials investigated to-date.

  1. Microsystem Cooler Concept Developed and Being Fabricated

    NASA Technical Reports Server (NTRS)

    Moran, Matthew E.

    2005-01-01

    A patented microsystem cooler concept has been developed by the NASA Glenn Research Center. It incorporates diaphragm actuators to produce the Stirling refrigeration cycle within a planar configuration compatible with the thermal management of electronics, sensors, optical and radiofrequency systems, microarrays, and other microsystems. The microsystem cooler is most suited to volume-limited applications that require cooling below the ambient or sink temperature. Johns Hopkins University Applied Physics Laboratory is conducting development testing and fabrication of a prototype under a grant from Glenn.

  2. Advanced composites: Fabrication processes for selected resin matrix materials

    NASA Technical Reports Server (NTRS)

    Welhart, E. K.

    1976-01-01

    This design note is based on present state of the art for epoxy and polyimide matrix composite fabrication technology. Boron/epoxy and polyimide and graphite/epoxy and polyimide structural parts can be successfully fabricated. Fabrication cycles for polyimide matrix composites have been shortened to near epoxy cycle times. Nondestructive testing has proven useful in detecting defects and anomalies in composite structure elements. Fabrication methods and tooling materials are discussed along with the advantages and disadvantages of different tooling materials. Types of honeycomb core, material costs and fabrication methods are shown in table form for comparison. Fabrication limits based on tooling size, pressure capabilities and various machining operations are also discussed.

  3. Electron Beam Welding: study of process capability and limitations towards development of nuclear components

    NASA Astrophysics Data System (ADS)

    Vadolia, Gautam R.; Premjit Singh, K.

    2017-04-01

    Electron Beam Welding (EBW) technology is an established and widely adopted technique in nuclear research and development area. Electron beam welding was thought of as a candidate process for ITER Vacuum Vessel Fabrication. Dhruva Reactor at BARC, Mumbai and Niobium superconducting accelerator cavity at BARC has adopted the EB welding technique as a fabrication route. Study of process capability and limitations based on available literature is consolidated in this short review paper.

  4. Study on properties of CFRP fabricated by VA-RTM process

    NASA Astrophysics Data System (ADS)

    Jeoung, Sun Kyoung; Hwang, Ye Jin; Lee, Hyun Wook; Son, Soon Keun; Kim, Hyung Sik; Ha, Jin Uk

    2016-03-01

    Carbon fiber reinforced plastics (CFRP) have a lot of attention from industry and academia due to its excellent mechanical property. It has been used for aircraft, automotive and so on, since it can replace metallic materials and reduce total weight with increased physical properties. However, the manufacturing process and the material cost are still challenging to be commercialized in the automotive market. Therefore, many researchers are trying to minimize materials and process cost for broadening their applications. In this study, thermoset epoxy resins were used for binder of CFRP. Epoxy resins were investigated in order to figure out optimized curing speed under vacuum assisted resin transfer molding (VARTM) processing condition. Mechanical properties of CFRP with different carbon fiber orientation and woven carbon fiber were compared to mathematically simulated results. In order to develop the application of automobile component, reliability tests of CFRP were carried out. Tensile strength of CFRP is increased when the orientation angle between fiber and axis of load was decreased (90°→ 0°). It is considered that epoxy and carbon fiber absorbed the tensile energy because the orientation of fiber and the load bearing are matched with axis direction. In addition, the CFRP automobile engine hood was fabricated by VARTM process. Drop weight impact tests (20kg & 100kg weight) were carried out in order to simulate crash performance of CFRP engine hoods.

  5. A solar module fabrication process for HALE solar electric UAV's

    NASA Astrophysics Data System (ADS)

    Carey, P. G.; Aceves, R. C.; Colella, N. J.; Williams, K. A.; Sinton, R. A.; Glenn, G. S.

    1994-12-01

    We describe a fabrication process used to manufacture high power-to-weight-ratio flexible solar array modules for use on high-altitude-long-endurance (HALE) solar-electric unmanned air vehicles (UAV's). These modules have achieved power-to-weight ratios of 315 and 396 W/kg for 150 micron-thick monofacial and 110 micron-thick bifacial silicon solar cells, respectively. These calculations reflect average module efficiencies of 15.3% (150 micron) and 14.7% (110 micron) obtained from electrical tests performed by Spectrolab, Inc. under AMO global conditions at 25 C, and include weight contributions from all module components (solar cells, lamination material, bypass diodes, interconnect wires, and adhesive tape used to attach the modules to the wing). The fabrication, testing, and performance of 32 sq m of these modules is described.

  6. Design and fabrication of a chitosan hydrogel with gradient structures via a step-by-step cross-linking process.

    PubMed

    Xu, Yongxiang; Yuan, Shenpo; Han, Jianmin; Lin, Hong; Zhang, Xuehui

    2017-11-15

    The development of scaffolds to mimic the gradient structure of natural tissue is an important consideration for effective tissue engineering. In the present study, a physical cross-linking chitosan hydrogel with gradient structures was fabricated via a step-by-step cross-linking process using sodium tripolyphosphate and sodium hydroxide as sequential cross-linkers. Chitosan hydrogels with different structures (single, double, and triple layers) were prepared by modifying the gelling process. The properties of the hydrogels were further adjusted by varying the gelling conditions, such as gelling time, pH, and composition of the crosslinking solution. Slight cytotoxicity was showed in MTT assay for hydrogels with uncross-linking chitosan solution and non-cytotoxicity was showed for other hydrogels. The results suggest that step-by-step cross-linking represents a practicable method to fabricate scaffolds with gradient structures. Copyright © 2017. Published by Elsevier Ltd.

  7. Monolithic high voltage nonlinear transmission line fabrication process

    DOEpatents

    Cooper, Gregory A.

    1994-01-01

    A process for fabricating sequential inductors and varactor diodes of a monolithic, high voltage, nonlinear, transmission line in GaAs is disclosed. An epitaxially grown laminate is produced by applying a low doped active n-type GaAs layer to an n-plus type GaAs substrate. A heavily doped p-type GaAs layer is applied to the active n-type layer and a heavily doped n-type GaAs layer is applied to the p-type layer. Ohmic contacts are applied to the heavily doped n-type layer where diodes are desired. Multiple layers are then either etched away or Oxygen ion implanted to isolate individual varactor diodes. An insulator is applied between the diodes and a conductive/inductive layer is thereafter applied on top of the insulator layer to complete the process.

  8. Design, fabrication and characterization of a poly-silicon PN junction

    NASA Astrophysics Data System (ADS)

    Tower, Jason D.

    This thesis details the design, fabrication, and characterization of a PN junction formed from p-type mono-crystalline silicon and n-type poly-crystalline silicon. The primary product of this project was a library of standard operating procedures (SOPs) for the fabrication of such devices, laying the foundations for future work and the development of a class in fabrication processes. The fabricated PN junction was characterized; in particular its current-voltage relationship was measured and fit to models. This characterization was to determine whether or not the fabrication process could produce working PN junctions with acceptable operational parameters.

  9. Fabrication of lightweight ceramic mirrors by means of a chemical vapor deposition process

    NASA Technical Reports Server (NTRS)

    Goela, Jitendra S. (Inventor); Taylor, Raymond L. (Inventor)

    1991-01-01

    A process to fabricate lightweigth ceramic mirrors, and in particular, silicon/silicon carbide mirrors, involves three chemical vapor deposition steps: one to produce the mirror faceplate, the second to form the lightweight backstructure which is deposited integral to the faceplate, and the third and final step which results in the deposition of a layer of optical grade material, for example, silicon, onto the front surface of the faceplate. The mirror figure and finish are fabricated into this latter material.

  10. A Novel Technique for Performing PID Susceptibility Screening during the Solar Cell Fabrication Process

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Oh, Jaewon; Dahal, Som; Dauksher, Bill

    2016-11-21

    Various characterization techniques have historically been developed in order to screen potential induced degradation (PID)-susceptible cells, but those techniques require final solar cells. We present a new characterization technique for screening PID-susceptible cells during the cell fabrication process. Illuminated Lock-In Thermography (ILIT) was used to image PID shunting of the cell without metallization and clearly showed PID-affected areas. PID-susceptible cells can be screened by ILIT, and the sample structure can advantageously be simplified as long as the sample has the silicon nitride antireflection coating and an aluminum back surface field.

  11. Transfer of bacteria from fabrics to hands and other fabrics: development and application of a quantitative method using Staphylococcus aureus as a model.

    PubMed

    Sattar, S A; Springthorpe, S; Mani, S; Gallant, M; Nair, R C; Scott, E; Kain, J

    2001-06-01

    To develop and apply a quantitative protocol for assessing the transfer of bacteria from bleached and undyed fabrics of 100% cotton and 50% cotton + 50% polyester (poly cotton) to fingerpads or other pieces of fabric. Test pieces of the fabrics were mounted on custom-made stainless steel carriers to give a surface area of 1 cm in diameter, and each piece seeded with about 10(5) cfu of Staphylococcus aureus from an overnight broth culture; the inoculum contained 5% fetal bovine serum as the soil load. Transfer from fabric to fabric was performed by direct contact using moist and dry fabrics. Transfers from fabrics to fingerpads of adult volunteers were tested using moist, dry and re-moistened pieces of the fabrics, with or without friction during the contact. Bacterial transfer from fabrics to moistened fingerpads was also studied. All the transfers were conducted under ambient conditions at an applied pressure of 0.2 kg cm(-2). After the transfer, the recipient fingerpads or fabric pieces were eluted, the eluates spread-plated, along with appropriate controls, on tryptic soy agar and the percentage transfer calculated after the incubation of the plates for 24 h at 37 degrees C. Bacterial transfer from moist donor fabrics using recipients with moisture was always higher than that to and from dry ones. Friction increased the level of transfer from fabrics to fingerpads by as much as fivefold. Bacterial transfer from poly cotton was consistently higher when compared with that from all-cotton material. The data generated should help in the development of better models to assess the role fabrics may play as vehicles for infectious agents. Also, the basic design of the reported methodology lends itself to work with other types of human pathogens.

  12. Fabrication and Characterization of SMA Hybrid Composites

    NASA Technical Reports Server (NTRS)

    Turner, Travis L.; Lach, Cynthia L.; Cano, Robert J.

    2001-01-01

    Results from an effort to fabrication shape memory alloy hybrid composite (SMAHC) test specimens and characterize the material system are presented in this study. The SMAHC specimens are conventional composite structures with an embedded SMA constituent. The fabrication and characterization work was undertaken to better understand the mechanics of the material system, address fabrication issues cited in the literature, and provide specimens for experimental validation of a recently developed thermomechanical model for SMAHC structures. Processes and hardware developed for fabrication of the SMAHC specimens are described. Fabrication of a SMA14C laminate with quasi-isotropic lamination and ribbon-type Nitinol actuators embedded in the 0' layers is presented. Beam specimens are machined from the laminate and are the focus of recent work, but the processes and hardware are readily extensible to more practical structures. Results of thermomechanical property testing on the composite matrix and Nitinol ribbon are presented. Test results from the Nitinol include stress-strain behavior, modulus versus temperature. and constrained recovery stress versus temperature and thermal cycle. Complex thermomechanical behaviors of the Nitinol and composite matrix are demonstrated, which have significant implications for modeling of SMAHC structures.

  13. Effect of the preform fabrication process on the properties of all-silica optical fibres

    NASA Astrophysics Data System (ADS)

    Grishchenko, A. B.

    2017-12-01

    In this paper, we present a detailed comparison of technical capabilities of processes for the fabrication of all-silica optical fibre preforms with the use of an atmospheric pressure radio frequency plasma (POVD process) and low-pressure microwave plasma (PCVD process) and analyse the origin of the difference in optical properties between fibres produced by these methods. It is shown that the higher temperature of the core material and the higher oxygen partial pressure in preform fabrication by the POVD process lead to an increase in optical losses in the visible and UV spectral regions in the silica fibres with low hydroxyl (OH) content and a decrease in the solarisation resistance of the fibres with high OH content, i.e. to a more rapid increase in background losses in response to UV irradiation. No such drawbacks are detected in the case of the growth of reflective layers by the PCVD process.

  14. Fabrication and Characterization of Porous MgAl₂O₄ Ceramics via a Novel Aqueous Gel-Casting Process.

    PubMed

    Yuan, Lei; Liu, Zongquan; Liu, Zhenli; He, Xiao; Ma, Beiyue; Zhu, Qiang; Yu, Jingkun

    2017-11-30

    A novel and aqueous gel-casting process has been successfully developed to fabricate porous MgAl₂O₄ ceramics by using hydratable alumina and MgO powders as raw materials and deionized water as hydration agent. The effects of different amounts of deionized water on the hydration properties, apparent porosity, bulk density, microstructure, pore size distribution and compressive strength of the samples were investigated. The results indicated that the porosity and the microstructure of porous MgAl₂O₄ ceramics were governed by the amounts of deionized water added. The porous structure was formed by the liberation of physisorbed water and the decomposition of hydration products such as bayerite, brucite and boehmite. After determining the addition amounts of deionized water, the fabricated porous MgAl₂O₄ ceramics had a high apparent porosity (52.5-65.8%), a small average pore size structure (around 1-3 μm) and a relatively high compressive strength (12-28 MPa). The novel aqueous gel-casting process with easy access is expected to be a promising candidate for the preparation of Al₂O₃-based porous ceramics.

  15. Advanced Fabrication Processes for Superconducting Very Large Scale Integrated Circuits

    DTIC Science & Technology

    2015-10-13

    transistors. There are several reasons for this gigantic disparity: insufficient funding and lack of profit-driven investments in superconductor ...Inductance of circuit structures for MIT LL superconductor electronics fabrication process with 8 niobium layers,” IEEE Trans. Appl. Supercond., vol...vol. 25, No. 3, 1301704, June 2015. [7] V. Ambegaokar and A. Baratoff, “Tunneling between superconductors ,” Phys. Rev. Lett., vol. 10, no. 11, pp

  16. Process Waste Assessment Machine and Fabrication Shop

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Phillips, N.M.

    1993-03-01

    This Process Waste Assessment was conducted to evaluate hazardous wastes generated in the Machine and Fabrication Shop at Sandia National Laboratories, Bonding 913, Room 119. Spent machine coolant is the major hazardous chemical waste generated in this facility. The volume of spent coolant generated is approximately 150 gallons/month. It is sent off-site to a recycler, but a reclaiming system for on-site use is being investigated. The Shop`s line management considers hazardous waste minimization very important. A number of steps have already been taken to minimize wastes, including replacement of a hazardous solvent with biodegradable, non-caustic solution and filtration unit; wastemore » segregation; restriction of beryllium-copper alloy machining; and reduction of lead usage.« less

  17. Fabrication of GRCop-84 Rocket Thrust Chambers

    NASA Technical Reports Server (NTRS)

    Loewenthal, William; Ellis, David

    2006-01-01

    GRCop-84, a copper alloy, Cu-8 at% Cr-4 at% Nb developed at NASA Glenn Research Center for regenerative1y cooled rocket engine liners has excellent combinations of elevated temperature strength, creep resistance, thermal conductivity and low cycle fatigue. GRCop-84 is produced from pre-alloyed atomized powder and has been fabricated into plate, sheet and tube forms as well as near net shapes. Fabrication processes to produce demonstration rocket combustion chambers will be presented and includes powder production, extruding, rolling, forming, friction stir welding, and metal spinning. GRCop-84 has excellent workability and can be readily fabricated into complex components using conventional powder and wrought metallurgy processes. Rolling was examined in detail for process sensitivity at various levels of total reduction, rolling speed and rolling temperature representing extremes of commercial processing conditions. Results indicate that process conditions can range over reasonable levels without any negative impact to properties.

  18. Fabrication of GRCop-84 Rocket Thrust Chambers

    NASA Technical Reports Server (NTRS)

    Loewenthal, William S.; Ellis, David L.

    2005-01-01

    GRCop-84, a copper alloy, Cu-8 at% Cr-4 at% Nb developed at NASA Glenn Research Center for regeneratively cooled rocket engine liners has excellent combinations of elevated temperature strength, creep resistance, thermal conductivity and low cycle fatigue. GRCop-84 is produced from prealloyed atomized powder and has been fabricated into plate, sheet and tube forms as well as near net shapes. Fabrication processes to produce demonstration rocket combustion chambers will be presented and includes powder production, extruding, rolling, forming, friction stir welding, and metal spinning. GRCop-84 has excellent workability and can be readily fabricated into complex components using conventional powder and wrought metallurgy processes. Rolling was examined in detail for process sensitivity at various levels of total reduction, rolling speed and rolling temperature representing extremes of commercial processing conditions. Results indicate that process conditions can range over reasonable levels without any negative impact to properties.

  19. Monolithic high voltage nonlinear transmission line fabrication process

    DOEpatents

    Cooper, G.A.

    1994-10-04

    A process for fabricating sequential inductors and varistor diodes of a monolithic, high voltage, nonlinear, transmission line in GaAs is disclosed. An epitaxially grown laminate is produced by applying a low doped active n-type GaAs layer to an n-plus type GaAs substrate. A heavily doped p-type GaAs layer is applied to the active n-type layer and a heavily doped n-type GaAs layer is applied to the p-type layer. Ohmic contacts are applied to the heavily doped n-type layer where diodes are desired. Multiple layers are then either etched away or Oxygen ion implanted to isolate individual varistor diodes. An insulator is applied between the diodes and a conductive/inductive layer is thereafter applied on top of the insulator layer to complete the process. 6 figs.

  20. FabricS: A user-friendly, complete and robust software for particle shape-fabric analysis

    NASA Astrophysics Data System (ADS)

    Moreno Chávez, G.; Castillo Rivera, F.; Sarocchi, D.; Borselli, L.; Rodríguez-Sedano, L. A.

    2018-06-01

    Shape-fabric is a textural parameter related to the spatial arrangement of elongated particles in geological samples. Its usefulness spans a range from sedimentary petrology to igneous and metamorphic petrology. Independently of the process being studied, when a material flows, the elongated particles are oriented with the major axis in the direction of flow. In sedimentary petrology this information has been used for studies of paleo-flow direction of turbidites, the origin of quartz sediments, and locating ignimbrite vents, among others. In addition to flow direction and its polarity, the method enables flow rheology to be inferred. The use of shape-fabric has been limited due to the difficulties of automatically measuring particles and analyzing them with reliable circular statistics programs. This has dampened interest in the method for a long time. Shape-fabric measurement has increased in popularity since the 1980s thanks to the development of new image analysis techniques and circular statistics software. However, the programs currently available are unreliable, old and are incompatible with newer operating systems, or require programming skills. The goal of our work is to develop a user-friendly program, in the MATLAB environment, with a graphical user interface, that can process images and includes editing functions, and thresholds (elongation and size) for selecting a particle population and analyzing it with reliable circular statistics algorithms. Moreover, the method also has to produce rose diagrams, orientation vectors, and a complete series of statistical parameters. All these requirements are met by our new software. In this paper, we briefly explain the methodology from collection of oriented samples in the field to the minimum number of particles needed to obtain reliable fabric data. We obtained the data using specific statistical tests and taking into account the degree of iso-orientation of the samples and the required degree of reliability

  1. Cost-effective large-scale fabrication of diffractive optical elements by using conventional semiconducting processes.

    PubMed

    Yoo, Seunghwan; Song, Ho Young; Lee, Junghoon; Jang, Cheol-Yong; Jeong, Hakgeun

    2012-11-20

    In this article, we introduce a simple fabrication method for SiO(2)-based thin diffractive optical elements (DOEs) that uses the conventional processes widely used in the semiconductor industry. Photolithography and an inductively coupled plasma etching technique are easy and cost-effective methods for fabricating subnanometer-scale and thin DOEs with a refractive index of 1.45, based on SiO(2). After fabricating DOEs, we confirmed the shape of the output light emitted from the laser diode light source and applied to a light-emitting diode (LED) module. The results represent a new approach to mass-produce DOEs and realize a high-brightness LED module.

  2. Engineering and Fabrication Considerations for Cost-Effective Space Reactor Shield Development

    NASA Astrophysics Data System (ADS)

    Berg, Thomas A.; Disney, Richard K.

    2004-02-01

    Investment in developing nuclear power for space missions cannot be made on the basis of a single mission. Current efforts in the design and fabrication of the reactor module, including the reactor shield, must be cost-effective and take into account scalability and fabricability for planned and future missions. Engineering considerations for the shield need to accommodate passive thermal management, varying radiation levels and effects, and structural/mechanical issues. Considering these challenges, design principles and cost drivers specific to the engineering and fabrication of the reactor shield are presented that contribute to lower recurring mission costs.

  3. Engineering and Fabrication Considerations for Cost-Effective Space Reactor Shield Development

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Berg, Thomas A.; Disney, Richard K.

    Investment in developing nuclear power for space missions cannot be made on the basis of a single mission. Current efforts in the design and fabrication of the reactor module, including the reactor shield, must be cost-effective and take into account scalability and fabricability for planned and future missions. Engineering considerations for the shield need to accommodate passive thermal management, varying radiation levels and effects, and structural/mechanical issues. Considering these challenges, design principles and cost drivers specific to the engineering and fabrication of the reactor shield are presented that contribute to lower recurring mission costs.

  4. Robust and durable superhydrophobic fabrics fabricated via simple Cu nanoparticles deposition route and its application in oil/water separation.

    PubMed

    Wang, Jintao; Wang, Hongfei

    2017-06-15

    The exploitation of separation materials with high selectivity for oil pollutants is of great importance due to severe environmental damage from oil spillages and industrial discharge of oils. A facile in situ growth process for creating superhydrophobic-superoleophilic fabrics for oil-water separation is developed. This proposed method is based mainly on the deposition Cu nanoparticles and subsequent hydrophobic modification. Compared with the hydrophilicity of original fabric, the water contact angle of the modified fabric rises to 154.5°, suggesting its superhydrophobicity. The as-prepared fabrics also exhibit wonderful oil-water selectivity, excellent recyclability, and high separation efficiency (>94.5%). Especially, via pumping the fabric rolled into a multilayered tube, various types of oils on water surface can be continuously separated in situ without any water uptake. Furthermore, the superhydrophobic fabrics show excellent superhydrophobic stability, and can resist different chemicals, such as salty, acidic, and alkaline solutions, oils, and hot water. After the abrasion of 400cycles, the broken fabric still possesses highly hydrophobicity with water contact angle of 145°. Therefore, due to simple fabrication steps, low cost, and scalable process, the as-prepared fabrics can be applied in the separation of oils and other organic solvents from water. Copyright © 2017 Elsevier Ltd. All rights reserved.

  5. Fabrication of the planar angular rotator using the CMOS process

    NASA Astrophysics Data System (ADS)

    Dai, Ching-Liang; Chang, Chien-Liu; Chen, Hung-Lin; Chang, Pei-Zen

    2002-05-01

    In this investigation we propose a novel planar angular rotator fabricated by the conventional complementary metal-oxide semiconductor (CMOS) process. Following the 0.6 μm single poly triple metal (SPTM) CMOS process, the device is completed by a simple maskless, post-process etching step. The rotor of the planar angular rotator rotates around its geometric center with electrostatic actuation. The proposed design adopts an intelligent mechanism including the slider-crank system to permit simultaneous motion. The CMOS planar angular rotator could be driven with driving voltages of around 40 V. The design proposed here has a shorter response time and longer life, without problems of friction and wear, compared to the more common planar angular micromotor.

  6. Laser Hot Wire Process: A Novel Process for Near-Net Shape Fabrication for High-Throughput Applications

    NASA Astrophysics Data System (ADS)

    Kottman, Michael; Zhang, Shenjia; McGuffin-Cawley, James; Denney, Paul; Narayanan, Badri K.

    2015-03-01

    The laser hot wire process has gained considerable interest for additive manufacturing applications, leveraging its high deposition rate, low dilution, thermal stability, and general metallurgical control including the ability to introduce and preserve desired meta-stable phases. Recent advancements in closed-loop process control and laser technology have increased productivity, process stability, and control of deposit metallurgy. The laser hot wire process has shown success in several applications: repairing and rejuvenating casting dies, depositing a variety of alloys including abrasion wear-resistant overlays with solid and tubular wires, and producing low-dilution (<5%) nickel alloy overlays for corrosion applications. The feasibility of fabricating titanium buildups is being assessed for aerospace applications.

  7. Fabrication process development of SiC/superalloy composite sheet for exhaust system components

    NASA Technical Reports Server (NTRS)

    Cornie, J. A.; Cook, C. S.; Anderson, C. A.

    1976-01-01

    A chemical compatibility study was conducted between SiC filament and the following P/M matrix alloys: Waspaloy, Hastelloy-X, NiCrAlY, Ha-188, S-57, FeCrAlY, and Incoloy 800. None of the couples demonstrated sufficient chemical compatibility to withstand the minimum HIP consolidation temperatures (996 C) or intended application temperature of the composite (982 C). However, Waspaloy, Haynes 188, and Hastelloy-X were the least reactive with SiC of the candidate alloys. Chemical vapor deposited tungsten was shown to be an effective diffusion barrier between the superalloy matrix and SiC filament providing a defect-free coating of sufficient thickness. However, the coating breaks down when the tungsten is converted into intermetallic compounds by interdiffusion with matrix constituents. Waspaloy was demonstrated to be the most effective matrix alloy candidate in contact with the CVD tungsten barrier because of its relatively low growth rate constant of the intermediate compound and the lack of formation of Kirkendall voids at the matrix-barrier interface. Fabrication methods were developed for producing panels of uniaxial and angle ply composites utilizing CVD tungsten coated filament.

  8. Preparation of highly hydrophobic cotton fabrics by modification with bifunctional silsesquioxanes in the sol-gel process

    NASA Astrophysics Data System (ADS)

    Przybylak, Marcin; Maciejewski, Hieronim; Dutkiewicz, Agnieszka

    2016-11-01

    The surface modification of cotton fabrics was carried out using two types of bifunctional fluorinated silsesquioxanes with different ratios of functional groups. The modification was performed either by one- or two-step process. Two methods, the sol-gel and the dip coating method were used in different configurations. The heat treatment and the washing process were applied after modification. The wettability of cotton fabric was evaluated by measuring water contact angles (WCA). Changes in the surface morphology were examined by scanning electron microscopy (SEM, SEM-LFD) and atomic force microscopy (AFM). Moreover, the modified fabrics were subjected to analysis of elemental composition of the applied coatings using SEM-EDS techniques. Highly hydrophobic textiles were obtained in all cases studied and one of the modifications resulted in imparting superhydrophobic properties. Most of impregnated textiles remained hydrophobic even after multiple washing process which shows that the studied modification is durable.

  9. Alternative Post-Processing on a CMOS Chip to Fabricate a Planar Microelectrode Array

    PubMed Central

    López-Huerta, Francisco; Herrera-May, Agustín L.; Estrada-López, Johan J.; Zuñiga-Islas, Carlos; Cervantes-Sanchez, Blanca; Soto, Enrique; Soto-Cruz, Blanca S.

    2011-01-01

    We present an alternative post-processing on a CMOS chip to release a planar microelectrode array (pMEA) integrated with its signal readout circuit, which can be used for monitoring the neuronal activity of vestibular ganglion neurons in newborn Wistar strain rats. This chip is fabricated through a 0.6 μm CMOS standard process and it has 12 pMEA through a 4 × 3 electrodes matrix. The alternative CMOS post-process includes the development of masks to protect the readout circuit and the power supply pads. A wet etching process eliminates the aluminum located on the surface of the p+-type silicon. This silicon is used as transducer for recording the neuronal activity and as interface between the readout circuit and neurons. The readout circuit is composed of an amplifier and tunable bandpass filter, which is placed on a 0.015 mm2 silicon area. The tunable bandpass filter has a bandwidth of 98 kHz and a common mode rejection ratio (CMRR) of 87 dB. These characteristics of the readout circuit are appropriate for neuronal recording applications. PMID:22346681

  10. Alternative post-processing on a CMOS chip to fabricate a planar microelectrode array.

    PubMed

    López-Huerta, Francisco; Herrera-May, Agustín L; Estrada-López, Johan J; Zuñiga-Islas, Carlos; Cervantes-Sanchez, Blanca; Soto, Enrique; Soto-Cruz, Blanca S

    2011-01-01

    We present an alternative post-processing on a CMOS chip to release a planar microelectrode array (pMEA) integrated with its signal readout circuit, which can be used for monitoring the neuronal activity of vestibular ganglion neurons in newborn Wistar strain rats. This chip is fabricated through a 0.6 μm CMOS standard process and it has 12 pMEA through a 4 × 3 electrodes matrix. The alternative CMOS post-process includes the development of masks to protect the readout circuit and the power supply pads. A wet etching process eliminates the aluminum located on the surface of the p+ -type silicon. This silicon is used as transducer for recording the neuronal activity and as interface between the readout circuit and neurons. The readout circuit is composed of an amplifier and tunable bandpass filter, which is placed on a 0.015 mm2 silicon area. The tunable bandpass filter has a bandwidth of 98 kHz and a common mode rejection ratio (CMRR) of 87 dB. These characteristics of the readout circuit are appropriate for neuronal recording applications.

  11. Recent Developments in Microsystems Fabricated by the Liga-Technique

    NASA Technical Reports Server (NTRS)

    Schulz, J.; Bade, K.; El-Kholi, A.; Hein, H.; Mohr, J.

    1995-01-01

    As an example of microsystems fabricated by the LIGA-technique (x-ray lithography, electroplating and molding), three systems are described and characterized: a triaxial acceleration sensor system, a micro-optical switch, and a microsystem for the analysis of pollutants. The fabrication technologies are reviewed with respect to the key components of the three systems: an acceleration sensor, and electrostatic actuator, and a spectrometer made by the LIGA-technique. Aa micro-pump and micro-valve made by using micromachined tools for molding and optical fiber imaging are made possible by combining LIGA and anisotropic etching of silicon in a batch process. These examples show that the combination of technologies and components is the key to complex microsystems. The design of such microsystems will be facilitated is standardized interfaces are available.

  12. Low-Cost Detection of Thin Film Stress during Fabrication

    NASA Technical Reports Server (NTRS)

    Nabors, Sammy A.

    2015-01-01

    NASA's Marshall Space Flight Center has developed a simple, cost-effective optical method for thin film stress measurements during growth and/or subsequent annealing processes. Stress arising in thin film fabrication presents production challenges for electronic devices, sensors, and optical coatings; it can lead to substrate distortion and deformation, impacting the performance of thin film products. NASA's technique measures in-situ stress using a simple, noncontact fiber optic probe in the thin film vacuum deposition chamber. This enables real-time monitoring of stress during the fabrication process and allows for efficient control of deposition process parameters. By modifying process parameters in real time during fabrication, thin film stress can be optimized or controlled, improving thin film product performance.

  13. Polymer micromold and fabrication process

    DOEpatents

    Lee, Abraham P.; Northrup, M. Allen; Ahre, Paul E.; Dupuy, Peter C.

    1997-01-01

    A mold assembly with micro-sized features in which the hollow portion thereof is fabricated from a sacrificial mandrel which is surface treated and then coated to form an outer shell. The sacrificial mandrel is then selectively etched away leaving the outer shell as the final product. The sacrificial mandrel is fabricated by a precision lathe, for example, so that when removed by etching the inner or hollow area has diameters as small as 10's of micros (.mu.m). Varying the inside diameter contours of the mold can be accomplished with specified ramping slopes formed on the outer surface of the sacrificial mandrel, with the inside or hollow section being, for example, 275 .mu.m in length up to 150 .mu.m in diameter within a 6 mm outside diameter (o.d.) mold assembly. The mold assembly itself can serve as a micronozzle or microneedle, and plastic parts, such as microballoons for angioplasty, polymer microparts, and microactuators, etc., may be formed within the mold assembly.

  14. Rapid Model Fabrication and Testing for Aerospace Vehicles

    NASA Technical Reports Server (NTRS)

    Buck, Gregory M.

    2000-01-01

    Advanced methods for rapid fabrication and instrumentation of hypersonic wind tunnel models are being developed and evaluated at NASA Langley Research Center. Rapid aeroheating model fabrication and measurement techniques using investment casting of ceramic test models and thermographic phosphors are reviewed. More accurate model casting techniques for fabrication of benchmark metal and ceramic test models are being developed using a combination of rapid prototype patterns and investment casting. White light optical scanning is used for coordinate measurements to evaluate the fabrication process and verify model accuracy to +/- 0.002 inches. Higher-temperature (<210C) luminescent coatings are also being developed for simultaneous pressure and temperature mapping, providing global pressure as well as global aeroheating measurements. Together these techniques will provide a more rapid and complete experimental aerodynamic and aerothermodynamic database for future aerospace vehicles.

  15. Comparison between mixed and spatially separated remote phosphor fabricated via a screen-printing process

    NASA Astrophysics Data System (ADS)

    Kim, Byung-Ho; Hwang, Jonghee; Lee, Young Jin; Kim, Jin-Ho; Jeon, Dae-Woo; Lee, Mi Jai

    2016-08-01

    We developed a fabrication method for remote phosphor by a screen-printing process, using green phosphor, red phosphor, and thermally stable glass frit. The glass frit was introduced for long-term stability. The optical properties of the remote phosphor were observed via an integrating sphere; the photoluminescence spectrum dramatically changed on incorporating a minor amount of the red phosphor. These unique optical properties were elucidated using four factors: phosphor ratio, scattering induced by packing density, light intensity per unit volume, and reabsorption. The thermal stability of the remote phosphor was investigated at 500°C, demonstrating its outstanding thermal properties.

  16. Fabrication of fuel pin assemblies, phase 3

    NASA Technical Reports Server (NTRS)

    Keeton, A. R.; Stemann, L. G.

    1972-01-01

    Five full size and eight reduced length fuel pins were fabricated for irradiation testing to evaluate design concepts for a fast spectrum lithium cooled compact space power reactor. These assemblies consisted of uranium mononitride fuel pellets encased in a T-111 (Ta-8W-2Hf) clad with a tungsten barrier separating fuel and clad. Fabrication procedures were fully qualified by process development and assembly qualification tests. Detailed specifications and procedures were written for the fabrication and assembly of prototype fuel pins.

  17. A Theoretical Model for Predicting Residual Stress Generation in Fabrication Process of Double-Ceramic-Layer Thermal Barrier Coating System.

    PubMed

    Song, Yan; Wu, Weijie; Xie, Feng; Liu, Yilun; Wang, Tiejun

    2017-01-01

    Residual stress arisen in fabrication process of Double-Ceramic-Layer Thermal Barrier Coating System (DCL-TBCs) has a significant effect on its quality and reliability. In this work, based on the practical fabrication process of DCL-TBCs and the force and moment equilibrium, a theoretical model was proposed at first to predict residual stress generation in its fabrication process, in which the temperature dependent material properties of DCL-TBCs were incorporated. Then, a Finite Element method (FEM) has been carried out to verify our theoretical model. Afterwards, some important geometric parameters for DCL-TBCs, such as the thickness ratio of stabilized Zirconia (YSZ, ZrO2-8%Y2O3) layer to Lanthanum Zirconate (LZ, La2Zr2O7) layer, which is adjustable in a wide range in the fabrication process, have a remarkable effect on its performance, therefore, the effect of this thickness ratio on residual stress generation in the fabrication process of DCL-TBCs has been systematically studied. In addition, some thermal spray treatment, such as the pre-heating treatment, its effect on residual stress generation has also been studied in this work. It is found that, the final residual stress mainly comes from the cooling down process in the fabrication of DCL-TBCs. Increasing the pre-heating temperature can obviously decrease the magnitude of residual stresses in LZ layer, YSZ layer and substrate. With the increase of the thickness ratio of YSZ layer to LZ layer, magnitudes of residual stresses arisen in LZ layer and YSZ layer will increase while residual stress in substrate will decrease.

  18. A simple method for fabricating microwire tetrode with sufficient rigidity and integrity without a heat-fusing process.

    PubMed

    Liao, Yi-Fang; Tsai, Meng-Li; Yen, Chen-Tung; Cheng, Chiung-Hsiang

    2011-02-15

    Heat-fusing is a common process for fabricating microwire tetrodes. However, it is time-consuming, and the high-temperature treatment can easily cause the insulation of the microwire to overheat leading to short circuits. We herein provide a simple, fast method to fabricate microwire tetrodes without the heat-fusion process. By increasing the twisting density, we were able to fabricate tetrodes with good rigidity and integrity. This kind of tetrode showed good recording quality, penetrated the brain surface easily, and remained intact after chronic implantation. This method requires only general laboratory tools and is relatively simple even for inexperienced workers. © 2010 Elsevier B.V. All rights reserved.

  19. Architecture for distributed design and fabrication

    NASA Astrophysics Data System (ADS)

    McIlrath, Michael B.; Boning, Duane S.; Troxel, Donald E.

    1997-01-01

    We describe a flexible, distributed system architecture capable of supporting collaborative design and fabrication of semi-conductor devices and integrated circuits. Such capabilities are of particular importance in the development of new technologies, where both equipment and expertise are limited. Distributed fabrication enables direct, remote, physical experimentation in the development of leading edge technology, where the necessary manufacturing resources are new, expensive, and scarce. Computational resources, software, processing equipment, and people may all be widely distributed; their effective integration is essential in order to achieve the realization of new technologies for specific product requirements. Our architecture leverages is essential in order to achieve the realization of new technologies for specific product requirements. Our architecture leverages current vendor and consortia developments to define software interfaces and infrastructure based on existing and merging networking, CIM, and CAD standards. Process engineers and product designers access processing and simulation results through a common interface and collaborate across the distributed manufacturing environment.

  20. A 45° saw-dicing process applied to a glass substrate for wafer-level optical splitter fabrication for optical coherence tomography

    NASA Astrophysics Data System (ADS)

    Maciel, M. J.; Costa, C. G.; Silva, M. F.; Gonçalves, S. B.; Peixoto, A. C.; Ribeiro, A. Fernando; Wolffenbuttel, R. F.; Correia, J. H.

    2016-08-01

    This paper reports on the development of a technology for the wafer-level fabrication of an optical Michelson interferometer, which is an essential component in a micro opto-electromechanical system (MOEMS) for a miniaturized optical coherence tomography (OCT) system. The MOEMS consists on a titanium dioxide/silicon dioxide dielectric beam splitter and chromium/gold micro-mirrors. These optical components are deposited on 45° tilted surfaces to allow the horizontal/vertical separation of the incident beam in the final micro-integrated system. The fabrication process consists of 45° saw dicing of a glass substrate and the subsequent deposition of dielectric multilayers and metal layers. The 45° saw dicing is fully characterized in this paper, which also includes an analysis of the roughness. The optimum process results in surfaces with a roughness of 19.76 nm (rms). The actual saw dicing process for a high-quality final surface results as a compromise between the dicing blade’s grit size (#1200) and the cutting speed (0.3 mm s-1). The proposed wafer-level fabrication allows rapid and low-cost processing, high compactness and the possibility of wafer-level alignment/assembly with other optical micro components for OCT integrated imaging.

  1. Design and fabrication of label-free biochip using a guided mode resonance filter with nano grating structures by injection molding process.

    PubMed

    Cho, E; Kim, B; Choi, S; Han, J; Jin, J; Han, J; Lim, J; Heo, Y; Kim, S; Sung, G Y; Kang, S

    2011-01-01

    This paper introduces technology to fabricate a guided mode resonance filter biochip using injection molding. Of the various nanofabrication processes that exist, injection molding is the most suitable for the mass production of polymer nanostructures. Fabrication of a nanograting pattern for guided mode resonance filters by injection molding requires a durable metal stamp, because of the high injection temperature and pressure. Careful consideration of the optimized process parameters is also required to achieve uniform sub-wavelength gratings with high fidelity. In this study, a metallic nanostructure pattern to be used as the stamp for the injection molding process was fabricated using electron beam lithography, a UV nanoimprinting process, and an electroforming process. A one-dimensional nanograting substrate was replicated by injection molding, during which the process parameters were controlled. To evaluate the geometric quality of the injection molded nanograting patterns, the surface profile of the fabricated nanograting for different processing conditions was analyzed using an atomic force microscope and a scanning electron microscope. Finally, to demonstrate the feasibility of the proposed process for fabricating guided mode resonance filter biochips, a high-refractive-index material was deposited on the polymer nanograting and its guided mode resonance characteristics were analyzed.

  2. Fabrication and Characterization of High Strength Al-Cu Alloys Processed Using Laser Beam Melting in Metal Powder Bed

    NASA Astrophysics Data System (ADS)

    Ahuja, Bhrigu; Karg, Michael; Nagulin, Konstantin Yu.; Schmidt, Michael

    The proposed paper illustrates fabrication and characterization of high strength Aluminium Copper alloys processed using Laser Beam Melting process. Al-Cu alloys EN AW-2219 and EN AW-2618 are classified as wrought alloys and 2618 is typically considered difficult to weld. Laser Beam Melting (LBM) process from the family of Additive Manufacturing processes, has the unique ability to form fully dense complex 3D geometries using micro sized metallic powder in a layer by layer fabrication methodology. LBM process can most closely be associated to the conventional laser welding process, but has significant differences in terms of the typical laser intensities and scan speeds used. Due to the use of high intensities and fast scan speeds, the process induces extremely high heating and cooling rates. This property gives it a unique physical attribute and therefore its ability to process high strength Al-Cu alloys needs to be investigated. Experiments conducted during the investigations associate the induced energy density controlled by varying process parameters to the achieved relative densities of the fabricated 3D structures.

  3. Computer Aided Process Planning (CAPP): The User Interface for the Fabrication Module of the Rapid Design System

    DTIC Science & Technology

    1991-01-01

    plan. The Fabrication Planning Module automatically creates a plan using information from the Feature Based Design Environment (FBDE) of the RDS. It...llll By using the user Interface, the final process plan can be modified in many different ways. The translation of a design feature to a more...for the review and modification of a process plan. The Fabrication Planning Module automatically creates a plan using information from the Feature Based

  4. Fabrication of lightweight Si/SiC LIDAR mirrors

    NASA Technical Reports Server (NTRS)

    Goela, Jitendra S.; Taylor, Raymond L.

    1991-01-01

    A new, chemical vapor deposition (CVD) process was developed for fabricating lightweight, polycrystalline silicon/silicon-carbide (Si/SiC) mirrors. The process involves three CVD steps: (1) to produce the mirror faceplate; (2) to form the lightweight backstructure, which is deposited integral to the faceplate; and (3) to deposit a layer of optical-grade material, e.g., Si, onto the front surface of the faceplate. The mirror figure and finish are fabricated into the faceplate.

  5. Micro-Thermoelectric Generation Modules Fabricated with Low-Cost Mechanical Machining Processes

    NASA Astrophysics Data System (ADS)

    Liu, Dawei; Jin, A. J.; Peng, Wenbo; Li, Qiming; Gao, Hu; Zhu, Lianjun; Li, Fu; Zhu, Zhixiang

    2017-05-01

    Micro/small-scale thermoelectric generation modules are able to produce continuous, noise-free and reliable electricity power using low temperature differences that widely exist in nature or industry. These advantages bring them great application prospects in the fields of remote monitoring, microelectronics/micro-electromechanical systems (MEMS), medical apparatus and smart management system, which often require a power source free of maintenance and vibration. In this work, a prototypical thermoelectric module (12 mm × 12 mm × 0.8 mm) with 15 pairs of micro-scale thermoelectric legs (0.2 mm in width and 0.6 mm in height for each leg) is fabricated using a low-cost mechanical machining process. In this process, cutting and polishing are the main methods for the preparation of thermoelectric pairs from commercial polycrystalline materials and for the fabrication of electrode patterns. The as-fabricated module is tested for its power generation properties with the hot side heated by an electrical heater and the cold side by cold air. With the heater temperature of 375 K, the thermoelectric potential is about 9.1 mV, the short circuit current is about 14.5 mA, and the maximum output power is about 32.8 μW. The finite element method is applied to analyze the heat transfer of the module during our test. The temperature difference and heat flux are simulated, according to which the output powers at different temperatures are calculated, and the result is relatively consistent compared to the test results.

  6. Low cost solar array project: Experimental process system development unit for producing semiconductor-grade silicon using silane-to-silicon process

    NASA Technical Reports Server (NTRS)

    1980-01-01

    The design, fabrication, and installation of an experimental process system development unit (EPSDU) were analyzed. Supporting research and development were performed to provide an information data base usable for the EPSDU and for technological design and economical analysis for potential scale-up of the process. Iterative economic analyses were conducted for the estimated product cost for the production of semiconductor grade silicon in a facility capable of producing 1000-MT/Yr.

  7. Improving the Fabrication of Semiconductor Bragg Lasers

    NASA Astrophysics Data System (ADS)

    Chen, Eric Ping Chun

    Fabrication process developments for Bragg reflection lasers have been optimized in this thesis using resources available to the group. New e-beam lithography and oxide etch recipes have been developed to minimize sidewall roughness and residues. E-beam evaporated metal contacts for semiconductor diode laser utilizing oblique angle deposition have also been developed in-house for the first time. Furthermore, improvement in micro-loading effect of DFB laser etching has been demonstrated where the ratio of tapered portion of the sidewall to total etch depth is reduced by half, from 33% to 15%. Electrical, optical and thermal performance of the fabricated lasers are characterized. Comparing the results to previous generation lasers, average dynamic resistance is decreased drastically from 14 Ohms to 7 Ohms and threshold current density also reduced from 1705A/cm2 to 1383A/ cm2. Improvement in laser performance is result of reduced loss from optimized fabrication processes. BRL bow-tie tapered lasers is then fabricated for the first time and output power of 18mW at 200mA input is measured. Benefiting from the increased effective area and better carrier utilization, reduction in threshold current density from 1383A/cm 2 to 712A/cm2 is observed.

  8. Single-Run Single-Mask Inductively-Coupled-Plasma Reactive-Ion-Etching Process for Fabricating Suspended High-Aspect-Ratio Microstructures

    NASA Astrophysics Data System (ADS)

    Yang, Yao-Joe; Kuo, Wen-Cheng; Fan, Kuang-Chao

    2006-01-01

    In this work, we present a single-run single-mask (SRM) process for fabricating suspended high-aspect-ratio structures on standard silicon wafers using an inductively coupled plasma-reactive ion etching (ICP-RIE) etcher. This process eliminates extra fabrication steps which are required for structure release after trench etching. Released microstructures with 120 μm thickness are obtained by this process. The corresponding maximum aspect ratio of the trench is 28. The SRM process is an extended version of the standard process proposed by BOSCH GmbH (BOSCH process). The first step of the SRM process is a standard BOSCH process for trench etching, then a polymer layer is deposited on trench sidewalls as a protective layer for the subsequent structure-releasing step. The structure is released by dry isotropic etching after the polymer layer on the trench floor is removed. All the steps can be integrated into a single-run ICP process. Also, only one mask is required. Therefore, the process complexity and fabrication cost can be effectively reduced. Discussions on each SRM step and considerations for avoiding undesired etching of the silicon structures during the release process are also presented.

  9. Solar Energy: Materials, Materials Handling, and Fabrication Processes: Student Material. First Edition.

    ERIC Educational Resources Information Center

    Bolin, William Everet; Orsak, Charles G., Jr.

    Designed for student use in "Materials, Materials Handling, and Fabrication Processes," one of 11 courses in a 2-year associate degree program in solar technology, this manual provides readings, exercises, worksheets, bibliographies, and illustrations for 13 course modules. The manual, which corresponds to an instructor guide for the…

  10. A Theoretical Model for Predicting Residual Stress Generation in Fabrication Process of Double-Ceramic-Layer Thermal Barrier Coating System

    PubMed Central

    Song, Yan; Wu, Weijie; Xie, Feng; Liu, Yilun; Wang, Tiejun

    2017-01-01

    Residual stress arisen in fabrication process of Double-Ceramic-Layer Thermal Barrier Coating System (DCL-TBCs) has a significant effect on its quality and reliability. In this work, based on the practical fabrication process of DCL-TBCs and the force and moment equilibrium, a theoretical model was proposed at first to predict residual stress generation in its fabrication process, in which the temperature dependent material properties of DCL-TBCs were incorporated. Then, a Finite Element method (FEM) has been carried out to verify our theoretical model. Afterwards, some important geometric parameters for DCL-TBCs, such as the thickness ratio of stabilized Zirconia (YSZ, ZrO2-8%Y2O3) layer to Lanthanum Zirconate (LZ, La2Zr2O7) layer, which is adjustable in a wide range in the fabrication process, have a remarkable effect on its performance, therefore, the effect of this thickness ratio on residual stress generation in the fabrication process of DCL-TBCs has been systematically studied. In addition, some thermal spray treatment, such as the pre-heating treatment, its effect on residual stress generation has also been studied in this work. It is found that, the final residual stress mainly comes from the cooling down process in the fabrication of DCL-TBCs. Increasing the pre-heating temperature can obviously decrease the magnitude of residual stresses in LZ layer, YSZ layer and substrate. With the increase of the thickness ratio of YSZ layer to LZ layer, magnitudes of residual stresses arisen in LZ layer and YSZ layer will increase while residual stress in substrate will decrease. PMID:28103275

  11. Fabricating a hybrid imaging device

    NASA Technical Reports Server (NTRS)

    Wadsworth, Mark (Inventor); Atlas, Gene (Inventor)

    2003-01-01

    A hybrid detector or imager includes two substrates fabricated under incompatible processes. An array of detectors, such as charged-coupled devices, are formed on the first substrate using a CCD fabrication process, such as a buried channel or peristaltic process. One or more charge-converting amplifiers are formed on a second substrate using a CMOS fabrication process. The two substrates are then bonded together to form a hybrid detector.

  12. MicroElectroMechanical devices and fabrication technologies for radio-frequency analog signal processing

    NASA Astrophysics Data System (ADS)

    Young, Darrin Jun

    The proliferation of wireless services creates a pressing need for compact and low cost RF transceivers. Modern sub-micron technologies provide the active components needed for miniaturization but fail to deliver high quality passives needed in oscillators and filters. This dissertation demonstrates procedures for adding high quality inductors and tunable capacitors to a standard silicon integrated circuits. Several voltage-controlled oscillators operating in the low Giga-Hertz range demonstrate the suitability of these components for high performance RF building blocks. Two low-temperature processes are described to add inductors and capacitors to silicon ICs. A 3-D coil geometry is used for the inductors rather than the conventional planar spiral to substantially reduce substrate loss and hence improve the quality factor and self-resonant frequency. Measured Q-factors at 1 GHz are 30 for a 4.8 nH device, 16 for 8.2 nH and 13.8 nH inductors. Several enhancements are proposed that are expected to result in a further improvement of the achievable Q-factor. This research investigates the design and fabrication of silicon-based IC-compatible high-Q tunable capacitors and inductors. The goal of this investigation is to develop a monolithic low phase noise radio-frequency voltage-controlled oscillator using these high-performance passive components for wireless communication applications. Monolithic VCOs will help the miniaturization of current radio transceivers, which offers a potential solution to achieve a single hand-held wireless phone with multistandard capabilities. IC-compatible micromachining fabrication technologies have been developed to realize on-chip high-Q RF tunable capacitors and 3-D coil inductors. The capacitors achieve a nominal capacitance value of 2 pF and can be tuned over 15% with 3 V. A quality factor over 60 has been measured at 1 GHz. 3-D coil inductors obtain values of 4.8 nH, 8.2 nH and 13.8 nH. At 1 GHz a Q factor of 30 has been achieved

  13. Polymer micromold and fabrication process

    DOEpatents

    Lee, A.P.; Northrup, M.A.; Ahre, P.E.; Dupuy, P.C.

    1997-08-19

    A mold assembly is disclosed with micro-sized features in which the hollow portion thereof is fabricated from a sacrificial mandrel which is surface treated and then coated to form an outer shell. The sacrificial mandrel is then selectively etched away leaving the outer shell as the final product. The sacrificial mandrel is fabricated by a precision lathe, for example, so that when removed by etching the inner or hollow area has diameters as small as 10`s of micros ({micro}m). Varying the inside diameter contours of the mold can be accomplished with specified ramping slopes formed on the outer surface of the sacrificial mandrel, with the inside or hollow section being, for example, 275 {micro}m in length up to 150 {micro}m in diameter within a 6 mm outside diameter (o.d.) mold assembly. The mold assembly itself can serve as a micronozzle or microneedle, and plastic parts, such as microballoons for angioplasty, polymer microparts, and microactuators, etc., may be formed within the mold assembly. 6 figs.

  14. Fabrication of superhydrophobic cotton fabrics using crosslinking polymerization method

    NASA Astrophysics Data System (ADS)

    Jiang, Bin; Chen, Zhenxing; Sun, Yongli; Yang, Huawei; Zhang, Hongjie; Dou, Haozhen; Zhang, Luhong

    2018-05-01

    With the aim of removing and recycling oil and organic solvent from water, a facile and low-cost crosslinking polymerization method was first applied on surface modification of cotton fabrics for water/oil separation. Micro-nano hierarchical rough structure was constructed by triethylenetetramine (TETA) and trimesoyl chloride (TMC) that formed a polymeric layer on the surface of the fabric and anchored Al2O3 nanoparticles firmly between the fabric surface and the polymer layer. Superhydrophobic property was further obtained through self-assembly grafting of hydrophobic groups on the rough surface. The as-prepared cotton fabric exhibited superoleophilicity in atmosphere and superhydrophobicity both in atmosphere and under oil with the water contact angle of 153° and 152° respectively. Water/oil separation test showed that the as-prepared cotton fabric can handle with various oil-water mixtures with a high separation efficiency over 99%. More importantly, the separation efficiency remained above 98% over 20 cycles of reusing without losing its superhydrophobicity which demonstrated excellent reusability in oil/water separation process. Moreover, the as-prepared cotton fabric possessed good contamination resistance ability and self-cleaning property. Simulation washing process test showed the superhydrophobic cotton fabric maintained high value of water contact angle above 150° after 100 times washing, indicating great stability and durability. In summary, this work provides a brand-new way to surface modification of cotton fabric and makes it a promising candidate material for oil/water separation.

  15. Piezoelectric Sol-Gel Composite Film Fabrication by Stencil Printing.

    PubMed

    Kaneko, Tsukasa; Iwata, Kazuki; Kobayashi, Makiko

    2015-09-01

    Piezoelectric films using sol-gel composites could be useful as ultrasonic transducers in various industrial fields. For sol-gel composite film fabrication, the spray coating technique has been used often because of its adaptability for various substrates. However, the spray technique requires multiple spray coating processes and heating processes and this is an issue of concern, especially for on-site fabrication in controlled areas. Stencil printing has been developed to solve this issue because this method can be used to fabricate thick sol-gel composite films with one coating process. In this study, PbTiO3 (PT)/Pb(Zr,Ti)O3 (PZT) films, PZT/PZT films, and Bi4Ti3O12 (BiT)/PZT films were fabricated by stencil printing, and PT/ PZT films were also fabricated using the spray technique. After fabrication, a thermal cycle test was performed for the samples to compare their ultrasonic performance. The sensitivity and signal-to-noise-ratio (SNR) of the ultrasonic response of PT/PZT fabricated by stencil printing were equivalent to those of PT/PZT fabricated by the spray technique, and better than those of other samples between room temperature and 300°C. Therefore, PT/PZT films fabricated by stencil printing could be a good candidate for nondestructive testing (NDT) ultrasonic transducers from room temperature to 300°C.

  16. Fabrication of light water reactor tritium targets

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pilger, J.P.

    1991-11-01

    The mission of the Fabrication Development Task of the Tritium Target Development Project is: to produce a documented technology basis, including specifications and procedures for target rod fabrication; to demonstrate that light water tritium targets can be manufactured at a rate consistent with tritium production requirements; and to develop quality control methods to evaluate target rod components and assemblies, and establish correlations between evaluated characteristics and target rod performance. Many of the target rod components: cladding tubes, end caps, plenum springs, etc., have similar counterparts in LWR fuel rods. High production rate manufacture and inspection of these components has beenmore » adequately demonstrated by nuclear fuel rod manufacturers. This summary describes the more non-conventional manufacturing processes and inspection techniques developed to fabricate target rod components whose manufacturability at required production rates had not been previously demonstrated.« less

  17. Developing Fabrication Technologies to Provide On Demand Manufacturing for Exploration of the Moon and Mars

    NASA Technical Reports Server (NTRS)

    Hammond, Monica S.; Good, James E.; Gilley, Scott D.; Howard, Richard W.

    2006-01-01

    NASA's human exploration initiative poses great opportunity and risk for manned and robotic missions to the Moon, Mars, and beyond. Engineers and scientists at the Marshall Space Flight Center (MSFC) are developing technologies for in situ fabrication capabilities during lunar and Martian surface operations utilizing provisioned and locally refined materials. Current fabrication technologies must be advanced to support the special demands and applications of the space exploration initiative such as power, weight and volume constraints. In Situ Fabrication and Repair (ISFR) will advance state-of-the-art technologies in support of habitat structure development, tools, and mechanical part fabrication. The repair and replacement of space mission components, such as life support items or crew exercise equipment, fall within the ISFR scope. This paper will address current fabrication technologies relative to meeting ISFR targeted capabilities, near-term advancement goals, and systematic evaluation of various fabrication methods.

  18. Functional Circuitry on Commercial Fabric via Textile-Compatible Nanoscale Film Coating Process for Fibertronics.

    PubMed

    Bae, Hagyoul; Jang, Byung Chul; Park, Hongkeun; Jung, Soo-Ho; Lee, Hye Moon; Park, Jun-Young; Jeon, Seung-Bae; Son, Gyeongho; Tcho, Il-Woong; Yu, Kyoungsik; Im, Sung Gap; Choi, Sung-Yool; Choi, Yang-Kyu

    2017-10-11

    Fabric-based electronic textiles (e-textiles) are the fundamental components of wearable electronic systems, which can provide convenient hand-free access to computer and electronics applications. However, e-textile technologies presently face significant technical challenges. These challenges include difficulties of fabrication due to the delicate nature of the materials, and limited operating time, a consequence of the conventional normally on computing architecture, with volatile power-hungry electronic components, and modest battery storage. Here, we report a novel poly(ethylene glycol dimethacrylate) (pEGDMA)-textile memristive nonvolatile logic-in-memory circuit, enabling normally off computing, that can overcome those challenges. To form the metal electrode and resistive switching layer, strands of cotton yarn were coated with aluminum (Al) using a solution dip coating method, and the pEGDMA was conformally applied using an initiated chemical vapor deposition process. The intersection of two Al/pEGDMA coated yarns becomes a unit memristor in the lattice structure. The pEGDMA-Textile Memristor (ETM), a form of crossbar array, was interwoven using a grid of Al/pEGDMA coated yarns and untreated yarns. The former were employed in the active memristor and the latter suppressed cell-to-cell disturbance. We experimentally demonstrated for the first time that the basic Boolean functions, including a half adder as well as NOT, NOR, OR, AND, and NAND logic gates, are successfully implemented with the ETM crossbar array on a fabric substrate. This research may represent a breakthrough development for practical wearable and smart fibertronics.

  19. Wrapping process for fabrication of A-15 superconducting composite wires

    DOEpatents

    Suenaga, M.; Klamut, C.J.; Luhman, T.S.

    1980-08-15

    A method for fabricating superconducting wires wherein a billet of copper containing filaments of niobium or vanadium is rolled to form a strip which is wrapped about a tin-alloy core to form a composite. The alloy is a tin-copper alloy for niobium filaments and a gallium-copper alloy for vanadium filaments. The composite is then drawn down to a desired wire size and heat treated. During the heat treatment process, the tin in the bronze reacts with the niobium to form the superconductor niobium tin. In the case where vanadium is used, the gallium in the gallium bronze reacts with the vanadium to form the superconductor vanadium gallium. This new process eliminates the costly annealing steps, external tin plating and drilling of bronze ingots required in a number of prior art processes.

  20. Development of a Spectra Fabric PASGT-Type Personnel Helmet

    DTIC Science & Technology

    2015-06-01

    ABSTRACT This report documents an effort that took place from October 1987 to September 1989 by AlliedSignal Inc. to develop a resin prepreg ...PASGT) helmet, but with at least 1/3 weight reduction utilizing Spectra® woven fabric prepreg . During the performance period, Allied evaluated

  1. Fabrication of Bioceramic Bone Scaffolds for Tissue Engineering

    NASA Astrophysics Data System (ADS)

    Liu, Fwu-Hsing

    2014-10-01

    In this study, microhydroxyapatite and nanosilica sol were used as the raw materials for fabrication of bioceramic bone scaffold using selective laser sintering technology in a self-developed 3D Printing apparatus. When the fluidity of ceramic slurry is matched with suitable laser processing parameters, a controlled pore size of porous bone scaffold can be fabricated under a lower laser energy. Results shown that the fabricated scaffolds have a bending strength of 14.1 MPa, a compressive strength of 24 MPa, a surface roughness of 725 nm, a pore size of 750 μm, an apparent porosity of 32%, and a optical density of 1.8. Results indicate that the mechanical strength of the scaffold can be improved after heat treatment at 1200 °C for 2 h, while simultaneously increasing surface roughness conducive to osteoprogenitor cell adhesion. MTT method and SEM observations confirmed that bone scaffolds fabricated under the optimal manufacturing process possess suitable biocompatibility and mechanical properties, allowing smooth adhesion and proliferation of osteoblast-like cells. Therefore, they have great potential for development in the field of tissue engineering.

  2. A Module Experimental Process System Development Unit (MEPSDU)

    NASA Technical Reports Server (NTRS)

    1981-01-01

    The purpose of this program is to demonstrate the technical readiness of a cost effective process sequence that has the potential for the production of flat plate photovoltaic modules which met the price goal in 1986 of $.70 or less per watt peak. Program efforts included: preliminary design review, preliminary cell fabrication using the proposed process sequence, verification of sandblasting back cleanup, study of resist parameters, evaluation of pull strength of the proposed metallization, measurement of contact resistance of Electroless Ni contacts, optimization of process parameter, design of the MEPSDU module, identification and testing of insulator tapes, development of a lamination process sequence, identification, discussions, demonstrations and visits with candidate equipment vendors, evaluation of proposals for tabbing and stringing machine.

  3. A Novel Nanowire Assembly Process for the Fabrication of CO Sensor

    PubMed Central

    Cheng, Biyao; Yang, Shuming; Liu, Tao; Vazinishayan, Ali

    2018-01-01

    Nanowires have been widely studied due to their outstanding mechanical and electrical properties; however, their practical applications are limited to the lack of an effective technique for controlled assembly. In the present work, zinc oxide (ZnO) nanowire arrays were assembled via a combing process using a makeup brush and the nanodevice was fabricated. The current–voltage (I–V) and ultraviolet (UV) characteristics of the device indicate stable and repeatable electrical properties. The carbon monoxide (CO) sensing properties were tested at operating temperatures of 200, 300 and 400 °C. It was found that ZnO based sensor exhibited the highest sensitivity to CO at 300 °C due to the change of dominant oxygen species. Comparing with others result, the sensitivity of the fabricated sensor exhibits higher sensing performance. The sensing mechanism of the CO sensor is also discussed. PMID:29673203

  4. A Novel Nanowire Assembly Process for the Fabrication of CO Sensor.

    PubMed

    Cheng, Biyao; Yang, Shuming; Liu, Tao; Vazinishayan, Ali

    2018-04-17

    Nanowires have been widely studied due to their outstanding mechanical and electrical properties; however, their practical applications are limited to the lack of an effective technique for controlled assembly. In the present work, zinc oxide (ZnO) nanowire arrays were assembled via a combing process using a makeup brush and the nanodevice was fabricated. The current–voltage (I–V) and ultraviolet (UV) characteristics of the device indicate stable and repeatable electrical properties. The carbon monoxide (CO) sensing properties were tested at operating temperatures of 200, 300 and 400 °C. It was found that ZnO based sensor exhibited the highest sensitivity to CO at 300 °C due to the change of dominant oxygen species. Comparing with others result, the sensitivity of the fabricated sensor exhibits higher sensing performance. The sensing mechanism of the CO sensor is also discussed.

  5. Fabrication Processes to Generate Concentration Gradients in Polymer Solar Cell Active Layers

    PubMed Central

    Inaba, Shusei; Vohra, Varun

    2017-01-01

    Polymer solar cells (PSCs) are considered as one of the most promising low-cost alternatives for renewable energy production with devices now reaching power conversion efficiencies (PCEs) above the milestone value of 10%. These enhanced performances were achieved by developing new electron-donor (ED) and electron-acceptor (EA) materials as well as finding the adequate morphologies in either bulk heterojunction or sequentially deposited active layers. In particular, producing adequate vertical concentration gradients with higher concentrations of ED and EA close to the anode and cathode, respectively, results in an improved charge collection and consequently higher photovoltaic parameters such as the fill factor. In this review, we relate processes to generate active layers with ED–EA vertical concentration gradients. After summarizing the formation of such concentration gradients in single layer active layers through processes such as annealing or additives, we will verify that sequential deposition of multilayered active layers can be an efficient approach to remarkably increase the fill factor and PCE of PSCs. In fact, applying this challenging approach to fabricate inverted architecture PSCs has the potential to generate low-cost, high efficiency and stable devices, which may revolutionize worldwide energy demand and/or help develop next generation devices such as semi-transparent photovoltaic windows. PMID:28772878

  6. Fabrication Processes to Generate Concentration Gradients in Polymer Solar Cell Active Layers.

    PubMed

    Inaba, Shusei; Vohra, Varun

    2017-05-09

    Polymer solar cells (PSCs) are considered as one of the most promising low-cost alternatives for renewable energy production with devices now reaching power conversion efficiencies (PCEs) above the milestone value of 10%. These enhanced performances were achieved by developing new electron-donor (ED) and electron-acceptor (EA) materials as well as finding the adequate morphologies in either bulk heterojunction or sequentially deposited active layers. In particular, producing adequate vertical concentration gradients with higher concentrations of ED and EA close to the anode and cathode, respectively, results in an improved charge collection and consequently higher photovoltaic parameters such as the fill factor. In this review, we relate processes to generate active layers with ED-EA vertical concentration gradients. After summarizing the formation of such concentration gradients in single layer active layers through processes such as annealing or additives, we will verify that sequential deposition of multilayered active layers can be an efficient approach to remarkably increase the fill factor and PCE of PSCs. In fact, applying this challenging approach to fabricate inverted architecture PSCs has the potential to generate low-cost, high efficiency and stable devices, which may revolutionize worldwide energy demand and/or help develop next generation devices such as semi-transparent photovoltaic windows.

  7. Fabrication technological development of the oxide dispersion strengthened alloy MA957 for fast reactor applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    ML Hamilton; DS Gelles; RJ Lobsinger

    A significant amount of effort has been devoted to determining the properties and understanding the behavior of the alloy MA957 to define its potential usefulness as a cladding material, in the fast breeder reactor program. The numerous characterization and fabrication studies that were conducted are documented in this report. The alloy is a ferritic stainless steel developed by International Nickel Company specifically for structural reactor applications. It is strengthened by a very fine, uniformly distributed yttria dispersoid. Its fabrication involves a mechanical alloying process and subsequent extrusion, which ultimately results in a highly elongated grain structure. While the presence ofmore » the dispersoid produces a material with excellent strength, the body centered cubic structure inherent to the material coupled with the high aspect ratio that results from processing operations produces some difficulties with ductility. The alloy is very sensitive to variations in a number of processing parameters, and if the high strength is once lost during fabrication, it cannot be recovered. The microstructural evolution of the alloy under irradiation falls into two regimes. Below about 550 C, dislocation development, {alpha}{prime} precipitation and void evolution in the matrix are observed, while above about 550 C damage appears to be restricted to cavity formation within oxide particles. The thermal expansion of the alloy is very similar to that of HT9 up to the temperature where HT9 undergoes a phase transition to austenitic. Pulse magnetic welding of end caps onto MA957 tubing can be accomplished in a manner similar to that in which it is performed on HT9, although the welding parameters appear to be very sensitive to variations in the tubing that result from small changes in fabrication conditions. The tensile and stress rupture behavior of the alloy are acceptable in the unirradiated condition, being comparable to HT9 below about 700 C and exceeding those

  8. Fabricating binary optics: An overview of binary optics process technology

    NASA Technical Reports Server (NTRS)

    Stern, Margaret B.

    1993-01-01

    A review of binary optics processing technology is presented. Pattern replication techniques have been optimized to generate high-quality efficient microoptics in visible and infrared materials. High resolution optical photolithography and precision alignment is used to fabricate maximally efficient fused silica diffractive microlenses at lambda = 633 nm. The degradation in optical efficiency of four-phase-level fused silica microlenses resulting from an intentional 0.35 micron translational error has been systematically measured as a function of lens speed (F/2 - F/60). Novel processes necessary for high sag refractive IR microoptics arrays, including deep anisotropic Si-etching, planarization of deep topography and multilayer resist techniques, are described. Initial results are presented for monolithic integration of photonic and microoptic systems.

  9. Flat-plate solar array project: Experimental process system development unit for producing semiconductor-grade silicon using the silane-to-silicon process

    NASA Technical Reports Server (NTRS)

    1981-01-01

    The engineering design, fabrication, assembly, operation, economic analysis, and process support research and development for an Experimental Process System Development Unit for producing semiconductor-grade silicon using the slane-to-silicon process are reported. The design activity was completed. About 95% of purchased equipment was received. The draft of the operations manual was about 50% complete and the design of the free-space system continued. The system using silicon power transfer, melting, and shotting on a psuedocontinuous basis was demonstrated.

  10. Fabrication of PDMS architecture

    NASA Astrophysics Data System (ADS)

    Adam, Tijjani; Hashim, U.

    2017-03-01

    The study report novel, yet simple and flexible fabrication method for micro channel patterning PDMS thin mold on glass surfaces, the method allows microstructures with critical dimensions to be formed using PDMS. Micro channel production is a two-step process. First, soft photolithography methods are implemented to fabricate a reusable mold. The mold is then used to create the micro channel, which consists of SU8, PDMS and glass. The micro channel design was performed using AutoCAD and the fabrication begins by creating a replicable mold. The mold is created on a glass slide. by spin-coating speed between 500 to 1250rpm with an acceleration of 100 rpm/s for 100 and 15 second ramp up and down speed respectively. Channel flow rate based on concentration were measured by analyzing the recorded flow profiles which was collected from the high powered microscope at. 80µ, 70µm, 50µm for inlet channel 1, 2, 3 respectively the channel flow were compared for flow efficiency at different concentrations and Re. Thus, the simplicity of device structure and fabrication makes it feasible to miniaturize it for the development of point-of-care kits, facilitating its use in both clinical and non-clinical environments. With its simple geometric structure and potential for mass commercial fabrication, the device can be developed to become a portable photo detection sensor that can be use for both environmental and diagnostic application.

  11. On processing development for fabrication of fiber reinforced composite, part 2

    NASA Technical Reports Server (NTRS)

    Hou, Tan-Hung; Hou, Gene J. W.; Sheen, Jeen S.

    1989-01-01

    Fiber-reinforced composite laminates are used in many aerospace and automobile applications. The magnitudes and durations of the cure temperature and the cure pressure applied during the curing process have significant consequences for the performance of the finished product. The objective of this study is to exploit the potential of applying the optimization technique to the cure cycle design. Using the compression molding of a filled polyester sheet molding compound (SMC) as an example, a unified Computer Aided Design (CAD) methodology, consisting of three uncoupled modules, (i.e., optimization, analysis and sensitivity calculations), is developed to systematically generate optimal cure cycle designs. Various optimization formulations for the cure cycle design are investigated. The uniformities in the distributions of the temperature and the degree with those resulting from conventional isothermal processing conditions with pre-warmed platens. Recommendations with regards to further research in the computerization of the cure cycle design are also addressed.

  12. Design of fabric preforms for double diaphragm forming

    NASA Technical Reports Server (NTRS)

    Luby, Steven; Bernardon, Edward

    1992-01-01

    Resin Transfer Molding (RTM) has the potential of becoming one of the most cost effective ways of producing composite structures since the raw materials used, resin and dry fabric, are less costly than prepregs. Unfortunately these low material costs are offset by the high labor costs incurred to layup the dry fabric into 3D shapes. To reduce the layup costs, double diaphragm forming is being investigated as a potential technique for creating a complex 3D preform from a simple flat layup. As part of our effort to develop double diaphragm forming into a production capable process, we have undertaken a series of experiments to investigate the interactions between process parameters, mold geometry, fabric weave, tow size, and the quality of the formed part. The results of these tests will be used to determine the forming geometry limitations of double diaphragm forming and to characterize the formability of fabric configurations. An important part of this work was the development of methods to measure and analyze fiber orientations, deformation angles, tow spreading, and shape conformation of the formed parts. This paper will describe the methods used to mark plies, the double diaphragm forming process, the techniques used to measure the formed parts, and the calculation of the parameters of interest. The results can be displayed as 3D contour plots. These experimental results have also been used to verify and improve a computer model which simulates the draping of fabrics over 3D mold shapes.

  13. Fabrication of polydimethylsiloxane (PDMS) - based multielectrode array for neural interface.

    PubMed

    Kim, Jun-Min; Oh, Da-Rong; Sanchez, Joaquin; Kim, Shang-Hyub; Seo, Jong-Mo

    2013-01-01

    Flexible multielectrode arrays (MEAs) are being developed with various materials, and polyimide has been widely used due to the conveniece of process. Polyimide is developed in the form of photoresist. And this enable precise and reproducible fabrication. PDMS is another good candidate for MEA base material, but it has poor surface energy and etching property. In this paper, we proposed a better fabrication process that could modify PDMS surface for a long time and open the site of electrode and pad efficiently without PDMS etching.

  14. Solution-Processed Metal Coating to Nonwoven Fabrics for Wearable Rechargeable Batteries.

    PubMed

    Lee, Kyulin; Choi, Jin Hyeok; Lee, Hye Moon; Kim, Ki Jae; Choi, Jang Wook

    2017-12-27

    Wearable rechargeable batteries require electrode platforms that can withstand various physical motions, such as bending, folding, and twisting. To this end, conductive textiles and paper have been highlighted, as their porous structures can accommodate the stress built during various physical motions. However, fabrics with plain weaves or knit structures have been mostly adopted without exploration of nonwoven counterparts. Also, the integration of conductive materials, such as carbon or metal nanomaterials, to achieve sufficient conductivity as current collectors is not well-aligned with large-scale processing in terms of cost and quality control. Here, the superiority of nonwoven fabrics is reported in electrochemical performance and bending capability compared to currently dominant woven counterparts, due to smooth morphology near the fiber intersections and the homogeneous distribution of fibers. Moreover, solution-processed electroless deposition of aluminum and nickel-copper composite is adopted for cathodes and anodes, respectively, demonstrating the large-scale feasibility of conductive nonwoven platforms for wearable rechargeable batteries. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Development, Fabrication, and Testing of Inverter Power System for Metroliner

    DOT National Transportation Integrated Search

    1979-11-01

    This report documents the development and subsequent fabrication of a solid state auxiliary power conditioning unit (APCU) for the upgraded Metroliner. The APCU is an inverter of the pulse width modulated type having multiple parallel transistors in ...

  16. Fabrication and characterization of resonant SOI micromechanical silicon sensors based on DRIE micromachining, freestanding release process and silicon direct bonding

    NASA Astrophysics Data System (ADS)

    Gigan, Olivier; Chen, Hua; Robert, Olivier; Renard, Stephane; Marty, Frederic

    2002-11-01

    This paper is dedicated to the fabrication and technological aspect of a silicon microresonator sensor. The entire project includes the fabrication processes, the system modelling/simulation, and the electronic interface. The mechanical model of such resonator is presented including description of frequency stability and Hysterises behaviour of the electrostatically driven resonator. Numeric model and FEM simulations are used to simulate the system dynamic behaviour. The complete fabrication process is based on standard microelectronics technology with specific MEMS technological steps. The key steps are described: micromachining on SOI by Deep Reactive Ion Etching (DRIE), specific release processes to prevent sticking (resist and HF-vapour release process) and collective vacuum encapsulation by Silicon Direct Bonding (SDB). The complete process has been validated and prototypes have been fabricated. The ASIC was designed to interface the sensor and to control the vibration amplitude. This electronic was simulated and designed to work up to 200°C and implemented in a standard 0.6μ CMOS technology. Characterizations of sensor prototypes are done both mechanically and electrostatically. These measurements showed good agreements with theory and FEM simulations.

  17. Processing and fabrication of mixed uranium/refractory metal carbide fuels with liquid-phase sintering

    NASA Astrophysics Data System (ADS)

    Knight, Travis W.; Anghaie, Samim

    2002-11-01

    Optimization of powder processing techniques were sought for the fabrication of single-phase, solid-solution mixed uranium/refractory metal carbide nuclear fuels - namely (U, Zr, Nb)C. These advanced, ultra-high temperature nuclear fuels have great potential for improved performance over graphite matrix, dispersed fuels tested in the Rover/NERVA program of the 1960s and early 1970s. Hypostoichiometric fuel samples with carbon-to-metal ratios of 0.98, uranium metal mole fractions of 5% and 10%, and porosities less than 5% were fabricated. These qualities should provide for the longest life and highest performance capability for these fuels. Study and optimization of processing methods were necessary to provide the quality assurance of samples for meaningful testing and assessment of performance for nuclear thermal propulsion applications. The processing parameters and benefits of enhanced sintering by uranium carbide liquid-phase sintering were established for the rapid and effective consolidation and formation of a solid-solution mixed carbide nuclear fuel.

  18. Evaluation of Three Different Processing Techniques in the Fabrication of Complete Dentures.

    PubMed

    Chintalacheruvu, Vamsi Krishna; Balraj, Rajasekaran Uttukuli; Putchala, Lavanya Sireesha; Pachalla, Sreelekha

    2017-06-01

    The objective of the present study is to compare the effectiveness of three different processing techniques and to find out the accuracy of processing techniques through number of occlusal interferences and increase in vertical dimension after denture processing. A cross-sectional study was conducted on a sample of 18 patients indicated for complete denture fabrication was selected for the study and they were divided into three subgroups. Three processing techniques, compression molding and injection molding using prepolymerized resin and unpolymerized resin, were used to fabricate dentures for each of the groups. After processing, laboratory-remounted dentures were evaluated for number of occlusal interferences in centric and eccentric relations and change in vertical dimension through vertical pin rise in articulator. Data were analyzed using statistical test ANOVA and SPSS software version 19.0 by IBM was used. Data obtained from three groups were subjected to one-way ANOVA test. After ANOVA test, results with significant variations were subjected to post hoc test. Number of occlusal interferences with compression molding technique was reported to be more in both centric and eccentric positions as compared to the two injection molding techniques with statistical significance in centric, protrusive, right lateral nonworking, and left lateral working positions ( P < 0.05). Mean vertical pin rise (0.52 mm) was reported to more in compression molding technique as compared to injection molding techniques, which is statistically significant ( P < 0.001). Within the limitations of this study, injection molding techniques exhibited less processing errors as compared to compression molding technique with statistical significance. There was no statistically significant difference in processing errors reported within two injection molding systems.

  19. Stretchable V2O5/PEDOT supercapacitors: a modular fabrication process and charging with triboelectric nanogenerators.

    PubMed

    Qi, Ruijie; Nie, Jinhui; Liu, Mingyang; Xia, Mengyang; Lu, Xianmao

    2018-04-26

    Stretchable energy storage devices are of great importance for the viable applications of wearable/stretchable electronics. Studies on stretchable energy storage devices, especially supercapacitors (SCs), have shown encouraging progress. However, challenges still remain in the pursuit of high specific capacitances and facile fabrication methods. Herein, we report a modular materials fabrication and assembly process for stretchable SCs. With a V2O5/PEDOT composite as the active material, the resulting stretchable SCs exhibited high areal specific capacitances up to 240 mF cm-2 and good capacitance retention at a strain of 50%. To demonstrate the facile assembly process, a stretchable wristband was fabricated by simply assembling SC cells in series to deliver a voltage higher than 2 V. Charging the wristband with a triboelectric nanogenerator (TENG) to light an LED was further demonstrated, indicating the potential to integrate our SCs with environmental energy harvesters for self-powered stretchable devices.

  20. Olivine and spinel fabric development in lineated peridotites

    NASA Astrophysics Data System (ADS)

    German, Lindsey; Newman, Julie; Chatzaras, Vasileios; Kruckenberg, Seth; Stewart, Eric; Tikoff, Basil

    2016-04-01

    Investigation of olivine and spinel fabrics in lineated harzburgites from the Red Hills peridotite massif, New Zealand, reveals that the spinel grain population records the same orientation of the principal finite strain axes as olivine grains, however, olivine grains generally record stronger fabric anisotropy. Further, olivine crystallographic preferred orientation (CPO) reflects the constrictional kinematic context of these rocks. In these harzburgites, deformed at ~1200 °C and >6 kbar, spinel grains are variably oriented and display weak to no CPO. Shape fabric in spinels, determined using X-ray computed tomography (XRCT) indicates a range of geometries (L>S, L=S and Lfabric) to +0.55 (oblate fabric). Olivine grains (mean diameter: 0.13 - 0.27 mm) exhibit evidence for dislocation creep, including subgrains, undulose extinction and a strong shape preferred orientation, with long axes parallel or subparallel to the mean spinel long axis orientation derived from XRCT. Olivine fabric analyses, carried out using Image SXM on grain traces from optical photomicrographs of two mutually perpendicular thin sections from each sample, yield moderately to strongly prolate fabrics (L>S tectonites) for olivine in all samples. CPO, plotted with respect to lineation and foliation as defined by XRCT analyses of spinel grains, is characterized by [100] maxima parallel or subparallel to the lineation; [010] and [001] form girdles perpendicular to the lineation, consistent with the D-type CPO for olivine. Olivine CPO is typically interpreted in the context of deformation conditions (e.g., temperature, stress) based on experimental studies. However, the D-type CPO for olivine is generally associated with deformation at relatively lower temperatures than suggested by the mineral compositions in these rocks. Our data suggest that olivine CPO may not only respond to deformation conditions, but may be controlled by the

  1. Fabrication of a Flexible Amperometric Glucose Sensor Using Additive Processes

    PubMed Central

    Du, Xiaosong; Durgan, Christopher J.; Matthews, David J.; Motley, Joshua R.; Tan, Xuebin; Pholsena, Kovit; Árnadóttir, Líney; Castle, Jessica R.; Jacobs, Peter G.; Cargill, Robert S.; Ward, W. Kenneth; Conley, John F.; Herman, Gregory S.

    2015-01-01

    This study details the use of printing and other additive processes to fabricate a novel amperometric glucose sensor. The sensor was fabricated using a Au coated 12.7 μm thick polyimide substrate as a starting material, where micro-contact printing, electrochemical plating, chloridization, electrohydrodynamic jet (e-jet) printing, and spin coating were used to pattern, deposit, chloridize, print, and coat functional materials, respectively. We have found that e-jet printing was effective for the deposition and patterning of glucose oxidase inks with lateral feature sizes between ~5 to 1000 μm in width, and that the glucose oxidase was still active after printing. The thickness of the permselective layer was optimized to obtain a linear response for glucose concentrations up to 32 mM and no response to acetaminophen, a common interfering compound, was observed. The use of such thin polyimide substrates allow wrapping of the sensors around catheters with high radius of curvature ~250 μm, where additive and microfabrication methods may allow significant cost reductions. PMID:26634186

  2. Experiment and simulation of the fabrication process of lithium-ion battery cathodes for determining microstructure and mechanical properties

    NASA Astrophysics Data System (ADS)

    Forouzan, Mehdi M.; Chao, Chien-Wei; Bustamante, Danilo; Mazzeo, Brian A.; Wheeler, Dean R.

    2016-04-01

    The fabrication process of Li-ion battery electrodes plays a prominent role in the microstructure and corresponding cell performance. Here, a mesoscale particle dynamics simulation is developed to relate the manufacturing process of a cathode containing Toda NCM-523 active material to physical and structural properties of the dried film. Particle interactions are simulated with shifted-force Lennard-Jones and granular Hertzian functions. LAMMPS, a freely available particle simulator, is used to generate particle trajectories and resulting predicted properties. To make simulations of the full film thickness feasible, the carbon binder domain (CBD) is approximated with μm-scale particles, each representing about 1000 carbon black particles and associated binder. Metrics for model parameterization and validation are measured experimentally and include the following: slurry viscosity, elasticity of the dried film, shrinkage ratio during drying, volume fraction of phases, slurry and dried film densities, and microstructure cross sections. Simulation results are in substantial agreement with experiment, showing that the simulations reasonably reproduce the relevant physics of particle arrangement during fabrication.

  3. Technology development of fabrication techniques for advanced solar dynamic concentrators

    NASA Technical Reports Server (NTRS)

    Richter, Scott W.

    1991-01-01

    The objective of the advanced concentrator program is to develop the technology that will lead to lightweight, highly reflective, accurate, scaleable, and long lived space solar dynamic concentrators. The advanced concentrator program encompasses new and innovative concepts, fabrication techniques, materials selection, and simulated space environmental testing. Fabrication techniques include methods of fabricating the substrates and coating substrate surfaces to produce high quality optical surfaces, acceptable for further coating with vapor deposited optical films. The selected materials to obtain a high quality optical surface include microsheet glass and Eccocoat EP-3 epoxy, with DC-93-500 selected as a candidate silicone adhesive and levelizing layer. The following procedures are defined: cutting, cleaning, forming, and bonding microsheet glass. Procedures are also defined for surface cleaning, and EP-3 epoxy application. The results and analyses from atomic oxygen and thermal cycling tests are used to determine the effects of orbital conditions in a space environment.

  4. Development of manufacturing processes: improved technology for ceramic engine components. Monthly report, August 1977

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Craig, D.F.; Taylor, A.J.; Weber, G.W.

    Progress is described in a research program to develop advanced tooling concepts, processing techniques, and related technology for the economical high-volume manufacture of ceramic engine components. Because of the success of the initial fabrication effort for hot pressing fully dense ceramic turbine blades to shape and/or contour, the effort has been extended to include the fabrication of more complex shapes and the evaluation of alternative pressure-assisted, high-temperature, consolidation methods.

  5. Method of fabricating a high aspect ratio microstructure

    DOEpatents

    Warren, John B.

    2003-05-06

    The present invention is for a method of fabricating a high aspect ratio, freestanding microstructure. The fabrication method modifies the exposure process for SU-8, an negative-acting, ultraviolet-sensitive photoresist used for microfabrication whereby a UV-absorbent glass substrate, chosen for complete absorption of UV radiation at 380 nanometers or less, is coated with a negative photoresist, exposed and developed according to standard practice. This UV absorbent glass enables the fabrication of cylindrical cavities in a negative photoresist microstructures that have aspect ratios of 8:1.

  6. Influence of Powder Characteristics on Processability of AlSi12 Alloy Fabricated by Selective Laser Melting

    PubMed Central

    Zherebtsov, Dmitry; Radionova, Ludmila

    2018-01-01

    Selective laser melting (SLM) is one of the additive manufacturing technologies that allows for the production of parts with complex shapes from either powder feedstock or from wires. Aluminum alloys have a great potential for use in SLM especially in automotive and aerospace fields. This paper studies the influence of starting powder characteristics on the processability of SLM fabricated AlSi12 alloy. Three different batches of gas atomized powders from different manufacturers were processed by SLM. The powders differ in particle size and its distribution, morphology and chemical composition. Cubic specimens (10 mm × 10 mm × 10 mm) were fabricated by SLM from the three different powder batches using optimized process parameters. The fabrication conditions were kept similar for the three powder batches. The influence of powder characteristics on porosity and microstructure of the obtained specimens were studied in detail. The SLM samples produced from the three different powder batches do not show any significant variations in their structural aspects. However, the microstructural aspects differ and the amount of porosity in these three specimens vary significantly. It shows that both the flowability of the powder and the apparent density have an influential role on the processability of AlSi12 SLM samples. PMID:29735932

  7. Influence of Powder Characteristics on Processability of AlSi12 Alloy Fabricated by Selective Laser Melting.

    PubMed

    Baitimerov, Rustam; Lykov, Pavel; Zherebtsov, Dmitry; Radionova, Ludmila; Shultc, Alexey; Prashanth, Konda Gokuldoss

    2018-05-07

    Selective laser melting (SLM) is one of the additive manufacturing technologies that allows for the production of parts with complex shapes from either powder feedstock or from wires. Aluminum alloys have a great potential for use in SLM especially in automotive and aerospace fields. This paper studies the influence of starting powder characteristics on the processability of SLM fabricated AlSi12 alloy. Three different batches of gas atomized powders from different manufacturers were processed by SLM. The powders differ in particle size and its distribution, morphology and chemical composition. Cubic specimens (10 mm × 10 mm × 10 mm) were fabricated by SLM from the three different powder batches using optimized process parameters. The fabrication conditions were kept similar for the three powder batches. The influence of powder characteristics on porosity and microstructure of the obtained specimens were studied in detail. The SLM samples produced from the three different powder batches do not show any significant variations in their structural aspects. However, the microstructural aspects differ and the amount of porosity in these three specimens vary significantly. It shows that both the flowability of the powder and the apparent density have an influential role on the processability of AlSi12 SLM samples.

  8. A microelectromechanical accelerometer fabricated using printed circuit processing techniques

    NASA Astrophysics Data System (ADS)

    Rogers, J. E.; Ramadoss, R.; Ozmun, P. M.; Dean, R. N.

    2008-01-01

    A microelectromechanical systems (MEMS) capacitive-type accelerometer fabricated using printed circuit processing techniques is presented. A Kapton polymide film is used as the structural layer for fabricating the MEMS accelerometer. The accelerometer proof mass along with four suspension beams is defined in the Kapton polyimide film. The proof mass is suspended above a Teflon substrate using a spacer. The deflection of the proof mass is detected using a pair of capacitive sensing electrodes. The top electrode of the accelerometer is defined on the top surface of the Kapton film. The bottom electrode is defined in the metallization on the Teflon substrate. The initial gap height is determined by the distance between the bottom electrode and the Kapton film. For an applied external acceleration (normal to the proof mass), the proof mass deflects toward or away from the fixed bottom electrode due to inertial force. This deflection causes either a decrease or increase in the air-gap height thereby either increasing or decreasing the capacitance between the top and the bottom electrodes. An example PCB MEMS accelerometer with a square proof mass of membrane area 6.4 mm × 6.4 mm is reported. The measured resonant frequency is 375 Hz and the Q-factor in air is 0.52.

  9. Flexible aerogel composite for mechanical stability and process of fabrication

    DOEpatents

    Coronado, Paul R.; Poco, John F.

    2000-01-01

    A flexible aerogel and process of fabrication. An aerogel solution is mixed with fibers in a mold and allowed to gel. The gel is then processed by supercritical extraction, or by air drying, to produce a flexible aerogel formed to the shape of the mold. The flexible aerogel has excellent thermal and acoustic properties, and can be utilized in numerous applications, such as for energy absorption, insulation (temperature and acoustic), to meet the contours of aircraft shapes, and where space is limited since an inch of aerogel is a 4-5 times better insulator than an inch of fiberglass. The flexible aerogel may be of an inorganic (silica) type or an organic (carbon) type, but containing fibers, such as glass or carbon fibers.

  10. Flexible aerogel composite for mechanical stability and process of fabrication

    DOEpatents

    Coronado, Paul R.; Poco, John F.

    1999-01-01

    A flexible aerogel and process of fabrication. An aerogel solution is mixed with fibers in a mold and allowed to gel. The gel is then processed by supercritical extraction, or by air drying, to produce a flexible aerogel formed to the shape of the mold. The flexible aerogel has excellent thermal and acoustic properties, and can be utilized in numerous applications, such as for energy absorption, insulation (temperature and acoustic), to meet the contours of aircraft shapes, and where space is limited since an inch of aerogel is a 4-5 times better insulator than an inch of fiberglass. The flexible aerogel may be of an inorganic (silica) type or an organic (carbon) type, but containing fibers, such as glass or carbon fibers.

  11. Finite Element Simulation of Compression Molding of Woven Fabric Carbon Fiber/Epoxy Composites: Part I Material Model Development

    DOE PAGES

    Li, Yang; Zhao, Qiangsheng; Mirdamadi, Mansour; ...

    2016-01-06

    Woven fabric carbon fiber/epoxy composites made through compression molding are one of the promising choices of material for the vehicle light-weighting strategy. Previous studies have shown that the processing conditions can have substantial influence on the performance of this type of the material. Therefore the optimization of the compression molding process is of great importance to the manufacturing practice. An efficient way to achieve the optimized design of this process would be through conducting finite element (FE) simulations of compression molding for woven fabric carbon fiber/epoxy composites. However, performing such simulation remains a challenging task for FE as multiple typesmore » of physics are involved during the compression molding process, including the epoxy resin curing and the complex mechanical behavior of woven fabric structure. In the present study, the FE simulation of the compression molding process of resin based woven fabric composites at continuum level is conducted, which is enabled by the implementation of an integrated material modeling methodology in LS-Dyna. Specifically, the chemo-thermo-mechanical problem of compression molding is solved through the coupling of three material models, i.e., one thermal model for temperature history in the resin, one mechanical model to update the curing-dependent properties of the resin and another mechanical model to simulate the behavior of the woven fabric composites. Preliminary simulations of the carbon fiber/epoxy woven fabric composites in LS-Dyna are presented as a demonstration, while validations and models with real part geometry are planned in the future work.« less

  12. Novel Bonding Process for CBW Protective Electrospun Fabric Laminates Phase 2

    DTIC Science & Technology

    2011-12-01

    ir Fl ow R es is ta nc e (1 /m ) F-3742 Figure 3. Summary chart of the breathability and permeability of several fabrics tested at the U.S. Army... dryer deposits due to faster solvent evaporation during the deposition process. We contacted Noveon (manufacturer of Estane) and they indicated...expected, the increase in concentration of THF resulted in dryer deposits. However, at these levels of higher vapor pressure solvent blends, the Taylor

  13. Development of Fabric-Based Chemical Gas Sensors for Use as Wearable Electronic Noses

    PubMed Central

    Seesaard, Thara; Lorwongtragool, Panida; Kerdcharoen, Teerakiat

    2015-01-01

    Novel gas sensors embroidered into fabric substrates based on polymers/ SWNT-COOH nanocomposites were proposed in this paper, aiming for their use as a wearable electronic nose (e-nose). The fabric-based chemical gas sensors were fabricated by two main processes: drop coating and embroidery. Four potential polymers (PVC, cumene-PSMA, PSE and PVP)/functionalized-SWCNT sensing materials were deposited onto interdigitated electrodes previously prepared by embroidering conductive thread on a fabric substrate to make an optimal set of sensors. After preliminary trials of the obtained sensors, it was found that the sensors yielded a electrical resistance in the region of a few kilo-Ohms. The sensors were tested with various volatile compounds such as ammonium hydroxide, ethanol, pyridine, triethylamine, methanol and acetone, which are commonly found in the wastes released from the human body. These sensors were used to detect and discriminate between the body odors of different regions and exist in various forms such as the urine, armpit and exhaled breath odor. Based on a simple pattern recognition technique, we have shown that the proposed fabric-based chemical gas sensors can discriminate the human body odor from two persons. PMID:25602265

  14. Development of fabric-based chemical gas sensors for use as wearable electronic noses.

    PubMed

    Seesaard, Thara; Lorwongtragool, Panida; Kerdcharoen, Teerakiat

    2015-01-16

    Novel gas sensors embroidered into fabric substrates based on polymers/ SWNT-COOH nanocomposites were proposed in this paper, aiming for their use as a wearable electronic nose (e-nose). The fabric-based chemical gas sensors were fabricated by two main processes: drop coating and embroidery. Four potential polymers (PVC, cumene-PSMA, PSE and PVP)/functionalized-SWCNT sensing materials were deposited onto interdigitated electrodes previously prepared by embroidering conductive thread on a fabric substrate to make an optimal set of sensors. After preliminary trials of the obtained sensors, it was found that the sensors yielded a electrical resistance in the region of a few kilo-Ohms. The sensors were tested with various volatile compounds such as ammonium hydroxide, ethanol, pyridine, triethylamine, methanol and acetone, which are commonly found in the wastes released from the human body. These sensors were used to detect and discriminate between the body odors of different regions and exist in various forms such as the urine, armpit and exhaled breath odor. Based on a simple pattern recognition technique, we have shown that the proposed fabric-based chemical gas sensors can discriminate the human body odor from two persons.

  15. Fabrication of silicon-based shape memory alloy micro-actuators

    NASA Technical Reports Server (NTRS)

    Johnson, A. David; Busch, John D.; Ray, Curtis A.; Sloan, Charles L.

    1992-01-01

    Thin film shape memory alloy has been integrated with silicon in a new actuation mechanism for microelectromechanical systems. This paper compares nickel-titanium film with other actuators, describes recent results of chemical milling processes developed to fabricate shape memory alloy microactuators in silicon, and describes simple actuation mechanisms which have been fabricated and tested.

  16. STATISTICAL ANALYSIS OF SNAP 10A THERMOELECTRIC CONVERTER ELEMENT PROCESS DEVELOPMENT VARIABLES

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fitch, S.H.; Morris, J.W.

    1962-12-15

    Statistical analysis, primarily analysis of variance, was applied to evaluate several factors involved in the development of suitable fabrication and processing techniques for the production of lead telluride thermoelectric elements for the SNAP 10A energy conversion system. The analysis methods are described as to their application for determining the effects of various processing steps, estabIishing the value of individual operations, and evaluating the significance of test results. The elimination of unnecessary or detrimental processing steps was accomplished and the number of required tests was substantially reduced by application of these statistical methods to the SNAP 10A production development effort. (auth)

  17. Fabrication of self-assembled photonic-crystal structures by centrifugation and spin coating

    NASA Astrophysics Data System (ADS)

    Xu, Yan; Schneider, Garrett J.; Wetzel, Eric D.; Prather, Dennis W.

    2003-11-01

    We have developed a simple, low-cost process for the fabrication of high-quality three-dimensional artificial-opal and inverse-opal photonic crystals. The process is based on the self-assembly of a template from a uniform suspension of polystyrene microspheres, which is sintered for added strength and subsequently back-filled with high-index material. The template formation is assisted by a combination of centrifugation and spin-annealing, which requires relatively short process times and inexpensive laboratory equipment. The process has been used to fabricate polycrystalline photonic crystals with photonic stop gaps in the mid-IR portion of the spectrum. Details of the fabrication process and fabricated samples will be presented. In addition, Fourier-transform IR reflection spectroscopy has been used to characterize the samples; the results are shown to be in excellent agreement with band structure diffraction calculations.

  18. Evaluation of microplastic release caused by textile washing processes of synthetic fabrics.

    PubMed

    De Falco, Francesca; Gullo, Maria Pia; Gentile, Gennaro; Di Pace, Emilia; Cocca, Mariacristina; Gelabert, Laura; Brouta-Agnésa, Marolda; Rovira, Angels; Escudero, Rosa; Villalba, Raquel; Mossotti, Raffaella; Montarsolo, Alessio; Gavignano, Sara; Tonin, Claudio; Avella, Maurizio

    2018-05-01

    A new and more alarming source of marine contamination has been recently identified in micro and nanosized plastic fragments. Microplastics are difficult to see with the naked eye and to biodegrade in marine environment, representing a problem since they can be ingested by plankton or other marine organisms, potentially entering the food web. An important source of microplastics appears to be through sewage contaminated by synthetic fibres from washing clothes. Since this phenomenon still lacks of a comprehensive analysis, the objective of this contribution was to investigate the role of washing processes of synthetic textiles on microplastic release. In particular, an analytical protocol was set up, based on the filtration of the washing water of synthetic fabrics and on the analysis of the filters by scanning electron microscopy. The quantification of the microfibre shedding from three different synthetic fabric types, woven polyester, knitted polyester, and woven polypropylene, during washing trials simulating domestic conditions, was achieved and statistically analysed. The highest release of microplastics was recorded for the wash of woven polyester and this phenomenon was correlated to the fabric characteristics. Moreover, the extent of microfibre release from woven polyester fabrics due to different detergents, washing parameters and industrial washes was evaluated. The number of microfibres released from a typical 5 kg wash load of polyester fabrics was estimated to be over 6,000,000 depending on the type of detergent used. The usage of a softener during washes reduces the number of microfibres released of more than 35%. The amount and size of the released microfibres confirm that they could not be totally retained by wastewater treatments plants, and potentially affect the aquatic environment. Copyright © 2017 Elsevier Ltd. All rights reserved.

  19. A Rapid Process for Fabricating Gas Sensors

    PubMed Central

    Hsiao, Chun-Ching; Luo, Li-Siang

    2014-01-01

    Zinc oxide (ZnO) is a low-toxicity and environmentally-friendly material applied on devices, sensors or actuators for “green” usage. A porous ZnO film deposited by a rapid process of aerosol deposition (AD) was employed as the gas-sensitive material in a CO gas sensor to reduce both manufacturing cost and time, and to further extend the AD application for a large-scale production. The relative resistance change (ΔR/R) of the ZnO gas sensor was used for gas measurement. The fabricated ZnO gas sensors were measured with operating temperatures ranging from 110 °C to 180 °C, and CO concentrations ranging from 100 ppm to 1000 ppm. The sensitivity and the response time presented good performance at increasing operating temperatures and CO concentrations. AD was successfully for applied for making ZnO gas sensors with great potential for achieving high deposition rates at low deposition temperatures, large-scale production and low cost. PMID:25010696

  20. Fabrication process scale-up and optimization for a boron-aluminum composite radiator

    NASA Technical Reports Server (NTRS)

    Okelly, K. P.

    1973-01-01

    Design approaches to a practical utilization of a boron-aluminum radiator for the space shuttle orbiter are presented. The program includes studies of laboratory composite material processes to determine the feasibility of a structural and functional composite radiator panel, and to estimate the cost of its fabrication. The objective is the incorporation of boron-aluminum modulator radiator on the space shuttle.

  1. Process Development of Porcelain Ceramic Material with Binder Jetting Process for Dental Applications

    NASA Astrophysics Data System (ADS)

    Miyanaji, Hadi; Zhang, Shanshan; Lassell, Austin; Zandinejad, Amirali; Yang, Li

    2016-03-01

    Custom ceramic structures possess significant potentials in many applications such as dentistry and aerospace where extreme environments are present. Specifically, highly customized geometries with adequate performance are needed for various dental prostheses applications. This paper demonstrates the development of process and post-process parameters for a dental porcelain ceramic material using binder jetting additive manufacturing (AM). Various process parameters such as binder amount, drying power level, drying time and powder spread speed were studied experimentally for their effect on geometrical and mechanical characteristics of green parts. In addition, the effects of sintering and printing parameters on the qualities of the densified ceramic structures were also investigated experimentally. The results provide insights into the process-property relationships for the binder jetting AM process, and some of the challenges of the process that need to be further characterized for the successful adoption of the binder jetting technology in high quality ceramic fabrications are discussed.

  2. Innovative forming and fabrication technologies : new opportunities.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Davis, B.; Hryn, J.; Energy Systems

    2008-01-31

    The advent of light metal alloys and advanced materials (polymer, composites, etc.) have brought the possibility of achieving important energy reductions into the full life cycle of these materials, especially in transportation applications. 1 These materials have gained acceptance in the aerospace industry but use of light metal alloys needs to gain wider acceptance in other commercial transportation areas. Among the main reasons for the relatively low use of these materials are the lack of manufacturability, insufficient mechanical properties, and increased material costs due to processing inefficiencies. Considering the enormous potential energy savings associated with the use of light metalmore » alloys and advanced materials in transportation, there is a need to identify R&D opportunities in the fields of materials fabrication and forming aimed at developing materials with high specific mechanical properties combined with energy efficient processes and good manufacturability. This report presents a literature review of the most recent developments in the areas of fabrication and metal forming focusing principally on aluminum alloys. In the first section of the document, the different sheet manufacturing technologies including direct chill (DC) casting and rolling, spray forming, spray rolling, thin slab, and strip casting are reviewed. The second section of the document presents recent research on advanced forming processes. The various forming processes reviewed are: superplastic forming, electromagnetic forming, age forming, warm forming, hydroforming, and incremental forming. Optimization of conventional forming processes is also discussed. Potentially interesting light metal alloys for high structural efficiency including aluminum-scandium, aluminum-lithium, magnesium, titanium, and amorphous metal alloys are also reviewed. This section concludes with a discussion on alloy development for manufacturability. The third section of the document reviews the

  3. Graphite/epoxy composite stiffened panel fabrication development

    NASA Technical Reports Server (NTRS)

    Palmer, R. J.

    1984-01-01

    This report describes the manufacturing development procedures used to fabricate a series of carbon/epoxy panels with integrally molded stiffeners. Panel size was started at 6 inches by 18 inches and one stiffener and increased to 30 inches by 60 inches and six integral stiffeners. Stiffener concepts were optimized for minimum weight (or mass) to carry stress levels from 1500 lbs/inch to 25,000 lbs/inch compression load. Designs were created and manufactured with a stiffener configuration of integrally molded hat, J, I, sine wave I, solid blade, and honeycomb blade shapes. Successful and unsuccessful detail methods of tooling, lay-up methods, and bagging methods are documented. Recommendations are made for the best state-of-the-art manufacturing technique developed for type of stiffener construction.

  4. Fabric Development in Sheared Mantle Rocks: The Source of the 'a-c' Switch

    NASA Astrophysics Data System (ADS)

    Qi, C.; Hansen, L. N.; Holtzman, B. K.; Kohlstedt, D. L.

    2014-12-01

    Researchers often invoke variations in water content, stress state, and melt distribution to account for the observed variety of olivine crystallographic preferred orientations (CPOs). Since the average direction of [100] axes directly affects seismic anisotropy, there is potential to link observed anisotropy to compositional and thermo-mechanical conditions. It is well established that the (010)[100] is the weakest slip system, and therefore thought to control CPOs, in dry olivine at P < 2 GPa. However, CPOs formed in experiments on olivine plus mid-ocean ridge basalt (MORB) reveal a fabric in which [001] axes form weak point maxima parallel to the shear direction, and [010] axes form strong point maxima perpendicular to the shear plane, indicative of (010)[001] as the weak slip system. To investigate the mechanisms that cause this change in CPO, samples fabricated from fine-grained San Carlos olivine plus MORB were deformed in torsion at T = 1200°C and P = 300 MPa. Samples with starting melt fractions of 0.01, 0.10 and 0.25 were sheared to a maximum strain of γ ≈ 13. We investigate three hypotheses. 1) The easiest slip direction changes from [100] to [001] in partially molten rocks. However, no microstructural evidence for such a change has been found. 2) With the presence of a melt phase, shape preferred orientations (SPOs) play an important role in fabric development. We test this hypothesis by examining the relationship between SPOs and CPOs as a function of strain and melt content. 3) Anisotropy in the melt distribution leads to anisotropy in grain-boundary sliding, thus preferentially favoring grain rotations necessary to produce the observed fabric. We test this hypothesis by detailed analysis of misorientations between neighboring grains. Our results will provide a crucial link between seismic anisotropy and grain-scale deformation processes.

  5. Enhanced performance of wearable piezoelectric nanogenerator fabricated by two-step hydrothermal process

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Qiu, Yu; Lei, Jixue; Yin, Bing

    2014-03-17

    A simple two-step hydrothermal process was proposed for enhancing the performance of the nanogenerator on flexible and wearable terylene-fabric substrate. With this method, a significant enhancement in output voltage of the nanogenerator from ∼10 mV to 7 V was achieved, comparing with the one by conventional one-step process. In addition, another advantage with the devices synthesized by two-step hydrothermal process was that their output voltages are only sensitive to strain rather than strain rate. The devices with a high output voltage have the ability to power common electric devices and will have important applications in flexible electronics and wearable devices.

  6. Nanoscale fabrication using single-ion impacts

    NASA Astrophysics Data System (ADS)

    Millar, Victoria; Pakes, Chris I.; Cimmino, Alberto; Brett, David; Jamieson, David N.; Prawer, Steven D.; Yang, Changyi; Rout, Bidhudutta; McKinnon, Rita P.; Dzurak, Andrew S.; Clark, Robert G.

    2001-11-01

    We describe a novel technique for the fabrication of nanoscale structures, based on the development of localized chemical modification caused in a PMMA resist by the implantation of single ions. The implantation of 2 MeV He ions through a thin layer of PMMA into an underlying silicon substrate causes latent damage in the resist. On development of the resist we demonstrate the formation within the PMMA layer of clearly defined etched holes, of typical diameter 30 nm, observed using an atomic force microscope employing a carbon nanotube SPM probe in intermittent-contact mode. This technique has significant potential applications. Used purely to register the passage of an ion, it may be a useful verification of the impact sites in an ion-beam modification process operating at the single-ion level. Furthermore, making use of the hole in the PMMA layer to perform subsequent fabrication steps, it may be applied to the fabrication of self-aligned structures in which surface features are fabricated directly above regions of an underlying substrate that are locally doped by the implanted ion. Our primary interest in single-ion resists relates to the development of a solid-state quantum computer based on an array of 31P atoms (which act as qubits) embedded with nanoscale precision in a silicon matrix. One proposal for the fabrication of such an array is by phosphorous-ion implantation. A single-ion resist would permit an accurate verification of 31P implantation sites. Subsequent metalisation of the latent damage may allow the fabrication of self-aligned metal gates above buried phosphorous atoms.

  7. Evaluation of Three Different Processing Techniques in the Fabrication of Complete Dentures

    PubMed Central

    Chintalacheruvu, Vamsi Krishna; Balraj, Rajasekaran Uttukuli; Putchala, Lavanya Sireesha; Pachalla, Sreelekha

    2017-01-01

    Aims and Objectives: The objective of the present study is to compare the effectiveness of three different processing techniques and to find out the accuracy of processing techniques through number of occlusal interferences and increase in vertical dimension after denture processing. Materials and Methods: A cross-sectional study was conducted on a sample of 18 patients indicated for complete denture fabrication was selected for the study and they were divided into three subgroups. Three processing techniques, compression molding and injection molding using prepolymerized resin and unpolymerized resin, were used to fabricate dentures for each of the groups. After processing, laboratory-remounted dentures were evaluated for number of occlusal interferences in centric and eccentric relations and change in vertical dimension through vertical pin rise in articulator. Data were analyzed using statistical test ANOVA and SPSS software version 19.0 by IBM was used. Results: Data obtained from three groups were subjected to one-way ANOVA test. After ANOVA test, results with significant variations were subjected to post hoc test. Number of occlusal interferences with compression molding technique was reported to be more in both centric and eccentric positions as compared to the two injection molding techniques with statistical significance in centric, protrusive, right lateral nonworking, and left lateral working positions (P < 0.05). Mean vertical pin rise (0.52 mm) was reported to more in compression molding technique as compared to injection molding techniques, which is statistically significant (P < 0.001). Conclusions: Within the limitations of this study, injection molding techniques exhibited less processing errors as compared to compression molding technique with statistical significance. There was no statistically significant difference in processing errors reported within two injection molding systems. PMID:28713763

  8. Fabrication and processing of high-strength densely packed carbon nanotube yarns without solution processes.

    PubMed

    Liu, Kai; Zhu, Feng; Liu, Liang; Sun, Yinghui; Fan, Shoushan; Jiang, Kaili

    2012-06-07

    Defects of carbon nanotubes, weak tube-tube interactions, and weak carbon nanotube joints are bottlenecks for obtaining high-strength carbon nanotube yarns. Some solution processes are usually required to overcome these drawbacks. Here we fabricate ultra-long and densely packed pure carbon nanotube yarns by a two-rotator twisting setup with the aid of some tensioning rods. The densely packed structure enhances the tube-tube interactions, thus making high tensile strengths of carbon nanotube yarns up to 1.6 GPa. We further use a sweeping laser to thermally treat as-produced yarns for recovering defects of carbon nanotubes and possibly welding carbon nanotube joints, which improves their Young's modulus by up to ∼70%. The spinning and laser sweeping processes are solution-free and capable of being assembled together to produce high-strength yarns continuously as desired.

  9. Multi-functional micromotor: microfluidic fabrication and water treatment application.

    PubMed

    Chen, Anqi; Ge, Xue-Hui; Chen, Jian; Zhang, Liyuan; Xu, Jian-Hong

    2017-12-05

    Micromotors are important for a wide variety of applications. Here, we develop a microfluidic approach for one-step fabrication of a Janus self-propelled micromotor with multiple functions. By fine tuning the fabrication parameters and loading functional nanoparticles, our micromotor reaches a high speed and achieves an oriented function to promote the water purification efficiency and recycling process.

  10. High-Performance Flexible Transparent Electrode with an Embedded Metal Mesh Fabricated by Cost-Effective Solution Process.

    PubMed

    Khan, Arshad; Lee, Sangeon; Jang, Taehee; Xiong, Ze; Zhang, Cuiping; Tang, Jinyao; Guo, L Jay; Li, Wen-Di

    2016-06-01

    A new structure of flexible transparent electrodes is reported, featuring a metal mesh fully embedded and mechanically anchored in a flexible substrate, and a cost-effective solution-based fabrication strategy for this new transparent electrode. The embedded nature of the metal-mesh electrodes provides a series of advantages, including surface smoothness that is crucial for device fabrication, mechanical stability under high bending stress, strong adhesion to the substrate with excellent flexibility, and favorable resistance against moisture, oxygen, and chemicals. The novel fabrication process replaces vacuum-based metal deposition with an electrodeposition process and is potentially suitable for high-throughput, large-volume, and low-cost production. In particular, this strategy enables fabrication of a high-aspect-ratio (thickness to linewidth) metal mesh, substantially improving conductivity without considerably sacrificing transparency. Various prototype flexible transparent electrodes are demonstrated with transmittance higher than 90% and sheet resistance below 1 ohm sq(-1) , as well as extremely high figures of merit up to 1.5 × 10(4) , which are among the highest reported values in recent studies. Finally using our embedded metal-mesh electrode, a flexible transparent thin-film heater is demonstrated with a low power density requirement, rapid response time, and a low operating voltage. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  11. Toward practical all-solid-state lithium-ion batteries with high energy density and safety: Comparative study for electrodes fabricated by dry- and slurry-mixing processes

    NASA Astrophysics Data System (ADS)

    Nam, Young Jin; Oh, Dae Yang; Jung, Sung Hoo; Jung, Yoon Seok

    2018-01-01

    Owing to their potential for greater safety, higher energy density, and scalable fabrication, bulk-type all-solid-state lithium-ion batteries (ASLBs) employing deformable sulfide superionic conductors are considered highly promising for applications in battery electric vehicles. While fabrication of sheet-type electrodes is imperative from the practical point of view, reports on relevant research are scarce. This might be attributable to issues that complicate the slurry-based fabrication process and/or issues with ionic contacts and percolation. In this work, we systematically investigate the electrochemical performance of conventional dry-mixed electrodes and wet-slurry fabricated electrodes for ASLBs, by varying the different fractions of solid electrolytes and the mass loading. This information calls for a need to develop well-designed electrodes with better ionic contacts and to improve the ionic conductivity of solid electrolytes. As a scalable proof-of-concept to achieve better ionic contacts, a premixing process for active materials and solid electrolytes is demonstrated to significantly improve electrochemical performance. Pouch-type 80 × 60 mm2 all-solid-state LiNi0·6Co0·2Mn0·2O2/graphite full-cells fabricated by the slurry process show high cell-based energy density (184 W h kg-1 and 432 W h L-1). For the first time, their excellent safety is also demonstrated by simple tests (cutting with scissors and heating at 110 °C).

  12. Design and technical support for development of a molded fabric space suit joint

    NASA Technical Reports Server (NTRS)

    Olson, L. Howard

    1994-01-01

    NASA Ames Research Center has under design a new joint or element for use in a space suit. The design concept involves molding a fabric to a geometry developed at Ames. Unusual characteristics of this design include the need to produce a fabric molding draw ratio on the order of thirty percent circumferentially on the surface. Previous work done at NASA on molded fabric joints has shown that standard, NASA qualified polyester fabrics as are currently available in the textile industry for use in suits have a maximum of about fifteen percent draw ratio. NASA has done the fundamental design for a prototype joint and of a mold which would impart the correct shape to the fabric support layer of the joint. NASA also has the capability to test a finished product for suitability and reliability. Responsibilities resting with Georgia Tech in the design effort for this project are textile related, namely fiber selection, fabric design to achieve the properties of the objective design, and determining production means and sources for the fabrics. The project goals are to produce a prototype joint using the NASA design for evaluation of effectiveness by NASA, and to establish the sources and specifications which would allow reliable and repeatable production of the joint.

  13. Tungsten wire/FeCrAlY matrix turbine blade fabrication study

    NASA Technical Reports Server (NTRS)

    Melnyk, P.; Fleck, J. N.

    1979-01-01

    The objective was to establish a viable FRS monotape technology base to fabricate a complex, advanced turbine blade. All elements of monotape fabrication were addressed. A new process for incorporation of the matrix, including bi-alloy matrices, was developed. Bonding, cleaning, cutting, sizing, and forming parameters were established. These monotapes were then used to fabricate a 48 ply solid JT9D-7F 1st stage turbine blade. Core technology was then developed and first a 12 ply and then a 7 ply shell hollow airfoil was fabricated. As the fabrication technology advanced, additional airfoils incorporated further elements of sophistication, by introducing in sequence bonded root blocks, cross-plying, bi-metallic matrix, tip cap, trailing edge slots, and impingement inserts.

  14. Nanosized-Particle Dispersion-Strengthened Al Matrix Composites Fabricated by the Double Mechanical Alloying Process.

    PubMed

    Kim, Chungseok

    2018-03-01

    The objective of this study was to fabricate an Al metal matrix composite strengthened by nanosized Al3Ti particles via double mechanical alloying process. Several Al-xTi alloys were fabricated, including Al-12%Ti, Al-15%Ti, and Al-12%Ti-1%Y2O3. The lattice parameter of as-milled state was calculated to be 4.0485 Å; after a milling time of 540 min, it was 4.0401 Å. This decrease was induced by Ti solutionizing into the Al matrix. The equivalent size of a coarse Al3Ti particle was 200-500 nm after the heat treatment; however, the particles were uniformly distributed and were refined through the MA2 process. The particle size of a Al3Ti phase was 30 nm or less, and the particles were uniformly distributed. These particles remained in a fine state in the matrix without growth and coarsening, even after the hot extrusion process. The microstructure of hot extruded alloys consisted of a uniform distribution of Al3Ti particles and other dispersoids in the Al matrix.

  15. Meso scale MEMS inertial switch fabricated using an electroplated metal-on-insulator process

    NASA Astrophysics Data System (ADS)

    Gerson, Y.; Schreiber, D.; Grau, H.; Krylov, S.

    2014-02-01

    In this work, we report on a novel simple yet robust two-mask metal-on-insulator (MOI) process and illustrate its implementation for the fabrication of a meso scale MEMS inertial switch. The devices were fabricated of a ˜40 µm thick layer of nickel electrodeposited on top of a 4 µm thick thermal field oxide (TOX) covering a single crystal silicon wafer. A 40 µm thick layer of KMPR® resist was used as a mold and allowed the formation of high-aspect-ratio (1:5) metal structures. The devices were released by the sacrificial etching of the TOX layer in hydrofluoric acid. The fabricated devices were mounted in a ceramic enclosure and were characterized using both an electromagnet shaker and a drop tester. The functionality of the switch, aimed to trigger an electrical circuit when subjected to an acceleration pulse with amplitude of 300 g and duration of 200 µs, was demonstrated experimentally and the performance targets were achieved. The experimental results were consistent with the model predictions obtained through finite element simulations.

  16. Foreword: Additive Manufacturing: Interrelationships of Fabrication, Constitutive Relationships Targeting Performance, and Feedback to Process Control

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Carpenter, John S.; Beese, Allison M.; Bourell, David L.

    Additive manufacturing (AM) offers distinct advantages over conventional manufacturing processes including the capability to both build and repair complex part shapes; to integrate and consolidate parts and thus overcome joining concerns; and to locally tailor material compositions as well as properties. Moreover, a variety of fields such as aerospace, military, automotive, and biomedical are employing this manufacturing technique as a way to decrease costs, increase manufacturing agility, and explore novel geometry/functionalities. In order to increase acceptance of AM as a viable processing method, pathways for qualifying both the material and the process need to be developed and, perhaps, standardized. Thismore » symposium was designed to serve as a venue for the international AM community—including government, academia, and industry—to define the fundamental interrelationships between feedstock, processing, microstructure, shape, mechanical behavior/materials properties, and function/performance. Eventually, insight into the connections between processing, microstructure, property, and performance will be achieved through experimental observations, theoretical advances, and computational modeling of physical processes. Finally, once this insight matures, AM will be able to move from the realm of making parts to making qualified materials that are certified for use with minimal need for post-fabrication characterization.« less

  17. Foreword: Additive Manufacturing: Interrelationships of Fabrication, Constitutive Relationships Targeting Performance, and Feedback to Process Control

    DOE PAGES

    Carpenter, John S.; Beese, Allison M.; Bourell, David L.; ...

    2015-06-26

    Additive manufacturing (AM) offers distinct advantages over conventional manufacturing processes including the capability to both build and repair complex part shapes; to integrate and consolidate parts and thus overcome joining concerns; and to locally tailor material compositions as well as properties. Moreover, a variety of fields such as aerospace, military, automotive, and biomedical are employing this manufacturing technique as a way to decrease costs, increase manufacturing agility, and explore novel geometry/functionalities. In order to increase acceptance of AM as a viable processing method, pathways for qualifying both the material and the process need to be developed and, perhaps, standardized. Thismore » symposium was designed to serve as a venue for the international AM community—including government, academia, and industry—to define the fundamental interrelationships between feedstock, processing, microstructure, shape, mechanical behavior/materials properties, and function/performance. Eventually, insight into the connections between processing, microstructure, property, and performance will be achieved through experimental observations, theoretical advances, and computational modeling of physical processes. Finally, once this insight matures, AM will be able to move from the realm of making parts to making qualified materials that are certified for use with minimal need for post-fabrication characterization.« less

  18. High-Thermal-Conductivity Fabrics

    NASA Technical Reports Server (NTRS)

    Chibante, L. P. Felipe

    2012-01-01

    Heat management with common textiles such as nylon and spandex is hindered by the poor thermal conductivity from the skin surface to cooling surfaces. This innovation showed marked improvement in thermal conductivity of the individual fibers and tubing, as well as components assembled from them. The problem is centered on improving the heat removal of the liquid-cooled ventilation garments (LCVGs) used by astronauts. The current design uses an extensive network of water-cooling tubes that introduces bulkiness and discomfort, and increases fatigue. Range of motion and ease of movement are affected as well. The current technology is the same as developed during the Apollo program of the 1960s. Tubing material is hand-threaded through a spandex/nylon mesh layer, in a series of loops throughout the torso and limbs such that there is close, form-fitting contact with the user. Usually, there is a nylon liner layer to improve comfort. Circulating water is chilled by an external heat exchanger (sublimator). The purpose of this innovation is to produce new LCVG components with improved thermal conductivity. This was addressed using nanocomposite engineering incorporating high-thermalconductivity nanoscale fillers in the fabric and tubing components. Specifically, carbon nanotubes were added using normal processing methods such as thermoplastic melt mixing (compounding twin screw extruder) and downstream processing (fiber spinning, tubing extrusion). Fibers were produced as yarns and woven into fabric cloths. The application of isotropic nanofillers can be modeled using a modified Nielsen Model for conductive fillers in a matrix based on Einstein s viscosity model. This is a drop-in technology with no additional equipment needed. The loading is limited by the ability to maintain adequate dispersion. Undispersed materials will plug filtering screens in processing equipment. Generally, the viscosity increases were acceptable, and allowed the filled polymers to still be

  19. Uranium nitride fuel fabrication for SP-100 reactors

    NASA Technical Reports Server (NTRS)

    Mason, Richard E.; Chidester, Kenneth M.; Hoth, Carl W.; Matthews, Bruce R.

    1987-01-01

    Fuel pins of uranium mononitride clad in Nb-1 percent Zr were fabricated for irradiation tests in EBR-II. Laboratory scale process parameters to synthesize UN powders and fabricate UN pellets were developed. Uranium mononitride was prepared by converting UO2 to UN. Fuel pellets were prepared by communition of UN briquettes, uniaxial pressing, and high temperature sintering. Techniques for machining, cleaning, and welding Nb-1 percent Zr cladding components were developed. End caps were electron beam welded to the tubing. Helium back-fill holes were sealed with a laser weld.

  20. Uranium nitride fuel fabrication for SP-100 reactors

    NASA Astrophysics Data System (ADS)

    Mason, Richard E.; Chidester, Kenneth M.; Hoth, Carl W.; Matthews, Bruce R.

    Fuel pins of uranium mononitride clad in Nb-1 percent Zr were fabricated for irradiation tests in EBR-II. Laboratory scale process parameters to synthesize UN powders and fabricate UN pellets were developed. Uranium mononitride was prepared by converting UO2 to UN. Fuel pellets were prepared by communition of UN briquettes, uniaxial pressing, and high temperature sintering. Techniques for machining, cleaning, and welding Nb-1 percent Zr cladding components were developed. End caps were electron beam welded to the tubing. Helium back-fill holes were sealed with a laser weld.

  1. Nitride Fuel Development Using Cryo-process Technique

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    O'Brien, Brandi M; Windes, William E

    A new cryo-process technique has been developed for the fabrication of advanced fuel for nuclear systems. The process uses a new cryo-processing technique whereby small, porous microspheres (<2000 µm) are formed from sub-micron oxide powder. A simple aqueous particle slurry of oxide powder is pumped through a microsphere generator consisting of a vibrating needle with controlled amplitude and frequency. As the water-based droplets are formed and pass through the microsphere generator they are frozen in a bath of liquid nitrogen and promptly vacuum freeze-dried to remove the water. The resulting porous microspheres consist of half micron sized oxide particles heldmore » together by electrostatic forces and mechanical interlocking of the particles. Oxide powder microspheres ranging from 750 µm to 2000 µm are then converted into a nitride form using a high temperature fluidized particle bed. Carbon black can be added to the oxide powder before microsphere formation to augment the carbothermic reaction during conversion to a nitride. Also, the addition of ethyl alcohol to the aqueous slurry reduces the surface tension energy of the droplets resulting in even smaller droplets forming in the microsphere generator. Initial results from this new process indicate a lower impurity contamination in the final nitrides due to the single feed stream of particles, material handling and conversion are greatly simplified, a minimum of waste and personnel exposure are anticipated, and finally the conversion kinetics may be greatly increased because of the small oxide powder size (sub-micron) forming the porous microsphere. Thus far the fabrication process has been successful in demonstrating all of these improvements with surrogate ZrO2 powder. Further tests will be conducted in the future using the technique on UO2 powders.« less

  2. FY16 Annual Accomplishments - Waste Form Development and Performance: Evaluation Of Ceramic Waste Forms - Comparison Of Hot Isostatic Pressed And Melt Processed Fabrication Methods

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Amoroso, J.; Dandeneau, C.

    FY16 efforts were focused on direct comparison of multi-phase ceramic waste forms produced via melt processing and HIP methods. Based on promising waste form compositions previously devised at SRNL, simulant material was prepared at SRNL and a portion was sent to the Australian Nuclear Science and Technology Organization (ANSTO) for HIP treatments, while the remainder of the material was melt processed at SRNL. The microstructure, phase formation, elemental speciation, and leach behavior, and radiation stability of the fabricated ceramics was performed. In addition, melt-processed ceramics designed with different fractions of hollandite, zirconolite, perovskite, and pyrochlore phases were investigated. for performancemore » and properties.« less

  3. Fabrication and Characterization of Porous MgAl2O4 Ceramics via a Novel Aqueous Gel-Casting Process

    PubMed Central

    Yuan, Lei; Liu, Zongquan; Liu, Zhenli; He, Xiao; Ma, Beiyue; Zhu, Qiang; Yu, Jingkun

    2017-01-01

    A novel and aqueous gel-casting process has been successfully developed to fabricate porous MgAl2O4 ceramics by using hydratable alumina and MgO powders as raw materials and deionized water as hydration agent. The effects of different amounts of deionized water on the hydration properties, apparent porosity, bulk density, microstructure, pore size distribution and compressive strength of the samples were investigated. The results indicated that the porosity and the microstructure of porous MgAl2O4 ceramics were governed by the amounts of deionized water added. The porous structure was formed by the liberation of physisorbed water and the decomposition of hydration products such as bayerite, brucite and boehmite. After determining the addition amounts of deionized water, the fabricated porous MgAl2O4 ceramics had a high apparent porosity (52.5–65.8%), a small average pore size structure (around 1–3 μm) and a relatively high compressive strength (12–28 MPa). The novel aqueous gel-casting process with easy access is expected to be a promising candidate for the preparation of Al2O3-based porous ceramics. PMID:29189734

  4. A thermal microprobe fabricated with wafer-stage processing

    NASA Astrophysics Data System (ADS)

    Zhang, Yongxia; Zhang, Yanwei; Blaser, Juliana; Sriram, T. S.; Enver, Ahsan; Marcus, R. B.

    1998-05-01

    A thermal microprobe has been designed and built for high resolution temperature sensing. The thermal sensor is a thin-film thermocouple junction at the tip of an atomic force microprobe (AFM) silicon probe needle. Only wafer-stage processing steps are used for the fabrication. For high resolution temperature sensing it is essential that the junction be confined to a short distance at the AFM tip. This confinement is achieved by a controlled photoresist coating process. Experiment prototypes have been made with an Au/Pd junction confined to within 0.5 μm of the tip, with the two metals separated elsewhere by a thin insulating oxide layer. Processing begins with double-polished, n-type, 4 in. diameter, 300-μm-thick silicon wafers. Atomically sharp probe tips are formed by a combination of dry and wet chemical etching, and oxidation sharpening. The metal layers are sputtering deposited and the cantilevers are released by a combination of KOH and dry etching. A resistively heated calibration device was made for temperature calibration of the thermal microprobe over the temperature range 25-110 °C. Over this range the thermal outputs of two microprobes are 4.5 and 5.6 μV/K and is linear. Thermal and topographical images are also obtained from a heated tungsten thin film fuse.

  5. Modified Powder-in-Tube Technique Based on the Consolidation Processing of Powder Materials for Fabricating Specialty Optical Fibers

    PubMed Central

    Auguste, Jean-Louis; Humbert, Georges; Leparmentier, Stéphanie; Kudinova, Maryna; Martin, Pierre-Olivier; Delaizir, Gaëlle; Schuster, Kay; Litzkendorf, Doris

    2014-01-01

    The objective of this paper is to demonstrate the interest of a consolidation process associated with the powder-in-tube technique in order to fabricate a long length of specialty optical fibers. This so-called Modified Powder-in-Tube (MPIT) process is very flexible and paves the way to multimaterial optical fiber fabrications with different core and cladding glassy materials. Another feature of this technique lies in the sintering of the preform under reducing or oxidizing atmosphere. The fabrication of such optical fibers implies different constraints that we have to deal with, namely chemical species diffusion or mechanical stress due to the mismatches between thermal expansion coefficients and working temperatures of the fiber materials. This paper focuses on preliminary results obtained with a lanthano-aluminosilicate glass used as the core material for the fabrication of all-glass fibers or specialty Photonic Crystal Fibers (PCFs). To complete the panel of original microstructures now available by the MPIT technique, we also present several optical fibers in which metallic particles or microwires are included into a silica-based matrix. PMID:28788176

  6. A highly manufacturable 0.2 {mu}m AlGaAs/InGaAs PHEMT fabricated using the single-layer integrated-metal FET (SLIMFET) process

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Havasy, C.K.; Quach, T.K.; Bozada, C.A.

    1995-12-31

    This work is the development of a single-layer integrated-metal field effect transistor (SLIMFET) process for a high performance 0.2 {mu}m AlGaAs/InGaAs pseudomorphic high electron mobility transistor (PHEMT). This process is compatible with MMIC fabrication and minimizes process variations, cycle time, and cost. This process uses non-alloyed ohmic contacts, a selective gate-recess etching process, and a single gate/source/drain metal deposition step to form both Schottky and ohmic contacts at the same time.

  7. Development of novel nanocomposite adsorbent based on potassium nickel hexacyanoferrate-loaded polypropylene fabric.

    PubMed

    Bondar, Yuliia; Kuzenko, Svetlana; Han, Do-Hung; Cho, Hyun-Kug

    2014-01-01

    A nanocomposite adsorbent based on potassium nickel hexacyanoferrate-loaded polypropylene fabric was synthesized for selective removal of Cs ions from contaminated waters by a two-stage synthesis: radiation-induced graft polymerization of acrylic acid monomer onto the nonwoven polypropylene fabric surface with subsequent in situ formation of potassium nickel hexacyanoferrate (KNiHCF) nanoparticles within the grafted chains. Data of scanning electron microscopy, X-ray diffraction, and Fourier transform infrared spectroscopy confirmed the formation of KNiHCF homogeneous phase on the fabric surface, which consisted of crystalline cubic-shaped nanoparticles (70 to 100 nm). The efficiency of the synthesized adsorbent for removal of cesium ions was evaluated under various experimental conditions. It has demonstrated a rapid adsorption process, high adsorption capacity over a wide pH range, and selectivity in Cs ion removal from model solutions with high concentration of sodium ions.

  8. Design and fabrication of MEMS devices using the integration of MUMPs, trench-refilled molding, DRIE and bulk silicon etching processes

    NASA Astrophysics Data System (ADS)

    Wu, Mingching; Fang, Weileun

    2005-03-01

    This work integrates multi-depth DRIE etching, trench-refilled molding, two poly-Si layers MUMPs and bulk releasing to improve the variety and performance of MEMS devices. In summary, the present fabrication process, named MOSBE II, has three merits. First, this process can monolithically fabricate and integrate poly-Si thin-film structures with different thicknesses and stiffnesses, such as the flexible spring and the stiff mirror plate. Second, multi-depth structures, such as vertical comb electrodes, are available from the DRIE processes. Third, a cavity under the micromachined device is provided by the bulk silicon etching process, so that a large out-of-plane motion is allowed. In application, an optical scanner driven by the self-aligned vertical comb actuator was demonstrated. The poly-Si micromachined components fabricated by MOSBE II can further integrate with the MUMPs devices to establish a more powerful MOEMS platform.

  9. Fabrication of Composite Combustion Chamber/Nozzle for Fastrac Engine

    NASA Technical Reports Server (NTRS)

    Lawerence, T.; Beshears, R.; Burlingame, S.; Peters, W.; Prince, M.; Suits, M.; Tillery, S.; Burns, L.; Kovach, M.; Roberts, K.; hide

    2000-01-01

    The Fastrac Engine developed by the Marshall Space Flight Center for the X-34 vehicle began as a low cost engine development program for a small booster system. One of the key components to reducing the engine cost was the development of an inexpensive combustion chamber/nozzle. Fabrication of a regeneratively cooled thrust chamber and nozzle was considered too expensive and time consuming. In looking for an alternate design concept, the Space Shuttle's Reusable Solid Rocket Motor Project provided an extensive background with ablative composite materials in a combustion environment. An integral combustion chamber/nozzle was designed and fabricated with a silica/phenolic ablative liner and a carbon/epoxy structural overwrap. This paper describes the fabrication process and developmental hurdles overcome for the Fastrac engine one-piece composite combustion chamber/nozzle.

  10. Fabrication of Composite Combustion Chamber/Nozzle for Fastrac Engine

    NASA Technical Reports Server (NTRS)

    Lawrence, T.; Beshears, R.; Burlingame, S.; Peters, W.; Prince, M.; Suits, M.; Tillery, S.; Burns, L.; Kovach, M.; Roberts, K.

    2001-01-01

    The Fastrac Engine developed by the Marshall Space Flight Center for the X-34 vehicle began as a low cost engine development program for a small booster system. One of the key components to reducing the engine cost was the development of an inexpensive combustion chamber/nozzle. Fabrication of a regeneratively cooled thrust chamber and nozzle was considered too expensive and time consuming. In looking for an alternate design concept, the Space Shuttle's Reusable Solid Rocket Motor Project provided an extensive background with ablative composite materials in a combustion environment. An integral combustion chamber/nozzle was designed and fabricated with a silica/phenolic ablative liner and a carbon/epoxy structural overwrap. This paper describes the fabrication process and developmental hurdles overcome for the Fastrac engine one-piece composite combustion chamber/nozzle.

  11. Fabrication of Ultra-thin Color Films with Highly Absorbing Media Using Oblique Angle Deposition.

    PubMed

    Yoo, Young Jin; Lee, Gil Ju; Jang, Kyung-In; Song, Young Min

    2017-08-29

    Ultra-thin film structures have been studied extensively for use as optical coatings, but performance and fabrication challenges remain.  We present an advanced method for fabricating ultra-thin color films with improved characteristics. The proposed process addresses several fabrication issues, including large area processing. Specifically, the protocol describes a process for fabricating ultra-thin color films using an electron beam evaporator for oblique angle deposition of germanium (Ge) and gold (Au) on silicon (Si) substrates.  Film porosity produced by the oblique angle deposition induces color changes in the ultra-thin film. The degree of color change depends on factors such as deposition angle and film thickness. Fabricated samples of the ultra-thin color films showed improved color tunability and color purity. In addition, the measured reflectance of the fabricated samples was converted into chromatic values and analyzed in terms of color. Our ultra-thin film fabricating method is expected to be used for various ultra-thin film applications such as flexible color electrodes, thin film solar cells, and optical filters. Also, the process developed here for analyzing the color of the fabricated samples is broadly useful for studying various color structures.

  12. Fabrication of Nanochannels

    PubMed Central

    Zhang, Yuqi; Kong, Xiang-Yu; Gao, Loujun; Tian, Ye; Wen, Liping; Jiang, Lei

    2015-01-01

    Nature has inspired the fabrication of intelligent devices to meet the needs of the advanced community and better understand the imitation of biology. As a biomimetic nanodevice, nanochannels/nanopores aroused increasing interest because of their potential applications in nanofluidic fields. In this review, we have summarized some recent results mainly focused on the design and fabrication of one-dimensional nanochannels, which can be made of many materials, including polymers, inorganics, biotic materials, and composite materials. These nanochannels have some properties similar to biological channels, such as selectivity, voltage-dependent current fluctuations, ionic rectification current and ionic gating, etc. Therefore, they show great potential for the fields of biosensing, filtration, and energy conversions. These advances can not only help people to understand the living processes in nature, but also inspire scientists to develop novel nanodevices with better performance for mankind. PMID:28793564

  13. Flexible and wearable electronic silk fabrics for human physiological monitoring

    NASA Astrophysics Data System (ADS)

    Mao, Cuiping; Zhang, Huihui; Lu, Zhisong

    2017-09-01

    The development of textile-based devices for human physiological monitoring has attracted tremendous interest in recent years. However, flexible physiological sensing elements based on silk fabrics have not been realized. In this paper, ZnO nanorod arrays are grown in situ on reduced graphene oxide-coated silk fabrics via a facile electro-deposition method for the fabrication of silk-fabric-based mechanical sensing devices. The data show that well-aligned ZnO nanorods with hexagonal wurtzite crystalline structures are synthesized on the conductive silk fabric surface. After magnetron sputtering of gold electrodes, silk-fabric-based devices are produced and applied to detect periodic bending and twisting. Based on the electric signals, the deformation and release processes can be easily differentiated. Human arterial pulse and respiration can also be real-time monitored to calculate the pulse rate and respiration frequency, respectively. Throat vibrations during coughing and singing are detected to demonstrate the voice recognition capability. This work may not only help develop silk-fabric-based mechanical sensing elements for potential applications in clinical diagnosis, daily healthcare monitoring and voice recognition, but also provide a versatile method for fabricating textile-based flexible electronic devices.

  14. Design and grayscale fabrication of beamfanners in a silicon substrate

    NASA Astrophysics Data System (ADS)

    Ellis, Arthur Cecil

    2001-11-01

    This dissertation addresses important first steps in the development of a grayscale fabrication process for multiple phase diffractive optical elements (DOS's) in silicon. Specifically, this process was developed through the design, fabrication, and testing of 1-2 and 1-4 beamfanner arrays for 5-micron illumination. The 1-2 beamfanner arrays serve as a test-of- concept and basic developmental step toward the construction of the 1-4 beamfanners. The beamfanners are 50 microns wide, and have features with dimensions of between 2 and 10 microns. The Iterative Annular Spectrum Approach (IASA) method, developed by Steve Mellin of UAH, and the Boundary Element Method (BEM) are the design and testing tools used to create the beamfanner profiles and predict their performance. Fabrication of the beamfanners required the techniques of grayscale photolithography and reactive ion etching (RIE). A 2-3micron feature size 1-4 silicon beamfanner array was fabricated, but the small features and contact photolithographic techniques available prevented its construction to specifications. A second and more successful attempt was made in which both 1-4 and 1-2 beamfanner arrays were fabricated with a 5-micron minimum feature size. Photolithography for the UAH array was contracted to MEMS-Optical of Huntsville, Alabama. A repeatability study was performed, using statistical techniques, of 14 photoresist arrays and the subsequent RIE process used to etch the arrays in silicon. The variance in selectivity between the 14 processes was far greater than the variance between the individual etched features within each process. Specifically, the ratio of the variance of the selectivities averaged over each of the 14 etch processes to the variance of individual feature selectivities within the processes yielded a significance level below 0.1% by F-test, indicating that good etch-to-etch process repeatability was not attained. One of the 14 arrays had feature etch-depths close enough to design

  15. Polysaccharide Fabrication Platforms and Biocompatibility Assessment as Candidate Wound Dressing Materials

    PubMed Central

    Aduba, Donald C.; Yang, Hu

    2017-01-01

    Wound dressings are critical for wound care because they provide a physical barrier between the injury site and outside environment, preventing further damage or infection. Wound dressings also manage and even encourage the wound healing process for proper recovery. Polysaccharide biopolymers are slowly becoming popular as modern wound dressings materials because they are naturally derived, highly abundant, inexpensive, absorbent, non-toxic and non-immunogenic. Polysaccharide biopolymers have also been processed into biomimetic platforms that offer a bioactive component in wound dressings that aid the healing process. This review primarily focuses on the fabrication and biocompatibility assessment of polysaccharide materials. Specifically, fabrication platforms such as electrospun fibers and hydrogels, their fabrication considerations and popular polysaccharides such as chitosan, alginate, and hyaluronic acid among emerging options such as arabinoxylan are discussed. A survey of biocompatibility and bioactive molecule release studies, leveraging polysaccharide’s naturally derived properties, is highlighted in the text, while challenges and future directions for wound dressing development using emerging fabrication techniques such as 3D bioprinting are outlined in the conclusion. This paper aims to encourage further investigation and open up new, disruptive avenues for polysaccharides in wound dressing material development. PMID:28952482

  16. Analyzing CMOS/SOS fabrication for LSI arrays

    NASA Technical Reports Server (NTRS)

    Ipri, A. C.

    1978-01-01

    Report discusses set of design rules that have been developed as result of work with test arrays. Set of optimum dimensions is given that would maximize process output and would correspondingly minimize costs in fabrication of large-scale integration (LSI) arrays.

  17. Development of Minimally Invasive Medical Tools Using Laser Processing on Cylindrical Substrates

    NASA Astrophysics Data System (ADS)

    Haga, Yoichi; Muyari, Yuta; Goto, Shoji; Matsunaga, Tadao; Esashi, Masayoshi

    This paper reports micro-fabrication techniques using laser processing on cylindrical substrates for the realization of high-performance multifunctional minimally invasive medical tools with small sizes. A spring-shaped shape memory alloy (SMA) micro-coil with a square cross section has been fabricated by spiral cutting of a Ti-Ni SMA tube with a femtosecond laser. Small diameter active bending catheter which is actuated by hydraulic suction mechanism for intravascular minimally invasive diagnostics and therapy has also been developed. The catheter is made of a Ti-Ni super elastic alloy (SEA) tube which is processed by laser micromachining and a silicone rubber tube which covers the outside of the SEA tube. The active catheter is effective for insertion in branch of blood vessel which diverse in acute angle which is difficult to proceed. Multilayer metallization and patterning have been performed on glass tubes with 2 and 3 mm external diameters using maskless lithography techniques using a laser exposure system. Using laser soldering technique, a integrated circuit parts have been mounted on a multilayer circuit patterned on a glass tube. These fabrication techniques will effective for realization of high-performance multifunctional catheters, endoscopic tools, and implanted small capsules.

  18. Sonochemical coating of textile fabrics with antibacterial nanoparticles

    NASA Astrophysics Data System (ADS)

    Beddow, Jamie; Singh, Gagandeep; Blanes, María; Molla, Korina; Perelshtein, Ilana; Gedanken, Aharon; Joyce, Eadaoin; Mason, Timothy

    2012-05-01

    The high incidence of hospital-acquired infections places a huge financial burden on our healthcare systems. These infections are also responsible for many millions of deaths each year. Antibacterial fabrics for use in medical textiles, such as hospital uniforms, bedding and wound dressings, can provide a useful weapon in the on-going fight against these infections. The aim of this EU funded Framework 7 project is to develop a pilot line sonochemical coating machine for the production of antibacterial fabrics. The sonochemical coating technology under development is based on a lab scale process that was developed at Bar-Ilan University (BIU). It involves two processes that are driven by acoustic cavitation; the in situ generation of the metal oxide (MO) nanoparticles and the simultaneous high velocity propulsion of these nanoparticles onto a fabric leading to impregnation. Here we report on a comparison of 2 different MO nanoparticle coatings, ZnO and CuO, that were sonochemically applied on to a plain cotton fabric. Both of these coatings were prepared by BIU. In this work, the antibacterial efficacy of the coated fabrics was quantitatively assessed using the absorption method from BS EN ISO 20743:2007. Both types of metal oxide nanoparticle displayed antibacterial activity against all of the test bacteria with particularly high levels of bacterial reduction observed with the CuO coating. The results presented here are from an EU Framework 7 funded project (SONO, EU Project Number: 228730). The project is a collaboration between 17 partner organizations from 10 different European countries. Further details can be found on the project website at: www.fp7-sono.eu.

  19. Out of the Autoclave Fabrication of LaRC[TradeMark] PETI-9 Polyimide Laminates

    NASA Technical Reports Server (NTRS)

    Cano, Robert J.; Jensen, Brian J.

    2013-01-01

    The NASA Langley Research Center developed polyimide system, LaRC PETI-9, has successfully been processed into composites by high temperature vacuum assisted resin transfer molding (HT-VARTM). To extend the application of this high use temperature material to other out-of-autoclave (OOA) processing techniques, the fabrication of PETI- 9 laminates was evaluated using only a vacuum bag and oven cure. A LaRC PETI-9 polyimide solution in NMP was prepared and successfully utilized to fabricate unidirectional IM7 carbon fiber prepreg that was subsequently processed into composites with a vacuum bag and oven cure OOA process. Composite panels of good quality were successfully fabricated and mechanically tested. Processing characteristics, composite panel quality and mechanical properties are presented in this work. The resultant properties are compared to previously developed LaRC material systems processed by both autoclave and OOA techniques including the well characterized, autoclave processed LaRC PETI-5.

  20. Fabrication of Mechanically Tunable and Bioactive Metal Scaffolds for Biomedical Applications

    PubMed Central

    Jung, Hyun-Do; Lee, Hyun; Kim, Hyoun-Ee; Koh, Young-Hag; Song, Juha

    2015-01-01

    Biometal systems have been widely used for biomedical applications, in particular, as load-bearing materials. However, major challenges are high stiffness and low bioactivity of metals. In this study, we have developed a new method towards fabricating a new type of bioactive and mechanically reliable porous metal scaffolds-densified porous Ti scaffolds. The method consists of two fabrication processes, 1) the fabrication of porous Ti scaffolds by dynamic freeze casting, and 2) coating and densification of the porous scaffolds. The dynamic freeze casting method to fabricate porous Ti scaffolds allowed the densification of porous scaffolds by minimizing the chemical contamination and structural defects. The densification process is distinctive for three reasons. First, the densification process is simple, because it requires a control of only one parameter (degree of densification). Second, it is effective, as it achieves mechanical enhancement and sustainable release of biomolecules from porous scaffolds. Third, it has broad applications, as it is also applicable to the fabrication of functionally graded porous scaffolds by spatially varied strain during densification. PMID:26709604

  1. Soft plasma processing of organic nanowires: a route for the fabrication of 1D organic heterostructures and the template synthesis of inorganic 1D nanostructures.

    PubMed

    Alcaire, Maria; Sanchez-Valencia, Juan R; Aparicio, Francisco J; Saghi, Zineb; Gonzalez-Gonzalez, Juan C; Barranco, Angel; Zian, Youssef Oulad; Gonzalez-Elipe, Agustin R; Midgley, Paul; Espinos, Juan P; Groening, Pierangelo; Borras, Ana

    2011-11-01

    Hierarchical (branched) and hybrid metal-NPs/organic supported NWs are fabricated through controlled plasma processing of metalloporphyrin, metallophthalocyanine and perylene nanowires. The procedure is also applied for the development of a general template route for the synthesis of supported metal and metal oxide nanowires.

  2. Development of novel nanocomposite adsorbent based on potassium nickel hexacyanoferrate-loaded polypropylene fabric

    PubMed Central

    2014-01-01

    A nanocomposite adsorbent based on potassium nickel hexacyanoferrate-loaded polypropylene fabric was synthesized for selective removal of Cs ions from contaminated waters by a two-stage synthesis: radiation-induced graft polymerization of acrylic acid monomer onto the nonwoven polypropylene fabric surface with subsequent in situ formation of potassium nickel hexacyanoferrate (KNiHCF) nanoparticles within the grafted chains. Data of scanning electron microscopy, X-ray diffraction, and Fourier transform infrared spectroscopy confirmed the formation of KNiHCF homogeneous phase on the fabric surface, which consisted of crystalline cubic-shaped nanoparticles (70 to 100 nm). The efficiency of the synthesized adsorbent for removal of cesium ions was evaluated under various experimental conditions. It has demonstrated a rapid adsorption process, high adsorption capacity over a wide pH range, and selectivity in Cs ion removal from model solutions with high concentration of sodium ions. PMID:24725367

  3. Microstructure Characterization of Al-TiC Surface Composite Fabricated by Friction Stir Processing

    NASA Astrophysics Data System (ADS)

    Shiva, Apireddi; Cheepu, Muralimohan; Charan Kantumuchu, Venkata; Kumar, K. Ravi; Venkateswarlu, D.; Srinivas, B.; Jerome, S.

    2018-03-01

    Titanium carbide (TiC) is an exceedingly hard and wear refractory ceramic material. The surface properties of the material are very important and the corrosion, wear and fatigue resistance behaviour determines its ability and applications. It is necessary to modify the surface properties of the materials to enhance their performance. The present work aims on developing a new surface composite using commercially pure aluminum and TiC reinforcement powder with a significant fabrication technique called friction stir processing (FSP). The metal matrix composite of Al/TiC has been developed without any defects formation to investigate the particles distribution in the composite, microstructural changes and mechanical properties of the material. The microstructural observations exhibited that the grain refinement in the nugget compared to the base metal and FSP without TiC particles. The developed composite properties showed substantial improvement in micro-hardness, friction factor, wear resistance and microstructural characteristics in comparison to parent metal. On the other side, the ductility of the composite specimens was diminished over the substrate. The FSPed specimens were characterised using X-ray diffraction technique and revealed that the formation of AlTi compounds and the presence of Ti phases in the matrix. The microstructures of the samples illustrated the uniform distribution of particles in the newly developed metal matrix composite.

  4. Fabrication and Characterization of 3D-Printed Highly-Porous 3D LiFePO₄ Electrodes by Low Temperature Direct Writing Process.

    PubMed

    Liu, Changyong; Cheng, Xingxing; Li, Bohan; Chen, Zhangwei; Mi, Shengli; Lao, Changshi

    2017-08-10

    LiFePO₄ (LFP) is a promising cathode material for lithium-ion batteries. In this study, low temperature direct writing (LTDW)-based 3D printing was used to fabricate three-dimensional (3D) LFP electrodes for the first time. LFP inks were deposited into a low temperature chamber and solidified to maintain the shape and mechanical integrity of the printed features. The printed LFP electrodes were then freeze-dried to remove the solvents so that highly-porous architectures in the electrodes were obtained. LFP inks capable of freezing at low temperature was developed by adding 1,4 dioxane as a freezing agent. The rheological behavior of the prepared LFP inks was measured and appropriate compositions and ratios were selected. A LTDW machine was developed to print the electrodes. The printing parameters were optimized and the printing accuracy was characterized. Results showed that LTDW can effectively maintain the shape and mechanical integrity during the printing process. The microstructure, pore size and distribution of the printed LFP electrodes was characterized. In comparison with conventional room temperature direct ink writing process, improved pore volume and porosity can be obtained using the LTDW process. The electrochemical performance of LTDW-fabricated LFP electrodes and conventional roller-coated electrodes were conducted and compared. Results showed that the porous structure that existed in the printed electrodes can greatly improve the rate performance of LFP electrodes.

  5. Fracture toughness study on LIGA fabricated microstructures

    NASA Astrophysics Data System (ADS)

    Oropeza, Catherine; Lian, Kun; Wang, Wanjun

    2003-01-01

    One of the major difficulties faced by MEMS researchers today is the lack of data regarding properties of electroplated metals or alloys at micro-levels as those produced by the LIGA and the LIGA related process. These mechanical properties are not well known and they cannot be extrapolated from macro-scale data without experimental verification. This lack of technical information about physical properties at microscale has affected the consistency and reliability of batch-fabricated components and leads to very low rates of successful fabrication. Therefore, this material issue is of vital importance to the development of LIGA technology and to its industrial applications. The research work reported in this paper focuses on the development of a new capability based on design, fabrication, and testing of groups of UV-LIGA fabricated nickel microspecimens for the evaluation of fracture strength. The devised testing mechanism demonstrated compatibility with the fabricated samples and capability of performing the desired experimentation by generating resistance-to-fracture values of the nickel specimens. The average fracture strength value obtained, expressed with a 95% confidence interval, was 315 +/- 54 Mpa. Further data acquisition, especially involving tensile specimen testing, and material analysis is needed to fully understand the implications of the information obtained.

  6. Development and fabrication of insulator seals for thermionic diodes

    NASA Technical Reports Server (NTRS)

    Poirier, V. L.

    1972-01-01

    Eight different types of cermet seals for thermionic diodes were investigated: (1) 1 micron Al2O3 with Nb spheres; (2) 200 A Al2O3 with Nb spheres; (3) 1 micron Al2O3 with Nb 1% Zr spheres; (4) 200 A Al2O3 with Nb 1% Zr spheres; (5) Pure Y2O3 with Nb 1% Zr spheres; (6) Y2O3 3% ZrO2 with Nb 1% Zr spheres; (7) Y2O3 10% ZrO2 with Nb 1% Zr spheres; and (8) ZrO2 12% Y2O3 with Nb 1% Zr spheres. Investigations were made to determine the most favorable fabrication techniques and the effect of the bonding cycle, (length of bonding time and shutdown sequences). The analysis of the seals included tensile test, vacuum test, electrical test and metallurgical examination. At the conclusion of the development phase, 36 seals were fabricated for delivery for evaluation.

  7. 14 CFR 29.605 - Fabrication methods.

    Code of Federal Regulations, 2014 CFR

    2014-01-01

    ... 14 Aeronautics and Space 1 2014-01-01 2014-01-01 false Fabrication methods. 29.605 Section 29.605... STANDARDS: TRANSPORT CATEGORY ROTORCRAFT Design and Construction General § 29.605 Fabrication methods. (a) The methods of fabrication used must produce consistently sound structures. If a fabrication process...

  8. 14 CFR 29.605 - Fabrication methods.

    Code of Federal Regulations, 2012 CFR

    2012-01-01

    ... 14 Aeronautics and Space 1 2012-01-01 2012-01-01 false Fabrication methods. 29.605 Section 29.605... STANDARDS: TRANSPORT CATEGORY ROTORCRAFT Design and Construction General § 29.605 Fabrication methods. (a) The methods of fabrication used must produce consistently sound structures. If a fabrication process...

  9. 14 CFR 29.605 - Fabrication methods.

    Code of Federal Regulations, 2011 CFR

    2011-01-01

    ... 14 Aeronautics and Space 1 2011-01-01 2011-01-01 false Fabrication methods. 29.605 Section 29.605... STANDARDS: TRANSPORT CATEGORY ROTORCRAFT Design and Construction General § 29.605 Fabrication methods. (a) The methods of fabrication used must produce consistently sound structures. If a fabrication process...

  10. 14 CFR 29.605 - Fabrication methods.

    Code of Federal Regulations, 2013 CFR

    2013-01-01

    ... 14 Aeronautics and Space 1 2013-01-01 2013-01-01 false Fabrication methods. 29.605 Section 29.605... STANDARDS: TRANSPORT CATEGORY ROTORCRAFT Design and Construction General § 29.605 Fabrication methods. (a) The methods of fabrication used must produce consistently sound structures. If a fabrication process...

  11. 14 CFR 29.605 - Fabrication methods.

    Code of Federal Regulations, 2010 CFR

    2010-01-01

    ... 14 Aeronautics and Space 1 2010-01-01 2010-01-01 false Fabrication methods. 29.605 Section 29.605... STANDARDS: TRANSPORT CATEGORY ROTORCRAFT Design and Construction General § 29.605 Fabrication methods. (a) The methods of fabrication used must produce consistently sound structures. If a fabrication process...

  12. Design and fabrication of a 1-DOF drive mode and 2-DOF sense mode micro-gyroscope using SU-8 based UV-LIGA process

    NASA Astrophysics Data System (ADS)

    Verma, Payal; Juneja, Sucheta; Savelyev, Dmitry A.; Khonina, Svetlana N.; Gopal, Ram

    2016-04-01

    This paper presents design and fabrication of a 1-DOF (degree-of-freedom) drive mode and 2-DOF sense mode micro-gyroscope. It is an inherently robust structure and offers a high sense frequency bandwidth. The proposed design utilizes resonance of the1-DOF drive mode oscillator and employs dynamic amplification concept in sense modes to increase the sensitivity while maintaining robustness. The 2-DOF in the sense direction renders the device immune to process imperfections and environmental effects. The design is simulated using FEA software (CoventorWare®). The device is designed considering process compatibility with SU-8 based UV-LIGA process, which is an economical fabrication technique. The complete fabrication process is presented along with SEM images of the fabricated device. The device has 9 µm thick Nickel as the key structural layer with an overall reduced key structure size of 2.2 mm by 2.1 mm.

  13. Fabrication

    NASA Technical Reports Server (NTRS)

    Angel, Roger; Helms, Richard; Bilbro, Jim; Brown, Norman; Eng, Sverre; Hinman, Steve; Hull-Allen, Greg; Jacobs, Stephen; Keim, Robert; Ulmer, Melville

    1992-01-01

    What aspects of optical fabrication technology need to be developed so as to facilitate existing planned missions, or enable new ones? Throughout the submillimeter to UV wavelengths, the common goal is to push technology to the limits to make the largest possible apertures that are diffraction limited. At any one wavelength, the accuracy of the surface must be better than lambda/30 (rms error). The wavelength range is huge, covering four orders of magnitude from 1 mm to 100 nm. At the longer wavelengths, diffraction limited surfaces can be shaped with relatively crude techniques. The challenge in their fabrication is to make as large as possible a reflector, given the weight and volume constraints of the launch vehicle. The limited cargo diameter of the shuttle has led in the past to emphasis on deployable or erectable concepts such as the Large Deployable Reflector (LDR), which was studied by NASA for a submillimeter astrophysics mission. Replication techniques that can be used to produce light, low-cost reflecting panels are of great interest for this class of mission. At shorter wavelengths, in the optical and ultraviolet, optical fabrication will tax to the limit the most refined polishing methods. Methods of mechanical and thermal stabilization of the substrate will be severely stressed. In the thermal infrared, the need for large aperture is tempered by the even stronger need to control the telescope's thermal emission by cooled or cryogenic operation. Thus, the SIRTF mirror at 1 meter is not large and does not require unusually high accuracy, but the fabrication process must produce a mirror that is the right shape at a temperature of 4 K. Future large cooled mirrors will present more severe problems, especially if they must also be accurate enough to work at optical wavelengths. At the very shortest wavelengths accessible to reflecting optics, in the x-ray domain, the very low count fluxes of high energy photons place a premium on the collecting area. It is

  14. Fabrication

    NASA Astrophysics Data System (ADS)

    Angel, Roger; Helms, Richard; Bilbro, Jim; Brown, Norman; Eng, Sverre; Hinman, Steve; Hull-Allen, Greg; Jacobs, Stephen; Keim, Robert; Ulmer, Melville

    1992-08-01

    What aspects of optical fabrication technology need to be developed so as to facilitate existing planned missions, or enable new ones? Throughout the submillimeter to UV wavelengths, the common goal is to push technology to the limits to make the largest possible apertures that are diffraction limited. At any one wavelength, the accuracy of the surface must be better than lambda/30 (rms error). The wavelength range is huge, covering four orders of magnitude from 1 mm to 100 nm. At the longer wavelengths, diffraction limited surfaces can be shaped with relatively crude techniques. The challenge in their fabrication is to make as large as possible a reflector, given the weight and volume constraints of the launch vehicle. The limited cargo diameter of the shuttle has led in the past to emphasis on deployable or erectable concepts such as the Large Deployable Reflector (LDR), which was studied by NASA for a submillimeter astrophysics mission. Replication techniques that can be used to produce light, low-cost reflecting panels are of great interest for this class of mission. At shorter wavelengths, in the optical and ultraviolet, optical fabrication will tax to the limit the most refined polishing methods. Methods of mechanical and thermal stabilization of the substrate will be severely stressed. In the thermal infrared, the need for large aperture is tempered by the even stronger need to control the telescope's thermal emission by cooled or cryogenic operation. Thus, the SIRTF mirror at 1 meter is not large and does not require unusually high accuracy, but the fabrication process must produce a mirror that is the right shape at a temperature of 4 K. Future large cooled mirrors will present more severe problems, especially if they must also be accurate enough to work at optical wavelengths. At the very shortest wavelengths accessible to reflecting optics, in the x-ray domain, the very low count fluxes of high energy photons place a premium on the collecting area. It is

  15. Residual stresses in AM fabricated ball during a heating process

    NASA Astrophysics Data System (ADS)

    Burenin, A. A.; Murashkin, E. V.; Dats, E. P.

    2018-05-01

    The present study is devoted to the problem of residual stresses calculation in AM fabricated ball during heating. Strains of the ball are assumed to be small, which allows to use the apparatus of the theory of thermoelastoplastic akin to Prandtl and Reuss. The problem of the evolution of the field of residual stresses in the ball at a given temperature on its external border is solved. The heat conduction equation and the equilibrium equations may be independently integrated when the hypothesis of the insignificance of the coupled effects of thermal and mechanical processes is adopted. The fields of residual stresses and displacements are computed.

  16. Innovative fabrication processing of advanced composite materials concepts for primary aircraft structures

    NASA Technical Reports Server (NTRS)

    Kassapoglou, Christos; Dinicola, Al J.; Chou, Jack C.

    1992-01-01

    The autoclave based THERM-X(sub R) process was evaluated by cocuring complex curved panels with frames and stiffeners. The process was shown to result in composite parts of high quality with good compaction at sharp radius regions and corners of intersecting parts. The structural properties of the postbuckled panels fabricated were found to be equivalent to those of conventionally tooled hand laid-up parts. Significant savings in bagging time over conventional tooling were documented. Structural details such as cocured shear ties and embedded stiffener flanges in the skin were found to suppress failure modes such as failure at corners of intersecting members and skin stiffeners separation.

  17. Two-In-One Method for Graphene Transfer: Simplified Fabrication Process for Organic Light-Emitting Diodes.

    PubMed

    Liu, Lihui; Shang, Wenjuan; Han, Chao; Zhang, Qing; Yao, Yao; Ma, Xiaoqian; Wang, Minghao; Yu, Hongtao; Duan, Yu; Sun, Jie; Chen, Shufen; Huang, Wei

    2018-02-28

    Graphene as one of the most promising transparent electrode materials has been successfully applied in organic light-emitting diodes (OLEDs). However, traditional poly(methyl methacrylate) (PMMA) transfer method usually results in hardly removed polymeric residues on the graphene surface, which induces unwanted leakage current, poor diode behavior, and even device failure. In this work, we proposed a facile and efficient two-in-one method to obtain clean graphene and fabricate OLEDs, in which the poly(9,9-di-n-octylfluorene-alt-(1,4-phenylene-(4-sec-butylphenyl)imino)-1,4-phenylene) (TFB) layer was inserted between the graphene and PMMA film both as a protector during the graphene transfer and a hole-injection layer in OLEDs. Finally, green OLED devices were successfully fabricated on the PMMA-free graphene/TFB film, and the device luminous efficiency was increased from 64.8 to 74.5 cd/A by using the two-in-one method. Therefore, the proposed two-in-one graphene transfer method realizes a high-efficient graphene transfer and device fabrication process, which is also compatible with the roll-to-roll manufacturing. It is expected that this work can enlighten the design and fabrication of the graphene-based optoelectronic devices.

  18. Understanding the Critical Parameters of the PAMS Mandrel Fabrication Process

    DOE PAGES

    Bhandarkar, Suhas; Paguio, Reny; Elsner, Fred; ...

    2016-07-05

    As a part of an effort to continually better the roundness and roughness of ablator capsules, we looked at improving the same for the poly(alphamethylstyrene) or PAMS mandrels used to make the plastic capsules. The importance of this work is based on the fact that the surface properties of the mandrels set the lower limit for the ultimate attributes of the ablator capsule. These mandrels are made using an elegant double-emulsion process that uses the isotropic forces brought about by hydrostatic pressure and interfacial tension to seek sphericity. This paper describes the reasoning that led to investigating the so-called curingmore » process where a solid PAMS shell is generated from a solution phase for achieving this goal. Using modeling to account for the mass transfer of the fluorobenzene solvent phase, we demonstrate that it is the control of the conditions through the percolation point of the system that leads to better mandrels. These concepts were implemented into the fabrication process to demonstrate significant improvements of the roundness of the mandrels.« less

  19. Automated Fabrication Technologies for High Performance Polymer Composites

    NASA Technical Reports Server (NTRS)

    Shuart , M. J.; Johnston, N. J.; Dexter, H. B.; Marchello, J. M.; Grenoble, R. W.

    1998-01-01

    New fabrication technologies are being exploited for building high graphite-fiber-reinforced composite structure. Stitched fiber preforms and resin film infusion have been successfully demonstrated for large, composite wing structures. Other automatic processes being developed include automated placement of tacky, drapable epoxy towpreg, automated heated head placement of consolidated ribbon/tape, and vacuum-assisted resin transfer molding. These methods have the potential to yield low cost high performance structures by fabricating composite structures to net shape out-of-autoclave.

  20. MIRAGE: developments in IRSP systems, RIIC design, emitter fabrication, and performance

    NASA Astrophysics Data System (ADS)

    Bryant, Paul; Oleson, Jim; James, Jay; McHugh, Steve; Lannon, John; Vellenga, David; Goodwin, Scott; Huffman, Alan; Solomon, Steve; Goldsmith, George C., II

    2005-05-01

    SBIR's family of MIRAGE infrared scene projection systems is undergoing significant growth and expansion. The first two lots of production IR emitters have completed fabrication at Microelectronics Center of North Carolina/Research and Development Institute (MCNC-RDI), and the next round(s) of emitter production has begun. These latest emitter arrays support programs such as Large Format Resistive Array (LFRA), Optimized Array for Space-based Infrared Simulation (OASIS), MIRAGE 1.5, and MIRAGE II. We present the latest performance data on emitters fabricated at MCNC-RDI, plus integrated system performance on recently completed IRSP systems. Teamed with FLIR Systems/Indigo Operations, SBIR and the Tri-Services IRSP Working Group have completed development of the CMOS Read-In Integrated Circuit (RIIC) portion of the Wide Format Resistive Array (WFRA) program-to extend LFRA performance to a 768 x 1536 "wide screen" projection configuration. WFRA RIIC architecture and performance is presented. Finally, we summarize development of the LFRA Digital Emitter Engine (DEE) and OASIS cryogenic package assemblies, the next-generation Command & Control Electronics (C&CE).

  1. Fabrication Of Carbon-Boron Reinforced Dry Polymer Matrix Composite Tape

    NASA Technical Reports Server (NTRS)

    Belvin, Harry L.; Cano, Roberto J.; Treasure, Monte; Shahood, Thomas W.

    1999-01-01

    Future generation aerospace vehicles will require specialized hybrid material forms for component structure fabrication. For this reason, high temperature composite prepregs in both dry and wet forms are being developed at NASA Langley Research Center (LaRC). In an attempt to improve compressive properties of carbon fiber reinforced composites, a hybrid carbon-boron tape was developed and used to fabricate composite laminates which were subsequently cut into flexural and compression specimens and tested. The hybrid material, given the designation HYCARB, was fabricated by modifying a previously developed process for the manufacture of dry polymer matrix composite (PMC) tape at LaRC. In this work, boron fibers were processed with IM7/LaRC(TradeMark)IAX poly(amide acid) solution-coated prepreg to form a dry hybrid tape for Automated Tow Placement (ATP). Boron fibers were encapsulated between two (2) layers of reduced volatile, low fiber areal weight poly(amide acid) solution-coated prepreg. The hybrid prepreg was then fully imidized and consolidated into a dry tape suitable for ATP. The fabrication of a hybrid boron material form for tow placement aids in the reduction of the overall manufacturing cost of boron reinforced composites, while realizing the improved compression strengths. Composite specimens were press-molded from the hybrid material and exhibited excellent mechanical properties.

  2. Bio-inspired piezoelectric artificial hair cell sensor fabricated by powder injection molding

    NASA Astrophysics Data System (ADS)

    Han, Jun Sae; Oh, Keun Ha; Moon, Won Kyu; Kim, Kyungseop; Joh, Cheeyoung; Seo, Hee Seon; Bollina, Ravi; Park, Seong Jin

    2015-12-01

    A piezoelectric artificial hair cell sensor was fabricated by the powder injection molding process in order to make an acoustic vector hydrophone. The entire process of powder injection molding was developed and optimized for PMN-PZT ceramic powder. The artificial hair cell sensor, which consists of high aspect ratio hair cell and three rectangular mechanoreceptors, was precisely fabricated through the developed powder injection molding process. The density and the dielectric property of the fabricated sensor shows 98% of the theoretical density and 85% of reference dielectric property of PMN-PZT ceramic powder. With regard to homogeneity, three rectangular mechanoreceptors have the same dimensions, with 3 μm of tolerance with 8% of deviation of dielectric property. Packaged vector hydrophones measure the underwater acoustic signals from 500 to 800 Hz with -212 dB of sensitivity. Directivity of vector hydrophone was acquired at 600 Hz as analyzing phase differences of electric signals.

  3. Integrating nanosphere lithography in device fabrication

    NASA Astrophysics Data System (ADS)

    Laurvick, Tod V.; Coutu, Ronald A.; Lake, Robert A.

    2016-03-01

    This paper discusses the integration of nanosphere lithography (NSL) with other fabrication techniques, allowing for nano-scaled features to be realized within larger microelectromechanical system (MEMS) based devices. Nanosphere self-patterning methods have been researched for over three decades, but typically not for use as a lithography process. Only recently has progress been made towards integrating many of the best practices from these publications and determining a process that yields large areas of coverage, with repeatability and enabled a process for precise placement of nanospheres relative to other features. Discussed are two of the more common self-patterning methods used in NSL (i.e. spin-coating and dip coating) as well as a more recently conceived variation of dip coating. Recent work has suggested the repeatability of any method depends on a number of variables, so to better understand how these variables affect the process a series of test vessels were developed and fabricated. Commercially available 3-D printing technology was used to incrementally alter the test vessels allowing for each variable to be investigated individually. With these deposition vessels, NSL can now be used in conjunction with other fabrication steps to integrate features otherwise unattainable through current methods, within the overall fabrication process of larger MEMS devices. Patterned regions in 1800 series photoresist with a thickness of ~700nm are used to capture regions of self-assembled nanospheres. These regions are roughly 2-5 microns in width, and are able to control the placement of 500nm polystyrene spheres by controlling where monolayer self-assembly occurs. The resulting combination of photoresist and nanospheres can then be used with traditional deposition or etch methods to utilize these fine scale features in the overall design.

  4. 14 CFR 27.605 - Fabrication methods.

    Code of Federal Regulations, 2011 CFR

    2011-01-01

    ... 14 Aeronautics and Space 1 2011-01-01 2011-01-01 false Fabrication methods. 27.605 Section 27.605... STANDARDS: NORMAL CATEGORY ROTORCRAFT Design and Construction General § 27.605 Fabrication methods. (a) The methods of fabrication used must produce consistently sound structures. If a fabrication process (such as...

  5. 14 CFR 25.605 - Fabrication methods.

    Code of Federal Regulations, 2012 CFR

    2012-01-01

    ... 14 Aeronautics and Space 1 2012-01-01 2012-01-01 false Fabrication methods. 25.605 Section 25.605... STANDARDS: TRANSPORT CATEGORY AIRPLANES Design and Construction General § 25.605 Fabrication methods. (a) The methods of fabrication used must produce a consistently sound structure. If a fabrication process...

  6. 14 CFR 27.605 - Fabrication methods.

    Code of Federal Regulations, 2013 CFR

    2013-01-01

    ... 14 Aeronautics and Space 1 2013-01-01 2013-01-01 false Fabrication methods. 27.605 Section 27.605... STANDARDS: NORMAL CATEGORY ROTORCRAFT Design and Construction General § 27.605 Fabrication methods. (a) The methods of fabrication used must produce consistently sound structures. If a fabrication process (such as...

  7. 14 CFR 27.605 - Fabrication methods.

    Code of Federal Regulations, 2014 CFR

    2014-01-01

    ... 14 Aeronautics and Space 1 2014-01-01 2014-01-01 false Fabrication methods. 27.605 Section 27.605... STANDARDS: NORMAL CATEGORY ROTORCRAFT Design and Construction General § 27.605 Fabrication methods. (a) The methods of fabrication used must produce consistently sound structures. If a fabrication process (such as...

  8. 14 CFR 25.605 - Fabrication methods.

    Code of Federal Regulations, 2010 CFR

    2010-01-01

    ... 14 Aeronautics and Space 1 2010-01-01 2010-01-01 false Fabrication methods. 25.605 Section 25.605... STANDARDS: TRANSPORT CATEGORY AIRPLANES Design and Construction General § 25.605 Fabrication methods. (a) The methods of fabrication used must produce a consistently sound structure. If a fabrication process...

  9. 14 CFR 25.605 - Fabrication methods.

    Code of Federal Regulations, 2011 CFR

    2011-01-01

    ... 14 Aeronautics and Space 1 2011-01-01 2011-01-01 false Fabrication methods. 25.605 Section 25.605... STANDARDS: TRANSPORT CATEGORY AIRPLANES Design and Construction General § 25.605 Fabrication methods. (a) The methods of fabrication used must produce a consistently sound structure. If a fabrication process...

  10. 14 CFR 27.605 - Fabrication methods.

    Code of Federal Regulations, 2012 CFR

    2012-01-01

    ... 14 Aeronautics and Space 1 2012-01-01 2012-01-01 false Fabrication methods. 27.605 Section 27.605... STANDARDS: NORMAL CATEGORY ROTORCRAFT Design and Construction General § 27.605 Fabrication methods. (a) The methods of fabrication used must produce consistently sound structures. If a fabrication process (such as...

  11. 14 CFR 25.605 - Fabrication methods.

    Code of Federal Regulations, 2013 CFR

    2013-01-01

    ... 14 Aeronautics and Space 1 2013-01-01 2013-01-01 false Fabrication methods. 25.605 Section 25.605... STANDARDS: TRANSPORT CATEGORY AIRPLANES Design and Construction General § 25.605 Fabrication methods. (a) The methods of fabrication used must produce a consistently sound structure. If a fabrication process...

  12. 14 CFR 27.605 - Fabrication methods.

    Code of Federal Regulations, 2010 CFR

    2010-01-01

    ... 14 Aeronautics and Space 1 2010-01-01 2010-01-01 false Fabrication methods. 27.605 Section 27.605... STANDARDS: NORMAL CATEGORY ROTORCRAFT Design and Construction General § 27.605 Fabrication methods. (a) The methods of fabrication used must produce consistently sound structures. If a fabrication process (such as...

  13. 14 CFR 25.605 - Fabrication methods.

    Code of Federal Regulations, 2014 CFR

    2014-01-01

    ... 14 Aeronautics and Space 1 2014-01-01 2014-01-01 false Fabrication methods. 25.605 Section 25.605... STANDARDS: TRANSPORT CATEGORY AIRPLANES Design and Construction General § 25.605 Fabrication methods. (a) The methods of fabrication used must produce a consistently sound structure. If a fabrication process...

  14. Computer Aided Design of Computer Generated Holograms for electron beam fabrication

    NASA Technical Reports Server (NTRS)

    Urquhart, Kristopher S.; Lee, Sing H.; Guest, Clark C.; Feldman, Michael R.; Farhoosh, Hamid

    1989-01-01

    Computer Aided Design (CAD) systems that have been developed for electrical and mechanical design tasks are also effective tools for the process of designing Computer Generated Holograms (CGHs), particularly when these holograms are to be fabricated using electron beam lithography. CAD workstations provide efficient and convenient means of computing, storing, displaying, and preparing for fabrication many of the features that are common to CGH designs. Experience gained in the process of designing CGHs with various types of encoding methods is presented. Suggestions are made so that future workstations may further accommodate the CGH design process.

  15. New Deep Reactive Ion Etching Process Developed for the Microfabrication of Silicon Carbide

    NASA Technical Reports Server (NTRS)

    Evans, Laura J.; Beheim, Glenn M.

    2005-01-01

    Silicon carbide (SiC) is a promising material for harsh environment sensors and electronics because it can enable such devices to withstand high temperatures and corrosive environments. Microfabrication techniques have been studied extensively in an effort to obtain the same flexibility of machining SiC that is possible for the fabrication of silicon devices. Bulk micromachining using deep reactive ion etching (DRIE) is attractive because it allows the fabrication of microstructures with high aspect ratios (etch depth divided by lateral feature size) in single-crystal or polycrystalline wafers. Previously, the Sensors and Electronics Branch of the NASA Glenn Research Center developed a DRIE process for SiC using the etchant gases sulfur hexafluoride (SF6) and argon (Ar). This process provides an adequate etch rate of 0.2 m/min and yields a smooth surface at the etch bottom. However, the etch sidewalls are rougher than desired, as shown in the preceding photomicrograph. Furthermore, the resulting structures have sides that slope inwards, rather than being precisely vertical. A new DRIE process for SiC was developed at Glenn that produces smooth, vertical sidewalls, while maintaining an adequately high etch rate.

  16. In Situ Fabrication Technologies: Meeting the Challenge for Exploration

    NASA Technical Reports Server (NTRS)

    Howard, Richard W.

    2005-01-01

    A viewgraph presentation on Lunar and Martian in situ fabrication technologies meeting the challenges for exploration is shown. The topics include: 1) Exploration Vision; 2) Vision Requirements Early in the Program; 3) Vision Requirements Today; 4) Why is ISFR Technology Needed? 5) ISFR and In Situ Resource Utilization (ISRU); 6) Fabrication Feedstock Considerations; 7) Planetary Resource Primer; 8) Average Chemical Element Abundances in Lunar Soil; 9) Chemical Elements in Aerospace Engineering Materials; 10) Schematic of Raw Regolith Processing into Constituent Components; 11) Iron, Aluminum, and Basalt Processing from Separated Elements and Compounds; 12) Space Power Systems; 13) Power Source Applicability; 14) Fabrication Systems Technologies; 15) Repair and Nondestructive Evaluation (NDE); and 16) Habitat Structures. A development overview of Lunar and Martian repair and nondestructive evaluation is also presented.

  17. Fabrication of multifilamentary Nb/sub 3/(Al,Ge) wires through a modified jelly roll process

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tachikawa, K.; Kamisada, Y.; Suzuki, E.

    Recently, development of Nb/sub 3/Al multifilamentary wires has gained much interests since high-field performance superior to that of Nb/sub 3/Sn can be expected in these wires. In this study, Nb/Al-Ge alloy composites were fabricated into multifilamentary wires through a modified jelly roll (MJR) process. A Nb mesh sheet produced at the Teledyne Wah Chang Co. was used as Nb component. An Al-Ge alloy prepared by a conventional casting process was forged and rolled into a sheet of 0.2 mm in thickness. The Nb/Al-Ge composite was prepared by wrapping the Nb mesh sheet together with the Al-Ge alloy sheet around amore » Nb core into a jelly roll form. The MJR composite was encased in a Cu-Ni alloy tube of which outer diameter was 43 mm. The resulting composite was hydrostatically pressed and extruded into a rod of 18 mm in diameter. A Nb barrier was then inserted between the MJR and the Cu-Ni jacket. The composite rod was swaged and drawn into a wire without any intermediate annealing. The wire was able to be drawn down to a very small diameter of 0.1 mm. The cross-sectional configuration of the MJR composite was not much disturbed by the fabrication. Superconducting transition temperature Tc of the wire, after different heat treatment including a rapid quenching from high temperatures by a continuous electron beam irradiation, was studied, and an onset Tc of 19.3K has been achieved.« less

  18. NASA's In-Space Manufacturing Project: Materials and Manufacturing Process Development Update

    NASA Technical Reports Server (NTRS)

    Prater, Tracie; Bean, Quincy; Werkheiser, Niki; Ledbetter, Frank

    2017-01-01

    The mission of NASA's In-Space Manufacturing (ISM) project is to identify, design, and implement on-demand, sustainable manufacturing solutions for fabrication, maintenance and repair during exploration missions. ISM has undertaken a phased strategy of incrementally increasing manufacturing capabilities to achieve this goal. The ISM project began with the development of the first 3D printer for the International Space Station. To date, the printer has completed two phases of flight operations. Results from phase I specimens indicated some differences in material properties between ground-processed and ISS-processed specimens, but results of follow-on analyses of these parts and a ground-based study with an equivalent printer strongly indicate that this variability is likely attributable to differences in manufacturing process settings between the ground and flight prints rather than microgravity effects on the fused deposition modeling (FDM) process. Analysis of phase II specimens from the 3D Printing in Zero G tech demo, which shed further light on the sources of material variability, will be presented. The ISM project has also developed a materials characterization plan for the Additive Manufacturing Facility, the follow-on commercial multimaterial 3D printing facility developed for ISS by Made in Space. This work will yield a suite of characteristic property values that can inform use of AMF by space system designers. Other project activities include development of an integrated 3D printer and recycler, known as the Refabricator, by Tethers Unlimited, which will be operational on ISS in 2018. The project also recently issued a broad area announcement for a multimaterial fabrication laboratory, which may include in-space manufacturing capabilities for metals, electronics, and polymeric materials, to be deployed on ISS in the 2022 timeframe.

  19. Fabrication of polyimide based microfluidic channels for biosensor devices

    NASA Astrophysics Data System (ADS)

    Zulfiqar, Azeem; Pfreundt, Andrea; Svendsen, Winnie Edith; Dimaki, Maria

    2015-03-01

    The ever-increasing complexity of the fabrication process of Point-of-care (POC) devices, due to high demand of functional versatility, compact size and ease-of-use, emphasizes the need of multifunctional materials that can be used to simplify this process. Polymers, currently in use for the fabrication of the often needed microfluidic channels, have limitations in terms of their physicochemical properties. Therefore, the use of a multipurpose biocompatible material with better resistance to the chemical, thermal and electrical environment, along with capability of forming closed channel microfluidics is inevitable. This paper demonstrates a novel technique of fabricating microfluidic devices using polyimide (PI) which fulfills the aforementioned properties criteria. A fabrication process to pattern microfluidic channels, using partially cured PI, has been developed by using a dry etching method. The etching parameters are optimized and compared to those used for fully cured PI. Moreover, the formation of closed microfluidic channel on wafer level by bonding two partially cured PI layers or a partially cured PI to glass with high bond strength has been demonstrated. The reproducibility in uniformity of PI is also compared to the most commonly used SU8 polymer, which is a near UV sensitive epoxy resin. The potential applications of PI processing are POC and biosensor devices integrated with microelectronics.

  20. Potassium-argon (argon-argon), structural fabrics

    USGS Publications Warehouse

    Cosca, Michael A.; Rink, W. Jack; Thompson, Jereon

    2014-01-01

    Definition: 40Ar/39Ar geochronology of structural fabrics: The application of 40Ar/39Ar methods to date development of structural fabrics in geologic samples. Introduction: Structural fabrics develop during rock deformation at variable pressures (P), temperatures (T), fluid compositions (X), and time (t). Structural fabrics are represented in rocks by features such as foliations and shear zones developed at the mm to km scale. In ideal cases, the P-T-X history of a given structural fabric can be constrained using stable isotope, cation exchange, and/or mineral equilibria thermobarometry (Essene 1989). The timing of structural fabric development can be assessed qualitatively using geologic field observations or quantitatively using isotope-based geochronology. High-precision geochronology of the thermal and fluid flow histories associated with structural fabric development can answer fundamental geologic questions including (1) when hydrothermal fluids transported and deposited ore minerals, ...

  1. Towards multifunctional cellulosic fabric: UV photo-reduction and in-situ synthesis of silver nanoparticles into cellulose fabrics.

    PubMed

    Rehan, Mohamed; Barhoum, Ahmed; Van Assche, Guy; Dufresne, Alain; Gätjen, Linda; Wilken, Ralph

    2017-05-01

    Herein, the highly multifunctional cotton fabric surfaces were designed with excellent coloration, UV-protection function, and antimicrobial activity. These multifunctional functions were developed by in-situ synthesis of silver nanoparticles (Ag NPs) into the cotton fabric surface using a simple green one-pot "UV-reduction" method. Cotton fabrics were pretreated with non-anionic detergent, immersed into alcoholic silver nitrate solution (concentration ranging from 100 to 500ppm), squeezed to remove excess solution and then exposed to UV-irradiation (range 320-400nm) for 1h. The influence UV-irradiation on the thermal, chemical, optical and biological properties of the cotton fabric surface was discussed in details. The UV-irradiation promotes reducing of Ag + ions and the cotton fabrics act as seed medium for Ag NPs formation by "heterogeneous nucleation". Increasing Ag + concentration (from 100 to 500ppm) results in Ag NPs of particle size (distribution) of 50-100nm. Interestingly, the Ag NPs exhibited different localized surface Plasmon resonance properties causing a coloration of the cotton fabrics with different color shades ranging from bright to dark brown with excellent color fastness properties. The treated cotton fabrics also show high protecting functions against UV-transmission (reduction of 65%) and Escherichia coli growth (99%). The side-effects of the UV-reduction process are further investigated. Published by Elsevier B.V.

  2. A Developed Meta-model for Selection of Cotton Fabrics Using Design of Experiments and TOPSIS Method

    NASA Astrophysics Data System (ADS)

    Chakraborty, Shankar; Chatterjee, Prasenjit

    2017-12-01

    Selection of cotton fabrics for providing optimal clothing comfort is often considered as a multi-criteria decision making problem consisting of an array of candidate alternatives to be evaluated based of several conflicting properties. In this paper, design of experiments and technique for order preference by similarity to ideal solution (TOPSIS) are integrated so as to develop regression meta-models for identifying the most suitable cotton fabrics with respect to the computed TOPSIS scores. The applicability of the adopted method is demonstrated using two real time examples. These developed models can also identify the statistically significant fabric properties and their interactions affecting the measured TOPSIS scores and final selection decisions. There exists good degree of congruence between the ranking patterns as derived using these meta-models and the existing methods for cotton fabric ranking and subsequent selection.

  3. A study of process-related electrical defects in SOI lateral bipolar transistors fabricated by ion implantation

    NASA Astrophysics Data System (ADS)

    Yau, J.-B.; Cai, J.; Hashemi, P.; Balakrishnan, K.; D'Emic, C.; Ning, T. H.

    2018-04-01

    We report a systematic study of process-related electrical defects in symmetric lateral NPN transistors on silicon-on-insulator (SOI) fabricated using ion implantation for all the doped regions. A primary objective of this study is to see if pipe defects (emitter-collector shorts caused by locally enhanced dopant diffusion) are a show stopper for such bipolar technology. Measurements of IC-VCE and Gummel currents in parallel-connected transistor chains as a function of post-fabrication rapid thermal anneal cycles allow several process-related electrical defects to be identified. They include defective emitter-base and collector-base diodes, pipe defects, and defects associated with a dopant-deficient region in an extrinsic base adjacent its intrinsic base. There is no evidence of pipe defects being a major concern in SOI lateral bipolar transistors.

  4. Optimization benefits analysis in production process of fabrication components

    NASA Astrophysics Data System (ADS)

    Prasetyani, R.; Rafsanjani, A. Y.; Rimantho, D.

    2017-12-01

    The determination of an optimal number of product combinations is important. The main problem at part and service department in PT. United Tractors Pandu Engineering (shortened to PT.UTPE) Is the optimization of the combination of fabrication component products (known as Liner Plate) which influence to the profit that will be obtained by the company. Liner Plate is a fabrication component that serves as a protector of core structure for heavy duty attachment, such as HD Vessel, HD Bucket, HD Shovel, and HD Blade. The graph of liner plate sales from January to December 2016 has fluctuated and there is no direct conclusion about the optimization of production of such fabrication components. The optimal product combination can be achieved by calculating and plotting the amount of production output and input appropriately. The method that used in this study is linear programming methods with primal, dual, and sensitivity analysis using QM software for Windows to obtain optimal fabrication components. In the optimal combination of components, PT. UTPE provide the profit increase of Rp. 105,285,000.00 for a total of Rp. 3,046,525,000.00 per month and the production of a total combination of 71 units per unit variance per month.

  5. High-density plasma etching of III-nitrides: Process development, device applications and damage remediation

    NASA Astrophysics Data System (ADS)

    Singh, Rajwinder

    Plasma-assisted etching is a key technology for III-nitride device fabrication. The inevitable etch damage resulting from energetic pattern transfer is a challenge that needs to be addressed in order to optimize device performance and reliability. This dissertation focuses on the development of a high-density inductively-coupled plasma (ICP) etch process for III-nitrides, the demonstration of its applicability to practical device fabrication using a custom built ICP reactor, and development of techniques for remediation of etch damage. A chlorine-based standard dry etch process has been developed and utilized in fabrication of a number of electronic and optoelectronic III-nitride devices. Annealing studies carried out at 700°C have yielded the important insight that the annealing time necessary for making good-quality metal contacts to etch processed n-GaN is very short (<30 sec), comparable with the annealing times necessary for dopant activation of p-GaN films and provides an opportunity for streamlining process flow. Plasma etching degrades contact quality on n-GaN films and this degradation has been found to increase with the rf bias levels (ion energies) used, most notably in films with higher doping levels. Immersion in 1:1 mixture of hydrochloric acid and de-ionized water, prior to metallization, removes some of the etch damage and is helpful in recovering contact quality. In-situ treatment consisting of a slow ramp-down of rf bias at the end of the etch is found to achieve the same effect as the ex-situ treatment. This insitu technique is significantly advantageous in a large-scale production environment because it eliminates a process step, particularly one involving treatment in hydrochloric acid. ICP equipment customization for scaling up the process to full 2-inch wafer size is described. Results on etching of state of the art 256 x 256 AlGaN focal plane arrays of ultraviolet photodetectors are reported, with excellent etch uniformity over the wafer

  6. First Demonstration on Direct Laser Fabrication of Lunar Regolith Parts

    NASA Technical Reports Server (NTRS)

    Balla, Vamsi Krishna; Roberson, Luke B.; OConnor, Gregory W. O.; Trigwell, Stephen; Bose, Susmita; Bandyopadhyay, Amit

    2010-01-01

    Establishment of a lunar or Martian outpost necessitates the development of methods to utilize in situ mineral resources for various construction and resource extraction applications. Fabrication technologies are critical for habitat structure development, as well as repair and replacement of tools and parts at the outpost. Herein we report the direct fabrication of lunar regolith simulant parts, in freeform environment, using lasers. We show that raw lunar regolith can be processed at laser energy levels as a low as 2.12 J mm-2 resulting in nanocrystalline and/or amorphous microstructures. Potential applications of laser based fabrication technologies to make useful regolith parts for various applications including load bearing composite structures, radiation shielding, and solar cell substrates is described.

  7. A review: fabrication of porous polyurethane scaffolds.

    PubMed

    Janik, H; Marzec, M

    2015-03-01

    The aim of tissue engineering is the fabrication of three-dimensional scaffolds that can be used for the reconstruction and regeneration of damaged or deformed tissues and organs. A wide variety of techniques have been developed to create either fibrous or porous scaffolds from polymers, metals, composite materials and ceramics. However, the most promising materials are biodegradable polymers due to their comprehensive mechanical properties, ability to control the rate of degradation and similarities to natural tissue structures. Polyurethanes (PUs) are attractive candidates for scaffold fabrication, since they are biocompatible, and have excellent mechanical properties and mechanical flexibility. PU can be applied to various methods of porous scaffold fabrication, among which are solvent casting/particulate leaching, thermally induced phase separation, gas foaming, emulsion freeze-drying and melt moulding. Scaffold properties obtained by these techniques, including pore size, interconnectivity and total porosity, all depend on the thermal processing parameters, and the porogen agent and solvents used. In this review, various polyurethane systems for scaffolds are discussed, as well as methods of fabrication, including the latest developments, and their advantages and disadvantages. Copyright © 2014. Published by Elsevier B.V.

  8. Fabrication of High Strength Lightweight Metals for Armor and Structural Applications: Large Scale Equal Channel Angular Extrusion Processing of Aluminum 5083 Alloy

    DTIC Science & Technology

    2017-06-01

    ARL-TR-8047 ● JUNE 2017 US Army Research Laboratory Fabrication of High -Strength Lightweight Metals for Armor and Structural...to the originator. ARL-TR-8047 ● JUNE 2017 US Army Research Laboratory Fabrication of High -Strength Lightweight Metals for...Fabrication of High -Strength Lightweight Metals for Armor and Structural Applications: Large-Scale Equal Channel Angular Extrusion Processing of

  9. Investigation of mechanical properties for open cellular structure CoCrMo alloy fabricated by selective laser melting process

    NASA Astrophysics Data System (ADS)

    Azidin, A.; Taib, Z. A. M.; Harun, W. S. W.; Che Ghani, S. A.; Faisae, M. F.; Omar, M. A.; Ramli, H.

    2015-12-01

    Orthodontic implants have been a major focus through mechanical and biological performance in advance to fabricate shape of complex anatomical. Designing the part with a complex mechanism is one of the challenging process and addition to achieve the balance and desired mechanical performance brought to the right manufacture technique to fabricate. Metal additive manufacturing (MAM) is brought forward to the newest fabrication technology in this field. In this study, selective laser melting (SLM) process was utilized on a medical grade cobalt-chrome molybdenum (CoCrMo) alloy. The work has focused on mechanical properties of the CoCrMo open cellular structures samples with 60%, 70%, and 80% designed volume porosity that could potentially emulate the properties of human bone. It was observed that hardness values decreased as the soaking time increases except for bottom face. For compression test, 60% designed volume porosity demonstrated highest ultimate compressive strength compared to 70% and 80%.

  10. A Module Experimental Process System Development Unit (MEPSDU)

    NASA Technical Reports Server (NTRS)

    1981-01-01

    A cost effective process sequence and machinery for the production of flat plate photovoltaic modules are described. Cells were fabricated using the process sequence which was optimized, as was a lamination procedure. Insulator tapes and edge seal material were identified and tested. Encapsulation materials were evaluated.

  11. A three-dimensional hierarchical collagen scaffold fabricated by a combined solid freeform fabrication (SFF) and electrospinning process to enhance mesenchymal stem cell (MSC) proliferation

    NASA Astrophysics Data System (ADS)

    Ahn, SeungHyun; Koh, Young Ho; Kim, GeunHyung

    2010-06-01

    Collagen has the advantage of being very similar to macromolecular substances that can be recognized and metabolized in the biological environment. Although the natural material has superior property for this purpose, its use to fabricate reproducible and pore-structure-controlled 3D structures, which are designed to allow the entry of sufficient cells and the easy diffusion of nutrients, has been limited due to its low processability. Here, we propose a hybrid technology that combines a cryogenic plotting system with an electrospinning process. Using this technique, an easily pore-size-controllable hierarchical 3D scaffold consisting of micro-sized highly porous collagen strands and micro/nano-sized collagen fibers was fabricated. The pore structure of the collagen scaffold was controlled by the collagen micro/nanofibers, which were layered in the scaffold. The hierarchical scaffolds were characterized with respect to initial cell attachment and proliferation of bone marrow-derived mesenchymal stem cells within the scaffolds. The hierarchical scaffold exhibited incredibly enhanced initial cell attachment and cell compactness between pores of the plotted scaffold relative to the normally designed 3D collagen scaffold.

  12. Relationships between Lead Halide Perovskite Thin-Film Fabrication, Morphology, and Performance in Solar Cells.

    PubMed

    Sharenko, Alexander; Toney, Michael F

    2016-01-20

    Solution-processed lead halide perovskite thin-film solar cells have achieved power conversion efficiencies comparable to those obtained with several commercial photovoltaic technologies in a remarkably short period of time. This rapid rise in device efficiency is largely the result of the development of fabrication protocols capable of producing continuous, smooth perovskite films with micrometer-sized grains. Further developments in film fabrication and morphological control are necessary, however, in order for perovskite solar cells to reliably and reproducibly approach their thermodynamic efficiency limit. This Perspective discusses the fabrication of lead halide perovskite thin films, while highlighting the processing-property-performance relationships that have emerged from the literature, and from this knowledge, suggests future research directions.

  13. Methods for fabrication of flexible hybrid electronics

    NASA Astrophysics Data System (ADS)

    Street, Robert A.; Mei, Ping; Krusor, Brent; Ready, Steve E.; Zhang, Yong; Schwartz, David E.; Pierre, Adrien; Doris, Sean E.; Russo, Beverly; Kor, Siv; Veres, Janos

    2017-08-01

    Printed and flexible hybrid electronics is an emerging technology with potential applications in smart labels, wearable electronics, soft robotics, and prosthetics. Printed solution-based materials are compatible with plastic film substrates that are flexible, soft, and stretchable, thus enabling conformal integration with non-planar objects. In addition, manufacturing by printing is scalable to large areas and is amenable to low-cost sheet-fed and roll-to-roll processes. FHE includes display and sensory components to interface with users and environments. On the system level, devices also require electronic circuits for power, memory, signal conditioning, and communications. Those electronic components can be integrated onto a flexible substrate by either assembly or printing. PARC has developed systems and processes for realizing both approaches. This talk presents fabrication methods with an emphasis on techniques recently developed for the assembly of off-the-shelf chips. A few examples of systems fabricated with this approach are also described.

  14. Fabrication and Characterization of 3D-Printed Highly-Porous 3D LiFePO4 Electrodes by Low Temperature Direct Writing Process

    PubMed Central

    Cheng, Xingxing; Li, Bohan; Chen, Zhangwei; Mi, Shengli; Lao, Changshi

    2017-01-01

    LiFePO4 (LFP) is a promising cathode material for lithium-ion batteries. In this study, low temperature direct writing (LTDW)-based 3D printing was used to fabricate three-dimensional (3D) LFP electrodes for the first time. LFP inks were deposited into a low temperature chamber and solidified to maintain the shape and mechanical integrity of the printed features. The printed LFP electrodes were then freeze-dried to remove the solvents so that highly-porous architectures in the electrodes were obtained. LFP inks capable of freezing at low temperature was developed by adding 1,4 dioxane as a freezing agent. The rheological behavior of the prepared LFP inks was measured and appropriate compositions and ratios were selected. A LTDW machine was developed to print the electrodes. The printing parameters were optimized and the printing accuracy was characterized. Results showed that LTDW can effectively maintain the shape and mechanical integrity during the printing process. The microstructure, pore size and distribution of the printed LFP electrodes was characterized. In comparison with conventional room temperature direct ink writing process, improved pore volume and porosity can be obtained using the LTDW process. The electrochemical performance of LTDW-fabricated LFP electrodes and conventional roller-coated electrodes were conducted and compared. Results showed that the porous structure that existed in the printed electrodes can greatly improve the rate performance of LFP electrodes. PMID:28796182

  15. Fabricating High-Resolution X-Ray Collimators

    NASA Technical Reports Server (NTRS)

    Appleby, Michael; Atkinson, James E.; Fraser, Iain; Klinger, Jill

    2008-01-01

    A process and method for fabricating multi-grid, high-resolution rotating modulation collimators for arcsecond and sub-arcsecond x-ray and gamma-ray imaging involves photochemical machining and precision stack lamination. The special fixturing and etching techniques that have been developed are used for the fabrication of multiple high-resolution grids on a single array substrate. This technology has application in solar and astrophysics and in a number of medical imaging applications including mammography, computed tomography (CT), single photon emission computed tomography (SPECT), and gamma cameras used in nuclear medicine. This collimator improvement can also be used in non-destructive testing, hydrodynamic weapons testing, and microbeam radiation therapy.

  16. Flat-plate solar-array project. Experimental process system development unit for producing semiconductor-grade silicon using the silane-to-silicon process

    NASA Technical Reports Server (NTRS)

    1981-01-01

    The engineering design, fabrication, assembly, operation, economic analysis, and process support R and D for an Experimental Process System Development Unit (EPSDU) are reported. About 95% of purchased equipment is received and will be reshipped to the West Coast location. The Data Collection System is completed. In the area of melting/consolidation, to the system using silicon powder transfer, melting and shotting on a pseudocontinuous basis is demonstrated. It is proposed to continue the very promising fluid bed work.

  17. Advancements in Binder Systems for Solid Freeform Fabrication

    NASA Technical Reports Server (NTRS)

    Cooper, Ken; Munafo, Paul (Technical Monitor)

    2002-01-01

    Paper will present recent developments in advanced material binder systems for solid freeform fabrication (SFF) technologies. The advantage of SFF is the capability to custom fabricate complex geometries directly from computer aided design data in layer- by-layer fashion, eliminated the need for traditional fixturing and tooling. Binders allow for the low temperature processing of 'green' structural materials, either metal, ceramic or composite, in traditional rapid prototyping machines. The greatest obstacle comes when green parts must then go through a sintering or burnout process to remove the binders and fully densify the parent material, without damaging or distorting the original part geometry. Critical issues and up-to-date assessments will be delivered on various material systems.

  18. Design and fabrication of optical homogenizer with micro structure by injection molding process

    NASA Astrophysics Data System (ADS)

    Chen, C.-C. A.; Chang, S.-W.; Weng, C.-J.

    2008-08-01

    This paper is to design and fabricate an optical homogenizer with hybrid design of collimator, toroidal lens array, and projection lens for beam shaping of Gaussian beam into uniform cylindrical beam. TracePro software was used to design the geometry of homogenizer and simulation of injection molding was preceded by Moldflow MPI to evaluate the mold design for injection molding process. The optical homogenizer is a cylindrical part with thickness 8.03 mm and diameter 5 mm. The micro structure of toroidal array has groove height designed from 12 μm to 99 μm. An electrical injection molding machine and PMMA (n= 1.4747) were selected to perform the experiment. Experimental results show that the optics homogenizer has achieved the transfer ratio of grooves (TRG) as 88.98% and also the optical uniformity as 68% with optical efficiency as 91.88%. Future study focuses on development of an optical homogenizer for LED light source.

  19. Fabrication of Microfiber Patterns with Ivy Shoot-Like Geometries Using Improved Electrospinning

    PubMed Central

    Jeong, Young Hun; Lee, Jongwan

    2016-01-01

    Fibers and fibrous structures are used extensively in various fields due to their many advantages. Microfibers, as well as nanofibers, are considered to be some of the most valuable forms of advanced materials. Accordingly, various methods for fabricating microfibers have been developed. Electrospinning is a useful fabrication method for continuous polymeric nano- and microfibers with attractive merits. However, this technique has limitations in its ability to control the geometry of fibrous structures. Herein, advanced electrospinning with direct-writing functionality was used to fabricate microfiber patterns with ivy shoot-like geometries after experimentally investigating the effects of the process conditions on the fiber formation. The surface properties of the fibers were also modified by introducing nanoscale pores through the use of higher levels of humidity during the fabrication process. PMID:28773390

  20. Fabrication of Microfiber Patterns with Ivy Shoot-Like Geometries Using Improved Electrospinning.

    PubMed

    Jeong, Young Hun; Lee, Jongwan

    2016-04-01

    Fibers and fibrous structures are used extensively in various fields due to their many advantages. Microfibers, as well as nanofibers, are considered to be some of the most valuable forms of advanced materials. Accordingly, various methods for fabricating microfibers have been developed. Electrospinning is a useful fabrication method for continuous polymeric nano- and microfibers with attractive merits. However, this technique has limitations in its ability to control the geometry of fibrous structures. Herein, advanced electrospinning with direct-writing functionality was used to fabricate microfiber patterns with ivy shoot-like geometries after experimentally investigating the effects of the process conditions on the fiber formation. The surface properties of the fibers were also modified by introducing nanoscale pores through the use of higher levels of humidity during the fabrication process.

  1. Economical Fabrication of Thick-Section Ceramic Matrix Composites

    NASA Technical Reports Server (NTRS)

    Babcock, Jason; Ramachandran, Gautham; Williams, Brian; Benander, Robert

    2010-01-01

    A method was developed for producing thick-section [>2 in. (approx.5 cm)], continuous fiber-reinforced ceramic matrix composites (CMCs). Ultramet-modified fiber interface coating and melt infiltration processing, developed previously for thin-section components, were used for the fabrication of CMCs that were an order of magnitude greater in thickness [up to 2.5 in. (approx.6.4 cm)]. Melt processing first involves infiltration of a fiber preform with the desired interface coating, and then with carbon to partially densify the preform. A molten refractory metal is then infiltrated and reacts with the excess carbon to form the carbide matrix without damaging the fiber reinforcement. Infiltration occurs from the inside out as the molten metal fills virtually all the available void space. Densification to <5 vol% porosity is a one-step process requiring no intermediate machining steps. The melt infiltration method requires no external pressure. This prevents over-infiltration of the outer surface plies, which can lead to excessive residual porosity in the center of the part. However, processing of thick-section components required modification of the conventional process conditions, and the means by which the large amount of molten metal is introduced into the fiber preform. Modification of the low-temperature, ultraviolet-enhanced chemical vapor deposition process used to apply interface coatings to the fiber preform was also required to accommodate the high preform thickness. The thick-section CMC processing developed in this work proved to be invaluable for component development, fabrication, and testing in two complementary efforts. In a project for the Army, involving SiC/SiC blisk development, nominally 0.8 in. thick x 8 in. diameter (approx. 2 cm thick x 20 cm diameter) components were successfully infiltrated. Blisk hubs were machined using diamond-embedded cutting tools and successfully spin-tested. Good ply uniformity and extremely low residual porosity (<2

  2. Design, fabrication and control of origami robots

    NASA Astrophysics Data System (ADS)

    Rus, Daniela; Tolley, Michael T.

    2018-06-01

    Origami robots are created using folding processes, which provide a simple approach to fabricating a wide range of robot morphologies. Inspired by biological systems, engineers have started to explore origami folding in combination with smart material actuators to enable intrinsic actuation as a means to decouple design from fabrication complexity. The built-in crease structure of origami bodies has the potential to yield compliance and exhibit many soft body properties. Conventional fabrication of robots is generally a bottom-up assembly process with multiple low-level steps for creating subsystems that include manual operations and often multiple iterations. By contrast, natural systems achieve elegant designs and complex functionalities using top-down parallel transformation approaches such as folding. Folding in nature creates a wide spectrum of complex morpho-functional structures such as proteins and intestines and enables the development of structures such as flowers, leaves and insect wings. Inspired by nature, engineers have started to explore folding powered by embedded smart material actuators to create origami robots. The design and fabrication of origami robots exploits top-down, parallel transformation approaches to achieve elegant designs and complex functionalities. In this Review, we first introduce the concept of origami robotics and then highlight advances in design principles, fabrication methods, actuation, smart materials and control algorithms. Applications of origami robots for a variety of devices are investigated, and future directions of the field are discussed, examining both challenges and opportunities.

  3. Process Optimization of Eco-Friendly Flame Retardant Finish for Cotton Fabric: a Response Surface Methodology Approach

    NASA Astrophysics Data System (ADS)

    Yasin, Sohail; Curti, Massimo; Behary, Nemeshwaree; Perwuelz, Anne; Giraud, Stephane; Rovero, Giorgio; Guan, Jinping; Chen, Guoqiang

    The n-methylol dimethyl phosphono propionamide (MDPA) flame retardant compounds are predominantly used for cotton fabric treatments with trimethylol melamine (TMM) to obtain better crosslinking and enhanced flame retardant properties. Nevertheless, such treatments are associated with a toxic issue of cancer-causing formaldehyde release. An eco-friendly finishing was used to get formaldehyde-free fixation of flame retardant to the cotton fabric. Citric acid as a crosslinking agent along with the sodium hypophosphite as a catalyst in the treatment was utilized. The process parameters of the treatment were enhanced for optimized flame retardant properties, in addition, low mechanical loss to the fabric by response surface methodology using Box-Behnken statistical design experiment methodology was achieved. The effects of concentrations on the fabric’s properties (flame retardancy and mechanical properties) were evaluated. The regression equations for the prediction of concentrations and mechanical properties of the fabric were also obtained for the eco-friendly treatment. The R-squared values of all the responses were above 0.95 for the reagents used, indicating the degree of relationship between the predicted values by the Box-Behnken design and the actual experimental results. It was also found that the concentration parameters (crosslinking reagents and catalysts) in the treatment formulation have a prime role in the overall performance of flame retardant cotton fabrics.

  4. Nanocarbon materials fabricated using plasmas

    NASA Astrophysics Data System (ADS)

    Hatakeyama, Rikizo

    2017-12-01

    Since the discovery of fullerenes more than three decades ago, new kinds of nanoscale materials of carbon allotropes called "nanocarbons" have so far been discovered or synthesized at successive intervals as cases such as carbon nanotubes, carbon nanohorns, graphene, carbon nanowalls, and a carbon nanobelt, while nanodiamonds were actually discovered before then. Their attractively excellent mechanical, physical, and chemical properties have driven researchers to continuously create one of the hottest frontiers in materials science and technology. While plasma states have often been involved in their discovery, on the other hand, plasma-based approaches to this exciting field originally hold promising and enormous potentials for advancing and expanding industrial/biomedical applications of nanocarbons of great diversity. This article provides an extensive overview on plasma-fabricated nanocarbon materials, where the term "fabrication" is defined as synthesis, functionalization, and assembly of devices to cover a wide range of issues associated with the step-by-step plasma processes. Specific attention has been paid to the comparative examination between plasma-based and non-plasma methods for fabricating the nanocarobons with an emphasis on the advantages of plasma processing, such as low-temperature/large-scale fabrication and diversity-carrying structure controllability. The review ends with current challenges and prospects including a ripple effect of the nanocarbon studies on the development of related novel nanomaterials such as transition metal dichalcogenides. It contains not only the latest progress in the field for cutting-edge scientists and engineers, but also the introductory guidance to non-specialists such as lower-class graduate students.

  5. Innovative layer-by-layer processing for flame retardant behavior of cotton fabric

    USDA-ARS?s Scientific Manuscript database

    Flame retardant behavior has been prepared by the layer-by layer assemblies of kaolin/casein with inorganic chemicals on cotton fabrics. Three different kinds of cotton fabrics (print cloth, mercerized print cloth, and mercerized twill fabric) were prepared with solutions of mixture of BPEI, urea, ...

  6. Fabricating Copper Nanotubes by Electrodeposition

    NASA Technical Reports Server (NTRS)

    Yang, E. H.; Ramsey, Christopher; Bae, Youngsam; Choi, Daniel

    2009-01-01

    Copper tubes having diameters between about 100 and about 200 nm have been fabricated by electrodeposition of copper into the pores of alumina nanopore membranes. Copper nanotubes are under consideration as alternatives to copper nanorods and nanowires for applications involving thermal and/or electrical contacts, wherein the greater specific areas of nanotubes could afford lower effective thermal and/or electrical resistivities. Heretofore, copper nanorods and nanowires have been fabricated by a combination of electrodeposition and a conventional expensive lithographic process. The present electrodeposition-based process for fabricating copper nanotubes costs less and enables production of copper nanotubes at greater rate.

  7. Design and process development of a photonic crystal polymer biosensor for point-of-care diagnostics

    NASA Astrophysics Data System (ADS)

    Dortu, F.; Egger, H.; Kolari, K.; Haatainen, T.; Furjes, P.; Fekete, Z.; Bernier, D.; Sharp, G.; Lahiri, B.; Kurunczi, S.; Sanchez, J.-C.; Turck, N.; Petrik, P.; Patko, D.; Horvath, R.; Eiden, S.; Aalto, T.; Watts, S.; Johnson, N. P.; De La Rue, R. M.; Giannone, D.

    2011-07-01

    In this work, we report advances in the fabrication and anticipated performance of a polymer biosensor photonic chip developed in the European Union project P3SENS (FP7-ICT4-248304). Due to the low cost requirements of point-ofcare applications, the photonic chip is fabricated from nanocomposite polymeric materials, using highly scalable nanoimprint- lithography (NIL). A suitable microfluidic structure transporting the analyte solutions to the sensor area is also fabricated in polymer and adequately bonded to the photonic chip. We first discuss the design and the simulated performance of a high-Q resonant cavity photonic crystal sensor made of a high refractive index polyimide core waveguide on a low index polymer cladding. We then report the advances in doped and undoped polymer thin film processing and characterization for fabricating the photonic sensor chip. Finally the development of the microfluidic chip is presented in details, including the characterisation of the fluidic behaviour, the technological and material aspects of the 3D polymer structuring and the stable adhesion strategies for bonding the fluidic and the photonic chips, with regards to the constraints imposed by the bioreceptors supposedly already present on the sensors.

  8. Clear Castable Polyurethane Elastomer for Fabrication of Microfluidic Devices

    PubMed Central

    Domansky, Karel; Leslie, Daniel C.; McKinney, James; Fraser, Jacob P.; Sliz, Josiah D.; Hamkins-Indik, Tiama; Hamilton, Geraldine A.; Bahinski, Anthony; Ingber, Donald E.

    2013-01-01

    Polydimethylsiloxane (PDMS) has numerous desirable properties for fabricating microfluidic devices, including optical transparency, flexibility, biocompatibility, and fabrication by casting; however, partitioning of small hydrophobic molecules into the bulk of PDMS hinders industrial acceptance of PDMS microfluidic devices for chemical processing and drug development applications. Here we describe an attractive alternative material that is similar to PDMS in terms of optical transparency, flexibility and castability, but that is also resistant to absorption of small hydrophobic molecules. PMID:23954953

  9. [Effect of fluoride concentration on the corrosion behavior of cobalt-chromium alloy fabricated by two different technology processes].

    PubMed

    Qiuxia, Yang; Ying, Yang; Han, Xu; Di, Wu; Ke, Guo

    2016-02-01

    This study aims to determine the effect of fluoride concentration on the corrosion behavior of cobalt-chromium alloy fabricated by two different technology processes in a simulated oral environment. A total of 15 specimens were employed with selective laser melting (SLM) and another 15 for traditional casting (Cast) in cobalt-chromium alloy powders and blocks with the same material composition. The corrosion behavior of the specimens was studied by potentiodynamic polarization test under different oral environments with varying solubilities of fluorine (0, 0.05%, and 0.20% for each) in acid artificial saliva (pH = 5.0). The specimens were soaked in fluorine for 24 h, and the surface microstructure was observed under a field emission scanning electron microscope after immersing the specimens in the test solution at constant temperature. The corrosion potential (Ecorr) value of the cobalt-chromium alloy cast decreased with increasing fluoride concentration in acidic artificial saliva. The Ecorr, Icorr, and Rp values of the cobalt-chromium alloy fabricated by two different technology processes changed significantly when the fluoride concentration was 0.20% (P < 0.05). The Ecorr, Icorr, and Rp values of the cobalt-chromium alloy fabricated by two different technology processes exhibited a statistically significant difference. The Icorr value of the cobalt-chromium alloy cast was higher than that in the SLM group cobalt-chromium alloy when the fluoride concentration was 0.20% (P < 0.05). The Ecorr, tRp alues of the cobalt-chromium alloy cast were lower htan those of the SLM group cobalt-chromium alloy when the fluoride concentration was 0.20% (P< 0 .05). Fluoride ions adversely affected the corrosion resistance of the cobalt-chromium alloy fabricated by two different technology processes. The corrosion resistance of the cobalt-chromium alloy cast was worse than that of the SLM group cobalt-chromium alloy when the fluoride concentration was 0.20%.

  10. A Combined Fabrication and Instrumentation Platform for Sample Preparation.

    PubMed

    Guckenberger, David J; Thomas, Peter C; Rothbauer, Jacob; LaVanway, Alex J; Anderson, Meghan; Gilson, Dan; Fawcett, Kevin; Berto, Tristan; Barrett, Kevin; Beebe, David J; Berry, Scott M

    2014-06-01

    While potentially powerful, access to molecular diagnostics is substantially limited in the developing world. Here we present an approach to reduced cost molecular diagnostic instrumentation that has the potential to empower developing world communities by reducing costs through streamlining the sample preparation process. In addition, this instrument is capable of producing its own consumable devices on demand, reducing reliance on assay suppliers. Furthermore, this instrument is designed with an "open" architecture, allowing users to visually observe the assay process and make modifications as necessary (as opposed to traditional "black box" systems). This open environment enables integration of microfluidic fabrication and viral RNA purification onto an easy-to-use modular system via the use of interchangeable trays. Here we employ this system to develop a protocol to fabricate microfluidic devices and then use these devices to isolate viral RNA from serum for the measurement of human immunodeficiency virus (HIV) viral load. Results obtained from this method show significantly reduced error compared with similar nonautomated sample preparation processes. © 2014 Society for Laboratory Automation and Screening.

  11. Process science development at the Center for Optics Manufacturing

    NASA Astrophysics Data System (ADS)

    Pollicove, Harvey M.; Moore, Duncan T.; Golini, Donald

    1992-01-01

    The Center for Optics Manufacturing (COM) has organized a volunteer Process Science Committee that will cooperate in advancing the optical manufacturing sciences. The objective is to develop technical information and processes that improve manufacturing capability, especially in grinding and polishing technology. Chaired by Donald Golini of Litton Itek Optical Systems, the committee members are volunteers from several American Precision Optics Manufacturers Association (APOMA) companies and institutions. Many of the companies are also funding project elements. The committee will accelerate industry progress by integrating the research and development activities of cooperating APOMA companies and institutions involved in both COM and independent programs. In the short term, the effort concentrates on grinding and polishing process innovation. In later phases, the effort will aid in the design future generations of machines and processes. While the developments are directly adaptable to COM's OPTICAM program, the results will influence a wide range of innovation and application in all methods of optical fabrication. Several leaders in the field are participating in the research and development effort--Boston University, Eastman Kodak Company, Hughes Leitz Optical Technologies, Lawrence Livermore National Laboratory, Litton Itek Optical Systems, Melles Griot, Optical Components Inc., Precision Optical, Rank Pneumo, Schott Glass Technologies, Solution Technology, Texas Instruments, Tropel, and the universities of Arizona and Rochester. Other APOMA member companies will participate as resource needs grow. The collaboration is unique in the industry's history.

  12. Analysis of knitted fabric reinforced flexible composites and applications in thermoforming

    NASA Astrophysics Data System (ADS)

    Bekisli, Burak

    In this study, large deformation behavior of knitted fabric reinforced composites is investigated. In order to fully utilize the unique stretchability of knitted fabric reinforcements, elastomeric materials are used as the matrix material, resulting in "flexible composites" capable of reaching several hundred percent stretch before failing. These non-traditional composites are ideal candidates for many engineering applications where large deformation is desired, including energy/impact absorption and novel forming processes. A multi-level nonlinear finite element (FE) procedure is developed to analyze the deformation behavior of plain weft-knitted fabrics and the composites derived from these materials. The hierarchy of the model is composed of a 3D unit cell analysis (micro/meso-scale) and a 2D global analysis (macro scale). Using results from different numerical experiments performed in the micro/meso scale, a mechanical behavior database of knit fabric geometries is constructed, both for the uniaxial and biaxial stretch cases. Through an optimization procedure, these results are used to determine the mechanical properties of nonlinear truss elements needed for modeling in the macro scale. A hexagonal honeycomb structure, which closely resembles the knit fabric architecture, is formed using these nonlinear trusses. This truss structure is then used to efficiently model a large number of loops generally found in a fabric. Results from uniaxial experimental measurements are presented for knitted fabrics to validate the FE model. Appropriate hyperelastic material models are determined for the elastomeric matrix, using a curve fit to experimental data. Examples of raw fabric and composite deformation simulations in the global scale are presented in this study. Two types of composites are studied experimentally and numerically: (1) knitted fabric embedded in an elastomeric medium, and (2) the sandwich type composites with elastomeric skins and fabric core. The strain

  13. Printing-based fabrication method using sacrificial paper substrates for flexible and wearable microfluidic devices

    NASA Astrophysics Data System (ADS)

    Chung, Daehan; Gray, Bonnie L.

    2017-11-01

    We present a simple, fast, and inexpensive new printing-based fabrication process for flexible and wearable microfluidic channels and devices. Microfluidic devices are fabricated on textiles (fabric) for applications in clothing-based wearable microfluidic sensors and systems. The wearable and flexible microfluidic devices are comprised of water-insoluable screen-printable plastisol polymer. Sheets of paper are used as sacrificial substrates for multiple layers of polymer on the fabric’s surface. Microfluidic devices can be made within a short time using simple processes and inexpensive equipment that includes a laser cutter and a thermal laminator. The fabrication process is characterized to demonstrate control of microfluidic channel thickness and width. Film thickness smaller than 100 micrometers and lateral dimensions smaller than 150 micrometers are demonstrated. A flexible microfluidic mixer is also developed on fabric and successfully tested on both flat and curved surfaces at volumetric flow rates ranging from 5.5-46 ml min-1.

  14. Fabrication of absorption gratings with X-ray lithography for X-ray phase contrast imaging

    NASA Astrophysics Data System (ADS)

    Wang, Bo; Wang, Yu-Ting; Yi, Fu-Ting; Zhang, Tian-Chong; Liu, Jing; Zhou, Yue

    2018-05-01

    Grating-based X-ray phase contrast imaging is promising especially in the medical area. Two or three gratings are involved in grating-based X-ray phase contrast imaging in which the absorption grating of high-aspect-ratio is the most important device and the fabrication process is a great challenge. The material with large atomic number Z is used to fabricate the absorption grating for excellent absorption of X-ray, and Au is usually used. The fabrication process, which involves X-ray lithography, development and gold electroplating, is described in this paper. The absorption gratings with 4 μm period and about 100 μm height are fabricated and the high-aspect-ratio is 50.

  15. Examining the Roles of Emulsion Droplet Size and Surfactant in the Interfacial Instability-Based Fabrication Process of Micellar Nanocrystals

    NASA Astrophysics Data System (ADS)

    Sun, Yuxiang; Mei, Ling; Han, Ning; Ding, Xinyi; Yu, Caihao; Yang, Wenjuan; Ruan, Gang

    2017-06-01

    The interfacial instability process is an emerging general method to fabricate nanocrystal-encapsulated micelles (also called micellar nanocrystals) for biological detection, imaging, and therapy. The present work utilized fluorescent semiconductor nanocrystals (quantum dots or QDs) as the model nanocrystals to investigate the interfacial instability-based fabrication process of nanocrystal-encapsulated micelles. Our experimental results suggest intricate and intertwined roles of the emulsion droplet size and the surfactant poly (vinyl alcohol) (PVA) used in the fabrication process of QD-encapsulated poly (styrene-b-ethylene glycol) (PS-PEG) micelles. When no PVA is used, no emulsion droplet and thus no micelle is successfully formed; Emulsion droplets with large sizes ( 25 μm) result in two types of QD-encapsulated micelles, one of which is colloidally stable QD-encapsulated PS-PEG micelles while the other of which is colloidally unstable QD-encapsulated PVA micelles; In contrast, emulsion droplets with small sizes ( 3 μm or smaller) result in only colloidally stable QD-encapsulated PS-PEG micelles. The results obtained in this work not only help to optimize the quality of nanocrystal-encapsulated micelles prepared by the interfacial instability method for biological applications but also offer helpful new knowledge on the interfacial instability process in particular and self-assembly in general.

  16. Fabrication of multilayered conductive polymer structures via selective visible light photopolymerization

    NASA Astrophysics Data System (ADS)

    Cullen, Andrew T.; Price, Aaron D.

    2017-04-01

    Electropolymerization of pyrrole is commonly employed to fabricate intrinsically conductive polymer films that exhibit desirable electromechanical properties. Due to their monolithic nature, electroactive polypyrrole films produced via this process are typically limited to simple linear or bending actuation modes, which has hindered their application in complex actuation tasks. This initiative aims to develop the specialized fabrication methods and polymer formulations required to realize three-dimensional conductive polymer structures capable of more elaborate actuation modes. Our group has previously reported the application of the digital light processing additive manufacturing process for the fabrication of three-dimensional conductive polymer structures using ultraviolet radiation. In this investigation, we further expand upon this initial work and present an improved polymer formulation designed for digital light processing additive manufacturing using visible light. This technology enables the design of novel electroactive polymer sensors and actuators with enhanced capabilities and brings us one step closer to realizing more advanced electroactive polymer enabled devices.

  17. Compact Layers of Hybrid Halide Perovskites Fabricated via the Aerosol Deposition Process-Uncoupling Material Synthesis and Layer Formation.

    PubMed

    Panzer, Fabian; Hanft, Dominik; Gujar, Tanaji P; Kahle, Frank-Julian; Thelakkat, Mukundan; Köhler, Anna; Moos, Ralf

    2016-04-08

    We present the successful fabrication of CH₃NH₃PbI₃ perovskite layers by the aerosol deposition method (ADM). The layers show high structural purity and compactness, thus making them suitable for application in perovskite-based optoelectronic devices. By using the aerosol deposition method we are able to decouple material synthesis from layer processing. Our results therefore allow for enhanced and easy control over the fabrication of perovskite-based devices, further paving the way for their commercialization.

  18. Electromagnetic microforging apparatus for low-cost fabrication of molds for microlens arrays

    NASA Astrophysics Data System (ADS)

    Pribošek, Jaka; Diaci, Janez

    2015-06-01

    This study addresses the problem of low-cost microlens fabrication and outlines the development of a novel microforging apparatus for microlens mold fabrication. The apparatus consists of an electromagnetic impact tool which strikes a piston with a hardened steel ball into a workpiece. The impact creates a spherical indentation which serves as a lens cavity. The microforging apparatus is controlled by a microprocessor control unit communicating with a personal computer and enables on-the-fly variation of electromagnetic excitation to control the microforging process. We studied the effects of process parameters on the diameter of the fabricated lens cavities inspected by a custom automatic image processing algorithm. Different microforging regimes are analyzed and discussed. The surface quality of fabricated cavities has been inspected by confocal microscopy and the influence of fill factor on sphericity error has been studied. The proposed microforging method enables the fabrication of molds with 100% fill factor, surface roughness as low as Ra 0.15 µm and sphericity error lower than 0.5 µm. The fabricated microlens arrays exhibit nearly diffraction-limited performance, offering a wide range of possible applications. We believe this study provides access to microoptical technology for smaller optical and computer vision laboratories.

  19. Using a micro-molding process to fabricate polymeric wavelength filters

    NASA Astrophysics Data System (ADS)

    Chuang, Wei-Ching; Lee, An-Chen; Ho, Chi-Ting

    2008-08-01

    A procedure for fabricating a high aspect ratio periodic structure on a UV polymer at submicron order using holographic interferometry and molding processes is described. First, holographic interferometry using a He-Cd (325 nm) laser was used to create the master of the periodic line structure on an i-line sub-micron positive photoresist film. A 20 nm nickel thin film was then sputtered on the photoresist. The final line pattern on a UV polymer was obtained from casting against the master mold. Finally, a SU8 polymer was spun on the polymer grating to form a planar waveguide or a channel waveguide. The measurement results show that the waveguide length could be reduced for the waveguide having gratings with a high aspect ratio.

  20. An integrated optical CO2 sensor. Phase 0: Design and fabrication of critical elements

    NASA Technical Reports Server (NTRS)

    Murphy, Michael C.; Kelly, Kevin W.; Li, B. Q.; Ma, EN; Wang, Wanjun; Vladimirsky, Yuli; Vladimirsky, Olga

    1994-01-01

    Significant progress has been made toward all of the goals for the first phase of the project short of actual fabrication of a light path. Two alternative approaches to fabricating gold mirrors using the basic LIGA process were developed, one using electroplated solid gold mirrors and the second using gold plated over a nickel base. A new method of fabrication, the transfer mask process, was developed and demonstrated. Analysis of the projected surface roughness and beam divergence effects was completed. With gold surface with low surface roughness scattering losses are expected to be insignificant. Beam divergence due to diffraction will require a modification of the original design, but should be eliminated by fabricating mirrors 1000 mu m in height by 1000 mu m in width and using a source with an initial beam radius greater than 300 mu m. This may eliminate any need for focusing optics. Since the modified design does not affect the mask layout, ordering of the mask and fabrication of the test structures can begin immediately at the start of Phase 1.

  1. Fabrication and Microstructure of Hydroxyapatite Coatings on Zirconia by Room Temperature Spray Process.

    PubMed

    Seo, Dong Seok; Chae, Hak Cheol; Lee, Jong Kook

    2015-08-01

    Hydroxyapatite coatings were fabricated on zirconia substrates by a room temperature spray process and were investigated with regards to their microstructure, composition and dissolution in water. An initial hydroxyapatite powder was prepared by heat treatment of bovine-bone derived powder at 1100 °C for 2 h, while dense zirconia substrates were fabricated by pressing 3Y-TZP powder and sintering it at 1350 °C for 2 h. Room temperature spray coating was performed using a slit nozzle in a low pressure-chamber with a controlled coating time. The phase composition of the resultant hydroxyapatite coatings was similar to that of the starting powder, however, the grain size of the hydroxyapatite particles was reduced to about 100 nm due to their formation by particle impaction and fracture. All areas of the coating had a similar morphology, consisting of reticulated structure with a high surface roughness. The hydroxyapatite coating layer exhibited biostability in a stimulated body fluid, with no severe dissolution being observed during in vitro experimentation.

  2. Fabrication of Glassy and Crystalline Ferroelectric Oxide by Containerless Processing

    NASA Astrophysics Data System (ADS)

    Yoda, Shinichi

    1. Instruction Much effort has been devoted to forming bulk glass from the melt of ferroelectric crystalline materials without adding any network-forming oxides such as SiO2 due to the potential for producing transparent glass ceramics with high dielectric constant and enhanced piezoelectric, pyroelectric and electro-optic effects. However, they require a higher cooling rate than glass formed by conventional techniques. Therefore, only amorphous thin-films have been formed, using rapid quenching with a cooling rate >105 K/s. The containerless processing is an attractive synthesis technique as it can prevent melt contamination, minimize heterogeneous nucleation, and allow melt to achieve deep undercooling for forming metastable phase and glassy material. Recently a new ferroelectric materiel, monoclinic BaTi2 O5 , with Currie temperature as 747 K was reported. In this study, we fabricated a bulk BaTi2 O5 glass from melt using containerless processing to study the phase relations and ferroelectric properties of BaTi2 O5 . To our knowledge, this was the first time that a bulk glass of ferroelectric material was fabricated from melt without adding any network-forming oxide. 2. Experiments BaTi2 O5 sphere glass with 2mm diameter was fabricated using containerless processing in an Aerodynamic Levitation Furnace (ALF). The containerless processing allowed the melt to achieve deep undercooling for glass forming. High purity commercial BaTiO3 and TiO2 powders were mixed with a mole ratio of 1:1 and compressed into rods and then sintered at 1427 K for 10 h. Bulk samples with a mass of about 20 mg were cut from the rod, levitated with the ALF, and then melted by a CO2 laser beam. After quenching with a cooling rate of about 1000 K/s, 2 mm diameter sphere glass could be obtained. To analyze the glass structure, a high-energy x-ray diffraction experiment was performed using an incident photon energy of 113.5 keV at the high-energy x-ray diffraction beamline BL04B2 of SPring-8

  3. Quantum Dot Solar Cell Fabrication Protocols

    DOE PAGES

    Chernomordik, Boris D.; Marshall, Ashley R.; Pach, Gregory F.; ...

    2016-09-26

    Colloidally synthesized quantum-confined semiconducting spherical nanocrystals, often referred to as quantum dots (QDs), offer a high degree of chemical, optical, and electronic tunability. As a result, there is an increasing interest in employing colloidal QDs for electronic and optical applications that is reflected in a growing number of publications. In this protocol we provide detailed procedures for the fabrication of QD solar cells specifically employing PbSe and PbS QDs. Here we include details that are learned through experience, beyond those in typical methodology sections, and include example pictures and videos to aid in fabricating QD solar cells. Although successful solarmore » cell fabrication is ultimately learned through experience, this protocol is intended to accelerate that process. The protocol developed here is intended to be a general starting point for developing PbS and PbSe QD test bed solar cells. We include steps for forming conductive QD films via dip coating as well as spin coating. Finally, we provide protocols that detail the synthesis of PbS and PbSe QDs through a unique cation exchange reaction and discuss how different QD synthetic routes could impact the resulting solar cell performance.« less

  4. Quantum Dot Solar Cell Fabrication Protocols

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chernomordik, Boris D.; Marshall, Ashley R.; Pach, Gregory F.

    Colloidally synthesized quantum-confined semiconducting spherical nanocrystals, often referred to as quantum dots (QDs), offer a high degree of chemical, optical, and electronic tunability. As a result, there is an increasing interest in employing colloidal QDs for electronic and optical applications that is reflected in a growing number of publications. In this protocol we provide detailed procedures for the fabrication of QD solar cells specifically employing PbSe and PbS QDs. Here we include details that are learned through experience, beyond those in typical methodology sections, and include example pictures and videos to aid in fabricating QD solar cells. Although successful solarmore » cell fabrication is ultimately learned through experience, this protocol is intended to accelerate that process. The protocol developed here is intended to be a general starting point for developing PbS and PbSe QD test bed solar cells. We include steps for forming conductive QD films via dip coating as well as spin coating. Finally, we provide protocols that detail the synthesis of PbS and PbSe QDs through a unique cation exchange reaction and discuss how different QD synthetic routes could impact the resulting solar cell performance.« less

  5. CMOS compatible fabrication process of MEMS resonator for timing reference and sensing application

    NASA Astrophysics Data System (ADS)

    Huynh, Duc H.; Nguyen, Phuong D.; Nguyen, Thanh C.; Skafidas, Stan; Evans, Robin

    2015-12-01

    Frequency reference and timing control devices are ubiquitous in electronic applications. There is at least one resonator required for each of this device. Currently electromechanical resonators such as crystal resonator, ceramic resonator are the ultimate choices. This tendency will probably keep going for many more years. However, current market demands for small size, low power consumption, cheap and reliable products, has divulged many limitations of this type of resonators. They cannot be integrated into standard CMOS (Complement metaloxide- semiconductor) IC (Integrated Circuit) due to material and fabrication process incompatibility. Currently, these devices are off-chip and they require external circuitries to interface with the ICs. This configuration significantly increases the overall size and cost of the entire electronic system. In addition, extra external connection, especially at high frequency, will potentially create negative impacts on the performance of the entire system due to signal degradation and parasitic effects. Furthermore, due to off-chip packaging nature, these devices are quite expensive, particularly for high frequency and high quality factor devices. To address these issues, researchers have been intensively studying on an alternative for type of resonator by utilizing the new emerging MEMS (Micro-electro-mechanical systems) technology. Recent progress in this field has demonstrated a MEMS resonator with resonant frequency of 2.97 GHz and quality factor (measured in vacuum) of 42900. Despite this great achievement, this prototype is still far from being fully integrated into CMOS system due to incompatibility in fabrication process and its high series motional impedance. On the other hand, fully integrated MEMS resonator had been demonstrated but at lower frequency and quality factor. We propose a design and fabrication process for a low cost, high frequency and a high quality MEMS resonator, which can be integrated into a standard

  6. Supportability of a High-Yield-Stress Slurry in a New Stereolithography-Based Ceramic Fabrication Process

    NASA Astrophysics Data System (ADS)

    He, Li; Song, Xuan

    2018-03-01

    In recent years, ceramic fabrication using stereolithography (SLA) has gained in popularity because of its high accuracy and density that can be achieved in the final part of production. One of the key challenges in ceramic SLA is that support structures are required for building overhanging features, whereas removing these support structures without damaging the components is difficult. In this research, a suspension-enclosing projection-stereolithography process is developed to overcome this challenge. This process uses a high-yield-stress ceramic slurry as the feedstock material and exploits the elastic force of the material to support overhanging features without the need for building additional support structures. Ceramic slurries with different solid loadings are studied to identify the rheological properties most suitable for supporting overhanging features. An analytical model of a double doctor-blade module is established to obtain uniform and thin recoating layers from a high-yield-stress slurry. Several test cases highlight the feasibility of using a high-yield-stress slurry to support overhanging features in SLA.

  7. Development of a technology for fabricating low-cost parallel optical interconnects

    NASA Astrophysics Data System (ADS)

    Van Steenberge, Geert; Hendrickx, Nina; Geerinck, Peter; Bosman, Erwin; Van Put, Steven; Van Daele, Peter

    2006-04-01

    We present a fabrication technology for integrating polymer waveguides and 45° micromirror couplers into standard electrical printed circuit boards (PCBs). The most critical point that is being addressed is the low-cost manufacturing and the compatibility with current PCB production. The latter refers to the processes as well as material compatibility. In the fist part the waveguide fabrication technology is discussed, both photo lithography and laser ablation are proposed. It is shown that a frequency tripled Nd-YAG laser (355 nm) offers a lot of potential for defining single mode interconnections. Emphasis is on multimode waveguides, defined by KrF excimer laser (248 nm) ablation using acrylate polymers. The first conclusion out of loss spectrum measurements is a 'yellowing effect' of laser ablated waveguides, leading to an increased loss at shorter wavelengths. The second important conclusion is a potential low loss at a wavelength of 850 nm, 980 nm and 1310 nm. This is verified at 850 nm by cut-back measurements on 10-cm-long waveguides showing an average propagation loss of 0.13 dB/cm. Photo lithographically defined waveguides using inorganic-organic hybrid polymers show an attenuation loss of 0.15 dB/cm at 850 nm. The generation of debris and the presence of microstructures are two main concerns for KrF excimer laser ablation of hybrid polymers. In the second part a process for embedding metal coated 45° micromirrors in optical waveguiding layers is described. Mirrors are selectively metallized using a lift-off process. Filling up the angled via without the presence of air bubbles and providing a flat surface above the mirror is only possible by enhancing the cladding deposition process with ultrasound agitation. Initial loss measurements indicate an excess mirror loss of 1.5 dB.

  8. Method for Fabricating Composite Structures Using Continuous Press Forming

    NASA Technical Reports Server (NTRS)

    Farley, Gary L. (Inventor)

    1997-01-01

    A method for fabricating composite structures at a low-cost. moderate-to-high production rate. A first embodiment of the method includes employing a continuous press forming fabrication process. A second embodiment of the method includes employing a pultrusion process for obtaining composite structures. The methods include coating yarns with matrix material, weaving the yarn into fabric to produce a continuous fabric supply and feeding multiple layers of net-shaped fabrics having optimally oriented fibers into a debulking tool to form an undebulked preform. The continuous press forming fabrication process includes partially debulking the preform, cutting the partially debulked preform and debulking the partially debulked preform to form a net-shape. An electron-beam or similar technique then cures the structure. The pultrusion fabric process includes feeding the undebulked preform into a heated die and gradually debulking the undebulked preform. The undebulked preform in the heated die changes dimension until a desired cross-sectional dimension is achieved. This process further includes obtaining a net-shaped infiltrated uncured preform, cutting the uncured preform to a desired length and electron-beam curing (or similar technique) the uncured preform. These fabrication methods produce superior structures formed at higher production rates. resulting in lower cost and high structural performance.

  9. Design and fabrication of a novel self-powered solid-state neutron detector

    NASA Astrophysics Data System (ADS)

    LiCausi, Nicholas

    key advantages of the novel devices are theoretical neutron detection efficiency of ˜48%, a self-passivating structure that reduces leakage current and detector operation with no bias resulting in extremely low device noise. Processes required to fabricate the 3D type detector were explored and developed in this thesis. The detector capacitance and processing steps have been simulated with MEDICI and TSuprem-4, respectively. Lithography masks were then designed using Cadence. The fabrication process development was conducted in line with standard CMOS grade integrated circuit processing to allow for simple integration with existing fabrication facilities. A number of new processes were developed including the low pressure chemical vapor deposition of conformal boron films using diborane on very high aspect-ratio trenches and holes. Development also included methods for "wet" chemical etching and "dry" reactive ion etching of the deposited boron films. Fabricated detectors were characterized with the transmission line method, 4-point probe, I-V measurements and C-V measurements. Finally the detector response to thermal neutrons was studied. Characterization has shown significant reduction in reverse leakage current density to ˜8x10-8 A/cm2 (nearly 4 orders of magnitude over the previously published data). Results show that the fabrication process developed is capable of producing efficient (˜22.5%) solid-state thermal neutron detectors.

  10. High volume fabrication of laser targets using MEMS techniques

    NASA Astrophysics Data System (ADS)

    Spindloe, C.; Arthur, G.; Hall, F.; Tomlinson, S.; Potter, R.; Kar, S.; Green, J.; Higginbotham, A.; Booth, N.; Tolley, M. K.

    2016-04-01

    The latest techniques for the fabrication of high power laser targets, using processes developed for the manufacture of Micro-Electro-Mechanical System (MEMS) devices are discussed. These laser targets are designed to meet the needs of the increased shot numbers that are available in the latest design of laser facilities. Traditionally laser targets have been fabricated using conventional machining or coarse etching processes and have been produced in quantities of 10s to low 100s. Such targets can be used for high complexity experiments such as Inertial Fusion Energy (IFE) studies and can have many complex components that need assembling and characterisation with high precision. Using the techniques that are common to MEMS devices and integrating these with an existing target fabrication capability we are able to manufacture and deliver targets to these systems. It also enables us to manufacture novel targets that have not been possible using other techniques. In addition, developments in the positioning systems that are required to deliver these targets to the laser focus are also required and a system to deliver the target to a focus of an F2 beam at 0.1Hz is discussed.

  11. Fabricating PFPE Membranes for Capillary Electrophoresis

    NASA Technical Reports Server (NTRS)

    Lee, Michael C.; Willis, Peter A.; Greer, Frank; Rolland, Jason

    2009-01-01

    A process has been developed for fabricating perfluoropolyether (PFPE) membranes that contain microscopic holes of precise sizes at precise locations. The membranes are to be incorporated into laboratory-on-a-chip microfluidic devices to be used in performing capillary electrophoresis. The present process is a modified version of part of the process, described in the immediately preceding article, that includes a step in which a liquid PFPE layer is cured into solid (membrane) form by use of ultraviolet light. In the present process, one exploits the fact that by masking some locations to prevent exposure to ultraviolet light, one can prevent curing of the PFPE in those locations. The uncured PFPE can be washed away from those locations in the subsequent release and cleaning steps. Thus, holes are formed in the membrane in those locations. The most straightforward way to implement the modification is to use, during the ultraviolet-curing step, an ultraviolet photomask similar to the photomasks used in fabricating microelectronic devices. In lieu of such a photomask, one could use a mask made of any patternable ultraviolet-absorbing material (for example, an ink or a photoresist).

  12. Silicon solar cell process development, fabrication and analysis

    NASA Technical Reports Server (NTRS)

    Iles, P. A.; Leung, D. C.

    1982-01-01

    For UCP Si, randomly selected wafers and wafers cut from two specific ingots were studied. For the randomly selected wafers, a moderate gettering diffusion had little effect. Moreover, an efficiency up to 14% AMI was achieved with advanced processes. For the two specific UCP ingots, ingot #5848-13C displayed severe impurity effects as shown by lower 3sc in the middle of the ingot and low CFF in the top of the ingot. Also the middle portions of this ingot responded to a series of progressively more severe gettering diffusion. Unexplained was the fact that severely gettered samples of this ingot displayed a negative light biased effect on the minority carrier diffusion length while the nongettered or moderately gettered ones had the more conventional positive light biased effect on diffusion length. On the other hand, ingot C-4-21A did not have the problem of ingot 5848-13C and behaved like to the randomly selected wafers. The top half of the ingot was shown to be slightly superior to the bottom half, but moderate gettering helped to narrow the gap.

  13. Investigation of fabrication process for sub 20-nm dense pattern of non-chemically amplified electron beam resist based on acrylic polymers

    NASA Astrophysics Data System (ADS)

    Ochiai, Shunsuke; Takayama, Tomohiro; Kishimura, Yukiko; Asada, Hironori; Sonoda, Manae; Iwakuma, Minako; Hoshino, Ryoichi

    2016-10-01

    In this study, we examine exposure characteristics of a positive tone electron beam resist consisting of methyl α- chloroacrylate and α-methylstyrene by changing the development process conditions. 25/25 nm and 30/30 nm line-andspace (L/S) patterns (design value) are developed in amyl and heptyl acetates. The resist patterns developed at 0ºC for 120 s show the better shapes having the vertical sidewalls than those developed at 22 °C for 60 s. The dose margins of pattern formation for 0°C development become wider, although the sensitivities are lower. The effect of post exposure baking (PEB) on exposure characteristics is also investigated. Adding PEB process performed at 120°C for 2 min, the dose margin also becomes wider although the sensitivity is lower. 20/20 nm L/S patterns are fabricated by using PEB and/or 0°C development. Though the required exposure dose is larger, the resist pattern is improved by PEB and/or 0°C development. The formation of 35 nm pitch pattern is also presented.

  14. Robotic Processing Of Rocket-Engine Nozzles

    NASA Technical Reports Server (NTRS)

    Gilbert, Jeffrey L.; Maslakowski, John E.; Gutow, David A.; Deily, David C.

    1994-01-01

    Automated manufacturing cell containing computer-controlled robotic processing system developed to implement some important related steps in fabrication of rocket-engine nozzles. Performs several tedious and repetitive fabrication, measurement, adjustment, and inspection processes and subprocesses now performed manually. Offers advantages of reduced processing time, greater consistency, excellent collection of data, objective inspections, greater productivity, and simplified fixturing. Also affords flexibility: by making suitable changes in hardware and software, possible to modify process and subprocesses. Flexibility makes work cell adaptable to fabrication of heat exchangers and other items structured similarly to rocket nozzles.

  15. Fabrication of an infrared Shack-Hartmann sensor by combining high-speed single-point diamond milling and precision compression molding processes.

    PubMed

    Zhang, Lin; Zhou, Wenchen; Naples, Neil J; Yi, Allen Y

    2018-05-01

    A novel fabrication method by combining high-speed single-point diamond milling and precision compression molding processes for fabrication of discontinuous freeform microlens arrays was proposed. Compared with slow tool servo diamond broaching, high-speed single-point diamond milling was selected for its flexibility in the fabrication of true 3D optical surfaces with discontinuous features. The advantage of single-point diamond milling is that the surface features can be constructed sequentially by spacing the axes of a virtual spindle at arbitrary positions based on the combination of rotational and translational motions of both the high-speed spindle and linear slides. By employing this method, each micro-lenslet was regarded as a microstructure cell by passing the axis of the virtual spindle through the vertex of each cell. An optimization arithmetic based on minimum-area fabrication was introduced to the machining process to further increase the machining efficiency. After the mold insert was machined, it was employed to replicate the microlens array onto chalcogenide glass. In the ensuing optical measurement, the self-built Shack-Hartmann wavefront sensor was proven to be accurate in detecting an infrared wavefront by both experiments and numerical simulation. The combined results showed that precision compression molding of chalcogenide glasses could be an economic and precision optical fabrication technology for high-volume production of infrared optics.

  16. Fabrication development for high-level nuclear waste containers for the tuff repository; Phase 1 final report

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Domian, H.A.; Holbrook, R.L.; LaCount, D.F.

    1990-09-01

    This final report completes Phase 1 of an engineering study of potential manufacturing processes for the fabrication of containers for the long-term storage of nuclear waste. An extensive literature and industry review was conducted to identify and characterize various processes. A technical specification was prepared using the American Society of Mechanical Engineers Boiler & Pressure Vessel Code (ASME BPVC) to develop the requirements. A complex weighting and evaluation system was devised as a preliminary method to assess the processes. The system takes into account the likelihood and severity of each possible failure mechanism in service and the effects of variousmore » processes on the microstructural features. It is concluded that an integral, seamless lower unit of the container made by back extrusion has potential performance advantages but is also very high in cost. A welded construction offers lower cost and may be adequate for the application. Recommendations are made for the processes to be further evaluated in the next phase when mock-up trials will be conducted to address key concerns with various processes and materials before selecting a primary manufacturing process. 43 refs., 26 figs., 34 tabs.« less

  17. Single step sequential polydimethylsiloxane wet etching to fabricate a microfluidic channel with various cross-sectional geometries

    NASA Astrophysics Data System (ADS)

    Wang, C.-K.; Liao, W.-H.; Wu, H.-M.; Lo, Y.-H.; Lin, T.-R.; Tung, Y.-C.

    2017-11-01

    Polydimethylsiloxane (PDMS) has become a widely used material to construct microfluidic devices for various biomedical and chemical applications due to its desirable material properties and manufacturability. PDMS microfluidic devices are usually fabricated using soft lithography replica molding methods with master molds made of photolithogrpahy patterned photoresist layers on silicon wafers. The fabricated microfluidic channels often have rectangular cross-sectional geometries with single or multiple heights. In this paper, we develop a single step sequential PDMS wet etching process that can be used to fabricate microfluidic channels with various cross-sectional geometries from single-layer PDMS microfluidic channels. The cross-sections of the fabricated channel can be non-rectangular, and varied along the flow direction. Furthermore, the fabricated cross-sectional geometries can be numerically simulated beforehand. In the experiments, we fabricate microfluidic channels with various cross-sectional geometries using the developed technique. In addition, we fabricate a microfluidic mixer with alternative mirrored cross-sectional geometries along the flow direction to demonstrate the practical usage of the developed technique.

  18. Fabrication of Circuit QED Quantum Processors, Part 2: Advanced Semiconductor Manufacturing Perspectives

    NASA Astrophysics Data System (ADS)

    Michalak, D. J.; Bruno, A.; Caudillo, R.; Elsherbini, A. A.; Falcon, J. A.; Nam, Y. S.; Poletto, S.; Roberts, J.; Thomas, N. K.; Yoscovits, Z. R.; Dicarlo, L.; Clarke, J. S.

    Experimental quantum computing is rapidly approaching the integration of sufficient numbers of quantum bits for interesting applications, but many challenges still remain. These challenges include: realization of an extensible design for large array scale up, sufficient material process control, and discovery of integration schemes compatible with industrial 300 mm fabrication. We present recent developments in extensible circuits with vertical delivery. Toward the goal of developing a high-volume manufacturing process, we will present recent results on a new Josephson junction process that is compatible with current tooling. We will then present the improvements in NbTiN material uniformity that typical 300 mm fabrication tooling can provide. While initial results on few-qubit systems are encouraging, advanced processing control is expected to deliver the improvements in qubit uniformity, coherence time, and control required for larger systems. Research funded by Intel Corporation.

  19. Space Construction Automated Fabrication Experiment Definition Study (SCAFEDS). Volume 1: Executive summary

    NASA Technical Reports Server (NTRS)

    1978-01-01

    The techniques, processes, and equipment required for automatic fabrication and assembly of structural elements in space using the space shuttle as a launch vehicle and construction base were investigated. Additional construction/systems/operational techniques, processes, and equipment which can be developed/demonstrated in the same program to provide further risk reduction benefits to future large space systems were included. Results in the areas of structure/materials, fabrication systems (beam builder, assembly jig, and avionics/controls), mission integration, and programmatics are summarized. Conclusions and recommendations are given.

  20. Fabrication methods for YF-12 wing panels for the Supersonic Cruise Aircraft Research Program

    NASA Technical Reports Server (NTRS)

    Hoffman, E. L.; Payne, L.; Carter, A. L.

    1975-01-01

    Advanced fabrication and joining processes for titanium and composite materials are being investigated by NASA to develop technology for the Supersonic Cruise Aircraft Research (SCAR) Program. With Lockheed-ADP as the prime contractor, full-scale structural panels are being designed and fabricated to replace an existing integrally stiffened shear panel on the upper wing surface of the NASA YF-12 aircraft. The program involves ground testing and Mach 3 flight testing of full-scale structural panels and laboratory testing of representative structural element specimens. Fabrication methods and test results for weldbrazed and Rohrbond titanium panels are discussed. The fabrication methods being developed for boron/aluminum, Borsic/aluminum, and graphite/polyimide panels are also presented.

  1. Fabrication of rectangular cross-sectional microchannels on PMMA with a CO2 laser and underwater fabricated copper mask

    NASA Astrophysics Data System (ADS)

    Prakash, Shashi; Kumar, Subrata

    2017-09-01

    CO2 lasers are commonly used for fabricating polymer based microfluidic devices. Despite several key advantages like low cost, time effectiveness, easy to operate and no requirement of clean room facility, CO2 lasers suffer from few disadvantages like thermal bulging, improper dimensional control, difficulty to produce microchannels of other than Gaussian cross sectional shapes and inclined surface walls. Many microfluidic devices require square or rectangular cross-sections which are difficult to produce using normal CO2 laser procedures. In this work, a thin copper sheet of 40 μm was used as a mask above the PMMA (Polymethyl-methacrylate) substrate while fabricating the microchannels utilizing the raster scanning feature of the CO2 lasers. Microchannels with different width dimensions were fabricated utilizing a CO2 laser in with mask and without-mask conditions. A comparison of both the fabricating process has been made. It was found that microchannels with U shape cross section and rectangular cross-section can efficiently be produced using the with mask technique. In addition to this, this technique can provide perfect dimensional control and better surface quality of the microchannel walls. Such a microchannel fabrication process do not require any post-processing. The fabrication of mask using a nanosecond fiber laser has been discussed in details. An underwater laser fabrication method was adopted to overcome heat related defects in mask preparation. Overall, the technique was found to be easy to adopt and significant improvements were observed in microchannel fabrication.

  2. Innovative monitoring of 3D warp interlock fabric during forming process

    NASA Astrophysics Data System (ADS)

    Dufour, C.; Jerkovic, I.; Wang, P.; Boussu, F.; Koncar, V.; Soulat, D.; Grancaric, A. M.; Pineau, P.

    2017-10-01

    The final geometry of 3D warp interlock fabric needs to be check during the 3D forming step to ensure the right locations of warp and weft yarns inside the final structure. Thus, a new monitoring approach has been proposed based on sensor yarns located in the fabric thickness. To ensure the accuracy of measurements, the observation of the surface deformation of the 3D warp interlock fabric has been joined to the sensor yarns measurements. At the end, it has been revealed a good correlation between strain measurement done globally by camera and locally performed by sensor yarns.

  3. Exposure assessment among US workers employed in semiconductor wafer fabrication.

    PubMed

    Marano, Donald E; Boice, John D; Munro, Heather M; Chadda, Bandana K; Williams, Michael E; McCarthy, Colleen M; Kivel, Peggy F; Blot, William J; McLaughlin, Joseph K

    2010-11-01

    To classify 100,081 semiconductor workers employed during 1983-2002, and some as early as 1968, regarding potential for chemical exposures in cleanrooms during silicon wafer fabrication. This study involved site visits to 10 cities with fabrication facilities, evaluation of 12,300 personal air samples for >60 chemicals, and examination of >37,000 departments and >8600 job codes to develop exposure groupings. Each worker was classified into one of five exposure groups on the basis of job-department combinations: 1) fabrication process equipment operators or process equipment service technicians working in cleanrooms (n = 28,583); 2) professionals such as supervisors working in fabrication areas (n = 8642); 3) professionals and office workers in nonfabrication areas (n = 53,512); 4) back-end workers (n = 5256); or 5) other nonfabrication workers (n = 4088). More than 98% of the personal air samples were below current occupational exposure limits. Although specific chemical exposures at the level of the individual could not be quantified, semiconductor workers were classified into broad exposure groups for assessment of cancer mortality in an epidemiologic study.

  4. Layerless fabrication with continuous liquid interface production.

    PubMed

    Janusziewicz, Rima; Tumbleston, John R; Quintanilla, Adam L; Mecham, Sue J; DeSimone, Joseph M

    2016-10-18

    Despite the increasing popularity of 3D printing, also known as additive manufacturing (AM), the technique has not developed beyond the realm of rapid prototyping. This confinement of the field can be attributed to the inherent flaws of layer-by-layer printing and, in particular, anisotropic mechanical properties that depend on print direction, visible by the staircasing surface finish effect. Continuous liquid interface production (CLIP) is an alternative approach to AM that capitalizes on the fundamental principle of oxygen-inhibited photopolymerization to generate a continual liquid interface of uncured resin between the growing part and the exposure window. This interface eliminates the necessity of an iterative layer-by-layer process, allowing for continuous production. Herein we report the advantages of continuous production, specifically the fabrication of layerless parts. These advantages enable the fabrication of large overhangs without the use of supports, reduction of the staircasing effect without compromising fabrication time, and isotropic mechanical properties. Combined, these advantages result in multiple indicators of layerless and monolithic fabrication using CLIP technology.

  5. Layerless fabrication with continuous liquid interface production

    PubMed Central

    Janusziewicz, Rima; Tumbleston, John R.; Quintanilla, Adam L.; Mecham, Sue J.; DeSimone, Joseph M.

    2016-01-01

    Despite the increasing popularity of 3D printing, also known as additive manufacturing (AM), the technique has not developed beyond the realm of rapid prototyping. This confinement of the field can be attributed to the inherent flaws of layer-by-layer printing and, in particular, anisotropic mechanical properties that depend on print direction, visible by the staircasing surface finish effect. Continuous liquid interface production (CLIP) is an alternative approach to AM that capitalizes on the fundamental principle of oxygen-inhibited photopolymerization to generate a continual liquid interface of uncured resin between the growing part and the exposure window. This interface eliminates the necessity of an iterative layer-by-layer process, allowing for continuous production. Herein we report the advantages of continuous production, specifically the fabrication of layerless parts. These advantages enable the fabrication of large overhangs without the use of supports, reduction of the staircasing effect without compromising fabrication time, and isotropic mechanical properties. Combined, these advantages result in multiple indicators of layerless and monolithic fabrication using CLIP technology. PMID:27671641

  6. Ceramic matrix composite article and process of fabricating a ceramic matrix composite article

    DOEpatents

    Cairo, Ronald Robert; DiMascio, Paul Stephen; Parolini, Jason Robert

    2016-01-12

    A ceramic matrix composite article and a process of fabricating a ceramic matrix composite are disclosed. The ceramic matrix composite article includes a matrix distribution pattern formed by a manifold and ceramic matrix composite plies laid up on the matrix distribution pattern, includes the manifold, or a combination thereof. The manifold includes one or more matrix distribution channels operably connected to a delivery interface, the delivery interface configured for providing matrix material to one or more of the ceramic matrix composite plies. The process includes providing the manifold, forming the matrix distribution pattern by transporting the matrix material through the manifold, and contacting the ceramic matrix composite plies with the matrix material.

  7. Control of Y-211 content in bulk YBCO superconductors fabricated by a buffer-aided, top seeded infiltration and growth melt process

    NASA Astrophysics Data System (ADS)

    Namburi, Devendra K.; Shi, Yunhua; Palmer, Kysen G.; Dennis, Anthony R.; Durrell, John H.; Cardwell, David A.

    2016-03-01

    Bulk (RE)-Ba-Cu-O ((RE)BCO, where RE stands for rare-earth), single grain superconductors can trap magnetic fields of several tesla at low temperatures and therefore can function potentially as high field magnets. Although top seeded melt growth (TSMG) is an established process for fabricating relatively high quality single grains of (RE)BCO for high field applications, this technique suffers from inherent problems such as sample shrinkage, a large intrinsic porosity and the presence of (RE)2BaCuO5 (RE-211)-free regions in the single grain microstructure. Seeded infiltration and growth (SIG), therefore, has emerged as a practical alternative to TSMG that overcomes many of these problems. Until now, however, the superconducting properties of bulk materials processed by SIG have been inferior to those fabricated using the TSMG technique. In this study, we identify that the inferior properties of SIG processed bulk superconductors are related to the presence of a relatively large Y-211 content (˜41.8%) in the single grain microstructure. Controlling the RE-211 content in SIG bulk samples is particularly challenging because it is difficult to regulate the entry of the liquid phase into the solid RE-211 preform during the infiltration process. In an attempt to solve this issue, we have investigated the effect of careful control of both the infiltration temperature and the quantity of liquid phase powder present in the sample preforms prior to processing. We conclude that careful control of the infiltration temperature is the most promising of these two process variables. Using this knowledge, we have fabricated successfully a YBCO bulk single grain using the SIG process of diameter 25 mm that exhibits a trapped field of 0.69 T at 77 K, which is the largest value reported to date for a sample fabricated by the SIG technique.

  8. Development and fabrication of a high current, fast recovery power diode

    NASA Technical Reports Server (NTRS)

    Berman, A. H.; Balodis, V.; Devance, D. C.; Gaugh, C. E.; Karlsson, E. A.

    1983-01-01

    A high voltage (VR = 1200 V), high current (IF = 150 A), fast recovery ( 700 ns) and low forward voltage drop ( 1.5 V) silicon rectifier was designed and the process developed for its fabrication. For maximum purity, uniformity and material characteristic stability, neutron transmutation n-type doped float zone silicon is used. The design features a hexagonal chip for maximum area utilization of space available in the DO-8 diode package, PIN diffused junction structure with deep diffused D(+) anode and a shallow high concentration n(+) cathode. With the high temperature glass passivated positive bevel mesa junction termination, the achieved blocking voltage is close to the theoretical limit of the starting material. Gold diffusion is used to control the lifetime and the resulting effect on switching speed and forward voltage tradeoff. For solder reflow assembly, trimetal (Al-Ti-Ni) contacts are used. The required major device electrical characteristics were achieved. Due to the tradeoff nature of forward voltage drop and reverse recovery time, a compromise was reached for these values.

  9. Fabrication of Robust, Flat, Thinned, UV-Imaging CCDs

    NASA Technical Reports Server (NTRS)

    Grunthaner, Paula; Elliott, Stythe; Jones, Todd; Nikzad, Shouleh

    2004-01-01

    An improved process that includes a high-temperature bonding subprocess has been developed to enable the fabrication of robust, flat, silicon-based charge-coupled devices (CCDs) for imaging in ultraviolet (UV) light and/or for detecting low-energy charged particles. The CCDs in question are devices on which CCD circuitry has already been formed and have been thinned for backsurface illumination. These CCDs may be delta doped, and aspects of this type of CCD have been described in several prior articles in NASA Tech Briefs. Unlike prior low-temperature bonding subprocesses based on the use of epoxies or waxes, the high-temperature bonding subprocess is compatible with the deltadoping process as well as with other CCD-fabrication processes. The present improved process and its bonding, thinning, and delta-doping subprocesses, are characterized as postfabrication processes because they are undertaken after the fabrication of CCD circuitry on the front side of a full-thickness silicon substrate. In a typical case, it is necessary to reduce the thickness of the CCD to between 10 and 20 m in order to take advantage of back-side illumination and in order to perform delta doping and/or other back-side treatment to enhance the quantum efficiency. In the prior approach to the fabrication of back-side-illuminated CCDs, the thinning subprocess turned each CCD into a free-standing membrane that was fragile and tended to become wrinkled. In the present improved process, prior to thinning and delta doping, a CCD is bonded on its front side to a silicon substrate that has been prefabricated to include cutouts to accommodate subsequent electrical connections to bonding pads on the CCD circuitry. The substrate provides structural support to increase ruggedness and maintain flatness. At the beginning of this process, the back side of a CCD as fabricated on a full-thickness substrate is polished. Silicon nitride is deposited on the back side, opposite the bonding pads on the front

  10. Micro and nano-biomimetic structures for cell migration study fabricated by hybrid subtractive and additive 3D femtosecond laser processing

    NASA Astrophysics Data System (ADS)

    Sima, Felix; Serien, Daniela; Wu, Dong; Xu, Jian; Kawano, Hiroyuki; Midorikawa, Katsumi; Sugioka, Koji

    2017-02-01

    Lab-on-a-chip devices have been intensively developed during the last decade when emerging technologies offered possibilities to manufacture reliable devices with increased spatial resolution. These biochips allowed testing chemical reactions in nanoliter volumes with enhanced sensitivity and lower consumption of reagents. There is space to further consolidate biochip assembling processing since the new technologies attempt direct fabrication in view of reducing costs and time by increasing efficiency and functionalities. Rapid prototyping by ultrafast lasers which induces local modifications inside transparent materials of both glass and polymers with high precision at micro- and nanoscale is a promising tool for fabrication of such biochips. We have developed a new technology by combining subtractive ultrafast laser assisted chemical etching of glasses and additive two-photon polymerization to integrate 3D glass microfluidics and polymer microcomponents in a single biochip. The innovative hybrid "ship-in-a-bottle" approach is not only an instrument that can tailor 3D environments but also a tool to fabricate biomimetic in vivo structures inside a glass microfluidic chip. It was possible to create appropriate environment for cell culturing and to offer robustness and transparency for optical interrogation. Cancer cells were cultivated inside biochips and monitored over short and long periods. With the view of understanding cancer cells specific behavior such as migration or invasiveness inside human body, introduction of different geometrical configurations and chemical conditions were proposed. The cells were found responsive to a gradient of nutrient concentration through the microchannels of a 3D polymeric scaffold integrated inside glass biochip.

  11. Atomically Traceable Nanostructure Fabrication.

    PubMed

    Ballard, Josh B; Dick, Don D; McDonnell, Stephen J; Bischof, Maia; Fu, Joseph; Owen, James H G; Owen, William R; Alexander, Justin D; Jaeger, David L; Namboodiri, Pradeep; Fuchs, Ehud; Chabal, Yves J; Wallace, Robert M; Reidy, Richard; Silver, Richard M; Randall, John N; Von Ehr, James

    2015-07-17

    Reducing the scale of etched nanostructures below the 10 nm range eventually will require an atomic scale understanding of the entire fabrication process being used in order to maintain exquisite control over both feature size and feature density. Here, we demonstrate a method for tracking atomically resolved and controlled structures from initial template definition through final nanostructure metrology, opening up a pathway for top-down atomic control over nanofabrication. Hydrogen depassivation lithography is the first step of the nanoscale fabrication process followed by selective atomic layer deposition of up to 2.8 nm of titania to make a nanoscale etch mask. Contrast with the background is shown, indicating different mechanisms for growth on the desired patterns and on the H passivated background. The patterns are then transferred into the bulk using reactive ion etching to form 20 nm tall nanostructures with linewidths down to ~6 nm. To illustrate the limitations of this process, arrays of holes and lines are fabricated. The various nanofabrication process steps are performed at disparate locations, so process integration is discussed. Related issues are discussed including using fiducial marks for finding nanostructures on a macroscopic sample and protecting the chemically reactive patterned Si(100)-H surface against degradation due to atmospheric exposure.

  12. Atomically Traceable Nanostructure Fabrication

    PubMed Central

    Ballard, Josh B.; Dick, Don D.; McDonnell, Stephen J.; Bischof, Maia; Fu, Joseph; Owen, James H. G.; Owen, William R.; Alexander, Justin D.; Jaeger, David L.; Namboodiri, Pradeep; Fuchs, Ehud; Chabal, Yves J.; Wallace, Robert M.; Reidy, Richard; Silver, Richard M.; Randall, John N.; Von Ehr, James

    2015-01-01

    Reducing the scale of etched nanostructures below the 10 nm range eventually will require an atomic scale understanding of the entire fabrication process being used in order to maintain exquisite control over both feature size and feature density. Here, we demonstrate a method for tracking atomically resolved and controlled structures from initial template definition through final nanostructure metrology, opening up a pathway for top-down atomic control over nanofabrication. Hydrogen depassivation lithography is the first step of the nanoscale fabrication process followed by selective atomic layer deposition of up to 2.8 nm of titania to make a nanoscale etch mask. Contrast with the background is shown, indicating different mechanisms for growth on the desired patterns and on the H passivated background. The patterns are then transferred into the bulk using reactive ion etching to form 20 nm tall nanostructures with linewidths down to ~6 nm. To illustrate the limitations of this process, arrays of holes and lines are fabricated. The various nanofabrication process steps are performed at disparate locations, so process integration is discussed. Related issues are discussed including using fiducial marks for finding nanostructures on a macroscopic sample and protecting the chemically reactive patterned Si(100)-H surface against degradation due to atmospheric exposure. PMID:26274555

  13. Flat-plate solar array project process development area process research of non-CZ silicon material

    NASA Technical Reports Server (NTRS)

    1985-01-01

    Three sets of samples were laser processed and then cell processed. The laser processing was carried out on P-type and N-type web at laser power levels from 0.5 joule/sq cm to 2.5 joule/sq cm. Six different liquid dopants were tested (3 phosphorus dopants, 2 boron dopants, 1 aluminum dopant). The laser processed web strips were fabricated into solar cells immediately after laser processing and after various annealing cycles. Spreading resistance measurements made on a number of these samples indicate that the N(+)P (phosphorus doped) junction is approx. 0.2 micrometers deep and suitable for solar cells. However, the P(+)N (or P(+)P) junction is very shallow ( 0.1 micrometers) with a low surface concentration and resulting high resistance. Due to this effect, the fabricated cells are of low efficiency. The maximum efficiency attained was 9.6% on P-type web after a 700 C anneal. The main reason for the low efficiency was a high series resistance in the cell due to a high resistance back contact.

  14. Silicon solar cell process development, fabrication and analysis

    NASA Technical Reports Server (NTRS)

    Leung, D. C.; Iles, P. A.

    1983-01-01

    Measurements of minority carrier diffusion lengths were made on the small mesa diodes from HEM Si and SILSO Si. The results were consistent with previous Voc and Isc measurements. Only the medium grain SILSO had a distinct advantage for the non grain boundary diodes. Substantial variations were observed for the HEM ingot 4141C. Also a quantitatively scaled light spot scan was being developed for localized diffusion length measurements in polycrystalline silicon solar cells. A change to a more monochromatic input for the light spot scan results in greater sensitivity and in principle, quantitative measurement of local material qualities is now possible.

  15. Binder Jetting: A Novel Solid Oxide Fuel-Cell Fabrication Process and Evaluation

    NASA Astrophysics Data System (ADS)

    Manogharan, Guha; Kioko, Meshack; Linkous, Clovis

    2015-03-01

    With an ever-growing concern to find a more efficient and less polluting means of producing electricity, fuel cells have constantly been of great interest. Fuel cells electrochemically convert chemical energy directly into electricity and heat without resorting to combustion/mechanical cycling. This article studies the solid oxide fuel cell (SOFC), which is a high-temperature (100°C to 1000°C) ceramic cell made from all solid-state components and can operate under a wide range of fuel sources such as hydrogen, methanol, gasoline, diesel, and gasified coal. Traditionally, SOFCs are fabricated using processes such as tape casting, calendaring, extrusion, and warm pressing for substrate support, followed by screen printing, slurry coating, spray techniques, vapor deposition, and sputter techniques, which have limited control in substrate microstructure. In this article, the feasibility of engineering the porosity and configuration of an SOFC via an additive manufacturing (AM) method known as binder jet printing was explored. The anode, cathode and oxygen ion-conducting electrolyte layers were fabricated through AM sequentially as a complete fuel cell unit. The cell performance was measured in two modes: (I) as an electrolytic oxygen pump and (II) as a galvanic electricity generator using hydrogen gas as the fuel. An analysis on influence of porosity was performed through SEM studies and permeability testing. An additional study on fuel cell material composition was conducted to verify the effects of binder jetting through SEM-EDS. Electrical discharge of the AM fabricated SOFC and nonlinearity of permeability tests show that, with additional work, the porosity of the cell can be modified for optimal performance at operating flow and temperature conditions.

  16. Fabrication of large area Si cylindric drift detectors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, W.; Kraner, H.W.; Li, Z.

    1993-04-01

    Advanced Si drift detector, a large area cylindrical drift detector (CDD), processing steps, with the exception of the ion implantation, were carried out in the BNL class 100 cleanroom. The double-side planer process technique was developed for the fabrication of CDD. Important improvements of the double-side planer process in this fabrication are the introduction of Al implantation protection mask and the remaining of a 1000 Angstroms oxide layer in the p-window during the implantation. Another important design of the CDD is the structure called ``river,`` which ,allows the current generated on Si-SiO{sub 2} interface to ``flow`` into the guard anode,more » and thus can minimize the leakage current at the signed anode. The test result showed that most of the signal anodes have the leakage current about 0.3 nA/cm{sup 2} for the best detector.« less

  17. A wearable 12-lead ECG acquisition system with fabric electrodes.

    PubMed

    Haoshi Zhang; Lan Tian; Huiyang Lu; Ming Zhou; Haiqing Zou; Peng Fang; Fuan Yao; Guanglin Li

    2017-07-01

    Continuous electrocardiogram (ECG) monitoring is significant for prevention of heart disease and is becoming an important part of personal and family health care. In most of the existing wearable solutions, conventional metal sensors and corresponding chips are simply integrated into clothes and usually could only collect few leads of ECG signals that could not provide enough information for diagnosis of cardiac diseases such as arrhythmia and myocardial ischemia. In this study, a wearable 12-lead ECG acquisition system with fabric electrodes was developed and could simultaneously process 12 leads of ECG signals. By integrating the fabric electrodes into a T-shirt, the wearable system would provide a comfortable and convenient user interface for ECG recording. For comparison, the proposed fabric electrode and the gelled traditional metal electrodes were used to collect ECG signals on a subject, respectively. The approximate entropy (ApEn) of ECG signals from both types of electrodes were calculated. The experimental results show that the fabric electrodes could achieve similar performance as the gelled metal electrodes. This preliminary work has demonstrated that the developed ECG system with fabric electrodes could be utilized for wearable health management and telemedicine applications.

  18. Development Status of a CVD System to Deposit Tungsten onto UO2 Powder via the WCI6 Process

    NASA Technical Reports Server (NTRS)

    Mireles, O. R.; Kimberlin, A.; Broadway, J.; Hickman, R.

    2014-01-01

    Nuclear Thermal Propulsion (NTP) is under development for deep space exploration. NTP's high specific impulse (> 850 second) enables a large range of destinations, shorter trip durations, and improved reliability. W-60vol%UO2 CERMET fuel development efforts emphasize fabrication, performance testing and process optimization to meet service life requirements. Fuel elements must be able to survive operation in excess of 2850 K, exposure to flowing hydrogen (H2), vibration, acoustic, and radiation conditions. CTE mismatch between W and UO2 result in high thermal stresses and lead to mechanical failure as a result UO2 reduction by hot hydrogen (H2) [1]. Improved powder metallurgy fabrication process control and mitigated fuel loss can be attained by coating UO2 starting powders within a layer of high density tungsten [2]. This paper discusses the advances of a fluidized bed chemical vapor deposition (CVD) system that utilizes the H2-WCl6 reduction process.

  19. Fabrication of a wettability-gradient surface on copper by screen-printing techniques

    NASA Astrophysics Data System (ADS)

    Huang, Ding-Jun; Leu, Tzong-Shyng

    2015-08-01

    In this study, a screen-printing technique is utilized to fabricate a wettability-gradient surface on a copper substrate. The pattern definitions on the copper surface were freely fabricated to define the regions with different wettabilities, for which the printing definition technique was developed as an alternative to the existing costly photolithography techniques. This fabrication process using screen printing in tandem with chemical modification methods can easily realize an excellent wettability-gradient surface with superhydrophobicity and superhydrophilicity. Surface analyses were performed to characterize conditions in some fabrication steps. A water droplet movement sequence is provided to clearly demonstrate the droplet-driving effectiveness of the fabricated gradient surface. The droplet-driving efficiency offers a promising solution for condensation heat transfer applications in the foreseeable future.

  20. Development of a templated approach to fabricate diamond patterns on various substrates.

    PubMed

    Shimoni, Olga; Cervenka, Jiri; Karle, Timothy J; Fox, Kate; Gibson, Brant C; Tomljenovic-Hanic, Snjezana; Greentree, Andrew D; Prawer, Steven

    2014-06-11

    We demonstrate a robust templated approach to pattern thin films of chemical vapor deposited nanocrystalline diamond grown from monodispersed nanodiamond (mdND) seeds. The method works on a range of substrates, and we herein demonstrate the method using silicon, aluminum nitride (AlN), and sapphire substrates. Patterns are defined using photo- and e-beam lithography, which are seeded with mdND colloids and subsequently introduced into microwave assisted chemical vapor deposition reactor to grow patterned nanocrystalline diamond films. In this study, we investigate various factors that affect the selective seeding of different substrates to create high quality diamond thin films, including mdND surface termination, zeta potential, surface treatment, and plasma cleaning. Although the electrostatic interaction between mdND colloids and substrates is the main process driving adherence, we found that chemical reaction (esterification) or hydrogen bonding can potentially dominate the seeding process. Leveraging the knowledge on these different interactions, we optimize fabrication protocols to eliminate unwanted diamond nucleation outside the patterned areas. Furthermore, we have achieved the deposition of patterned diamond films and arrays over a range of feature sizes. This study contributes to a comprehensive understanding of the mdND-substrate interaction that will enable the fabrication of integrated nanocrystalline diamond thin films for microelectronics, sensors, and tissue culturing applications.

  1. Simulation of materials processing: Fantasy or reality?

    NASA Technical Reports Server (NTRS)

    Jenkins, Thomas J.; Bright, Victor M.

    1994-01-01

    This experiment introduces students to the application of computer-aided design (CAD) and analysis of materials processing in the context of integrated circuit (IC) fabrication. The fabrication of modern IC's is a complex process which consists of several sequential steps. These steps involve the precise control of processing variables such as temperature, humidity, and ambient gas composition. In essence, the particular process employed during the fabrication becomes a 'recipe'. Due to economic and other considerations, CAD is becoming an indispensable part of the development of new recipes for IC fabrication. In particular, this experiment permits the students to explore the CAD of the thermal oxidation of silicon.

  2. Fabrication of multijunction high voltage concentrator solar cells by integrated circuit technology

    NASA Technical Reports Server (NTRS)

    Valco, G. J.; Kapoor, V. J.; Evans, J. C., Jr.; Chai, A.-T.

    1981-01-01

    Standard integrated circuit technology has been developed for the design and fabrication of planar multijunction (PMJ) solar cell chips. Each 1 cm x 1 cm solar chip consisted of six n(+)/p, back contacted, internally series interconnected unit cells. These high open circuit voltage solar cells were fabricated on 2 ohm-cm, p-type 75 microns thick, silicon substrates. A five photomask level process employing contact photolithography was used to pattern for boron diffusions, phorphorus diffusions, and contact metallization. Fabricated devices demonstrated an open circuit voltage of 3.6 volts and a short circuit current of 90 mA at 80 AMl suns. An equivalent circuit model of the planar multi-junction solar cell was developed.

  3. Fast-Dissolving Core-Shell Composite Microparticles of Quercetin Fabricated Using a Coaxial Electrospray Process

    PubMed Central

    Li, Chen; Yu, Deng-Guang; Williams, Gareth R.; Wang, Zhuan-Hua

    2014-01-01

    This study reports on novel fast-dissolving core-shell composite microparticles of quercetin fabricated using coaxial electrospraying. A PVC-coated concentric spinneret was developed to conduct the electrospray process. A series of analyses were undertaken to characterize the resultant particles in terms of their morphology, the physical form of their components, and their functional performance. Scanning and transmission electron microscopies revealed that the microparticles had spherical morphologies with clear core-shell structure visible. Differential scanning calorimetry and X-ray diffraction verified that the quercetin active ingredient in the core and sucralose and sodium dodecyl sulfate (SDS) excipients in the shell existed in the amorphous state. This is believed to be a result of second-order interactions between the components; these could be observed by Fourier transform infrared spectroscopy. In vitro dissolution and permeation studies showed that the microparticles rapidly released the incorporated quercetin within one minute, and had permeation rates across the sublingual mucosa around 10 times faster than raw quercetin. PMID:24643072

  4. Nuclear Fabrication Consortium

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Levesque, Stephen

    2013-04-05

    This report summarizes the activities undertaken by EWI while under contract from the Department of Energy (DOE) Office of Nuclear Energy (NE) for the management and operation of the Nuclear Fabrication Consortium (NFC). The NFC was established by EWI to independently develop, evaluate, and deploy fabrication approaches and data that support the re-establishment of the U.S. nuclear industry: ensuring that the supply chain will be competitive on a global stage, enabling more cost-effective and reliable nuclear power in a carbon constrained environment. The NFC provided a forum for member original equipment manufactures (OEM), fabricators, manufacturers, and materials suppliers to effectivelymore » engage with each other and rebuild the capacity of this supply chain by : Identifying and removing impediments to the implementation of new construction and fabrication techniques and approaches for nuclear equipment, including system components and nuclear plants. Providing and facilitating detailed scientific-based studies on new approaches and technologies that will have positive impacts on the cost of building of nuclear plants. Analyzing and disseminating information about future nuclear fabrication technologies and how they could impact the North American and the International Nuclear Marketplace. Facilitating dialog and initiate alignment among fabricators, owners, trade associations, and government agencies. Supporting industry in helping to create a larger qualified nuclear supplier network. Acting as an unbiased technology resource to evaluate, develop, and demonstrate new manufacturing technologies. Creating welder and inspector training programs to help enable the necessary workforce for the upcoming construction work. Serving as a focal point for technology, policy, and politically interested parties to share ideas and concepts associated with fabrication across the nuclear industry. The report the objectives and summaries of the Nuclear Fabrication

  5. Fabrication of High-Resolution Gamma-Ray Metallic Magnetic Calorimeters with Ag:Er Sensor and Thick Electroplated Absorbers

    NASA Astrophysics Data System (ADS)

    Hummatov, Ruslan; Hall, John A.; Kim, Geon-Bo; Friedrich, Stephan; Cantor, Robin; Boyd, S. T. P.

    2018-05-01

    We are developing metallic magnetic calorimeters for high-resolution gamma-ray spectroscopy for non-destructive assay of nuclear materials. Absorbers for these higher-energy photons can require substantial thickness to achieve adequate stopping power. We developed a new absorber fabrication process using dry-film photoresists to electroform cantilevered, thick absorbers. Gamma detectors with these absorbers have an energy resolution of 38 eV FWHM at 60 keV. In this report, we summarize modifications to STARCryo's "Delta 1000" process for our devices and describe the new absorber fabrication process.

  6. Investigation of electroforming techniques. [fabrication of regeneratively cooled thrust chambers

    NASA Technical Reports Server (NTRS)

    Malone, G. A.

    1975-01-01

    Copper and nickel electroforming was examined for the purpose of establishing the necessary processes and procedures for repeatable, successful fabrication of the outer structures of regeneratively cooled thrust chambers. The selection of electrolytes for copper and nickel deposition is described. The development studies performed to refine and complete the processes necessary for successful chamber shell fabrication and the testing employed to verify the applicability of the processes and procedures to small scale hardware are described. Specifications were developed to afford a guideline for the electroforming of high quality outer shells on regeneratively cooled thrust chamber liners. Test results indicated repeatable mechanical properties could be produced in copper deposits from the copper sulfate electrolyte with periodic current reversal and in nickel deposits from the sulfamate solution. Use of inert, removable channel fillers and the conductivizing of such is described. Techniques (verified by test) which produce high integrity bonds to copper and copper alloy liners are discussed.

  7. Fabrication of diamond shells

    DOEpatents

    Hamza, Alex V.; Biener, Juergen; Wild, Christoph; Woerner, Eckhard

    2016-11-01

    A novel method for fabricating diamond shells is introduced. The fabrication of such shells is a multi-step process, which involves diamond chemical vapor deposition on predetermined mandrels followed by polishing, microfabrication of holes, and removal of the mandrel by an etch process. The resultant shells of the present invention can be configured with a surface roughness at the nanometer level (e.g., on the order of down to about 10 nm RMS) on a mm length scale, and exhibit excellent hardness/strength, and good transparency in the both the infra-red and visible. Specifically, a novel process is disclosed herein, which allows coating of spherical substrates with optical-quality diamond films or nanocrystalline diamond films.

  8. Fabrication of a micromold using negative PMER

    NASA Astrophysics Data System (ADS)

    Kwon, Young A.; Chae, Kyoung-Soo; Jeoung, Dae S.; Kim, Jong Y.; Moon, Sung

    2001-10-01

    We fabricated a micro mold using UV-lithography process with a novel mold material, negative PMER. Negative PMER(TOK, PMER N-CA3000) is a chemically amplified negative tone photoresist on a novolak resin base. It can be processed using standard equipment such as standard spin coater, baking with ovens or hotplates, and immersion development tools. Good quality resist patterns of up to 36μm thickness were achieved by means of this equipment in a short time. The conditions of this process were pre-exposure bake of 110 degree(s)C/12min, exposure dose of 675mJ/cm2 post-exposure bake of 100 degree(s)C/9min, and development for 10min.

  9. Scheduling revisited workstations in integrated-circuit fabrication

    NASA Technical Reports Server (NTRS)

    Kline, Paul J.

    1992-01-01

    The cost of building new semiconductor wafer fabrication factories has grown rapidly, and a state-of-the-art fab may cost 250 million dollars or more. Obtaining an acceptable return on this investment requires high productivity from the fabrication facilities. This paper describes the Photo Dispatcher system which was developed to make machine-loading recommendations on a set of key fab machines. Dispatching policies that generally perform well in job shops (e.g., Shortest Remaining Processing Time) perform poorly for workstations such as photolithography which are visited several times by the same lot of silicon wafers. The Photo Dispatcher evaluates the history of workloads throughout the fab and identifies bottleneck areas. The scheduler then assigns priorities to lots depending on where they are headed after photolithography. These priorities are designed to avoid starving bottleneck workstations and to give preference to lots that are headed to areas where they can be processed with minimal waiting. Other factors considered by the scheduler to establish priorities are the nearness of a lot to the end of its process flow and the time that the lot has already been waiting in queue. Simulations that model the equipment and products in one of Texas Instrument's wafer fabs show the Photo Dispatcher can produce a 10 percent improvement in the time required to fabricate integrated circuits.

  10. Development of novel acoustic wave biosensor platforms based on magnetostriction and fabrication of magnetostrictive nanowires

    NASA Astrophysics Data System (ADS)

    Li, Suiqiong

    There is an urgent need for biosensors that are able to detect and quantify the presence of a small amount of biological threat agents in a real-time manner. Acoustic wave (AW) devices, whose performance is defined by mass sensitivity (Sm) and merit quality factor (Q value), have been extensively studied as high performance biosensor platforms. However, current AW devices face some challenges in practical applications. In this research, two types of AW devices---magnetostrictive microcantilever (MSMC) and completely free-standing magnetostrictive particle (MSP)---were developed. The research consists of two parts: (1) Design and the feasibility study of MSMC and MSP based sensor technology; (2) Fabrication and characterization of micro/nano MSPs made of amorphous Fe-B alloy. Both MSMC and MSP based sensors are wireless/remote and work well in liquid, which makes the sensors good candidates for in-situ detection. The performance of MSMC was simulated and compared with the state of art AW devices: microcantilevers. The MSMC exhibits the following advantages: (1) remote/wireless driving and sensing; (2) ease of fabrication; (3) works well in liquid; (4) exhibits a high Q value (> 500 in air); (5) well suited for sensor array development. MSMCs in milli/micro sizes were fabricated and their performance was characterized in air and liquid. The experimental results confirm the advantages of MSMC mentioned above. The in situ detection of the yeast cells and Bacillus anthracis spores in water were performed using MSMC biosensors. MSPs in the shape of strip and bar were investigated. Strip-shape MSPs in milli/micro sizes were fabricated. The resonance behaviors of MSPs at the even and odd vibration modes were analyzed. MSP exhibits a Sm about 100 times greater, and a Q value about 10 times greater, than MCs. A multiple-sensor and a multiple-target approach were developed to further enhance the performance of MSP-based sensors. A unique methodology was created to detect the

  11. High Resolution Fabrication of Interconnection Lines Using Picosecond Laser and Controlled Deposition of Gold Nanoparticles

    NASA Astrophysics Data System (ADS)

    Shahmoon, Asaf; Strauß, Johnnes; Zafri, Hadar; Schmidt, Michael; Zalevsky, Zeev

    In this paper we present the fabrication procedure as well as the preliminary experimental results of a novel method for construction of high resolution nanometric interconnection lines. The fabrication procedure relies on a self-assembly process of gold nanoparticles at specific predetermined nanostructures. The nanostructures for the self-assembly process are based on the focused ion beam (FIB) or scanning electron beam (SEM) technology. The assembled nanoparticles are being illuminated using a picosecond laser with a wavelength of 532 nm. Different pulse energies have been investigated. The paper aimed at developing a novel and reliable process for fabrication of interconnection lines encompass three different disciplines, self-assembly of nanometric particles, optics and microelectronic.

  12. Development of a Fluid Structures Interaction Test Technique for Fabrics

    NASA Technical Reports Server (NTRS)

    Zilliac, Gregory G.; Heineck, James T.; Schairer, Edward T.; Mosher, Robert N.; Garbeff, Theodore Joseph

    2012-01-01

    Application of fluid structures interaction (FSI) computational techniques to configurations of interest to the entry, descent and landing (EDL) community is limited by two factors - limited characterization of the material properties for fabrics of interest and insufficient experimental data to validate the FSI codes. Recently ILC Dover Inc. performed standard tests to characterize the static stress-strain response of four candidate fabrics for use in EDL applications. The objective of the tests described here is to address the need for a FSI dataset for CFD validation purposes. To reach this objective, the structural response of fabrics was measured in a very simple aerodynamic environment with well controlled boundary conditions. Two test series were undertaken. The first series covered a range of tunnel conditions and the second focused on conditions that resulted in fabric panel buckling.

  13. Deformational Features and Microstructure Evolution of Copper Fabricated by a Single Pass of the Elliptical Cross-Section Spiral Equal-Channel Extrusion (ECSEE) Process

    NASA Astrophysics Data System (ADS)

    Wang, Chengpeng; Li, Fuguo; Liu, Juncheng

    2018-04-01

    The objectives of this work are to study the deformational feature, textures, microstructures, and dislocation configurations of ultrafine-grained copper processed by the process of elliptical cross-section spiral equal-channel extrusion (ECSEE). The deformation patterns of simple shear and pure shear in the ECSEE process were evaluated with the analytical method of geometric strain. The influence of the main technical parameters of ECSEE die on the effective strain distribution on the surface of ECSEE-fabricated samples was examined by the finite element simulation. The high friction factor could improve the effective strain accumulation of material deformation. Moreover, the pure copper sample fabricated by ECSEE ion shows a strong rotated cube shear texture. The refining mechanism of the dislocation deformation is dominant in copper processed by a single pass of ECSEE. The inhomogeneity of the micro-hardness distribution on the longitudinal section of the ECSEE-fabricated sample is consistent with the strain and microstructure distribution features.

  14. Process development for green part printing using binder jetting additive manufacturing

    NASA Astrophysics Data System (ADS)

    Miyanaji, Hadi; Orth, Morgan; Akbar, Junaid Muhammad; Yang, Li

    2018-05-01

    Originally developed decades ago, the binder jetting additive manufacturing (BJ-AM) process possesses various advantages compared to other additive manufacturing (AM) technologies such as broad material compatibility and technological expandability. However, the adoption of BJ-AM has been limited by the lack of knowledge with the fundamental understanding of the process principles and characteristics, as well as the relatively few systematic design guideline that are available. In this work, the process design considerations for BJ-AM in green part fabrication were discussed in detail in order to provide a comprehensive perspective of the design for additive manufacturing for the process. Various process factors, including binder saturation, in-process drying, powder spreading, powder feedstock characteristics, binder characteristics and post-process curing, could significantly affect the printing quality of the green parts such as geometrical accuracy and part integrity. For powder feedstock with low flowability, even though process parameters could be optimized to partially offset the printing feasibility issue, the qualities of the green parts will be intrinsically limited due to the existence of large internal voids that are inaccessible to the binder. In addition, during the process development, the balanced combination between the saturation level and in-process drying is of critical importance in the quality control of the green parts.

  15. Silicon web process development

    NASA Technical Reports Server (NTRS)

    Duncan, C. S.; Seidensticker, R. G.; Mchugh, J. P.; Blais, P. D.; Davis, J. R., Jr.

    1977-01-01

    Thirty-five (35) furnace runs were carried out during this quarter, of which 25 produced a total of 120 web crystals. The two main thermal models for the dendritic growth process were completed and are being used to assist the design of the thermal geometry of the web growth apparatus. The first model, a finite element representation of the susceptor and crucible, was refined to give greater precision and resolution in the critical central region of the melt. The second thermal model, which describes the dissipation of the latent heat to generate thickness-velocity data, was completed. Dendritic web samples were fabricated into solar cells using a standard configuration and a standard process for a N(+) -P-P(+) configuration. The detailed engineering design was completed for a new dendritic web growth facility of greater width capability than previous facilities.

  16. Wicking Tests for Unidirectional Fabrics: Measurements of Capillary Parameters to Evaluate Capillary Pressure in Liquid Composite Molding Processes.

    PubMed

    Pucci, Monica Francesca; Liotier, Pierre-Jacques; Drapier, Sylvain

    2017-01-27

    During impregnation of a fibrous reinforcement in liquid composite molding (LCM) processes, capillary effects have to be understood in order to identify their influence on void formation in composite parts. Wicking in a fibrous medium described by the Washburn equation was considered equivalent to a flow under the effect of capillary pressure according to the Darcy law. Experimental tests for the characterization of wicking were conducted with both carbon and flax fiber reinforcement. Quasi-unidirectional fabrics were then tested by means of a tensiometer to determine the morphological and wetting parameters along the fiber direction. The procedure was shown to be promising when the morphology of the fabric is unchanged during capillary wicking. In the case of carbon fabrics, the capillary pressure can be calculated. Flax fibers are sensitive to moisture sorption and swell in water. This phenomenon has to be taken into account to assess the wetting parameters. In order to make fibers less sensitive to water sorption, a thermal treatment was carried out on flax reinforcements. This treatment enhances fiber morphological stability and prevents swelling in water. It was shown that treated fabrics have a linear wicking trend similar to those found in carbon fabrics, allowing for the determination of capillary pressure.

  17. Design and fabrication of reflective spatial light modulator for high-dynamic-range wavefront control

    NASA Astrophysics Data System (ADS)

    Zhu, Hao; Bierden, Paul; Cornelissen, Steven; Bifano, Thomas; Kim, Jin-Hong

    2004-10-01

    This paper describes design and fabrication of a microelectromechanical metal spatial light modulator (SLM) integrated with complementary metal-oxide semiconductor (CMOS) electronics, for high-dynamic-range wavefront control. The metal SLM consists of a large array of piston-motion MEMS mirror segments (pixels) which can deflect up to 0.78 µm each. Both 32x32 and 150x150 arrays of the actuators (1024 and 22500 elements respectively) were fabricated onto the CMOS driver electronics and individual pixels were addressed. A new process has been developed to reduce the topography during the metal MEMS processing to fabricate mirror pixels with improved optical quality.

  18. Developing photoreceptor-based models of visual attraction in riverine tsetse, for use in the engineering of more-attractive polyester fabrics for control devices.

    PubMed

    Santer, Roger D

    2017-03-01

    Riverine tsetse transmit the parasites that cause the most prevalent form of human African trypanosomiasis, Gambian HAT. In response to the imperative for cheap and efficient tsetse control, insecticide-treated 'tiny targets' have been developed through refinement of tsetse attractants based on blue fabric panels. However, modern blue polyesters used for this purpose attract many less tsetse than traditional phthalogen blue cottons. Therefore, colour engineering polyesters for improved attractiveness has great potential for tiny target development. Because flies have markedly different photoreceptor spectral sensitivities from humans, and the responses of these photoreceptors provide the inputs to their visually guided behaviours, it is essential that polyester colour engineering be guided by fly photoreceptor-based explanations of tsetse attraction. To this end, tsetse attraction to differently coloured fabrics was recently modelled using the calculated excitations elicited in a generic set of fly photoreceptors as predictors. However, electrophysiological data from tsetse indicate the potential for modified spectral sensitivities versus the generic pattern, and processing of fly photoreceptor responses within segregated achromatic and chromatic channels has long been hypothesised. Thus, I constructed photoreceptor-based models explaining the attraction of G. f. fuscipes to differently coloured tiny targets recorded in a previously published investigation, under differing assumptions about tsetse spectral sensitivities and organisation of visual processing. Models separating photoreceptor responses into achromatic and chromatic channels explained attraction better than earlier models combining weighted photoreceptor responses in a single mechanism, regardless of the spectral sensitivities assumed. However, common principles for fabric colour engineering were evident across the complete set of models examined, and were consistent with earlier work. Tools for the

  19. Developing photoreceptor-based models of visual attraction in riverine tsetse, for use in the engineering of more-attractive polyester fabrics for control devices

    PubMed Central

    2017-01-01

    Riverine tsetse transmit the parasites that cause the most prevalent form of human African trypanosomiasis, Gambian HAT. In response to the imperative for cheap and efficient tsetse control, insecticide-treated ‘tiny targets’ have been developed through refinement of tsetse attractants based on blue fabric panels. However, modern blue polyesters used for this purpose attract many less tsetse than traditional phthalogen blue cottons. Therefore, colour engineering polyesters for improved attractiveness has great potential for tiny target development. Because flies have markedly different photoreceptor spectral sensitivities from humans, and the responses of these photoreceptors provide the inputs to their visually guided behaviours, it is essential that polyester colour engineering be guided by fly photoreceptor-based explanations of tsetse attraction. To this end, tsetse attraction to differently coloured fabrics was recently modelled using the calculated excitations elicited in a generic set of fly photoreceptors as predictors. However, electrophysiological data from tsetse indicate the potential for modified spectral sensitivities versus the generic pattern, and processing of fly photoreceptor responses within segregated achromatic and chromatic channels has long been hypothesised. Thus, I constructed photoreceptor-based models explaining the attraction of G. f. fuscipes to differently coloured tiny targets recorded in a previously published investigation, under differing assumptions about tsetse spectral sensitivities and organisation of visual processing. Models separating photoreceptor responses into achromatic and chromatic channels explained attraction better than earlier models combining weighted photoreceptor responses in a single mechanism, regardless of the spectral sensitivities assumed. However, common principles for fabric colour engineering were evident across the complete set of models examined, and were consistent with earlier work. Tools for

  20. Development and fabrication of heat-sterilizable inhalation therapy equipment

    NASA Technical Reports Server (NTRS)

    Irons, A. S.

    1974-01-01

    The development of a completely heat sterilizable intermittent positive pressure breathing (IPPB) ventilator in an effort to reduce the number of hospital acquired infections is reported. After appropriate changes in materials and design were made, six prototype units were fabricated and were successfully field tested in local hospitals. Most components of the modified ventilators are compatible with existing machines. In all but a few instances, such as installation of bacteria-retentive filters and a modified venturi, the change over from non-heat-sterilizable to sterilizable units was accomplished by replacement of heat labile materials with heat stable materials.

  1. Research & Development of Materials/Processing Methods for Continuous Fiber Ceramic Composites (CFCC) Phase 2 Final Report.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Szweda, A.

    2001-01-01

    The Department of Energy's Continuous Fiber Ceramic Composites (CFCC) Initiative that begun in 1992 has led the way for Industry, Academia, and Government to carry out a 10 year R&D plan to develop CFCCs for these industrial applications. In Phase II of this program, Dow Corning has led a team of OEM's, composite fabricators, and Government Laboratories to develop polymer derived CFCC materials and processes for selected industrial applications. During this phase, Dow Corning carried extensive process development and representative component demonstration activities on gas turbine components, chemical pump components and heat treatment furnace components.

  2. Femtosecond Laser Fabrication of Monolithically Integrated Microfluidic Sensors in Glass

    PubMed Central

    He, Fei; Liao, Yang; Lin, Jintian; Song, Jiangxin; Qiao, Lingling; Cheng, Ya; Sugioka, Koji

    2014-01-01

    Femtosecond lasers have revolutionized the processing of materials, since their ultrashort pulse width and extremely high peak intensity allows high-quality micro- and nanofabrication of three-dimensional (3D) structures. This unique capability opens up a new route for fabrication of microfluidic sensors for biochemical applications. The present paper presents a comprehensive review of recent advancements in femtosecond laser processing of glass for a variety of microfluidic sensor applications. These include 3D integration of micro-/nanofluidic, optofluidic, electrofluidic, surface-enhanced Raman-scattering devices, in addition to fabrication of devices for microfluidic bioassays and lab-on-fiber sensors. This paper describes the unique characteristics of femtosecond laser processing and the basic concepts involved in femtosecond laser direct writing. Advanced spatiotemporal beam shaping methods are also discussed. Typical examples of microfluidic sensors fabricated using femtosecond lasers are then highlighted, and their applications in chemical and biological sensing are described. Finally, a summary of the technology is given and the outlook for further developments in this field is considered. PMID:25330047

  3. Lawsonite Microstructures and Fabric Development at the Slab-Mantle Interface

    NASA Astrophysics Data System (ADS)

    Fornash, K.; Whitney, D.; Teyssier, C. P.; Seaton, N. C.

    2016-12-01

    Lawsonite is of critical importance to element and water cycling in subduction zones because it has a high H2O content, is stable at high pressures, and is a significant reservoir for trace elements in HP/LT rocks. In addition, the presence, abundance, and crystallographic orientation of lawsonite can affect the deformation and rheological behavior of subducted oceanic crust and sediments, and may influence the seismic properties of subducted slabs. The scarcity of unaltered lawsonite in HP/LT rocks exhumed to the Earth's surface, particularly in eclogite, however, has prevented an understanding of the deformation behavior of lawsonite and the factors controlling the development of fabrics in lawsonite, which are important for understanding the effects of lawsonite on the physical properties of subducted slabs. One of the few places in the world with unaltered lawsonite in eclogite and blueschist facies rocks is the Sivrihisar Massif, Turkey, which contains a coherent sequence of lawsonite-bearing metabasaltic and metasedimentary rocks that were metamorphosed and deformed at the slab-mantle interface (45 - 80 km), and therefore provide an opportunity to systematically compare lawsonite fabrics in rocks with different modal amounts of rheologically significant minerals (e.g., glaucophane, omphacite, quartz), integrated with information about lawsonite crystal size, compositional zoning patterns, shape, and twinning. Studies to date of lawsonite CPO from natural lawsonite-bearing rocks have resulted in two patterns: one is characterized by a concentration of [001] axes parallel to lineation and the other is characterized by a concentration of [001] axes perpendicular to foliation. We have documented the first type in metabasalt and the second in quartzite, but other researchers have documented both types in metabasalt. Regardless of variations in lawsonite CPO or rock type, omphacite and glaucophane CPO remain consistent. Quartz c-axis patterns vary in eclogite- and

  4. PRSEUS Panel Fabrication Final Report

    NASA Technical Reports Server (NTRS)

    Linton, Kim A.; Velicki, Alexander; Hoffman, Krishna; Thrash, Patrick; Pickell, Robert; Turley, Robert

    2014-01-01

    NASA and the Boeing Company have been working together under the Environmentally Responsible Aviation Project to develop stitched unitized structure for reduced weight, reduced fuel burn and reduced pollutants in the next generation of commercial aircraft. The structural concept being evaluated is PRSEUS (Pultruded Rod Stitched Efficient Unitized Structure). In the PRSEUS concept, dry carbon fabric, pultruded carbon rods, and foam are stitched together into large preforms. Then these preforms are infused with an epoxy resin into large panels in an out-of-autoclave process. These panels have stiffeners in the length-wise and width-wise directions but contain no fasteners because all stiffeners are stitched to the panel skin. This document contains a description of the fabrication of panels for use in the 30-foot-long Multi-Bay Box test article to be evaluated at NASA LaRC.

  5. Design and fabrication of vertically-integrated CMOS image sensors.

    PubMed

    Skorka, Orit; Joseph, Dileepan

    2011-01-01

    Technologies to fabricate integrated circuits (IC) with 3D structures are an emerging trend in IC design. They are based on vertical stacking of active components to form heterogeneous microsystems. Electronic image sensors will benefit from these technologies because they allow increased pixel-level data processing and device optimization. This paper covers general principles in the design of vertically-integrated (VI) CMOS image sensors that are fabricated by flip-chip bonding. These sensors are composed of a CMOS die and a photodetector die. As a specific example, the paper presents a VI-CMOS image sensor that was designed at the University of Alberta, and fabricated with the help of CMC Microsystems and Micralyne Inc. To realize prototypes, CMOS dies with logarithmic active pixels were prepared in a commercial process, and photodetector dies with metal-semiconductor-metal devices were prepared in a custom process using hydrogenated amorphous silicon. The paper also describes a digital camera that was developed to test the prototype. In this camera, scenes captured by the image sensor are read using an FPGA board, and sent in real time to a PC over USB for data processing and display. Experimental results show that the VI-CMOS prototype has a higher dynamic range and a lower dark limit than conventional electronic image sensors.

  6. Fabrication of an interim complete removable dental prosthesis with an in-office digital light processing three-dimensional printer: A proof-of-concept technique.

    PubMed

    Lin, Wei-Shao; Harris, Bryan T; Pellerito, John; Morton, Dean

    2018-04-30

    This report describes a proof of concept for fabricating an interim complete removable dental prosthesis with a digital light processing 3-dimensional (3D) printer. Although an in-office 3D printer can reduce the overall production cost for an interim complete removable dental prosthesis, the process has not been validated with clinical studies. This report provided a preliminary proof of concept in developing a digital workflow for the in-office additively manufactured interim complete removable dental prosthesis. Copyright © 2018 Editorial Council for the Journal of Prosthetic Dentistry. Published by Elsevier Inc. All rights reserved.

  7. Micromechanical Structures Fabrication

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rajic, S

    2001-05-08

    Work in materials other than silicon for MEMS applications has typically been restricted to metals and metal oxides instead of more ''exotic'' semiconductors. However, group III-V and II-VI semiconductors form a very important and versatile collection of material and electronic parameters available to the MEMS and MOEMS designer. With these materials, not only are the traditional mechanical material variables (thermal conductivity, thermal expansion, Young's modulus, etc.) available, but also chemical constituents can be varied in ternary and quaternary materials. This flexibility can be extremely important for both friction and chemical compatibility issues for MEMS. In addition, the ability to continuallymore » vary the bandgap energy can be particularly useful for many electronics and infrared detection applications. However, there are two major obstacles associated with alternate semiconductor material MEMS. The first issue is the actual fabrication of non-silicon micro-devices and the second impediment is communicating with these novel devices. We have implemented an essentially material independent fabrication method that is amenable to most group III-V and II-VI semiconductors. This technique uses a combination of non-traditional direct write precision fabrication processes such as diamond turning, ion milling, laser ablation, etc. This type of deterministic fabrication approach lends itself to an almost trivial assembly process. We also implemented a mechanical, electrical, and optical self-aligning hybridization technique for these alternate-material MEMS substrates.« less

  8. Development and fabrication of the vacuum systems for an elliptically polarized undulator at Taiwan Photon Source

    NASA Astrophysics Data System (ADS)

    Chang, Chin-Chun; Chan, Che-Kai; Wu, Ling-Hui; Shueh, Chin; Shen, I.-Ching; Cheng, Chia-Mu; Yang, I.-Chen

    2017-05-01

    Three sets of a vacuum system were developed and fabricated for elliptically polarized undulators (EPU) of a 3-GeV synchrotron facility. These chambers were shaped with low roughness extrusion and oil-free machining; the design combines aluminium and stainless steel. The use of a bimetallic material to connect the EPU to the vacuum system achieves the vacuum sealing and to resolve the leakage issue due to bake process induced thermal expansion difference. The interior of the EPU chamber consists of a non-evaporable-getter strip pump in a narrow space to absorb photon-stimulated desorption and to provide a RF bridge design to decrease impedance effect in the two ends of EPU chamber. To fabricate these chambers and to evaluate the related performance, we performed a computer simulation to optimize the structure. During the machining and welding, the least deformation was achieved, less than 0.1 mm near 4 m. In the installation, the linear slider can provide a stable and precision moved along parallel the electron beam direction smoothly for the EPU chamber to decrease the twist issue during baking process. The pressure of the EPU chamber attained less than 2×10-8 Pa through baking. These vacuum systems of the EPU magnet have been installed in the electron storage ring of Taiwan Photon Source in 2015 May and have normally operated at 300 mA continuously since, and to keep beam life time achieved over than 12 h.

  9. High‐Volume Processed, ITO‐Free Superstrates and Substrates for Roll‐to‐Roll Development of Organic Electronics

    PubMed Central

    Hösel, Markus; Angmo, Dechan; Søndergaard, Roar R.; dos Reis Benatto, Gisele A.; Carlé, Jon E.; Jørgensen, Mikkel

    2014-01-01

    The fabrication of substrates and superstrates prepared by scalable roll‐to‐roll methods is reviewed. The substrates and superstrates that act as the flexible carrier for the processing of functional organic electronic devices are an essential component, and proposals are made about how the general availability of various forms of these materials is needed to accelerate the development of the field of organic electronics. The initial development of the replacement of indium‐tin‐oxide (ITO) for the flexible carrier materials is described and a description of how roll‐to‐roll processing development led to simplification from an initially complex make‐up to higher performing materials through a more simple process is also presented. This process intensification through process simplification is viewed as a central strategy for upscaling, increasing throughput, performance, and cost reduction. PMID:27980893

  10. Nanoimprint lithography for nanodevice fabrication

    NASA Astrophysics Data System (ADS)

    Barcelo, Steven; Li, Zhiyong

    2016-09-01

    Nanoimprint lithography (NIL) is a compelling technique for low cost nanoscale device fabrication. The precise and repeatable replication of nanoscale patterns from a single high resolution patterning step makes the NIL technique much more versatile than other expensive techniques such as e-beam or even helium ion beam lithography. Furthermore, the use of mechanical deformation during the NIL process enables grayscale lithography with only a single patterning step, not achievable with any other conventional lithography techniques. These strengths enable the fabrication of unique nanoscale devices by NIL for a variety of applications including optics, plasmonics and even biotechnology. Recent advances in throughput and yield in NIL processes demonstrate the potential of being adopted for mainstream semiconductor device fabrication as well.

  11. Fabrication of functional devices using soft lithography and unconventional micropatterning

    NASA Astrophysics Data System (ADS)

    Deng, Tao

    In this thesis, I present part of our work in the fabrication of functional devices using soft lithography, and also describe unconventional micropatterning techniques involving photographic films. Soft lithography is a set of techniques that are complementary to photolithography, but not limited to planar patterning. It offers the capability of generating micro and nanostructures to a larger community than that familiar with conventional fabrication facilities. The first part of this thesis (chapter 1--4) focuses on the fabrication of microelectronic and micromagnetic devices. These successful demonstrations establish the compatibility of soft lithography with multilayer fabrication of functional devices, and open the door for the further development in these areas. Chapter 1 and 2 describe the use of microtransfer molding (muTM), micromolding in capillaries (MIMIC), and microcontact (muCP) for fabricating Schottky diodes and half-wave rectifier circuits. The fabrication processes involve multiple soft lithography steps and address the registrations between different layer of structures. Room temperature characteristics of these devices resemble those of diodes and rectifiers fabricated by photolithography. Chapter 3 and 4 demonstrate the fabrication of micromagnetic systems. In chapter 3, a one-dimensional bead motor is reported. Based on current-carrying wire systems, the bead motor can trap and transfer magnetic beads suspended in aqueous solutions. Chapter 4 shows a microfiltration system that uses arrays of nickel posts positioned in a polydimethylsiloxane (PDMS) microfluidic channel as the filtering elements. Turning on or off the magnetic field that is localized by these nickel posts can trap or release magnetic beads flowing by. The second part of this thesis (chapter 5--7) focuses on the development of unconventional microfabrication. The major objective underlying this work is to explore the simplest and most broadly available techniques that we could

  12. Design and fabrication of bismith-silicate photonic crystal fiber

    NASA Astrophysics Data System (ADS)

    Hasegawa, Tomoharu

    2012-09-01

    The process of design and fabrication of bismuth-silicate photonic crystal fiber (Bi-PCF) is reported. The Bi-PCF was fabricated by stack and draw method. This is the first trial of the fabrication of photonic crystal fiber made of bismuth-based glass with stack and draw method. The Bi-PCF structure was designed to reduce group-velocity-dispersion (GVD) in a plausible process. Thermal properties of the glass are investigated to establish the fabrication process. The applying pressure and pumping in fiber preform preparation were effectively utilized to control the air-hole diameter and arrangement. The fabricated Bi-PCF shows the well reduced GVD as the numerical calculation predicted. Fusion splicing between Bi-PCF and SMF-28 was also demonstrated.

  13. Development studies of a novel wet oxidation process

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rogers, T.W.; Dooge, P.M.

    1996-12-31

    The objective of this study is to develop a novel catalytic chemical oxidation process that can be used to effectively treat multi-component wastes with a minimum of pretreatment characterization, thus providing a versatile, non-combustion method which will destroy hazardous organic compounds while simultaneously containing and concentrating toxic and radioactive metals for recovery or disposal in a readily stabilized matrix. Although the DETOX{sup SM} process had been tested to a limited extent for potential application to mixed wastes, there had not been sufficient experience with the process to determine its range of application to multicomponent waste forms. The potential applications ofmore » the process needed to be better identified. Then, the process needed to be demonstrated on wastes and remediate types on a practical scale in order that data could be obtained on application range, equipment size, capital and operating costs, effectiveness, safety, reliability, permittability, and potential commercial applications of the process. The approach for the project was, therefore, to identify the potential range of applications of the process (Phase I), to choose demonstration sites and design a demonstration prototype (Phase II), to fabricate and shakedown the demonstration unit (Phase III), then finally to demonstrate the process on surrogate hazardous and mixed wastes, and on actual mixed wastes (Phase IV).« less

  14. Metal Oxide Thin Film Transistors on Paper Substrate: Fabrication, Characterization, and Printing Process

    NASA Astrophysics Data System (ADS)

    Choi, Nack-Bong

    Flexible electronics is an emerging next-generation technology that offers many advantages such as light weight, durability, comfort, and flexibility. These unique features enable many new applications such as flexible display, flexible sensors, conformable electronics, and so forth. For decades, a variety of flexible substrates have been demonstrated for the application of flexible electronics. Most of them are plastic films and metal foils so far. For the fundamental device of flexible circuits, thin film transistors (TFTs) using poly silicon, amorphous silicon, metal oxide and organic semiconductor have been successfully demonstrated. Depending on application, low-cost and disposable flexible electronics will be required for convenience. Therefore it is important to study inexpensive substrates and to explore simple processes such as printing technology. In this thesis, paper is introduced as a new possible substrate for flexible electronics due to its low-cost and renewable property, and amorphous indium gallium zinc oxide (a-IGZO) TFTs are realized as the promising device on the paper substrate. The fabrication process and characterization of a-IGZO TFT on the paper substrate are discussed. a-IGZO TFTs using a polymer gate dielectric on the paper substrate demonstrate excellent performances with field effect mobility of ˜20 cm2 V-1 s-1, on/off current ratio of ˜106, and low leakage current, which show the enormous potential for flexible electronics application. In order to complement the n-channel a-IGZO TFTs and then enable complementary metal-oxide semiconductor (CMOS) circuit architectures, cuprous oxide is studied as a candidate material of p-channel oxide TFTs. In this thesis, a printing process is investigated as an alternative method for the fabrication of low-cost and disposable electronics. Among several printing methods, a modified offset roll printing that prints high resolution patterns is presented. A new method to fabricate a high resolution

  15. Hydrothermal growth of ZnO nanowires on flexible fabric substrates

    NASA Astrophysics Data System (ADS)

    Hong, Gwang-Wook; Yun, Sang-Ho; Kim, Joo-Hyung

    2016-04-01

    ZnO nanowires (NWs) would provide significant enhancement in sensitivity due to high surface to volume ratio. We investigated the first methodical study on the quantitative relationship between the process parameters of solution concentration ratio, structure, and physical and properties of ZnO NWs grown on different flexible fabric surfaces. To develop a fundamental following concerning various substrates, we controlled the growth speed of ZnO NWs and nanowires on cotton surface with easy and moderate cost fabrication method. Using ammonium hydroxide as the reactant with zinc nitrate hexahydrate, ZnO NWs layer have been grown on metal layers, instead of seed layer. ZnO NWs fabrication was done on different fabric substrates such as wool, nylon and polypropylene (PP). After the ZnO NWs grown to each substrates, we coated insulating layer with polyurethane (PU) and ethyl cellulose for prevent external intervention. Detailed electrical characterization was subsequently performed to reveal the working characteristics of the hybrid fabric. For electrical verification of fabricated ZnO NWs, we implemented measurement impact test and material properties with FFT analyzer and LCR meter.

  16. Radiation shielding properties of barite coated fabric by computer programme

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Akarslan, F.; Molla, T.; Üncü, I. S.

    2015-03-30

    With the development of technology radiation started to be used in variety of different fields. As the radiation is hazardous for human health, it is important to keep radiation dose as low as possible. This is done mainly using shielding materials. Barite is one of the important materials in this purpose. As the barite is not used directly it can be used in some other materials such as fabric. For this purposes barite has been coated on fabric in order to improve radiation shielding properties of fabric. Determination of radiation shielding properties of coated fabric has been done by usingmore » computer program written C# language. With this program the images obtained from digital Rontgen films is used to determine radiation shielding properties in terms of image processing numerical values. Those values define radiation shielding and in this way the coated barite effect on radiation shielding properties of fabric has been obtained.« less

  17. 3D Printed Surgical Instruments: The Design and Fabrication Process.

    PubMed

    George, Mitchell; Aroom, Kevin R; Hawes, Harvey G; Gill, Brijesh S; Love, Joseph

    2017-01-01

    3D printing is an additive manufacturing process allowing the creation of solid objects directly from a digital file. We believe recent advances in additive manufacturing may be applicable to surgical instrument design. This study investigates the feasibility, design and fabrication process of usable 3D printed surgical instruments. The computer-aided design package SolidWorks (Dassault Systemes SolidWorks Corp., Waltham MA) was used to design a surgical set including hemostats, needle driver, scalpel handle, retractors and forceps. These designs were then printed on a selective laser sintering (SLS) Sinterstation HiQ (3D Systems, Rock Hill SC) using DuraForm EX plastic. The final printed products were evaluated by practicing general surgeons for ergonomic functionality and performance, this included simulated surgery and inguinal hernia repairs on human cadavers. Improvements were identified and addressed by adjusting design and build metrics. Repeated manufacturing processes and redesigns led to the creation of multiple functional and fully reproducible surgical sets utilizing the user feedback of surgeons. Iterative cycles including design, production and testing took an average of 3 days. Each surgical set was built using the SLS Sinterstation HiQ with an average build time of 6 h per set. Functional 3D printed surgical instruments are feasible. Advantages compared to traditional manufacturing methods include no increase in cost for increased complexity, accelerated design to production times and surgeon specific modifications.

  18. Simple fabrication process for 2D ZnO nanowalls and their potential application as a methane sensor.

    PubMed

    Chen, Tse-Pu; Chang, Sheng-Po; Hung, Fei-Yi; Chang, Shoou-Jinn; Hu, Zhan-Shuo; Chen, Kuan-Jen

    2013-03-20

    Two-dimensional (2D) ZnO nanowalls were prepared on a glass substrate by a low-temperature thermal evaporation method, in which the fabrication process did not use a metal catalyst or the pre-deposition of a ZnO seed layer on the substrate. The nanowalls were characterized for their surface morphology, and the structural and optical properties were investigated using scanning electron microscopy (SEM), X-ray diffraction (XRD), transmission electron microscopy (TEM), and photoluminescence (PL). The fabricated ZnO nanowalls have many advantages, such as low growth temperature and good crystal quality, while being fast, low cost, and easy to fabricate. Methane sensor measurements of the ZnO nanowalls show a high sensitivity to methane gas, and rapid response and recovery times. These unique characteristics are attributed to the high surface-to-volume ratio of the ZnO nanowalls. Thus, the ZnO nanowall methane sensor is a potential gas sensor candidate owing to its good performance.

  19. Simple Fabrication Process for 2D ZnO Nanowalls and Their Potential Application as a Methane Sensor

    PubMed Central

    Chen, Tse-Pu; Chang, Sheng-Po; Hung, Fei-Yi; Chang, Shoou-Jinn; Hu, Zhan-Shuo; Chen, Kuan-Jen

    2013-01-01

    Two-dimensional (2D) ZnO nanowalls were prepared on a glass substrate by a low-temperature thermal evaporation method, in which the fabrication process did not use a metal catalyst or the pre-deposition of a ZnO seed layer on the substrate. The nanowalls were characterized for their surface morphology, and the structural and optical properties were investigated using scanning electron microscopy (SEM), X-ray diffraction (XRD), transmission electron microscopy (TEM), and photoluminescence (PL). The fabricated ZnO nanowalls have many advantages, such as low growth temperature and good crystal quality, while being fast, low cost, and easy to fabricate. Methane sensor measurements of the ZnO nanowalls show a high sensitivity to methane gas, and rapid response and recovery times. These unique characteristics are attributed to the high surface-to-volume ratio of the ZnO nanowalls. Thus, the ZnO nanowall methane sensor is a potential gas sensor candidate owing to its good performance. PMID:23519350

  20. Space Construction Automated Fabrication Experiment Definition Study (SCAFEDS), part 2

    NASA Technical Reports Server (NTRS)

    1978-01-01

    The techniques, processes, and equipment required for automatic fabrication and assembly of structural elements in using Shuttle as a launch vehicle, and construction were defined. Additional construction systems operational techniques, processes, and equipment which can be developed and demonstrated in the same program to provide further risk reduction benefits to future large space systems were identified and examined.