Sample records for ga si ge

  1. Single Junction InGaP/GaAs Solar Cells Grown on Si Substrates using SiGe Buffer Layers

    NASA Technical Reports Server (NTRS)

    Ringel, S. A.; Carlin, J. A.; Andre, C. L.; Hudait, M. K.; Gonzalez, M.; Wilt, D. M.; Clark, E. B.; Jenkins, P.; Scheiman, D.; Allerman, A.

    2002-01-01

    Single junction InGaP/GaAs solar cells displaying high efficiency and record high open circuit voltage values have been grown by metalorganic chemical vapor deposition on Ge/graded SiGe/Si substrates. Open circuit voltages as high as 980 mV under AM0 conditions have been verified to result from a single GaAs junction, with no evidence of Ge-related sub-cell photoresponse. Current AM0 efficiencies of close to 16% have been measured for a large number of small area cells, whose performance is limited by non-fundamental current losses due to significant surface reflection resulting from greater than 10% front surface metal coverage and wafer handling during the growth sequence for these prototype cells. It is shown that at the material quality currently achieved for GaAs grown on Ge/SiGe/Si substrates, namely a 10 nanosecond minority carrier lifetime that results from complete elimination of anti-phase domains and maintaining a threading dislocation density of approximately 8 x 10(exp 5) per square centimeter, 19-20% AM0 single junction GaAs cells are imminent. Experiments show that the high performance is not degraded for larger area cells, with identical open circuit voltages and higher short circuit current (due to reduced front metal coverage) values being demonstrated, indicating that large area scaling is possible in the near term. Comparison to a simple model indicates that the voltage output of these GaAs on Si cells follows ideal behavior expected for lattice mismatched devices, demonstrating that unaccounted for defects and issues that have plagued other methods to epitaxially integrate III-V cells with Si are resolved using SiGe buffers and proper GaAs nucleation methods. These early results already show the enormous and realistic potential of the virtual SiGe substrate approach for generating high efficiency, lightweight and strong III-V solar cells.

  2. High Quality GaAs Growth by MBE on Si Using GeSi Buffers and Prospects for Space Photovoltaics

    NASA Technical Reports Server (NTRS)

    Carlin, J. A.; Ringel, S. A.; Fitzgerald, E. A.; Bulsara, M.

    2005-01-01

    III-V solar cells on Si substrates are of interest for space photovoltaics since this would combine high performance space cells with a strong, lightweight and inexpensive substrate. However, the primary obstacles blocking III-V/Si cells from achieving high performance to date have been fundamental materials incompatabilities, namely the 4% lattice mismatch between GaAs and Si, and the large mismatch in thermal expansion coefficient. In this paper, we report on the molecular beam epitaxial (MBE) growth and properties of GaAs layers and single junction GaAs cells on Si wafers which utilize compositionally graded GeSi Intermediate buffers grown by ultra-high vacuum chemical vapor deposition (UHVCVD) to mitigate the large lattice mismatch between GaAs and Si. Ga As cell structures were found to incorporate a threading dislocation density of 0.9-1.5 x 10 (exp 6) per square centimeter, identical to the underlying relaxed Ge cap of the graded buffer, via a combination of transmission electron microscopy, electron beam induced current, and etch pit density measurements. AlGaAs/GaAs double heterostructures wre grown on the GeSi/Si substrates for time-resolved photoluminescence measurements, which revealed a bulk GaAs minority carrier lifetime in excess of 10 ns, the highest lifetime ever reported for GaAs on Si. A series of growth were performed to ass3ss the impact of a GaAs buffer to a thickness of only 0.1 micrometer. Secondary ion mass spectroscopy studies revealed that there is negligible cross diffusion of Ga, As and Ge at he III-V/Ge interface, identical to our earlier findings for GaAs grown on Ge wafers using MBE. This indicates that there is no need for a buffer to "bury" regions of high autodopjing,a nd that either pn or np configuration cells are easily accomodated by these substrates. Preliminary diodes and single junction Al Ga As heteroface cells were grown and fabricated on the Ge/GeSi/Si substrates for the first time. Diodes fabricated on GaAs, Ge and Ge/GeSi/Si

  3. Characterization of rodlike structures in Si-Ge-GaP alloys

    NASA Astrophysics Data System (ADS)

    Srikant, V.; Jesser, W. A.; Rosi, F. D.

    1996-07-01

    High-temperature microstructure of Si-Ge alloys containing 10-15 mole % GaP were studied. Quenching the 80/20 Si-Ge alloy (80 at. % Si) from above 1125 °C and the 50/50 Si-Ge alloy (50 at. % Si) from above 1025 °C resulted in a duplex microstructure. The two-phase regions consisted of a regular array of rodlike structures (GaP) in a Si-Ge matrix whereas the monophase regions were pure Si-Ge. These rodlike structures were found to lie along the [001] direction and result in {002} spots in a [100] electron diffraction pattern. The ``rods'' were about 35 and 45 nm in diameter in the case of the 80/20 and 50/50 alloy, respectively. These structures are not stable on annealing and do not form when the solidification rate is decreased.

  4. Thermoelectric properties of heavily GaP- and P-doped Si0.95Ge0.05

    NASA Astrophysics Data System (ADS)

    Yamashita, Osamu

    2001-06-01

    The Seebeck coefficient S, the electrical resistivity ρ and the thermal conductivity κ of Si0.95Ge0.05 samples doped with 0.4 at. % P and/or 0.5-2.0 mol % GaP, which were prepared by a conventional arc melting method, were measured as functions of GaP content and temperature T in the range from 323 to 1208 K. When multidoped with P and GaP, Ga tends to segregate more strongly with Ge to the grain boundaries than P, while when doped with GaP alone, both P and Ga segregate equally strongly with Ge. For multidoped samples, the S values at 323 K have a minimum at 1.0 mol % GaP and then increase with additional GaP, while the values of ρ and κ decrease monotonically with increasing GaP content. The optimum additional content of GaP that gives the largest thermoelectric figures of merit (ZT=S2T/κρ) for multidoped n-type Si0.95Ge0.05 samples was 1.5 mol %, which is slightly less than the 2.0 mol % of GaP added to Si0.8Ge0.2 alloy by hot pressing. The ZT value for multidoped Si0.95Ge0.05 with an optimum content of GaP increases linearly with temperature, and at 1073 K is 18% higher than that obtained previously for Si0.95Ge0.05 doped with only 0.4 at. % P. At 1173 K the ZT value is 1.16, which corresponds to 95% of that obtained previously at the corresponding temperature for Si0.8Ge0.2 alloy doped with 2.0 mol % GaP.

  5. Band alignments at Ga2O3 heterojunction interfaces with Si and Ge

    NASA Astrophysics Data System (ADS)

    Gibbon, J. T.; Jones, L.; Roberts, J. W.; Althobaiti, M.; Chalker, P. R.; Mitrovic, Ivona Z.; Dhanak, V. R.

    2018-06-01

    Amorphous Ga2O3 thin films were deposited on p-type (111) and (100) surfaces of silicon and (100) germanium by atomic layer deposition (ALD). X-ray photoelectron spectroscopy (XPS) was used to investigate the band alignments at the interfaces using the Kraut Method. The valence band offsets were determined to be 3.49± 0.08 eV and 3.47± 0.08 eV with Si(111) and Si(100) respectively and 3.51eV± 0.08 eV with Ge(100). Inverse photoemission spectroscopy (IPES) was used to investigate the conduction band of a thick Ga2O3 film and the band gap of the film was determined to be 4.63±0.14 eV. The conduction band offsets were found to be 0.03 eV and 0.05eV with Si(111) and Si(100) respectively, and 0.45eV with Ge(100). The results indicate that the heterojunctions of Ga2O3 with Si(100), Si(111) and Ge(100) are all type I heterojunctions.

  6. InGaP solar cell on Ge-on-Si virtual substrate for novel solar power conversion

    NASA Astrophysics Data System (ADS)

    Kim, T. W.; Albert, B. R.; Kimerling, L. C.; Michel, J.

    2018-02-01

    InGaP single-junction solar cells are grown on lattice-matched Ge-on-Si virtual substrates using metal-organic chemical vapor deposition. Optoelectronic simulation results indicate that the optimal collection length for InGaP single-junction solar cells with a carrier lifetime range of 2-5 ns is wider than approximately 1 μm. Electron beam-induced current measurements reveal that the threading dislocation density (TDD) of InGaP solar cells fabricated on Ge and Ge-on-Si substrates is in the range of 104-3 × 107 cm-2. We demonstrate that the open circuit voltage (Voc) of InGaP solar cells is not significantly influenced by TDDs less than 2 × 106 cm-2. Fabricated InGaP solar cells grown on a Ge-on-Si virtual substrate and a Ge substrate exhibit Voc in the range of 0.96 to 1.43 V under an equivalent illumination in the range of ˜0.5 Sun. The estimated efficiency of the InGaP solar cell fabricated on the Ge-on-Si virtual substrate (Ge substrate) at room temperature for the limited incident spectrum spanning the photon energy range of 1.9-2.4 eV varies from 16.6% to 34.3%.

  7. Effect of Ga and P dopants on the thermoelectric properties of n-type SiGe

    NASA Technical Reports Server (NTRS)

    Draper, S. L.; Vandersande, J. W.; Wood, C.; Masters, R.; Raag, V.

    1989-01-01

    The purpose of this study was to hot-press improved n-type Si80Ge20/GaP samples directly (without any heat treatment) and to confirm that a Ga/P ratio less than one increases the solubility of P and, hence, improves the power factor and Z. One of the three samples (Ga/P = 0.43) had an improvement in Z of about 20 percent between 400 and 1000 C over that for standard SiGe. This demonstrates that improved samples can be pressed directly and that a Ga/P ratio less than one is necessary. The other two samples (Ga/P = 0.33 and 0.50) had Z's equal to or less than that of standard SiGe but had a lower hot-pressing temperature than the improved sample.

  8. Alignment of Ge nanoislands on Si(111) by Ga-induced substrate self-patterning.

    PubMed

    Schmidt, Th; Flege, J I; Gangopadhyay, S; Clausen, T; Locatelli, A; Heun, S; Falta, J

    2007-02-09

    A novel mechanism is described which enables the selective formation of three-dimensional Ge islands. Submonolayer adsorption of Ga on Si(111) at high temperature leads to a self-organized two-dimensional pattern formation by separation of the 7 x 7 substrate and Ga/Si(111)-(square root[3] x square root[3])-R30 degrees domains. The latter evolve at step edges and domain boundaries of the initial substrate reconstruction. Subsequent Ge deposition results in the growth of 3D islands which are aligned at the boundaries between bare and Ga-covered domains. This result is explained in terms of preferential nucleation conditions due to a modulation of the surface chemical potential.

  9. Wafer-scale layer transfer of GaAs and Ge onto Si wafers using patterned epitaxial lift-off

    NASA Astrophysics Data System (ADS)

    Mieda, Eiko; Maeda, Tatsuro; Miyata, Noriyuki; Yasuda, Tetsuji; Kurashima, Yuichi; Maeda, Atsuhiko; Takagi, Hideki; Aoki, Takeshi; Yamamoto, Taketsugu; Ichikawa, Osamu; Osada, Takenori; Hata, Masahiko; Ogawa, Arito; Kikuchi, Toshiyuki; Kunii, Yasuo

    2015-03-01

    We have developed a wafer-scale layer-transfer technique for transferring GaAs and Ge onto Si wafers of up to 300 mm in diameter. Lattice-matched GaAs or Ge layers were epitaxially grown on GaAs wafers using an AlAs release layer, which can subsequently be transferred onto a Si handle wafer via direct wafer bonding and patterned epitaxial lift-off (ELO). The crystal properties of the transferred GaAs layers were characterized by X-ray diffraction (XRD), photoluminescence, and the quality of the transferred Ge layers was characterized using Raman spectroscopy. We find that, after bonding and the wet ELO processes, the quality of the transferred GaAs and Ge layers remained the same compared to that of the as-grown epitaxial layers. Furthermore, we realized Ge-on-insulator and GaAs-on-insulator wafers by wafer-scale pattern ELO technique.

  10. EOL performance comparison of GaAs/Ge and Si BSF/R solar arrays

    NASA Technical Reports Server (NTRS)

    Woike, Thomas J.

    1993-01-01

    EOL power estimates for solar array designs are significantly influenced by the predicted degradation due to charged particle radiation. New radiation-induced power degradation data for GaAs/Ge solar arrays applicable to missions ranging from low earth orbit (LEO) to geosynchronous earth orbit (GEO) and compares these results to silicon BSF/R arrays. These results are based on recently published radiation damage coefficients for GaAs/Ge cells. The power density ratio (GaAs/Ge to Si BSF/R) was found to be as high as 1.83 for the proton-dominated worst-case altitude of 7408 km medium Earth orbit (MEO). Based on the EOL GaAs/Ge solar array power density results for MEO, missions which were previously considered infeasible may be reviewed based on these more favorable results. The additional life afforded by using GaAs/Ge cells is an important factor in system-level trade studies when selecting a solar cell technology for a mission and needs to be considered. The data presented supports this decision since the selected orbits have characteristics similar to most orbits of interest.

  11. Restricted-Access Al-Mediated Material Transport in Al Contacting of PureGaB Ge-on-Si p + n Diodes

    NASA Astrophysics Data System (ADS)

    Sammak, Amir; Qi, Lin; Nanver, Lis K.

    2015-12-01

    The effectiveness of using nanometer-thin boron (PureB) layers as interdiffusion barrier to aluminum (Al) is studied for a contacting scheme specifically developed for fabricating germanium-on-silicon (Ge-on-Si) p + n photodiodes with an oxide-covered light entrance window. Contacting is achieved at the perimeter of the Ge-island anode directly to an Al interconnect metallization. The Ge is grown in oxide windows to the Si wafer and covered by a B and gallium (Ga) layer stack (PureGaB) composed of about a nanometer of Ga for forming the p + Ge region and 10 nm of B as an interdiffusion barrier to the Al. To form contact windows, the side-wall oxide is etched away, exposing a small tip of the Ge perimeter to Al that from this point travels about 5 μm into the bulk Ge crystal. In this process, Ge and Si materials are displaced, forming Ge-filled V-grooves at the Si surface. The Al coalesces in grains. This process is studied here by high-resolution cross-sectional transmission electron microscopy and energy dispersive x-ray spectroscopy that confirm the purities of the Ge and Al grains. Diodes are fabricated with different geometries and statistical current-voltage characterization reveals a spread that can be related to across-the-wafer variations in the contact processing. The I- V behavior is characterized by low dark current, low contact resistance, and breakdown voltages that are suitable for operation in avalanching modes. The restricted access to the Ge of the Al inducing the Ge and Si material transport does not destroy the very good electrical characteristics typical of PureGaB Ge-on-Si diodes.

  12. Heteroepitaxial growth of GaAs on (100) Ge/Si using migration enhanced epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tanoto, H.; Loke, W. K.; Yoon, S. F.

    In this paper, heteroepitaxial growth of GaAs on nominal (100) Ge/Si substrate was investigated. The root-mean square surface roughness of the sample where the first few monolayers of the GaAs were nucleated by migration enhanced epitaxy (MEE) is four times smaller compared to the sample without such a process, indicating better surface planarity. From the (004) x-ray diffraction rocking curve measurement, the full width at half maximum of the GaAs layer nucleated by MEE is 40% lower compared to that of the GaAs layer without such a process, indicating better crystal quality. Furthermore, it was found that the sample wheremore » the GaAs layer was nucleated by MEE experienced early relaxation. As the MEE process promotes two-dimensional growth, the GaAs layer where nucleation was initiated by such a process has fewer islandlike formations. This leads to a pseudomorphically grown GaAs layer, which experiences higher strain compared to the GaAs layer with more islandlike formations, where most relaxation occurs on the free surface of the islands. Therefore, for the same layer thickness, the GaAs layer on (100) Ge/Si substrate where nucleation was initiated by MEE relaxed first.« less

  13. Structural and optical characteristics of GaAs films grown on Si/Ge substrates

    NASA Astrophysics Data System (ADS)

    Rykov, A. V.; Dorokhin, M. V.; Vergeles, P. S.; Baidus, N. V.; Kovalskiy, V. A.; Yakimov, E. B.; Soltanovich, O. A.

    2018-03-01

    A GaAs/AlAs heterostructure and a GaAs film grown on Si/Ge substrates have been fabricated and studied. A Ge buffer on a silicon substrate was fabricated using the MBE process. A3B5 films were grown by MOCVD at low pressures. Photoluminescence spectroscopy was used to define the optical quality of A3B5 films. Structural properties were investigated using the electron beam induced current method. It was established that despite a rather high density of dislocations on the epitaxial layers, the detected photoluminescence radiation of layers indicates the acceptable crystalline quality of the top GaAs layer.

  14. Development of Si/SiGe heterostructures

    NASA Astrophysics Data System (ADS)

    Hauenstein, R. J.; Veteran, J. L.; Young, M. H.

    1991-01-01

    New molecular beam epitaxy (MBE) materials growth and doping processes were developed for the fabrication of Si/SiGe heterostructure devices. These new materials processes are applied to the demonstration of cryogenic n-p-n Si/Si 1-x Gex/Si heterojunction bipolar transistors (HBT). This application has special significance as an enabling DoD technology for fast low noise, high performance readout and signal processing circuits for IR focal systems. Reliable, versatile methods were developed to grow very high quality Si/SiGe strained layer heterostructures and multilayers. In connection with this program methods were developed to dope the Si and SiGe with B, Sb and Ga. B and Sb were found to be the preferred dopants for p and n regions respectively, of the HBT devices. The test devices clearly displayed gain enhancement due to the heterojunction and provided useful gains from room temperature down to 10 K.

  15. Progress toward thin-film GaAs solar cells using a single-crystal Si substrate with a Ge interlayer

    NASA Technical Reports Server (NTRS)

    Yeh, Y. C. M.; Wang, K. L.; Zwerdling, S.

    1982-01-01

    Development of a technology for fabricating light-weight, high-efficiency, radiation-resistant solar cells for space applications is reported. The approaches currently adopted are to fabricate shallow homojunction n(+)/p as well as p/n AlGaAs-heteroface GaAs solar cells by organometallic chemical vapor deposition (OM-CVD) on single-crystal Si substrates using in each case, a thin Ge epi-interlayer first grown by CVD. This approach maintains the advantages of the low specific gravity of Si as well as the high efficiency and radiation-resistant properties of the GaAs solar cell which can lead to greatly improved specific power for a solar array. The growth of single-crystal GaAs epilayers on Ge epi-interlayers on Si substrates is investigated. Related solar cell fabrication is reviewed.

  16. Effect of doping on the intersubband absorption in Si- and Ge-doped GaN/AlN heterostructures

    NASA Astrophysics Data System (ADS)

    Ajay, A.; Lim, C. B.; Browne, D. A.; Polaczyński, J.; Bellet-Amalric, E.; Bleuse, J.; den Hertog, M. I.; Monroy, E.

    2017-10-01

    In this paper, we study band-to-band and intersubband (ISB) characteristics of Si- and Ge-doped GaN/AlN heterostructures (planar and nanowires) structurally designed to absorb in the short-wavelength infrared region, particularly at 1.55 μm. Regarding the band-to-band properties, we discuss the variation of the screening of the internal electric field by free carriers, as a function of the doping density and well/nanodisk size. We observe that nanowire heterostructures consistently present longer photoluminescence decay times than their planar counterparts, which supports the existence of an in-plane piezoelectric field associated to the shear component of the strain tensor in the nanowire geometry. Regarding the ISB characteristics, we report absorption covering 1.45-1.75 μm using Ge-doped quantum wells, with comparable performance to Si-doped planar heterostructures. We also report similar ISB absorption in Si- and Ge-doped nanowire heterostructures indicating that the choice of dopant is not an intrinsic barrier for observing ISB phenomena. The spectral shift of the ISB absorption as a function of the doping concentration due to many body effects confirms that Si and Ge efficiently dope GaN/AlN nanowire heterostructures.

  17. Heterogeneous Integration of Epitaxial Ge on Si using AlAs/GaAs Buffer Architecture: Suitability for Low-power Fin Field-Effect Transistors

    PubMed Central

    Hudait, Mantu K.; Clavel, Michael; Goley, Patrick; Jain, Nikhil; Zhu, Yan

    2014-01-01

    Germanium-based materials and device architectures have recently appeared as exciting material systems for future low-power nanoscale transistors and photonic devices. Heterogeneous integration of germanium (Ge)-based materials on silicon (Si) using large bandgap buffer architectures could enable the monolithic integration of electronics and photonics. In this paper, we report on the heterogeneous integration of device-quality epitaxial Ge on Si using composite AlAs/GaAs large bandgap buffer, grown by molecular beam epitaxy that is suitable for fabricating low-power fin field-effect transistors required for continuing transistor miniaturization. The superior structural quality of the integrated Ge on Si using AlAs/GaAs was demonstrated using high-resolution x-ray diffraction analysis. High-resolution transmission electron microscopy confirmed relaxed Ge with high crystalline quality and a sharp Ge/AlAs heterointerface. X-ray photoelectron spectroscopy demonstrated a large valence band offset at the Ge/AlAs interface, as compared to Ge/GaAs heterostructure, which is a prerequisite for superior carrier confinement. The temperature-dependent electrical transport properties of the n-type Ge layer demonstrated a Hall mobility of 370 cm2/Vs at 290 K and 457 cm2/Vs at 90 K, which suggests epitaxial Ge grown on Si using an AlAs/GaAs buffer architecture would be a promising candidate for next-generation high-performance and energy-efficient fin field-effect transistor applications. PMID:25376723

  18. Development of GaAs/Si and GaAs/Si monolithic structures for future space solar cells

    NASA Technical Reports Server (NTRS)

    Spitzer, M. B.; Vernon, S. M.; Wolfson, R. G.; Tobin, S. P.

    1984-01-01

    The results of heteroepitaxial growth of GaAs and GaAlAs directly on Si are presented, and applications to new cell structures are suggested. The novel feature is the elimination of a Ge lattice transition region. This feature not only reduces the cost of substrate preparation, but also makes possible the fabrication of high efficiency monolithic cascade structures. All films to be discussed were grown by organometallic chemical vapor deposition at atmospheric pressure. This process yielded reproducible, large-area films of GaAs, grown directly on Si, that are tightly adherent and smooth, and are characterized by a defect density of 5 x 10(6) power/sq cm. Preliminary studies indicate that GaAlAs can also be grown in this way. A number of promising applications are suggested. Certainly these substrates are ideal for low-weight GaAs space solar ells. For very high efficiency, the absence of Ge makes the technology attractive for GaAlAs/Si monolithic cascades, in which the Si substrates would first be provided with a suitable p/n junction. An evaluation of a three bandgap cascade consisting of appropriately designed GaAlAs/GaAs/Si layers is also presented.

  19. Optoelectronic and transport properties of LiBZ (B = Al, In, Ga and Z = Si, Ge, Sn) semiconductors

    NASA Astrophysics Data System (ADS)

    Shah, Syed Hatim; Khan, Shah Haider; Laref, A.; Murtaza, G.

    2018-02-01

    Half-Heusler compounds LiBZ (B = Al, In, Ga and Z = Si, Ge, Sn) are comprehensively investigated using state of the art full potential linearized augmented plane wave (FP-LAPW) method. Stable geometry of the compounds obtained through energy minimization procedure. Lattice constant increased while bulk modulus decreased in replacing the ions of size increasing from top to bottom of the periodic table. Band structure calculations show LiInGe and LiInSn as direct bandgap while LiAlSi, LiInGe and LiGaSn indirect bandgap semiconductors. Density of states demonstrates mixed s, p, d states of cations and anions in the valence and conduction bands. These compounds have mixed ionic and covalent bonding. Compounds show dominant optical response in the visible and low frequency ultraviolet energy region. The transport properties of the compounds are described in terms of Seebeck coefficient, electrical and thermal conductivities. The calculated figure of merit of LiAlSi is in good agreement with the recent experimental results.

  20. Magnetotransport and Heat Capacity in Ternary Compounds U3M2M‧3‧, M=Al, Ga; M=Si, Ge

    NASA Astrophysics Data System (ADS)

    Troć, R.; Rogl, P.; Tran, V. H.; Czopnik, A.

    2001-05-01

    We report detailed studies of magnetization, electrical resistivity, magnetoresistivity, and heat capacity performed on the novel family of intermetallic compounds U3M2M‧3, (M=Al, Ga, and M‧=Si, Ge). The present measurements support the earlier conclusions about the ferrimagnetic properties of silicides and ferromagnetic properties of germanides. The resistivity for both compounds U3{Al,Ga}2Si3 exhibits below TC a pronounced maximum observed for the first time in an actinoid-ferrimagnet, probably caused by (a) the reduction of the number of effective conduction carriers or (b) a SDW-type of spin-disorder scattering of electrons. Both low-temperature resistivity (except for U3Ga2Si3) and heat capacity may be described by a T-dependence involving a small gap Δ on the order of 30-50 K in the magnon dispersion. The Cp/T values at 2 K are enhanced and point to a medium-heavy fermion character of all these ternaries. Magnetoresistance for ferrimagnetic U3{Al,Ga}2Si3 is rather small but positive in correspondence of antiferromagnetic interactions. In correspondence to the ferromagnetic materials, negative magnetoresistance is encountered for U3{Al,Ga}2Ge3. Specific features in the temperature dependence of magnetoresistivity Δρ/ρ at various fields confirm the sinusoidal modulation of the magnetic structure for U3Al2Ge3 between 40 and 60 K. Also, such data for U3Ga2Ge3 present strong indications for a similar magnetic modulation between 63 and 93 K, yet to be discovered by neutron diffraction experiments. In addition, the transition at 63 K is furthermore well resolved in the specific heat data of U3Ga2Ge3.

  1. Intersubband absorption in Si(1-x)Ge(x/Si superlattices for long wavelength infrared detectors

    NASA Technical Reports Server (NTRS)

    Rajakarunanayake, Yasantha; Mcgill, Tom C.

    1990-01-01

    Researchers calculated the absorption strengths for intersubband transitions in n-type Si(1-x)Ge(x)/Si superlattices. These transitions can be used for the detection of long-wavelength infrared radiation. A significant advantage in Si(1-x)Ge(x)/Si supperlattice detectors is the ability to detect normally incident light; in Ga(1-x)Al(x)As/GaAs superlattices, intersubband absorption is possible only if the incident light contains a polarization component in the growth direction of the superlattice. Researchers present detailed calculation of absorption coefficients, and peak absorption wavelengths for (100), (111) and (110) Si(1-x)Ge(x)/Si superlattices. Peak absorption strengths of about 2000 to 6000 cm(exp -1) were obtained for typical sheet doping concentrations (approx. equals 10(exp 12)cm(exp -2)). Absorption comparable to that in Ga(1-x)Al(x)As/GaAs superlattice detectors, compatibility with existing Si technology, and the ability to detect normally incident light make these devices promising for future applications.

  2. Impact of dislocation densities on n+/p and p+/n junction GaAs diodes and solar cells on SiGe virtual substrates

    NASA Astrophysics Data System (ADS)

    Andre, C. L.; Wilt, D. M.; Pitera, A. J.; Lee, M. L.; Fitzgerald, E. A.; Ringel, S. A.

    2005-07-01

    Recent experimental measurements have shown that in GaAs with elevated threading dislocation densities (TDDs) the electron lifetime is much lower than the hole lifetime [C. L. Andre, J. J. Boeckl, D. M. Wilt, A. J. Pitera, M. L. Lee, E. A. Fitzgerald, B. M. Keyes, and S. A. Ringel, Appl. Phys. Lett. 84, 3884 (2004)]. This lower electron lifetime suggests an increase in depletion region recombination and thus in the reverse saturation current (J0 for an n+/p diode compared with a p+/n diode at a given TDD. To confirm this, GaAs diodes of both polarities were grown on compositionally graded Ge /Si1-xGex/Si (SiGe) substrates with a TDD of 1×106cm-2. It is shown that the ratio of measured J0 values is consistent with the inverse ratio of the expected lifetimes. Using a TDD-dependent lifetime in solar cell current-voltage models we found that the Voc, for a given short-circuit current, also exhibits a poorer TDD tolerance for GaAs n+/p solar cells compared with GaAs p+/n solar cells. Experimentally, the open-circuit voltage (Voc) for the n+/p GaAs solar cell grown on a SiGe substrate with a TDD of ˜1×106cm-2 was ˜880mV which was significantly lower than the ˜980mV measured for a p+/n GaAs solar cell grown on SiGe at the same TDD and was consistent with the solar cell modeling results reported in this paper. We conclude that p+/n polarity GaAs junctions demonstrate superior dislocation tolerance than n+/p configured GaAs junctions, which is important for optimization of lattice-mismatched III-V devices.

  3. SiGe nano-heteroepitaxy on Si and SiGe nano-pillars.

    PubMed

    Mastari, M; Charles, M; Bogumilowicz, Y; Thai, Q M; Pimenta-Barros, P; Argoud, M; Papon, A M; Gergaud, P; Landru, D; Kim, Y; Hartmann, J M

    2018-07-06

    In this paper, SiGe nano-heteroepitaxy on Si and SiGe nano-pillars was investigated in a 300 mm industrial reduced pressure-chemical vapour deposition tool. An integration scheme based on diblock copolymer patterning was used to fabricate nanometre-sized templates for the epitaxy of Si and SiGe nano-pillars. Results showed highly selective and uniform processes for the epitaxial growth of Si and SiGe nano-pillars. 200 nm thick SiGe layers were grown on Si and SiGe nano-pillars and characterised by atomic force microscopy, x-ray diffraction and transmission electron microscopy. Smooth SiGe surfaces and full strain relaxation were obtained in the 650 °C-700 °C range for 2D SiGe layers grown either on Si or SiGe nano-pillars.

  4. GaAs/Ge crystals grown on Si substrates patterned down to the micron scale

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Taboada, A. G., E-mail: gonzalez@phys.ethz.ch; Kreiliger, T.; Falub, C. V.

    Monolithic integration of III-V compounds into high density Si integrated circuits is a key technological challenge for the next generation of optoelectronic devices. In this work, we report on the metal organic vapor phase epitaxy growth of strain-free GaAs crystals on Si substrates patterned down to the micron scale. The differences in thermal expansion coefficient and lattice parameter are adapted by a 2-μm-thick intermediate Ge layer grown by low-energy plasma enhanced chemical vapor deposition. The GaAs crystals evolve during growth towards a pyramidal shape, with lateral facets composed of (111) planes and an apex formed by (137) and (001) surfaces.more » The influence of the anisotropic GaAs growth kinetics on the final morphology is highlighted by means of scanning and transmission electron microscopy measurements. The effect of the Si pattern geometry, substrate orientation, and crystal aspect ratio on the GaAs structural properties was investigated by means of high resolution X-ray diffraction. The thermal strain relaxation process of GaAs crystals with different aspect ratio is discussed within the framework of linear elasticity theory by Finite Element Method simulations based on realistic geometries extracted from cross-sectional scanning electron microscopy images.« less

  5. Organometallic chemical vapor deposition and characterization of ZnGe(1-x)Si(x)P2-Ge alloys on GaP substrates

    NASA Technical Reports Server (NTRS)

    Xing, G. C.; Bachmann, Klaus J.; Posthill, J. B.; Timmons, M. L.

    1993-01-01

    The epitaxial growth of ZnGe(1-x)Si(x)P2-Ge alloys on GaP substrates by open tube organometallic chemical vapor deposition (OMCVD) is reported. The chemical composition of the alloys characterized by energy dispersive X-ray spectroscopy shows that alloys with x up to 0.13 can be deposited on (001) GaP. Epitaxial growth with mirror smooth surface morphology was achieved for x less than or equal to 0.05. Transmission electron microscopy (TEM) micrographs of these alloys show specular epitaxy and the absence of microstructural defects indicating a defect density of less than 10(exp 7) cm(sup -2). Selected area electron diffraction pattern of the alloy shows that the epitaxial layer crystallizes in the chalcopyrite structure with relatively weak superlattice reflections indicating certain degree of randomness in the cation sublattice. Hall measurements show that the alloys are p-type, like the unalloyed films; the carrier concentration, however, dropped about 10 times from 2 x 10(exp 18) to 2 x 10(exp 17) cm(sup -3). Absorption measurements indicate that the band tailing in the absorption spectra of the alloy was shifted about 0.04 eV towards shorter wavelength as compared to the unalloyed material. Diodes fabricated from the n(+)-GaP/p-ZnSiP2-ZnGeP2-Ge heterostructure at x = 0.05 have a reverse break-down voltage of -10.8 V and a reverse saturation current density of approximately 6 x 10(exp -8) A/sq cm.

  6. Possibilities for LWIR detectors using MBE-grown Si(/Si(1-x)Ge(x) structures

    NASA Technical Reports Server (NTRS)

    Hauenstein, Robert J.; Miles, Richard H.; Young, Mary H.

    1990-01-01

    Traditionally, long wavelength infrared (LWIR) detection in Si-based structures has involved either extrinsic Si or Si/metal Schottky barrier devices. Molecular beam epitaxially (MBE) grown Si and Si/Si(1-x)Ge(x) heterostructures offer new possibilities for LWIR detection, including sensors based on intersubband transitions as well as improved conventional devices. The improvement in doping profile control of MBE in comparison with conventional chemical vapor deposited (CVD) Si films has resulted in the successful growth of extrinsic Si:Ga, blocked impurity-band conduction detectors. These structures exhibit a highly abrupt step change in dopant profile between detecting and blocking layers which is extremely difficult or impossible to achieve through conventional epitaxial growth techniques. Through alloying Si with Ge, Schottky barrier infrared detectors are possible, with barrier height values between those involving pure Si or Ge semiconducting materials alone. For both n-type and p-type structures, strain effects can split the band edges, thereby splitting the Schottky threshold and altering the spectral response. Measurements of photoresponse of n-type Au/Si(1-x)Ge(x) Schottky barriers demonstrate this effect. For intersubband multiquntum well (MQW) LWIR detection, Si(1-x)Ge(x)/Si detectors grown on Si substrates promise comparable absorption coefficients to that of the Ga(Al)As system while in addition offering the fundamental advantage of response to normally incident light as well as the practical advantage of Si-compatibility. Researchers grew Si(1-x)Ge(x)/Si MQW structures aimed at sensitivity to IR in the 8 to 12 micron region and longer, guided by recent theoretical work. Preliminary measurements of n- and p-type Si(1-x)Ge(x)/Si MQW structures are given.

  7. Low-temperature photoluminescence study of thin epitaxial GaAs films on Ge substrates

    NASA Astrophysics Data System (ADS)

    Brammertz, Guy; Mols, Yves; Degroote, Stefan; Motsnyi, Vasyl; Leys, Maarten; Borghs, Gustaaf; Caymax, Matty

    2006-05-01

    Thin epitaxial GaAs films, with thickness varying from 140 to 1000 nm and different Si doping levels, were grown at 650 °C by organometallic vapor phase epitaxy on Ge substrates and analyzed by low-temperature photoluminescence (PL) spectroscopy. All spectra of thin GaAs on Ge show two different structures, one narrow band-to-band (B2B) structure at an energy of ~1.5 eV and a broad inner-band-gap (IB) structure at an energy of ~1.1 eV. Small strain in the thin GaAs films causes the B2B structure to be separated into a light-hole and a heavy-hole peak. At 2.5 K the good structural quality of the thin GaAs films on Ge can be observed from the narrow excitonic peaks. Peak widths of less than 1 meV are measured. GaAs films with thickness smaller than 200 nm show B2B PL spectra with characteristics of an n-type doping level of approximately 1018 at./cm3. This is caused by heavy Ge diffusion from the substrate into the GaAs at the heterointerface between the two materials. The IB structure observed in all films consists of two Gaussian peaks with energies of 1.04 and 1.17 eV. These deep trapping states arise from Ge-based complexes formed within the GaAs at the Ge-GaAs heterointerface, due to strong diffusion of Ge atoms into the GaAs. Because of similarities with Si-based complexes, the peak at 1.04 eV was identified to be due to a GeGa-GeAs complex, whereas the peak at 1.17 eV was attributed to the GeGa-VGa complex. The intensity of the IB structure decreases strongly as the GaAs film thickness is increased. PL intensity of undoped GaAs films containing antiphase domains (APDs) is four orders of magnitude lower than for similar films without APDs. This reduction in intensity is due to the electrically active Ga-Ga and As-As bonds at the boundaries between the different APDs. When the Si doping level is increased, the PL intensity of the APD-containing films is increased again as well. A film containing APDs with a Si doping level of ~1018 at./cm3 has only a factor 10

  8. Si-Ge-metal ternary phase diagram calculations

    NASA Technical Reports Server (NTRS)

    Fleurial, J. P.; Borshchevsky, A.

    1990-01-01

    Solution crystal growth and doping conditions of Si-Ge alloys used for high-temperature thermoelectric generation are determined here. Liquid-phase epitaxy (LPE) has been successfully employed recently to obtain single-crystalline homogeneous layers of Si-Ge solid solutions from a liquid metal solvent. Knowledge of Si-Ge-metallic solvent ternary phase diagrams is essential for further single-crystal growth development. Consequently, a thermodynamic equilibrium model was used to calculate the phase diagrams of the Si-Ge-M systems, including solid solubilities, where M is Al, Ga, In, Sn, Pb, Sb, or Bi. Good agreement between calculated liquidus and solidus data and experimental DTA and microprobe results was obtained. The results are used to compare the suitability of the different systems for crystal growth (by LPE-type process).

  9. First principles study of the ground state properties of Si, Ga, and Ge doped Fe50Al50

    NASA Astrophysics Data System (ADS)

    Pérez, Carlos Ariel Samudio; dos Santos, Antonio Vanderlei

    2018-06-01

    The first principles calculation of the structural, electronic and associated properties of the Fe50Al50 alloy (B2 phase) doped by s-p elements (Im = Si, Ga, and Ge) are performed as a function of the atomic concentration on the basis of the Full Potential Linear Augmented Plane Wave (FP-LAPW) method as implemented in the WIEN2k code. The Al substitution by Im (Si and Ge) atoms (principally at a concentration of 6.25 at%) induces a pronounced redistribution of the electronic charge leading to a strong Fe-Im interaction with covalent bonding character. At the same time, decrease the lattice volume (V) while increase the bulk modulus (B). For the alloys containing Ga, the Fe-Ga interaction is also observed but the V and B of the alloy are very near to that of pure Fe-Al alloy. The magnetic moment and hyperfine parameters observed at the lattice sites of studied alloys also show variations, they increase or decrease in relation to that in Fe50Al50 according to the Im that substitutes Al.

  10. Powder metallurgy of Ge, Si, and Ge-Si

    NASA Astrophysics Data System (ADS)

    Schilz, Jürgen; Langenbach, Marion

    1993-03-01

    id="ab1"Planetary ball-milling and pressing behaviour of Ge, Si and Ge-Si powder mixtures are investigated. Scanning and transmission electron microscopy observations revealed the different microstructure of the two elements after milling: Ge remains in a microcrystalline state, whereas Si can be comminuted into grains consisting of nanocrystalline regions. Planetary milling of the two elements together, using agate balls and vial, did not reveal any compound formation. By hot-isostatic pressing, pure Ge and Ge-Si mixtures were densified to a higher value than pure Si. This denotes a plastic flow of the Ge component at a process temperature of 800°C. The microhardness of hot-pressed Ge reaches the bulk value; hot-pressed Si is very soft. Energy dispersive X-ray analysis and X-ray diffraction did not detect any impurity contamination from vial and milling media wear. Moreover, by electrical transport measurements it turned out that the net carrier concentration density resulting from electrical active impurities introduced by the milling and pressing process is below 2 x 1016 cm 3 at room temperature.

  11. Effect of high temperature annealing on the thermoelectric properties of GaP doped SiGe

    NASA Technical Reports Server (NTRS)

    Vandersande, Jan W.; Wood, Charles; Draper, Susan

    1987-01-01

    Silicon-germanium alloys doped with GaP are used for thermoelectric energy conversion in the temperature range 300-1000 C. The conversion efficiency depends on Z = S-squared/rho lambda, a material's parameter (the figure of merit), where S is the Seebeck coefficient, rho is the electrical resistivity and lambda is the thermal conductivity. The annealing of several samples in the temperature range of 1100-1300 C resulted in the power factor P (= S-squared/rho) increasing with increased annealing temperature. This increase in P was due to a decrease in rho which was not completely offset by a drop in S-squared suggesting that other changes besides that in the carrier concentration took place. SEM and EDX analysis of the samples indicated the formation of a Ga-P-Ge rich phase as a result of the annealing. It is speculated that this phase is associated with the improved properties. Several reasons which could account for the improvement in the power factor of annealed GaP doped SiGe are given.

  12. Pseudomorphic GeSiSn, SiSn and Ge layers in strained heterostructures

    NASA Astrophysics Data System (ADS)

    Timofeev, V. A.; Nikiforov, A. I.; Tuktamyshev, A. R.; Mashanov, V. I.; Loshkarev, I. D.; Bloshkin, A. A.; Gutakovskii, A. K.

    2018-04-01

    The GeSiSn, SiSn layer growth mechanisms on Si(100) were investigated and the kinetic diagrams of the morphological GeSiSn, SiSn film states in the temperature range of 150 °C-450 °C at the tin content from 0% to 35% were built. The phase diagram of the superstructural change on the surface of Sn grown on Si(100) in the annealing temperature range of 0 °C-850 °C was established. The specular beam oscillations were first obtained during the SiSn film growth from 150 °C to 300 °C at the Sn content up to 35%. The transmission electron microscopy and x-ray diffractometry data confirm the crystal perfection and the pseudomorphic GeSiSn, SiSn film state, and also the presence of smooth heterointerfaces between GeSiSn or SiSn and Si. The photoluminescence for the multilayer periodic GeSiSn/Si structures in the range of 0.6-0.8 eV was detected. The blue shift with the excitation power increase is observed suggesting the presence of a type II heterostructure. The creation of tensile strained Ge films, which are pseudomorphic to the underlying GeSn layer, is confirmed by the results of the formation and analysis of the reciprocal space map in the x-ray diffractometry. The tensile strain in the Ge films reached the value in the range of 0.86%-1.5%. The GeSn buffer layer growth in the Sn content range from 8% to 12% was studied. The band structure of heterosystems based on pseudomorphic GeSiSn, SiSn and Ge layers was calculated and the valence and conduction band subband position dependences on the Sn content were built. Based on the calculation, the Sn content range in the GeSiSn, SiSn, and GeSn layers, which corresponds to the direct bandgap GeSiSn, SiSn, and Ge material, was obtained.

  13. Anisotropic selective etching between SiGe and Si

    NASA Astrophysics Data System (ADS)

    Ishii, Yohei; Scott-McCabe, Ritchie; Yu, Alex; Okuma, Kazumasa; Maeda, Kenji; Sebastian, Joseph; Manos, Jim

    2018-06-01

    In Si/SiGe dual-channel FinFETs, it is necessary to simultaneously control the etched amounts of SiGe and Si. However, the SiGe etch rate is higher than the Si etch rate in not only halogen plasmas but also physical sputtering. In this study, we found that hydrogen plasma selectively etches Si over SiGe. The result shows that the selectivity of Si over SiGe can be up to 38 with increasing Ge concentration in SiGe. Attenuated total reflectance Fourier transform infrared spectroscopy (ATR-FTIR) results indicate that hydrogen selectively bonds with Si rather than with Ge in SiGe. During the etching, hydrogen-induced Si surface segregation is also observed. It is also observed that the difference in etched amount between SiGe and Si can be controlled from positive to negative values even in Si/SiGe dual-channel fin patterning while maintaining the vertical profiles. Furthermore, no plasma-induced lattice damage was observed by transmission electron microscopy for both Si and SiGe fin sidewalls.

  14. Ge/graded-SiGe multiplication layers for low-voltage and low-noise Ge avalanche photodiodes on Si

    NASA Astrophysics Data System (ADS)

    Miyasaka, Yuji; Hiraki, Tatsurou; Okazaki, Kota; Takeda, Kotaro; Tsuchizawa, Tai; Yamada, Koji; Wada, Kazumi; Ishikawa, Yasuhiko

    2016-04-01

    A new structure is examined for low-voltage and low-noise Ge-based avalanche photodiodes (APDs) on Si, where a Ge/graded-SiGe heterostructure is used as the multiplication layer of a separate-absorption-carrier-multiplication structure. The Ge/SiGe heterojunction multiplication layer is theoretically shown to be useful for preferentially enhancing impact ionization for photogenerated holes injected from the Ge optical-absorption layer via the graded SiGe, reflecting the valence band discontinuity at the Ge/SiGe interface. This property is effective not only for the reduction of operation voltage/electric field strength in Ge-based APDs but also for the reduction of excess noise resulting from the ratio of the ionization coefficients between electrons and holes being far from unity. Such Ge/graded-SiGe heterostructures are successfully fabricated by ultrahigh-vacuum chemical vapor deposition. Preliminary pin diodes having a Ge/graded-SiGe multiplication layer act reasonably as photodetectors, showing a multiplication gain larger than those for diodes without the Ge/SiGe heterojunction.

  15. LEO Flight Testing of GaAs on Si Solar Cells Aboard MISSES

    NASA Technical Reports Server (NTRS)

    Wilt, David M.; Clark, Eric B.; Ringel, Steven A.; Andre, Carrie L.; Smith, Mark A.; Scheiman, David A.; Jenkins, Phillip P.; Maurer, William F.; Fitzgerald, Eugene A.; Walters, R. J.

    2004-01-01

    Previous research efforts have demonstrated small area (0.04 cm) GaAs on Si (GaAs/Si) solar cells with AM0 efficiencies in excess of 17%. These results were achieved on Si substrates coated with a step graded buffer of Si(x),Ge(1-x) alloys graded to 100% Ge. Recently, a 100-fold increase in device area was accomplished for these devices in preparation for on-orbit testing of this technology aboard Materials International Space Station Experiment number 5 (MISSE5). The GaAs/Si MISSE5 experiment contains five (5) GaAs/Si test devices with areas of lcm(exp 2) and 4cm(exp 4) as well as two (2) GaAs on GaAs control devices. Electrical performance data, measured on-orbit for three (3) of the test devices and one (1) of the control devices, will be telemetered to ground stations daily. After approximately one year on orbit, the MISSE5 payload will be returned to Earth for post flight evaluation. This paper will discuss the development of the GaAs/Si devices for the MISSE5 flight experiment and will present recent ground and on-orbit performance data.

  16. Strain, doping, and disorder effects in GaAs/Ge/Si heterostructures: A Raman spectroscopy investigation

    NASA Astrophysics Data System (ADS)

    Mlayah, A.; Carles, R.; Leycuras, A.

    1992-01-01

    The present work is devoted to a Raman study of GaAs/Ge/Si heterostructures grown by the vapor-phase epitaxy technique. We first show that the GaAs epilayers are submitted to a biaxial tensile strain. The strain relaxation generates misfit dislocations and thus disorder effects which we analyze in terms of translational invariance loss and Raman selection rules violation. The first-order Raman spectra of annealed samples exhibit an unexpected broadband we identify as due to scattering by a coupled LO phonon-damped plasmon mode. This is corroborated by an accurate line-shape analysis which accounts for the recorded spectra and makes evident the presence of free carriers within the GaAs layers. Their density is estimated from the deduced plasmon frequency and also using a method we have presented in a previous work.

  17. High Mobility Transport Layer Structures for Rhombohedral Si/Ge/SiGe Devices

    NASA Technical Reports Server (NTRS)

    Choi, Sang Hyouk (Inventor); Park, Yeonjoon (Inventor); King, Glen C. (Inventor); Kim, Hyun-Jung (Inventor); Lee, Kunik (Inventor)

    2017-01-01

    An electronic device includes a trigonal crystal substrate defining a (0001) C-plane. The substrate may comprise Sapphire or other suitable material. A plurality of rhombohedrally aligned SiGe (111)-oriented crystals are disposed on the (0001) C-plane of the crystal substrate. A first region of material is disposed on the rhombohedrally aligned SiGe layer. The first region comprises an intrinsic or doped Si, Ge, or SiGe layer. The first region can be layered between two secondary regions comprising n+doped SiGe or n+doped Ge, whereby the first region collects electrons from the two secondary regions.

  18. Organometallic chemical vapor deposition and characterization of ZnGe(1-x)Si(x)P2-Ge alloys on GaP substrates

    NASA Technical Reports Server (NTRS)

    Xing, G. C.; Bachmann, K. J.; Posthill, J. B.; Timmons, M. L.

    1991-01-01

    In this paper, we report the epitaxial growth of ZnGe(1-x)Si(x)P2-Ge alloys on GaP substrates by open tube OMCVD. The chemical composition of the alloys characterized by energy dispersive X-ray spectroscopy shows that alloys with x up to 0.13 can be deposited on (001) GaP. Epitaxial growth with mirror smooth surface morphology has been achieved for x less than or equals to 0.05. Selected area electron diffraction pattern of the alloy shows that the epitaxial layer crystallizes in the chalcopyrite structure with relatively weak superlattice reflections indicating certain degree of randomness in the cation sublattice. Hall measurements show that the alloys are p-type, like the unalloyed films; the carrier concentration, however, dropped about 10 times from 2 x 10 exp 18 to 2 x 10 exp 17/cu cm. Absorption measurements indicate that the band tailing in the absorption spectra of the alloy has been shifted about 0.04 eV towards shorter wavelength as compared to the unalloyed material.

  19. Thermally stable ohmic contacts to n-type GaAs. VII. Addition of Ge or Si to NiInW ohmic contacts

    NASA Astrophysics Data System (ADS)

    Murakami, Masanori; Price, W. H.; Norcott, M.; Hallali, P.-E.

    1990-09-01

    The effects of Si or Ge addition to NiInW ohmic contacts on their electrical behavior were studied, where the samples were prepared by evaporating Ni(Si) or Ni(Ge) pellets with In and W and annealed by a rapid thermal annealing method. An addition of Si affected the contact resistances of NiInW contacts: the resistances decreased with increasing the Si concentrations in the Ni(Si) pellets and the lowest value of ˜0.1 Ω mm was obtained in the contact prepared with the Ni-5 at. % Si pellets after annealing at temperatures around 800 °C. The contact resistances did not deteriorate during isothermal annealing at 400 °C for more than 100 h, far exceeding process requirements for self-aligned GaAs metal-semiconductor field-effect-transistor devices. In addition, the contacts were compatible with TiAlCu interconnects which have been widely used in the current Si process. Furthermore, the addition of Si to the NiInW contacts eliminated an annealing step for activation of implanted dopants and low resistance (˜0.2 Ω mm) contacts were fabricated for the first time by a ``one-step'' anneal. In contrast, an addition of Ge to the NiInW contacts did not significantly reduce the contact resistances.

  20. Syntheses and structural characterization of non-centrosymmetric Na{sub 2}M{sub 2}M'S{sub 6} (M, M′=Ga, In, Si, Ge, Sn, Zn, Cd) sulfides

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yohannan, Jinu P.; Vidyasagar, Kanamaluru, E-mail: kvsagar@iitm.ac.in

    Seven new non-centrosymmetric Na{sub 2}M{sub 2}M’S{sub 6} sulfides, namely, Na{sub 2}Sn{sub 2}ZnS{sub 6}(1){sub ,} Na{sub 2}Ga{sub 2}GeS{sub 6}(2), Na{sub 2}Ga{sub 2}SnS{sub 6}(3-α), Na{sub 2}Ga{sub 2}SnS{sub 6}(3-β){sub ,} Na{sub 2}Ge{sub 2}ZnS{sub 6}(4){sub ,} Na{sub 2}Ge{sub 2}CdS{sub 6}(5){sub ,} Na{sub 2}In{sub 2}SiS{sub 6}(6) and Na{sub 2}In{sub 2}GeS{sub 6}(7), were synthesized by high temperature solid state reactions and structurally characterized by single crystal X-ray diffraction. They crystallize in non-centrosymmetric Fdd2 and Cc space groups and their three-dimensional [M{sub 2}M′S{sub 6}]{sup 2-}framework structures consist of MS{sub 4} and M′S{sub 4} tetrahedra corner-connected to one another in either orderly or disordered fashion. Sodium ions residemore » in the tunnels of the anionic framework. Compounds 1, 2 and 3-α have the structure of known Li{sub 2}Ga{sub 2}GeS{sub 6}, whereas compounds 6 and 7 are isostructural with known Li{sub 2}In{sub 2}GeS{sub 6} compound. Isostructural compounds 4 and 5 represent a new structural variant. Compounds 3-α and its new monoclinic structural variant 3-β have disordered structural framework. All of them are wide band gap semiconductors. Na{sub 2}Ga{sub 2}GeS{sub 6}(2), Na{sub 2}Ga{sub 2}SnS{sub 6}(3), Na{sub 2}Ge{sub 2}ZnS{sub 6}(4) and Na{sub 2}In{sub 2}GeS{sub 6}(7) compounds are found to be second-harmonic generation (SHG) active. Compounds 1, 2 and 3-α melt congruently. - Graphical abstract: Na{sub 2}Ga{sub 2}GeS{sub 6}, Na{sub 2}Ga{sub 2}SnS{sub 6}, Na{sub 2}Ge{sub 2}ZnS{sub 6}, Na{sub 2}In{sub 2}GeS{sub 6}, Na{sub 2}Sn{sub 2}ZnS{sub 6}, Na{sub 2}Ge{sub 2}CdS{sub 6} and Na{sub 2}In{sub 2}SiS{sub 6} have non-centrosymmetric structures and the first four compounds are SHG active. Display Omitted - Highlights: • Seven new Na{sub 2}M{sub 2}M′S{sub 6} compounds with non-centrosymmetric structures were synthesized. • They are wide band gap semiconductors. • Na{sub 2}Ga{sub 2}GeS{sub 6

  1. L2₁ and XA Ordering Competition in Hafnium-Based Full-Heusler Alloys Hf₂VZ (Z = Al, Ga, In, Tl, Si, Ge, Sn, Pb).

    PubMed

    Wang, Xiaotian; Cheng, Zhenxiang; Wang, Wenhong

    2017-10-20

    For theoretical designing of full-Heusler based spintroinc materials, people have long believed in the so-called Site Preference Rule (SPR). Very recently, according to the SPR, there are several studies on XA-type Hafnium-based Heusler alloys X₂YZ, i.e., Hf₂VAl, Hf₂CoZ (Z = Ga, In) and Hf₂CrZ (Z = Al, Ga, In). In this work, a series of Hf₂-based Heusler alloys, Hf₂VZ (Z = Al, Ga, In, Tl, Si, Ge, Sn, Pb), were selected as targets to study the site preferences of their atoms by first-principle calculations. It has been found that all of them are likely to exhibit the L2₁-type structure instead of the XA one. Furthermore, we reveal that the high values of spin-polarization of XA-type Hf₂VZ (Z = Al, Ga, In, Tl, Si, Ge, Sn, Pb) alloys have dropped dramatically when they form the L2₁-type structure. Also, we prove that the electronic, magnetic, and physics nature of these alloys are quite different, depending on the L2₁-type or XA-type structures.

  2. Single-fabrication-step Ge nanosphere/SiO2/SiGe heterostructures: a key enabler for realizing Ge MOS devices

    NASA Astrophysics Data System (ADS)

    Liao, P. H.; Peng, K. P.; Lin, H. C.; George, T.; Li, P. W.

    2018-05-01

    We report channel and strain engineering of self-organized, gate-stacking heterostructures comprising Ge-nanosphere gate/SiO2/SiGe-channels. An exquisitely-controlled dynamic balance between the concentrations of oxygen, Si, and Ge interstitials was effectively exploited to simultaneously create these heterostructures in a single oxidation step. Process-controlled tunability of the channel length (5–95 nm diameters for the Ge-nanospheres), gate oxide thickness (2.5–4.8 nm), as well as crystal orientation, chemical composition and strain engineering of the SiGe-channel was achieved. Single-crystalline (100) Si1‑x Ge x shells with Ge content as high as x = 0.85 and with a compressive strain of 3%, as well as (110) Si1‑x Ge x shells with Ge content of x = 0.35 and corresponding compressive strain of 1.5% were achieved. For each crystal orientation, our high Ge-content, highly-stressed SiGe shells feature a high degree of crystallinity and thus, provide a core ‘building block’ required for the fabrication of Ge-based MOS devices.

  3. Single-fabrication-step Ge nanosphere/SiO2/SiGe heterostructures: a key enabler for realizing Ge MOS devices.

    PubMed

    Liao, P H; Peng, K P; Lin, H C; George, T; Li, P W

    2018-05-18

    We report channel and strain engineering of self-organized, gate-stacking heterostructures comprising Ge-nanosphere gate/SiO 2 /SiGe-channels. An exquisitely-controlled dynamic balance between the concentrations of oxygen, Si, and Ge interstitials was effectively exploited to simultaneously create these heterostructures in a single oxidation step. Process-controlled tunability of the channel length (5-95 nm diameters for the Ge-nanospheres), gate oxide thickness (2.5-4.8 nm), as well as crystal orientation, chemical composition and strain engineering of the SiGe-channel was achieved. Single-crystalline (100) Si 1-x Ge x shells with Ge content as high as x = 0.85 and with a compressive strain of 3%, as well as (110) Si 1-x Ge x shells with Ge content of x = 0.35 and corresponding compressive strain of 1.5% were achieved. For each crystal orientation, our high Ge-content, highly-stressed SiGe shells feature a high degree of crystallinity and thus, provide a core 'building block' required for the fabrication of Ge-based MOS devices.

  4. SiGe layer thickness effect on the structural and optical properties of well-organized SiGe/SiO2 multilayers

    NASA Astrophysics Data System (ADS)

    Vieira, E. M. F.; Toudert, J.; Rolo, A. G.; Parisini, A.; Leitão, J. P.; Correia, M. R.; Franco, N.; Alves, E.; Chahboun, A.; Martín-Sánchez, J.; Serna, R.; Gomes, M. J. M.

    2017-08-01

    In this work, we report on the production of regular (SiGe/SiO2)20 multilayer structures by conventional RF-magnetron sputtering, at 350 °C. Transmission electron microscopy, scanning transmission electron microscopy, raman spectroscopy, and x-ray reflectometry measurements revealed that annealing at a temperature of 1000 °C leads to the formation of SiGe nanocrystals between SiO2 thin layers with good multilayer stability. Reducing the nominal SiGe layer thickness (t SiGe) from 3.5-2 nm results in a transition from continuous SiGe crystalline layer (t SiGe ˜ 3.5 nm) to layers consisting of isolated nanocrystals (t SiGe ˜ 2 nm). Namely, in the latter case, the presence of SiGe nanocrystals ˜3-8 nm in size, is observed. Spectroscopic ellipsometry was applied to determine the evolution of the onset in the effective optical absorption, as well as the dielectric function, in SiGe multilayers as a function of the SiGe thickness. A clear blue-shift in the optical absorption is observed for t SiGe ˜ 2 nm multilayer, as a consequence of the presence of isolated nanocrystals. Furthermore, the observed near infrared values of n = 2.8 and k = 1.5 are lower than those of bulk SiGe compounds, suggesting the presence of electronic confinement effects in the nanocrystals. The low temperature (70 K) photoluminescence measurements performed on annealed SiGe/SiO2 nanostructures show an emission band located between 0.7-0.9 eV associated with the development of interface states between the formed nanocrystals and surrounding amorphous matrix.

  5. Electrical properties of sub-100 nm SiGe nanowires

    NASA Astrophysics Data System (ADS)

    Hamawandi, B.; Noroozi, M.; Jayakumar, G.; Ergül, A.; Zahmatkesh, K.; Toprak, M. S.; Radamson, H. H.

    2016-10-01

    In this study, the electrical properties of SiGe nanowires in terms of process and fabrication integrity, measurement reliability, width scaling, and doping levels were investigated. Nanowires were fabricated on SiGe-on oxide (SGOI) wafers with thickness of 52 nm and Ge content of 47%. The first group of SiGe wires was initially formed by using conventional I-line lithography and then their size was longitudinally reduced by cutting with a focused ion beam (FIB) to any desired nanometer range down to 60 nm. The other nanowire group was manufactured directly to a chosen nanometer level by using sidewall transfer lithography (STL). It has been shown that the FIB fabrication process allows manipulation of the line width and doping level of nanowires using Ga atoms. The resistance of wires thinned by FIB was 10 times lower than STL wires which shows the possible dependency of electrical behavior on fabrication method. Project support by the Swedish Foundation for Strategic Research “SSF” (No. EM-011-0002) and the Scientific and Technological Research Council of Turkey (No. TÜBİTAK).

  6. Strain analysis of SiGe microbridges

    NASA Astrophysics Data System (ADS)

    Anthony, Ross; Gilbank, Ashley; Crowe, Iain; Knights, Andrew

    2018-02-01

    We present the analysis of UV (325 nm) Raman scattering spectra from silicon-germanium (SiGe) microbridges where the SiGe has been formed using the so-called "condensation technique". As opposed to the conventional condensation technique in which SiGe is grown epitaxially, we use high-dose ion implantation of Ge ions into SOI as a means to introduce the initial Ge profile. The subsequent oxidation both repairs implantation induced damage, and forms epitaxial Ge. Using Si-Si and Si-Ge optical phonon modes, as well as the ratio of integrated intensities for Ge-Ge and Si-Si, we can determine both the composition and strain of the material. We show that although the material is compressively strained following condensation, by fabricating microbridge structures we can create strain relaxed or tensile strained structures, with subsequent interest for photonic applications.

  7. Design and development of SiGe based near-infrared photodetectors

    NASA Astrophysics Data System (ADS)

    Zeller, John W.; Puri, Yash R.; Sood, Ashok K.; McMahon, Shane; Efsthadiatis, Harry; Haldar, Pradeep; Dhar, Nibir K.

    2014-10-01

    Near-infrared (NIR) sensors operating at room temperatures are critical for a variety of commercial and military applications including detecting mortar fire and muzzle flashes. SiGe technology offers a low-cost alternative to conventional IR sensor technologies such as InGaAs, InSb, and HgCdTe for developing NIR micro-sensors that will not require any cooling and can operate with high bandwidths and comparatively low dark currents. Since Ge has a larger thermal expansion coefficient than Si, tensile strain may be incorporated into detector devices during the growth process, enabling an extended operating wavelength range above 1600 nm. SiGe based pin photodetectors have advantages of high stability, low noise, and high responsivity compared to metal-semiconductor-metal (MSM) devices. We have developed a process flow and are fabricating SiGe detector devices on 12" (300 mm) silicon wafers in order to take advantage of high throughput, large-area leading-edge silicon based CMOS technology that provides small feature sizes with associated device cost/density scaling advantages. The fabrication of the detector devices is facilitated by a two-step growth process incorporating initial low temperature growth of Ge/SiGe to form a thin strain-relaxed layer, followed by high temperature growth to deposit a thicker absorbing film, and subsequent high temperature anneal. This growth process is designed to effectively reduce dark current and enhance detector performance by reducing the number of defects and threading dislocations which form recombination centers during the growth process. Various characterization techniques have been employed to determine the properties of the epitaxially deposited Ge/SiGe layers, and the corresponding results are discussed.

  8. Si/SiGe heterointerfaces in one-, two-, and three-dimensional nanostructures: their impact on SiGe light emission

    NASA Astrophysics Data System (ADS)

    Lockwood, David; Wu, Xiaohua; Baribeau, Jean-Marc; Mala, Selina; Wang, Xialou; Tsybeskov, Leonid

    2016-03-01

    Fast optical interconnects together with an associated light emitter that are both compatible with conventional Si-based complementary metal-oxide- semiconductor (CMOS) integrated circuit technology is an unavoidable requirement for the next-generation microprocessors and computers. Self-assembled Si/Si1-xGex nanostructures, which can emit light at wavelengths within the important optical communication wavelength range of 1.3 - 1.55 μm, are already compatible with standard CMOS practices. However, the expected long carrier radiative lifetimes observed to date in Si and Si/Si1-xGex nanostructures have prevented the attainment of efficient light-emitting devices including the desired lasers. Thus, the engineering of Si/Si1-xGex heterostructures having a controlled composition and sharp interfaces is crucial for producing the requisite fast and efficient photoluminescence (PL) at energies in the range 0.8-0.9 eV. In this paper we assess how the nature of the interfaces between SiGe nanostructures and Si in heterostructures strongly affects carrier mobility and recombination for physical confinement in three dimensions (corresponding to the case of quantum dots), two dimensions (corresponding to quantum wires), and one dimension (corresponding to quantum wells). The interface sharpness is influenced by many factors such as growth conditions, strain, and thermal processing, which in practice can make it difficult to attain the ideal structures required. This is certainly the case for nanostructure confinement in one dimension. However, we demonstrate that axial Si/Ge nanowire (NW) heterojunctions (HJs) with a Si/Ge NW diameter in the range 50 - 120 nm produce a clear PL signal associated with band-to-band electron-hole recombination at the NW HJ that is attributed to a specific interfacial SiGe alloy composition. For three-dimensional confinement, the experiments outlined here show that two quite different Si1-xGex nanostructures incorporated into a Si0.6Ge0.4 wavy

  9. Characterization of electrical properties in axial Si-Ge nanowire heterojunctions using off-axis electron holography and atom-probe tomography

    DOE PAGES

    Gan, Zhaofeng; Perea, Daniel E.; Yoo, Jinkyoung; ...

    2016-09-13

    Doped Si-Ge nanowire (NW) heterojunctions were grown using the vapor-liquid-solid method with AuGa and Au catalyst particles. Transmission electron microscopy and off-axis electron holography (EH) were used to characterize the nanostructure and to measure the electrostatic potential profile across the junction resulting from electrically active dopants, while atom-probe tomography (APT) was used to determine the Si, Ge and total (active and inactive) dopant concentration profiles. A comparison of the measured potential profile with simulations indicated that Ga dopants unintentionally introduced during AuGa catalyst growth were electronically inactive despite APT results that showed considerable amounts of Ga in the Si region.more » 10% P in Ge and 100% B in Si were estimated to be activated, which was corroborated by in situ electron-holography biasing experiments. This combination of EH, APT, in situ biasing and simulations allows a better knowledge and understanding of the electrically active dopant distributions in NWs.« less

  10. Fabrication and ferromagnetism of Si-SiGe/MnGe core-shell nanopillars.

    PubMed

    Wang, Liming; Liu, Tao; Wang, Shuguang; Zhong, Zhenyang; Jia, Quanjie; Jiang, Zuimin

    2016-10-07

    Si-Si0.5Ge0.5/Mn0.08Ge0.92 core-shell nanopillar samples were fabricated on ordered Si nanopillar patterned substrates by molecular beam epitaxy at low temperatures. The magnetic properties of the samples are found to depend heavily on the growth temperature of the MnGe layer. The sample grown at a moderate temperature of 300 °C has the highest Curie temperature of 240 K as well as the strongest ferromagnetic signals. On the basis of the microstructural results, the ferromagnetic properties of the samples are believed to come from the intrinsic Mn-doped amorphous or crystalline Ge ferromagnetic phase rather than any intermetallic ferromagnetic compounds of Mn and Ge. After being annealed at a temperature of 500 °C, all the samples exhibit the same Curie temperature of 220 K, which is in sharp contrast to the different Curie temperature for the as-grown samples, and the ferromagnetism for the annealed samples comes from Mn5GeSi2 compounds which are formed during the annealing.

  11. Universal behavior of surface-dangling bonds in hydrogen-terminated Si, Ge, and Si/Ge nanowires.

    NASA Astrophysics Data System (ADS)

    Nunes, Ricardo; Kagimura, Ricardo; Chacham, Hélio

    2007-03-01

    We report an ab initio study of the electronic properties of surface dangling bond (SDB) states in hydrogen-terminated Si, Ge, and Si/Ge nanowires with diameters between 1 and 2 nm. We find that the charge transition levels ɛ(+/-) of SDB states are deep in the bandgap for Si wires, and shallow (near the valence band edge) for Ge wires. In both Si and Ge wires, the SDB states are localized. We also find that the SDB ɛ(+/-) levels behave as a ``universal" energy reference level among Si, Ge, and Si/Ge wires within a precision of 0.1 eV. By computing the average bewteen the electron affinity and ionization energy in the atomi limit of several atoms from the III, IV and V columns, we conjecture that the universality is a periodic-table atomic property.

  12. Reduction in the formation temperature of Poly-SiGe alloy thin film in Si/Ge system

    NASA Astrophysics Data System (ADS)

    Tah, Twisha; Singh, Ch. Kishan; Madapu, K. K.; Sarguna, R. M.; Magudapathy, P.; Ilango, S.

    2018-04-01

    The role of deposition temperature in the formation of poly-SiGe alloy thin film in Si/Ge system is reported. For the set ofsamples deposited without any intentional heating, initiation of alloying starts upon post annealingat ˜ 500 °C leading to the formation of a-SiGe. Subsequently, poly-SiGe alloy phase could formonly at temperature ≥ 800 °C. Whereas, for the set of samples deposited at 500 °C, in-situ formation of poly-SiGe alloy thin film could be observed. The energetics of the incoming evaporated atoms and theirsubsequent diffusionsin the presence of the supplied thermal energy is discussed to understand possible reasons for lowering of formation temperature/energyof the poly-SiGe phase.

  13. Surface Dangling-Bond States and Band Lineups in Hydrogen-Terminated Si, Ge, and Ge/Si Nanowires

    NASA Astrophysics Data System (ADS)

    Kagimura, R.; Nunes, R. W.; Chacham, H.

    2007-01-01

    We report an ab initio study of the electronic properties of surface dangling-bond (SDB) states in hydrogen-terminated Si and Ge nanowires with diameters between 1 and 2 nm, Ge/Si nanowire heterostructures, and Si and Ge (111) surfaces. We find that the charge transition levels ɛ(+/-) of SDB states behave as a common energy reference among Si and Ge wires and Si/Ge heterostructures, at 4.3±0.1eV below the vacuum level. Calculations of ɛ(+/-) for isolated atoms indicate that this nearly constant value is a periodic-table atomic property.

  14. Experimental determination of crystal/melt partitioning of Ga and Ge in the system forsterite-anorthite-diopside

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Malvin, D.J.; Drake, M.J.

    1987-08-01

    The crystal/liquid partitioning of Ga and Ge has been measured experimentally between forsterite, diopside, anorthite and spinel and melts in the pseudoternary system forsterite-anorthite-diopside at one atmosphere pressure and 1300/sup 0/C. Gallium is incompatible with forsterite and diopside, is only slightly incompatible in anorthite, and is highly compatible in spinel. The partition coefficient for Ge is within a factor of two of unity for forsterite, diopside, and anorthite, but Ge is incompatible in spinel (D (Ge) = 0.1). The coefficients for the exchange of Ga and Al and the exchange of Ge and Si between minerals and melts generally aremore » within a factor of two of unity, as it expected from the geochemical coherence of these element pairs in natural samples. The application of these results to the interpretation of natural basaltic and mantle samples from the Earth and basalts from the Moon and the Shergottite Parent Body demonstrates that it is possible to discriminate between different mantle source compositions using Ga/Al and Ge/Si ratios. The Ge variation among lunar mare basalts may be indicative of a heterogeneous lunar mantle. The substantial depletion of Ge in Chassigny relative to the other SNC meteorites may be evidence of either a heterogeneous Shergottite Parent Body (SPB) mantle, or of different geochemical behavior for Ge in the SPB.« less

  15. Strain and stability of ultrathin Ge layers in Si/Ge/Si axial heterojunction nanowires

    DOE PAGES

    Ross, Frances M.; Stach, Eric A.; Wen, Cheng -Yen; ...

    2015-02-05

    The abrupt heterointerfaces in the Si/Ge materials system presents useful possibilities for electronic device engineering because the band structure can be affected by strain induced by the lattice mismatch. In planar layers, heterointerfaces with abrupt composition changes are difficult to realize without introducing misfit dislocations. However, in catalytically grown nanowires, abrupt heterointerfaces can be fabricated by appropriate choice of the catalyst. Here we grow nanowires containing Si/Ge and Si/Ge/Si structures respectively with sub-1nm thick Ge "quantum wells" and we measure the interfacial strain fields using geometric phase analysis. Narrow Ge layers show radial strains of several percent, with a correspondingmore » dilation in the axial direction. Si/Ge interfaces show lattice rotation and curvature of the lattice planes. We conclude that high strains can be achieved, compared to what is possible in planar layers. In addition, we study the stability of these heterostructures under heating and electron beam irradiation. The strain and composition gradients are supposed to the cause of the instability for interdiffusion.« less

  16. Controlled formation of GeSi nanostructures on pillar-patterned Si substrate

    NASA Astrophysics Data System (ADS)

    Zhou, Tong; Zeng, Ceng; Fan, Yongliang; Jiang, Zuimin; Xia, Jinsong; Zhong, Zhenyang; Fudan University Team; Huazhong University of Science; Technology Collaboration

    2015-03-01

    GeSi quantum nanostructures (QNs) have potential applications in optoelectronic devices due to their unique properties and compatibility with the sophisticated Si technology. However, the disadvantages of poor quantum efficiency of the GeSi QNs on flat Si (001) substrates hinder their optoelectronic applications. Today, numerous growth strategies have been proposed to control the formation of GeSi QNs in hope of improving the optoelectronic performances. One of the ways is to fabricate GeSi QNs on patterned substrates, where the GeSi QNs can be greatly manipulated in aspects of size, shape, composition, orientation and arrangement. Here, self-assembled GeSi QNs on periodic Si (001) sub-micro pillars (SPMs) are systematically studied. By controlling the growth conditions and the diameters of the SPMs, different GeSi QNs, including circularly arranged quantum dots (QDs), quantum rings (QRs), and quantum dot molecules (QDMs), are realized at the top edge of SMPs. Meanwhile, fourfold symmetric GeSi QDMs can be also obtained at the base edges of the SPMs. The promising features of self-assembled GeSi QNs are explained in terms of the surface chemical potential, which disclose the critical effect of surface morphology on the diffusion and the aggregation of Ge adatoms.

  17. One-step Ge/Si epitaxial growth.

    PubMed

    Wu, Hung-Chi; Lin, Bi-Hsuan; Chen, Huang-Chin; Chen, Po-Chin; Sheu, Hwo-Shuenn; Lin, I-Nan; Chiu, Hsin-Tien; Lee, Chi-Young

    2011-07-01

    Fabricating a low-cost virtual germanium (Ge) template by epitaxial growth of Ge films on silicon wafer with a Ge(x)Si(1-x) (0 < x < 1) graded buffer layer was demonstrated through a facile chemical vapor deposition method in one step by decomposing a hazardousless GeO(2) powder under hydrogen atmosphere without ultra-high vacuum condition and then depositing in a low-temperature region. X-ray diffraction analysis shows that the Ge film with an epitaxial relationship is along the in-plane direction of Si. The successful growth of epitaxial Ge films on Si substrate demonstrates the feasibility of integrating various functional devices on the Ge/Si substrates.

  18. Mushroom-free selective epitaxial growth of Si, SiGe and SiGe:B raised sources and drains

    NASA Astrophysics Data System (ADS)

    Hartmann, J. M.; Benevent, V.; Barnes, J. P.; Veillerot, M.; Lafond, D.; Damlencourt, J. F.; Morvan, S.; Prévitali, B.; Andrieu, F.; Loubet, N.; Dutartre, D.

    2013-05-01

    We have evaluated various Cyclic Selective Epitaxial Growth/Etch (CSEGE) processes in order to grow "mushroom-free" Si and SiGe:B Raised Sources and Drains (RSDs) on each side of ultra-short gate length Extra-Thin Silicon-On-Insulator (ET-SOI) transistors. The 750 °C, 20 Torr Si CSEGE process we have developed (5 chlorinated growth steps with four HCl etch steps in-between) yielded excellent crystalline quality, typically 18 nm thick Si RSDs. Growth was conformal along the Si3N4 sidewall spacers, without any poly-Si mushrooms on top of unprotected gates. We have then evaluated on blanket 300 mm Si(001) wafers the feasibility of a 650 °C, 20 Torr SiGe:B CSEGE process (5 chlorinated growth steps with four HCl etch steps in-between, as for Si). As expected, the deposited thickness decreased as the total HCl etch time increased. This came hands in hands with unforeseen (i) decrease of the mean Ge concentration (from 30% down to 26%) and (ii) increase of the substitutional B concentration (from 2 × 1020 cm-3 up to 3 × 1020 cm-3). They were due to fluctuations of the Ge concentration and of the atomic B concentration [B] in such layers (drop of the Ge% and increase of [B] at etch step locations). Such blanket layers were a bit rougher than layers grown using a single epitaxy step, but nevertheless of excellent crystalline quality. Transposition of our CSEGE process on patterned ET-SOI wafers did not yield the expected results. HCl etch steps indeed helped in partly or totally removing the poly-SiGe:B mushrooms on top of the gates. This was however at the expense of the crystalline quality and 2D nature of the ˜45 nm thick Si0.7Ge0.3:B recessed sources and drains selectively grown on each side of the imperfectly protected poly-Si gates. The only solution we have so far identified that yields a lesser amount of mushrooms while preserving the quality of the S/D is to increase the HCl flow during growth steps.

  19. High quality Ge epilayer on Si (1 0 0) with an ultrathin Si1-x Ge x /Si buffer layer by RPCVD

    NASA Astrophysics Data System (ADS)

    Chen, Da; Guo, Qinglei; Zhang, Nan; Xu, Anli; Wang, Bei; Li, Ya; Wang, Gang

    2017-07-01

    The authors report a method to grow high quality strain-relaxed Ge epilayer on a combination of low temperature Ge seed layer and Si1-x Ge x /Si superlattice buffer layer by reduced pressure chemical vapor deposition system without any subsequent annealing treatment. Prior to the growth of high quality Ge epilayer, an ultrathin Si1-x Ge x /Si superlattice buffer layer with the thickness of 50 nm and a 460 nm Ge seed layer were deposited successively at low temperature. Then an 840 nm Ge epilayer was grown at high deposition rate with the surface root-mean-square roughness of 0.707 nm and threading dislocation density of 2.5  ×  106 cm-2, respectively. Detailed investigations of the influence of ultrathin low-temperature Si1-x Ge x /Si superlattice buffer layer on the quality of Ge epilayer were performed, which indicates that the crystalline quality of Ge epilayer can be significantly improved by enhancing the Ge concentration of Si1-x Ge x /Si superlattice buffer layer.

  20. Formation of nanocrystalline SiGe in Polycrystalline-Ge/Si thin film without any metal induced crystallization

    NASA Astrophysics Data System (ADS)

    Tah, Twisha; Singh, Ch. Kishan; Madapu, K. K.; Polaki, S. R.; Ilango, S.; David, C.; Dash, S.; Panigrahi, B. K.

    2017-05-01

    The formation of nanocrystalline SiGe without the aid of metal induced crystallization is reported. Re-crystallization of the as-deposited poly-Ge film (deposited at 450 °C) leads to development of regions with depleted Ge concentration upon annealing at 500 °C. Clusters with crystalline facet containing both nanocrystalline SiGe and crystalline Ge phase starts appearing at 600 °C. The structural phase characteristics were investigated by X-ray diffraction (XRD) and Raman spectroscopy. The stoichiometry of the SiGe phase was estimated from the positions of the Raman spectral peaks.

  1. SiGe/Si Monolithically Integrated Amplifier Circuits

    NASA Technical Reports Server (NTRS)

    Katehi, Linda P. B.; Bhattacharya, Pallab

    1998-01-01

    With recent advance in the epitaxial growth of silicon-germanium heterojunction, Si/SiGe HBTs with high f(sub max) and f(sub T) have received great attention in MMIC applications. In the past year, technologies for mesa-type Si/SiGe HBTs and other lumped passive components with high resonant frequencies have been developed and well characterized for circuit applications. By integrating the micromachined lumped passive elements into HBT fabrication, multi-stage amplifiers operating at 20 GHz have been designed and fabricated.

  2. Evidence for the formation of SiGe nanoparticles in Ge-implanted Si 3N 4

    DOE PAGES

    Mirzaei, S.; Kremer, F.; Feng, R.; ...

    2017-03-14

    SiGe nanoparticles were formed in an amorphous Si 3N 4 matrix by Ge + ion implantation and thermal annealing. The size of the nanoparticles was determined by transmission electron microscopy and their atomic structure by x-ray absorption spectroscopy. Nanoparticles were observed for excess Ge concentrations in the range from 9 to 12 at. % after annealing at temperatures in the range from 700 to 900 °C. The average nanoparticle size increased with excess Ge concentration and annealing temperature and varied from an average diameter of 1.8±0.2 nm for the lowest concentration and annealing temperature to 3.2±0.5 nm for the highestmore » concentration and annealing temperature. Our study demonstrates that the structural properties of embedded SiGe nanoparticles in amorphous Si 3N 4 are sensitive to the implantation and post implantation conditions. Furthermore, we demonstrate that ion implantation is a novel pathway to fabricate and control the SiGe nanoparticle structure and potentially useful for future optoelectronic device applications.« less

  3. Coherent manipulation of a Si/SiGe-based singlet-triplet qubit

    NASA Astrophysics Data System (ADS)

    Gyure, Mark

    2012-02-01

    Electrically defined silicon-based qubits are expected to show improved quantum memory characteristics in comparison to GaAs-based devices due to reduced hyperfine interactions with nuclear spins. Silicon-based qubit devices have proved more challenging to build than their GaAs-based counterparts, but recently several groups have reported substantial progress in single-qubit initialization, measurement, and coherent operation. We report [1] coherent control of electron spins in two coupled quantum dots in an undoped Si/SiGe heterostructure, forming two levels of a singlet-triplet qubit. We measure a nuclei-induced T2^* of 360 ns, an increase over similar measurements in GaAs-based quantum dots by nearly two orders of magnitude. We also describe the results from detailed modeling of our materials and devices that show this value for T2^* is consistent with theoretical expectations for our estimated dot sizes and a natural abundance of ^29Si. The views and conclusions contained in this document are those of the authors and should not be interpreted as representing the official policies, either expressly or implied, of the United States Department of Defense or the U.S. Government. Approved for public release, distribution unlimited.[4pt] [1] B. M. Maune et al., ``Coherent Singlet-Triplet Oscillations in a Silicon-based Double Quantum Dot,'' accepted by Nature.

  4. Strain-Engineered Nanomembrane Substrates for Si/SiGe Heterostructures

    NASA Astrophysics Data System (ADS)

    Sookchoo, Pornsatit

    For Group IV materials, including silicon, germanium, and their alloys, although they are most widely used in the electronics industry, the development of photonic devices is hindered by indirect band gaps and large lattice mismatches. Thus, any heterostructures involving Si and Ge (4.17% lattice mismatch) are subject to plastic relaxation by dislocation formation in the heterolayers. These defects make many devices impossible and at minimum degrade the performance of those that are possible. Fabrication using elastic strain engineering in Si/SiGe nanomembranes (NMs) is an approach that is showing promise to overcome this limitation. A key advantage of such NM substrates over conventional bulk substrates is that they are relaxed elastically and therefore free of dislocations that occur in the conventional fabrication of SiGe substrates, which are transferred to the epilayers and roughen film interfaces. In this thesis, I use the strain engineering of NMs or NM stacks to fabricate substrates for the epitaxial growth of many repeating units of Si/SiGe heterostructure, known as a 'superlattice', by the elastic strain sharing of a few periods of the repeating unit of Si/SiGe heterolayers or a Si/SiGe/Si tri-layer structure. In both cases, the process begins with the epitaxial growth of Si/SiGe heterolayers on silicon-on-insulator (SOI), where each layer thickness is designed to stay below its kinetic critical thickness for the formation of dislocations. The heterostructure NMs are then released by etching of the SiO2 sacrificial layer in hydrofluoric acid. The resulting freestanding NMs are elastically relaxed by the sharing of strain between the heterolayers. The NMs can be bonded in-place to their host substrate or transferred to another host substrate for the subsequent growth of many periods of superlattice film. The magnitude of strain sharing in these freestanding NMs is influenced by their layer thicknesses and layer compositions. As illustrated in this

  5. Challenge of Si/SiGe technology to optoelectronics

    NASA Astrophysics Data System (ADS)

    Chang, C. Y.; Jung, J. G.

    1993-01-01

    Low temperature epitaxy (LTE) of Si and SiGecanbe performed at a temperature of 550 C or lower. Very promising applications can be opened. Such as high speed/high frequency operations at 90GHZ by constructing heterojunction bipolar transistors. High performance FET'slikepseudomorphic p-channel orn-channel high mobility field effect transistors are presented which canbe composed to perform CMOS operations. Optoelectronic devices such as IRdetectors (1-12um), mutiple quantum well (MOW), disordered superlattice (d-SL) which are the potential candidatesof IR detector and optical sources (e.q. LED, LD etc.) Various physical insights regarding to SiGe heterostructures are presented which includeswave function filter, mass filter as well as band mixing are introduced. Researchesat National Nano Device Laboratory (NDL) which processes the capability of 0.3um Si ULSI technologies and SiGe works as well as lll-V, a-Si/SiGe lines are also presented.

  6. Strain and Ge concentration determinations in SiGe/Si multiple quantum wells by transmission electron microscopy methods

    NASA Astrophysics Data System (ADS)

    Benedetti, A.; Norris, D. J.; Hetherington, C. J. D.; Cullis, A. G.; Robbins, D. J.; Wallis, D. J.

    2003-04-01

    SiGe/Si multiple quantum wells, nominally 4 nm thick, were grown by low pressure chemical vapor deposition and the Ge distribution within the wells was studied using a variety of transmission electron microscope-based techniques. Energy-dispersive x-ray spectroscopy and electron energy-loss imaging were used to directly measure the Ge compositional profile across the SiGe wells. In addition, the average Ge concentration was deduced indirectly from measurement of the strain-induced lattice displacements in high resolution images, obtained from the relative phase shift of the Si lattice planes on either side of a SiGe well. The results from both the direct and indirect measurement techniques were compared and found to be in good agreement with one another. The Ge profiles exhibited an asymmetric shape consistent with the occurrence of Ge segregation during growth. However, the amplitude of the asymmetry indicated that an additional factor, in particular gas dwell times within the reactor, also needed to be taken into account. Based upon this approach, a successful theoretical model of the growth process was derived.

  7. (113) Facets of Si-Ge/Si Islands; Atomic Scale Simulation

    NASA Astrophysics Data System (ADS)

    Kassem, Hassan

    We have studied, by computer simulation, some static and vibrationnal proprieties of SiGe/Si islands. We have used a Valence Force Field combined to Monte Carlo technique to study the growth of Ge and SiGe on (001)Si substrates. We have focalised on the case of large pyramidal islands presenting (113) facets on the free (001)Si surface with various non uniform composition inside the islands. The deformation inside the islands and Raman spectroscopy are discussed.

  8. Density functional study of the decomposition pathways of SiH₃ and GeH₃ at the Si(100) and Ge(100) surfaces.

    PubMed

    Ceriotti, M; Montalenti, F; Bernasconi, M

    2012-03-14

    By means of first-principles calculations we studied the decomposition pathways of SiH₃ on Ge(100) and of GeH₃ on Si(100), of interest for the growth of crystalline SiGe alloys and Si/Ge heterostructures by plasma-enhanced chemical vapor deposition. We also investigated H desorption via reaction of two adsorbed SiH₂/GeH₂ species (β₂ reaction) or via Eley-Rideal abstraction of surface H atoms from the impinging SiH₃ and GeH₃ species. The calculated activation energies for the different processes suggest that the rate-limiting step for the growth of Si/Ge systems is still the β₂ reaction of two SiH₂ as in the growth of crystalline Si.

  9. Synthesis and optical properties of (GaAs)yGe5-2y alloys assembled from molecular building blocks

    NASA Astrophysics Data System (ADS)

    Sims, P. E.; Wallace, P. M.; Xu, Chi; Poweleit, C. D.; Claflin, B.; Kouvetakis, J.; Menéndez, J.

    2017-09-01

    Monocrystalline alloys of GaAs and Ge with compositions (GaAs)yGe5-2y have been synthesized following a chemical vapor deposition approach that promotes the incorporation of Ga and As atoms as isolated donor-acceptor pairs. The structural and optical properties show distinct behavior relative to (GaAs)1-xGe2x counterparts produced by conventional routes. Strong band gap photoluminescence is observed in the 0.5-0.6 eV range for samples whose compositions approach the GaAsGe3 limit for isolated Ga-As pairs. In such samples, the Ge-like Raman modes appear at higher frequencies and are considerably narrower than those observed in samples with higher Ge concentrations. These results suggest that the growth mechanism may favor the formation of ordered phases comprising Ga-As-Ge3 tetrahedra. In contrast with the diamond-to-zincblende ordering transition previously reported for III-V-IV alloys, ordered structures built from Ga-As-Ge3 tetrahedra feature III-III and V-V pairs as third-nearest neighbors, and therefore both the III- and V-components are equally present in each of two fcc sublattices of the average diamond-like structure. These bonding arrangements likely lead to the observed optical response, indicating potential applications of these materials in mid-IR technologies integrated on Si.

  10. A Theoretical Simulation of the Radiation Responses of Si, Ge, and Si/Ge Superlattice to Low-Energy Irradiation.

    PubMed

    Jiang, Ming; Xiao, Haiyan; Peng, Shuming; Yang, Guixia; Liu, Zijiang; Qiao, Liang; Zu, Xiaotao

    2018-05-02

    In this study, the low-energy radiation responses of Si, Ge, and Si/Ge superlattice are investigated by an ab initio molecular dynamics method and the origins of their different radiation behaviors are explored. It is found that the radiation resistance of the Ge atoms that are around the interface of Si/Ge superlattice is comparable to bulk Ge, whereas the Si atoms around the interface are more difficult to be displaced than the bulk Si, showing enhanced radiation tolerance as compared with the bulk Si. The mechanisms for defect generation in the bulk and superlattice structures show somewhat different character, and the associated defects in the superlattice are more complex. Defect formation and migration calculations show that in the superlattice structure, the point defects are more difficult to form and the vacancies are less mobile. The enhanced radiation tolerance of the Si/Ge superlattice will benefit for its applications as electronic and optoelectronic devices under radiation environment.

  11. A Theoretical Simulation of the Radiation Responses of Si, Ge, and Si/Ge Superlattice to Low-Energy Irradiation

    NASA Astrophysics Data System (ADS)

    Jiang, Ming; Xiao, Haiyan; Peng, Shuming; Yang, Guixia; Liu, Zijiang; Qiao, Liang; Zu, Xiaotao

    2018-05-01

    In this study, the low-energy radiation responses of Si, Ge, and Si/Ge superlattice are investigated by an ab initio molecular dynamics method and the origins of their different radiation behaviors are explored. It is found that the radiation resistance of the Ge atoms that are around the interface of Si/Ge superlattice is comparable to bulk Ge, whereas the Si atoms around the interface are more difficult to be displaced than the bulk Si, showing enhanced radiation tolerance as compared with the bulk Si. The mechanisms for defect generation in the bulk and superlattice structures show somewhat different character, and the associated defects in the superlattice are more complex. Defect formation and migration calculations show that in the superlattice structure, the point defects are more difficult to form and the vacancies are less mobile. The enhanced radiation tolerance of the Si/Ge superlattice will benefit for its applications as electronic and optoelectronic devices under radiation environment.

  12. Strong room temperature electroluminescence from lateral p-SiGe/i-Ge/n-SiGe heterojunction diodes on silicon-on-insulator substrate

    NASA Astrophysics Data System (ADS)

    Lin, Guangyang; Yi, Xiaohui; Li, Cheng; Chen, Ningli; Zhang, Lu; Chen, Songyan; Huang, Wei; Wang, Jianyuan; Xiong, Xihuan; Sun, Jiaming

    2016-10-01

    A lateral p-Si0.05Ge0.95/i-Ge/n-Si0.05Ge0.95 heterojunction light emitting diode on a silicon-on-insulator (SOI) substrate was proposed, which is profitable to achieve higher luminous extraction compared to vertical junctions. Due to the high carrier injection ratio of heterostructures and optical reflection at the SiO2/Si interface of the SOI, strong room temperature electroluminescence (EL) at around 1600 nm from the direct bandgap of i-Ge with 0.30% tensile strain was observed. The EL peak intensity of the lateral heterojunction is enhanced by ˜4 folds with a larger peak energy than that of the vertical Ge p-i-n homojunction, suggesting that the light emitting efficiency of the lateral heterojunction is effectively improved. The EL peak intensity of the lateral heterojunction, which increases quadratically with injection current density, becomes stronger for diodes with a wider i-Ge region. The CMOS compatible fabrication process of the lateral heterojunctions paves the way for the integration of the light source with the Ge metal-oxide-semiconductor field-effect-transistor.

  13. Fabrication of multilayered Ge nanocrystals embedded in SiO xGeN y films

    NASA Astrophysics Data System (ADS)

    Gao, Fei; Green, Martin A.; Conibeer, Gavin; Cho, Eun-Chel; Huang, Yidan; Perez-Wurfl, Ivan; Flynn, Chris

    2008-09-01

    Multilayered Ge nanocrystals embedded in SiO xGeN y films have been fabricated on Si substrate by a (Ge + SiO 2)/SiO xGeN y superlattice approach, using a rf magnetron sputtering technique with a Ge + SiO 2 composite target and subsequent thermal annealing in N 2 ambient at 750 °C for 30 min. X-ray diffraction (XRD) measurement indicated the formation of Ge nanocrystals with an average size estimated to be 5.4 nm. Raman scattering spectra showed a peak of the Ge-Ge vibrational mode downward shifted to 299.4 cm -1, which was caused by quantum confinement of phonons in the Ge nanocrystals. Transmission electron microscopy (TEM) revealed that Ge nanocrystals were confined in (Ge + SiO 2) layers. This superlattice approach significantly improved both the size uniformity of Ge nanocrystals and their uniformity of spacing on the 'Z' growth direction.

  14. Isotropic plasma etching of Ge Si and SiN x films

    DOE PAGES

    Henry, Michael David; Douglas, Erica Ann

    2016-08-31

    This study reports on selective isotropic dry etching of chemically vapor deposited (CVD) Ge thin film, release layers using a Shibaura chemical downstream etcher (CDE) with NF 3 and Ar based plasma chemistry. Relative etch rates between Ge, Si and SiN x are described with etch rate reductions achieved by adjusting plasma chemistry with O 2. Formation of oxides reducing etch rates were measured for both Ge and Si, but nitrides or oxy-nitrides created using direct injection of NO into the process chamber were measured to increase Si and SiN x etch rates while retarding Ge etching.

  15. The role of SiGe buffer in growth and relaxation of Ge on free-standing Si(001) nano-pillars.

    PubMed

    Zaumseil, P; Kozlowski, G; Schubert, M A; Yamamoto, Y; Bauer, J; Schülli, T U; Tillack, B; Schroeder, T

    2012-09-07

    We study the growth and relaxation processes of Ge nano-clusters selectively grown by chemical vapor deposition on free-standing 90 nm wide Si(001) nano-pillars with a thin Si(0.23)Ge(0.77) buffer layer. We found that the dome-shaped SiGe layer with a height of about 28 nm as well as the Ge dot deposited on top of it partially relaxes, mainly by elastic lattice bending. The Si nano-pillar shows a clear compliance behavior-an elastic response of the substrate on the growing film-with the tensile strained top part of the pillar. Additional annealing at 800 °C leads to the generation of misfit dislocation and reduces the compliance effect significantly. This example demonstrates that despite the compressive strain generated due to the surrounding SiO(2) growth mask it is possible to realize an overall tensile strain in the Si nano-pillar and following a compliant substrate effect by using a SiGe buffer layer. We further show that the SiGe buffer is able to improve the structural quality of the Ge nano-dot.

  16. Ultra-low temperature (≤300 °C) growth of Ge-rich SiGe by solid-liquid-coexisting annealing of a-GeSn/c-Si structures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sadoh, Taizoh, E-mail: sadoh@ed.kyushu-u.ac.jp; Chikita, Hironori; Miyao, Masanobu

    2015-09-07

    Ultra-low temperature (≤300 °C) growth of Ge-rich SiGe on Si substrates is strongly desired to realize advanced electronic and optical devices, which can be merged onto Si large-scale integrated circuits (LSI). To achieve this, annealing characteristics of a-GeSn/c-Si structures are investigated under wide ranges of the initial Sn concentrations (0%–26%) and annealing conditions (300–1000 °C, 1 s–48 h). Epitaxial growth triggered by SiGe mixing is observed after annealing, where the annealing temperatures necessary for epitaxial growth significantly decrease with increasing initial Sn concentration and/or annealing time. As a result, Ge-rich (∼80%) SiGe layers with Sn concentrations of ∼2% are realized by ultra-low temperature annealingmore » (300 °C, 48 h) for a sample with the initial Sn concentration of 26%. The annealing temperature (300 °C) is in the solid-liquid coexisting temperature region of the phase diagram for Ge-Sn system. From detailed analysis of crystallization characteristics and composition profiles in grown layers, it is suggested that SiGe mixing is generated by a liquid-phase reaction even at ultra-low temperatures far below the melting temperature of a-GeSn. This ultra-low-temperature growth technique of Ge-rich SiGe on Si substrates is expected to be useful to realize next-generation LSI, where various multi-functional devices are integrated on Si substrates.« less

  17. Scanning tunneling microscopy studies of Si donors (Si[sub Ga]) in GaAs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zheng, J.F.; Liu, X.; Newman, N.

    1994-03-07

    We report scanning tunneling microscopy (STM) studies of Si substitutional donors (Si[sub Ga]) in GaAs that reveal delocalized and localized electronic features corresponding to Si[sub Ga] in the top few layers of the (110) cleavage surface. The delocalized features appear as protrusions a few nm in size, superimposed on the background lattice. These features are attributed to enhanced tunneling due to the local perturbation of the band bending by the Coulomb potential of subsurface Si[sub Ga]. In contrast, STM images of surface Si[sub Ga] show very localized electronic structures, in good agreement with a recent theoretical prediction [J. Wang [italmore » et] [ital al]., Phys. Rev. B 47, 10 329 (1993)].« less

  18. Density-functional theory molecular dynamics simulations of a-HfO2/a-SiO2/SiGe and a-HfO2/a-SiO2/Ge with a-SiO2 and a-SiO suboxide interfacial layers

    NASA Astrophysics Data System (ADS)

    Chagarov, Evgueni A.; Kavrik, Mahmut S.; Fang, Ziwei; Tsai, Wilman; Kummel, Andrew C.

    2018-06-01

    Comprehensive Density-Functional Theory (DFT) Molecular Dynamics (MD) simulations were performed to investigate interfaces between a-HfO2 and SiGe or Ge semiconductors with fully-stoichiometric a-SiO2 or sub-oxide SiO interlayers. The electronic structure of the selected stacks was calculated with a HSE06 hybrid functional. Simulations were performed before and after hydrogen passivation of residual interlayer defects. For the SiGe substrate with Ge termination prior to H passivation, the stacks with a-SiO suboxide interlayer (a-HfO2/a-SiO/SiGe) demonstrate superior electronic properties and wider band-gaps than the stacks with fully coordinated a-SiO2 interlayers (a-HfO2/a-SiO2/SiGe). After H passivation, most of the a-HfO2/a-SiO2/SiGe defects are passivated. To investigate effect of random placement of Si and Ge atoms additional simulations with a randomized SiGe slab were performed demonstrating improvement of electronic structure. For Ge substrates, before H passivation, the stacks with a SiO suboxide interlayer (a-HfO2/a-SiO/Ge) also demonstrate wider band-gaps than the stacks with fully coordinated a-SiO2 interlayers (a-HfO2/a-SiO2/Ge). However, even for a-HfO2/a-SiO/Ge, the Fermi level is shifted close to the conduction band edge (CBM) consistent with Fermi level pinning. Again, after H passivation, most of the a-HfO2/a-SiO2/Ge defects are passivated. The stacks with fully coordinated a-SiO2 interlayers have much stronger deformation and irregularity in the semiconductor (SiGe or Ge) upper layers leading to multiple under-coordinated atoms which create band-edge states and decrease the band-gap prior to H passivation.

  19. Characterization of High Ge Content SiGe Heterostructures and Graded Alloy Layers Using Spectroscopic Ellipsometry

    NASA Technical Reports Server (NTRS)

    Heyd, A. R.; Alterovitz, S. A.; Croke, E. T.

    1995-01-01

    Si(x)Ge(1-x)heterostructures on Si substrates have been widely studied due to the maturity of Si technology. However, work on Si(x)Ge)1-x) heterostructures on Ge substrates has not received much attention. A Si(x)Ge(1-x) layer on a Si substrate is under compressive strain while Si(x)Ge(1-x) on Ge is under tensile strain; thus the critical points will behave differently. In order to accurately characterize high Ge content Si(x)Ge(1-x) layers the energy shift algorithm used to calculate alloy compositions, has been modified. These results have been used along with variable angle spectroscopic ellipsometry (VASE) measurements to characterize Si(x)Ge(1-x)/Ge superlattices grown on Ge substrates. The results agree closely with high resolution x-ray diffraction measurements made on the same samples. The modified energy shift algorithm also allows the VASE analysis to be upgraded in order to characterize linearly graded layers. In this work VASE has been used to characterize graded Si(x)Ge(1-x) layers in terms of the total thickness, and the start and end alloy composition. Results are presented for a 1 micrometer Si(x)Ge(1-x) layer linearly graded in the range 0.5 less than or equal to x less than or equal to 1.0.

  20. Exceptional cracking behavior in H-implanted Si/B-doped Si0.70Ge0.30/Si heterostructures

    NASA Astrophysics Data System (ADS)

    Chen, Da; Wang, Dadi; Chang, Yongwei; Li, Ya; Ding, Rui; Li, Jiurong; Chen, Xiao; Wang, Gang; Guo, Qinglei

    2018-01-01

    The cracking behavior in H-implanted Si/B-doped Si0.70Ge0.30/Si structures after thermal annealing was investigated. The crack formation position is found to closely correlate with the thickness of the buried Si0.70Ge0.30 layer. For H-implanted Si containing a buried 3-nm-thick B-doped Si0.70Ge0.30 layer, localized continuous cracking occurs at the interfaces on both sides of the Si0.70Ge0.30 interlayer. Once the thickness of the buried Si0.70Ge0.30 layer increases to 15 and 70 nm, however, a continuous sharp crack is individually observed along the interface between the Si substrate and the B-doped Si0.70Ge0.30 interlayer. We attribute this exceptional cracking behavior to the existence of shear stress on both sides of the buried Si0.70Ge0.30 layer and the subsequent trapping of hydrogen, which leads to a crack in a well-controlled manner. This work may pave the way for high-quality Si or SiGe membrane transfer in a feasible manner, thus expediting its potential applications to ultrathin silicon-on-insulator (SOI) or silicon-germanium-on-insulator (SGOI) production.

  1. Ion-Beam-Induced Atomic Mixing in Ge, Si, and SiGe, Studied by Means of Isotope Multilayer Structures

    PubMed Central

    Radek, Manuel; Liedke, Bartosz; Schmidt, Bernd; Voelskow, Matthias; Bischoff, Lothar; Lundsgaard Hansen, John; Nylandsted Larsen, Arne; Bougeard, Dominique; Böttger, Roman; Prucnal, Slawomir; Posselt, Matthias; Bracht, Hartmut

    2017-01-01

    Crystalline and preamorphized isotope multilayers are utilized to investigate the dependence of ion beam mixing in silicon (Si), germanium (Ge), and silicon germanium (SiGe) on the atomic structure of the sample, temperature, ion flux, and electrical doping by the implanted ions. The magnitude of mixing is determined by secondary ion mass spectrometry. Rutherford backscattering spectrometry in channeling geometry, Raman spectroscopy, and transmission electron microscopy provide information about the structural state after ion irradiation. Different temperature regimes with characteristic mixing properties are identified. A disparity in atomic mixing of Si and Ge becomes evident while SiGe shows an intermediate behavior. Overall, atomic mixing increases with temperature, and it is stronger in the amorphous than in the crystalline state. Ion-beam-induced mixing in Ge shows no dependence on doping by the implanted ions. In contrast, a doping effect is found in Si at higher temperature. Molecular dynamics simulations clearly show that ion beam mixing in Ge is mainly determined by the thermal spike mechanism. In the case of Si thermal spike, mixing prevails at low temperature whereas ion beam-induced enhanced self-diffusion dominates the atomic mixing at high temperature. The latter process is attributed to highly mobile Si di-interstitials formed under irradiation and during damage annealing. PMID:28773172

  2. Ion-Beam-Induced Atomic Mixing in Ge, Si, and SiGe, Studied by Means of Isotope Multilayer Structures.

    PubMed

    Radek, Manuel; Liedke, Bartosz; Schmidt, Bernd; Voelskow, Matthias; Bischoff, Lothar; Hansen, John Lundsgaard; Larsen, Arne Nylandsted; Bougeard, Dominique; Böttger, Roman; Prucnal, Slawomir; Posselt, Matthias; Bracht, Hartmut

    2017-07-17

    Crystalline and preamorphized isotope multilayers are utilized to investigate the dependence of ion beam mixing in silicon (Si), germanium (Ge), and silicon germanium (SiGe) on the atomic structure of the sample, temperature, ion flux, and electrical doping by the implanted ions. The magnitude of mixing is determined by secondary ion mass spectrometry. Rutherford backscattering spectrometry in channeling geometry, Raman spectroscopy, and transmission electron microscopy provide information about the structural state after ion irradiation. Different temperature regimes with characteristic mixing properties are identified. A disparity in atomic mixing of Si and Ge becomes evident while SiGe shows an intermediate behavior. Overall, atomic mixing increases with temperature, and it is stronger in the amorphous than in the crystalline state. Ion-beam-induced mixing in Ge shows no dependence on doping by the implanted ions. In contrast, a doping effect is found in Si at higher temperature. Molecular dynamics simulations clearly show that ion beam mixing in Ge is mainly determined by the thermal spike mechanism. In the case of Si thermal spike, mixing prevails at low temperature whereas ion beam-induced enhanced self-diffusion dominates the atomic mixing at high temperature. The latter process is attributed to highly mobile Si di-interstitials formed under irradiation and during damage annealing.

  3. The Effects of Annealing Temperatures on Composition and Strain in Si x Ge1-x Obtained by Melting Growth of Electrodeposited Ge on Si (100).

    PubMed

    Abidin, Mastura Shafinaz Zainal; Morshed, Tahsin; Chikita, Hironori; Kinoshita, Yuki; Muta, Shunpei; Anisuzzaman, Mohammad; Park, Jong-Hyeok; Matsumura, Ryo; Mahmood, Mohamad Rusop; Sadoh, Taizoh; Hashim, Abdul Manaf

    2014-02-24

    The effects of annealing temperatures on composition and strain in Si x Ge 1- x , obtained by rapid melting growth of electrodeposited Ge on Si (100) substrate were investigated. Here, a rapid melting process was performed at temperatures of 1000, 1050 and 1100 °C for 1 s. All annealed samples show single crystalline structure in (100) orientation. A significant appearance of Si-Ge vibration mode peak at ~400 cm -1 confirms the existence of Si-Ge intermixing due to out-diffusion of Si into Ge region. On a rapid melting process, Ge melts and reaches the thermal equilibrium in short time. Si at Ge/Si interface begins to dissolve once in contact with the molten Ge to produce Si-Ge intermixing. The Si fraction in Si-Ge intermixing was calculated by taking into account the intensity ratio of Ge-Ge and Si-Ge vibration mode peaks and was found to increase with the annealing temperatures. It is found that the strain turns from tensile to compressive as the annealing temperature increases. The Si fraction dependent thermal expansion coefficient of Si x Ge 1- x is a possible cause to generate such strain behavior. The understanding of compositional and strain characteristics is important in Ge/Si heterostructure as these properties seem to give significant effects in device performance.

  4. p-n Junction Diodes Fabricated on Si-Si/Ge Heteroepitaxial Films

    NASA Technical Reports Server (NTRS)

    Das, K.; Mazumder, M. D. A.; Hall, H.; Alterovitz, Samuel A. (Technical Monitor)

    2000-01-01

    A set of photolithographic masks was designed for the fabrication of diodes in the Si-Si/Ge material system. Fabrication was performed on samples obtained from two different wafers: (1) a complete HBT structure with an n (Si emitter), p (Si/Ge base), and an n/n+ (Si collector/sub-collector) deposited epitaxially (MBE) on a high resistivity p-Si substrate, (2) an HBT structure where epitaxial growth was terminated after the p-type base (Si/Ge) layer deposition. Two different process runs were attempted for the fabrication of Si-Si/Ge (n-p) and Si/Ge-Si (p-n) junction diodes formed between the emitter-base and base-collector layers, respectively, of the Si-Si/Ge-Si HBT structure. One of the processes employed a plasma etching step to expose the p-layer in the structure (1) and to expose the e-layer in structure (2). The Contact metallization used for these diodes was a Cu-based metallization scheme that was developed during the first year of the grant. The plasma-etched base-collector diodes on structure (2) exhibited well-behaved diode-like characteristics. However, the plasma-etched emitter-base diodes demonstrated back-to-back diode characteristics. These back-to back characteristics were probably due to complete etching of the base-layer, yielding a p-n-p diode. The deep implantation process yielded rectifying diodes with asymmetric forward and reverse characteristics. The ideality factor of these diodes were between 1.6 -2.1, indicating that the quality of the MBE grown epitaxial films was not sufficiently high, and also incomplete annealing of the implantation damage. Further study will be conducted on CVD grown films, which are expected to have higher epitaxial quality.

  5. Design of Strain-Engineered GeSn/GeSiSn Quantum Dots for Mid-IR Direct Bandgap Emission on Si Substrate

    NASA Astrophysics Data System (ADS)

    Al-Saigh, Reem; Baira, Mourad; Salem, Bassem; Ilahi, Bouraoui

    2018-06-01

    Strain-engineered self-assembled GeSn/GeSiSn quantum dots in Ge matrix have been numerically investigated aiming to study their potentiality towards direct bandgap emission in the mid-IR range. The use of GeSiSn alloy as surrounding media for GeSn quantum dots (QD) allows adjusting the strain around the QD through the variation of Si and/or Sn composition. Accordingly, the lattice mismatch between the GeSn quantum dots and the GeSiSn surrounding layer has been tuned between - 2.3 and - 4.5% through the variation of the Sn barrier composition for different dome-shaped QD sizes. The obtained results show that the emission wavelength, fulfilling the specific QD directness criteria, can be successively tuned over a broad mid-IR range from 3 up to7 μm opening new perspectives for group IV laser sources fully integrated in Si photonic systems for sensing applications.

  6. Magnetic behavior of Si-Ge bond in SixGe4-x nano-clusters

    NASA Astrophysics Data System (ADS)

    Nahali, Masoud; Mehri, Ali

    2018-06-01

    The structure of SixGe4-x nano-clusters were optimized by MPW1B95 level of theory using MG3S and SDB-aug-cc-PVTZ basis set. The agreement of the calculated ionization and dissociation energies with experimental values validates the reported structures of nano-clusters and justifies the use of hybrid meta density functional method. Since the Si-Si bond is stronger than Si-Ge and Ge-Ge bonds, the Si-Si, Si-Ge, and Ge-Ge diagonal bonds determine the precedence of the stability in these nano-clusters. The hybrid meta density functional calculations were carried out to investigate the adsorption of CO on all possible SixGe4-x nano-clusters. It was found that the silicon atom generally makes a stronger bond with CO than germanium and thereby preferentially affects the shape of structures having higher multiplicity. In Si-Ge structures with higher spin more than 95% of spins accumulate on positions with less bonds to other atoms of the cluster. Through CO adsorption on these clusters bridge structures are made that behave as spin bridge which conduct the spin from the nano-cluster surface to the adsorbate atoms. A better understanding of bridged structures was achieved upon introducing the 'spin bridge' concept. Based on exhaustive spin density analysis, it was found that the reason for the extra negative charge on oxygen in the bridged structures is the relocation of spin from the surface through the bridge.

  7. D3h [A-CE3-A]- (E = Al and Ga, A = Si, Ge, Sn, and Pb): A new class of hexatomic mono-anionic species with trigonal bipyramidal carbon

    NASA Astrophysics Data System (ADS)

    Wu, Yan-Bo; Li, Yan-Qin; Bai, Hui; Lu, Hai-Gang; Li, Si-Dian; Zhai, Hua-Jin; Wang, Zhi-Xiang

    2014-03-01

    The non-classical trigonal bipyramidal carbon (TBPC) arrangement generally exists as transition states (TSs) in nucleophilic bimolecular substitution (SN2) reactions. Nevertheless, chemists have been curious about whether such a carbon bonding could be stable in equilibrium structures for decades. As the TBPC arrangement was normally realized as cationic species theoretically and experimentally, only one anionic example ([At-C(CN)3-At]-) was computationally devised. Herein, we report the design of a new class of anionic TBPC species by using the strategy similar to that for stabilizing the non-classical planar hypercoordinate carbon. When electron deficient Al and Ga were used as the equatorial ligands, eight D3h [A-CE3-A]- (E = Al and Ga, A = Si, Ge, Sn, and Pb) TBPC structures were found to be the energy minima rather than TSs at both the B3LYP and MP2 levels. Remarkably, the energetic results at the CCSD(T) optimization level further identify [Ge-CAl3-Ge]- and [Sn-CGa3-Sn]- even to be the global minima and [Si-CAl3-Si]- and [Ge-CGa3-Ge]- to be the local minima, only slightly higher than their global minima. The electronic structure analyses reveal that the substantial ionic C-E bonding, the peripheral E-A covalent bonding, and the axial mc-2e (multi center-two electrons) bonding play roles in stabilizing these TBPC structures. The structural simplicity and the high thermodynamic stability suggest that some of these species may be generated and captured in the gas phase. Furthermore, as mono-anionic species, their first vertical detachment energies are differentiable from those of their nearest isomers, which would facilitate their characterization via experiments such as the negative ion photoelectron spectroscopy.

  8. Study of a SiGeSn/GeSn/SiGeSn structure toward direct bandgap type-I quantum well for all group-IV optoelectronics.

    PubMed

    Ghetmiri, Seyed Amir; Zhou, Yiyin; Margetis, Joe; Al-Kabi, Sattar; Dou, Wei; Mosleh, Aboozar; Du, Wei; Kuchuk, Andrian; Liu, Jifeng; Sun, Greg; Soref, Richard A; Tolle, John; Naseem, Hameed A; Li, Baohua; Mortazavi, Mansour; Yu, Shui-Qing

    2017-02-01

    A SiGeSn/GeSn/SiGeSn single quantum well structure was grown using an industry standard chemical vapor deposition reactor with low-cost commercially available precursors. The material characterization revealed the precisely controlled material growth process. Temperature-dependent photoluminescence spectra were correlated with band structure calculation for a structure accurately determined by high-resolution x-ray diffraction and transmission electron microscopy. Based on the result, a systematic study of SiGeSn and GeSn bandgap energy separation and barrier heights versus material compositions and strain was conducted, leading to a practical design of a type-I direct bandgap quantum well.

  9. IR Li2Ga2GeS6 nanocrystallized GeS2-Ga2S3-Li2S electroconductive chalcogenide glass with good nonlinearity

    PubMed Central

    Liu, Qiming; Zhang, Peng

    2014-01-01

    GeS2-Ga2S3-Li2S electroconductive glasses were prepared by the conventional melt-quenching method through carefully controlling the heating rate. Comparing with the reference of glass-forming region, our investigated GeS2-Ga2S3-Li2S system was extended to the cation ratio of 0–20% Li with around 40% Ga. GeS2-Ga2S3-Li2S glass-ceramics containing IR Li2Ga2GeS6 nonlinear nanocrystals were obtained by the more carefully controlled heating rate. Its optical nonlinearity was investigated by the Maker fringe measurements, the maximum second harmonic intensity was observed to be 0.35 of the reference Z-cut quartz. IR Li2Ga2GeS6 nonlinear crystals were directly obtained at the composition of 40GeS2-30GaS1.5-30LiS0.5. PMID:25030713

  10. Strain-free Ge/GeSiSn Quantum Cascade Lasers Based on L-Valley Intersubband Transitions

    DTIC Science & Technology

    2007-01-01

    found in III-V quantum cascade lasers QCLs. Various groups have obtained electroluminescence from Si-rich Si/SiGe quantum cascade structures,2–4 but...Ge/GeSiSn quantum cascade lasers based on L-valley intersubband transitions 5c. PROGRAM ELEMENT NUMBER 612305 6. AUTHOR(S) 5d. PROJECT NUMBER...ABSTRACT The authors propose a Ge/Ge0.76Si0.19Sn0.05 quantum cascade laser using intersubband transitions at L valleys of the conduction band

  11. Measurements of Local Strain Variation in Si(1-x)Ge(x)/Si Heterostructures

    NASA Technical Reports Server (NTRS)

    Bell, L. D.; Kaiser, W. J.; Manion, S. J.; Milliken, S. J.; Pike, W. T.; Fathauer, R. W.

    1995-01-01

    The energy splitting of the conduction-band minimum of Si(1-x), Ge(x), due to strain has been directly measured by the application of ballistic-electron-emission microscope (BEEM) spectroscopy to Ag/Si(1-x), Ge(x) structures. Experimental values for this conduction-band splitting agree well with calculations. For Au/Si(1-x), Ge(x), however, heterogeneity in the strain of the Si(1-x), Ge(x) layer is introduced by deposition of the Au. This variation is attributed to species interdiffusion, which produces a rough Si(1-x)Ge(x) surface. Preliminary modeling indicates that the observed roughness is consistent with the strain variation measured by BEEM.

  12. A density functional theory investigation on amantadine drug interaction with pristine and B, Al, Si, Ga, Ge doped C60 fullerenes

    NASA Astrophysics Data System (ADS)

    Parlak, Cemal; Alver, Özgür

    2017-06-01

    Amantadine is a well-known drug for its treatment effect on Parkinson's disease and influenza infection or hepatitis. Heteroatom doped fullerenes have been extensively examined for their possible usage in sensor technology and medical applications as drug delivery vehicles. In this research, pristine and B, Al, Si, Ga, Ge doped C60 fullerenes and their interaction with amantadine drug molecule were investigated based on the density functional theory calculations. Findings suggest that doped C60 fullerenes might be used to detect the presence of amantadine and they might be used as drug delivery vehicles because of their moderately high adsorption energies with amantadine.

  13. Monolithically Integrated SiGe/Si PIN-HBT Front-End Transimpedance Photoreceivers

    NASA Technical Reports Server (NTRS)

    Rieh, J.-S.; Qasaimeh, O.; Klotzkin, D.; Lu, L.-H.; Katehi, L. P. B.; Yang, K.; Bhattacharya, P.; Croke, E. T.

    1997-01-01

    The demand for monolithically integrated photoreceivers based on Si-based technology keeps increasing as low cost and high reliability products are required for the expanding commercial market. Higher speed and wider operating frequency range are expected when SiGe/Si heterojunction is introduced to the circuit design. In this paper, a monolithic SiGe/Si PIN-HBT front-end transimpedance photoreceiver is demonstrated for the first time. For this purpose, mesa-type SiGe/Si PIN-HBT technology was developed. Fabricated HBTs exhibit f(sub max) of 34 GHz with DC gain of 25. SiGe/Si PIN photodiodes, which share base and collector layers of HBTs, demonstrate responsivity of 0.3 A/W at lambda=850 nm and bandwidth of 450 MHz. Based on these devices, single- and dual-feedback transimpedance amplifiers were fabricated and they exhibited the bandwidth of 3.2 GHz and 3.3 GHz with the transimpedance gain of 45.2 dB(Omega) and 47.4 dB(Omega) respectively. Monolithically integrated single-feedback PIN-HBT photoreceivers were implemented and the bandwidth was measured to be approx. 0.5 GHz, which is limited by the bandwidth of PIN photodiodes.

  14. GaAsP/InGaP HBTs grown epitaxially on Si substrates: Effect of dislocation density on DC current gain

    NASA Astrophysics Data System (ADS)

    Heidelberger, Christopher; Fitzgerald, Eugene A.

    2018-04-01

    Heterojunction bipolar transistors (HBTs) with GaAs0.825P0.175 bases and collectors and In0.40Ga0.60P emitters were integrated monolithically onto Si substrates. The HBT structures were grown epitaxially on Si via metalorganic chemical vapor deposition, using SiGe compositionally graded buffers to accommodate the lattice mismatch while maintaining threading dislocation density at an acceptable level (˜3 × 106 cm-2). GaAs0.825P0.175 is used as an active material instead of GaAs because of its higher bandgap (increased breakdown voltage) and closer lattice constant to Si. Misfit dislocation density in the active device layers, measured by electron-beam-induced current, was reduced by making iterative changes to the epitaxial structure. This optimized process culminated in a GaAs0.825P0.175/In0.40Ga0.60P HBT grown on Si with a DC current gain of 156. By considering the various GaAsP/InGaP HBTs grown on Si substrates alongside several control devices grown on GaAs substrates, a wide range of threading dislocation densities and misfit dislocation densities in the active layers could be correlated with HBT current gain. The effect of threading dislocations on current gain was moderated by the reduction in minority carrier lifetime in the base region, in agreement with existing models for GaAs light-emitting diodes and photovoltaic cells. Current gain was shown to be extremely sensitive to misfit dislocations in the active layers of the HBT—much more sensitive than to threading dislocations. We develop a model for this relationship where increased base current is mediated by Fermi level pinning near misfit dislocations.

  15. Synchrotron x-ray thermal diffuse scattering probes for phonons in Si/SiGe/Si trilayer nanomembranes

    DOE PAGES

    McElhinny, Kyle M.; Gopalakrishnan, Gokul; Savage, Donald E.; ...

    2016-05-17

    Nanostructures offer the opportunity to control the vibrational properties of via the scattering of phonons due to boundaries and mass disorder as well as through changes in the phonon dispersion due to spatial confinement. Advances in understanding these effects have the potential to lead to thermoelectrics with an improved figure of merit by lowering the thermal conductivity and to provide insight into electron-phonon scattering rates in nanoelectronics. However, characterizing the phonon population in nanomaterials has been challenging because of their small volume and because optical techniques probe only a small fraction of reciprocal space. Recent developments in x-ray scattering nowmore » allow the phonon population to be evaluated across all of reciprocal space in samples with volumes as small as several cubic micrometers. We apply this approach, synchrotron x-ray thermal diffuse scattering (TDS), to probe the population of phonons within a Si/SiGe/Si trilayer nanomembrane. The distributions of scattered intensity from Si/SiGe/Si trilayer nanomembranes and Si nanomembranes with uniform composition are qualitatively similar, with features arising from the elastic anisotropy of the diamond structure. The TDS signal for the Si/SiGe/Si nanomembrane, however, has higher intensity than the Si membrane of the same total thickness by approximately 3.75%. Possible origins of the enhancement in scattering from SiGe in comparison with Si include the larger atomic scattering factor of Ge atoms within the SiGe layer or reduced phonon frequencies due to alloying.« less

  16. Study of low dimensional SiGe island on Si for potential visible Metal-Semiconductor-Metal photodetector

    NASA Astrophysics Data System (ADS)

    Rahim, Alhan Farhanah Abd; Zainal Badri, Nur'Amirah; Radzali, Rosfariza; Mahmood, Ainorkhilah

    2017-11-01

    In this paper, an investigation of design and simulation of silicon germanium (SiGe) islands on silicon (Si) was presented for potential visible metal semiconductor metal (MSM) photodetector. The characterization of the performances in term of the structural, optical and electrical properties of the structures was analyzed from the simulation results. The project involves simulation using SILVACO Technology Computer Aided Design (TCAD) tools. The different structures of the silicon germanium (SiGe) island on silicon substrate were created, which were large SiGe, small SiGe, combination SiGe and bulk Ge. All the structures were tested for potential Metal Semiconductor Metal (MSM) photodetector. The extracted data such as current versus voltage characteristic, current gain and spectral response were obtained using ATLAS SILVACO tools. The performance of SiGe island structures and bulk Ge on Si substrate as (MSM) photodetector was evaluated by photo and dark current-voltage (I-V) characteristics. It was found that SiGe islands exhibited higher energy band gap compared to bulk Ge. The SiGe islands current-voltage characteristics showed improved current gain compared to bulk Ge. Specifically the enhancement of the islands gain was contributed by the enhanced photo currents and lower dark currents. The spectral responses of the SiGe islands showed peak response at 590 nm (yellow) which is at the visible wavelength. This shows the feasibility of the SiGe islands to be utilized for visible photodetections.

  17. Thin SiGe virtual substrates for Ge heterostructures integration on silicon

    NASA Astrophysics Data System (ADS)

    Cecchi, S.; Gatti, E.; Chrastina, D.; Frigerio, J.; Müller Gubler, E.; Paul, D. J.; Guzzi, M.; Isella, G.

    2014-03-01

    The possibility to reduce the thickness of the SiGe virtual substrate, required for the integration of Ge heterostructures on Si, without heavily affecting the crystal quality is becoming fundamental in several applications. In this work, we present 1 μm thick Si1-xGex buffers (with x > 0.7) having different designs which could be suitable for applications requiring a thin virtual substrate. The rationale is to reduce the lattice mismatch at the interface with the Si substrate by introducing composition steps and/or partial grading. The relatively low growth temperature (475 °C) makes this approach appealing for complementary metal-oxide-semiconductor integration. For all the investigated designs, a reduction of the threading dislocation density compared to constant composition Si1-xGex layers was observed. The best buffer in terms of defects reduction was used as a virtual substrate for the deposition of a Ge/SiGe multiple quantum well structure. Room temperature optical absorption and photoluminescence analysis performed on nominally identical quantum wells grown on both a thick graded virtual substrate and the selected thin buffer demonstrates a comparable optical quality, confirming the effectiveness of the proposed approach.

  18. Ge auto-doping and out-diffusion in InGaP grown on Ge substrate and their effects on the ordering of InGaP

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wu, Hong-Ming; Ho, Hao-I; Tsai, Shi-Jane

    2016-03-21

    We report on the Ge auto-doping and out-diffusion in InGaP epilayer with Cu-Pt ordering grown on 4-in. Ge substrate. Ge profiles determined from secondary ion mass spectrometry indicate that the Ge out-diffusion depth is within 100 nm. However, the edge of the wafer suffers from stronger Ge gas-phase auto-doping than the center, leading to ordering deterioration in the InGaP epilayer. In the edge, we observed a residual Cu-Pt ordering layer left beneath the surface, suggesting that the ordering deterioration takes place after the deposition rather than during the deposition and In/Ga inter-diffusion enhanced by Ge vapor-phase auto-doping is responsible for themore » deterioration. We thus propose a di-vacancy diffusion model, in which the amphoteric Ge increases the di-vacancy density, resulting in a Ge density dependent diffusion. In the model, the In/Ga inter-diffusion and Ge out-diffusion are realized by the random hopping of In/Ga host atoms and Ge atoms to di-vacancies, respectively. Simulation based on this model well fits the Ge out-diffusion profiles, suggesting its validity. By comparing the Ge diffusion coefficient obtained from the fitting and the characteristic time constant of ordering deterioration estimated from the residual ordering layer, we found that the hopping rates of Ge and the host atoms are in the same order of magnitude, indicating that di-vacancies are bound in the vicinity of Ge atoms.« less

  19. Formation mechanisms of nano and microcones by laser radiation on surfaces of Si, Ge, and SiGe crystals

    PubMed Central

    2013-01-01

    In this work we study the mechanisms of laser radiation interaction with elementary semiconductors such as Si and Ge and their solid solution SiGe. As a result of this investigation, the mechanisms of nanocones and microcones formation on a surface of semiconductor were proposed. We have shown the possibility to control the size and the shape of cones both by the laser. The main reason for the formation of nanocones is the mechanical compressive stresses due to the atoms’ redistribution caused by the gradient of temperature induced by strongly absorbed laser radiation. According to our investigation, the nanocone formation mechanism in semiconductors is characterized by two stages. The first stage is characterized by formation of a p-n junction for elementary semiconductors or of a Ge/Si heterojunction for SiGe solid solution. The generation and redistribution of intrinsic point defects in elementary semiconductors and Ge atoms concentration on the irradiated surface of SiGe solid solution in temperature gradient field take place at this stage due to the thermogradient effect which is caused by strongly absorbed laser radiation. The second stage is characterized by formation of nanocones due to mechanical plastic deformation of the compressed Ge layer on Si. Moreover, a new 1D-graded band gap structure in elementary semiconductors due to quantum confinement effect was formed. For the formation of microcones Ni/Si structure was used. The mechanism of the formation of microcones is characterized by two stages as well. The first stage is the melting of Ni film after irradiation by laser beam and formation of Ni islands due to surface tension force. The second step is the melting of Ni and subsequent manifestations of Marangoni effect with the growth of microcones. PMID:23735193

  20. Production of three-dimensional quantum dot lattice of Ge/Si core-shell quantum dots and Si/Ge layers in an alumina glass matrix.

    PubMed

    Buljan, M; Radić, N; Sancho-Paramon, J; Janicki, V; Grenzer, J; Bogdanović-Radović, I; Siketić, Z; Ivanda, M; Utrobičić, A; Hübner, R; Weidauer, R; Valeš, V; Endres, J; Car, T; Jerčinović, M; Roško, J; Bernstorff, S; Holy, V

    2015-02-13

    We report on the formation of Ge/Si quantum dots with core/shell structure that are arranged in a three-dimensional body centered tetragonal quantum dot lattice in an amorphous alumina matrix. The material is prepared by magnetron sputtering deposition of Al2O3/Ge/Si multilayer. The inversion of Ge and Si in the deposition sequence results in the formation of thin Si/Ge layers instead of the dots. Both materials show an atomically sharp interface between the Ge and Si parts of the dots and layers. They have an amorphous internal structure that can be crystallized by an annealing treatment. The light absorption properties of these complex materials are significantly different compared to films that form quantum dot lattices of the pure Ge, Si or a solid solution of GeSi. They show a strong narrow absorption peak that characterizes a type II confinement in accordance with theoretical predictions. The prepared materials are promising for application in quantum dot solar cells.

  1. GaAs Photovoltaics on Polycrystalline Ge Substrates

    NASA Technical Reports Server (NTRS)

    Wilt, David M.; Pal, AnnaMaria T.; McNatt, Jeremiah S.; Wolford, David S.; Landis, Geoffrey A.; Smith, Mark A.; Scheiman, David; Jenkins, Phillip P.; McElroy Bruce

    2007-01-01

    High efficiency III-V multijunction solar cells deposited on metal foil or even polymer substrates can provide tremendous advantages in mass and stowage, particularly for planetary missions. As a first step towards that goal, poly-crystalline p/i/n GaAs solar cells are under development on polycrystalline Ge substrates. Organo Metallic Vapor Phase Epitaxy (OMVPE) parameters for pre-growth bake, nucleation and deposition have been examined. Single junction p/i/n GaAs photovoltaic devices, incorporating InGaP front and back window layers, have been grown and processed. Device performance has shown a dependence upon the thickness of a GaAs buffer layer deposited between the Ge substrate and the active device structure. A thick (2 m) GaAs buffer provides for both increased average device performance as well as reduced sensitivity to variations in grain size and orientation. Illumination under IR light (lambda > 1 micron), the cells showed a Voc, demonstrating the presence of an unintended photoactive junction at the GaAs/Ge interface. The presence of this junction limited the efficiency to approx.13% (estimated with an anti-refection coating) due to the current mismatch and lack of tunnel junction interconnect.

  2. Unzipping and movement of Lomer-type edge dislocations in Ge/GeSi/Si(0 0 1) heterostructures

    NASA Astrophysics Data System (ADS)

    Bolkhovityanov, Yu. B.; Deryabin, A. S.; Gutakovskii, A. K.; Sokolov, L. V.

    2018-02-01

    Edge dislocations in face-centered crystals are formed from two mixed dislocations gliding along intersecting {1 -1 1} planes, forming the so-called Lomer locks. This process, which is called zipping, is energetically beneficial. It is experimentally demonstrated in this paper that a reverse process may occur in Ge/GeSi strained buffer/Si(0 0 1) heterostructures under certain conditions, namely, decoupling of two 60° dislocations that formed the Lomer-type dislocation, i.e., unzipping. It is assumed that the driving force responsible for separation of Lomer dislocations into two 60° dislocations is the strain remaining in the GeSi buffer layer.

  3. The reduction of critical H implantation dose for ion cut by incorporating B-doped SiGe/Si superlattice into Si substrate

    NASA Astrophysics Data System (ADS)

    Xue, Zhongying; Chen, Da; Jia, Pengfei; Wei, Xing; Di, Zengfeng; Zhang, Miao

    2016-11-01

    An approach to achieve Si or SiGe film exfoliation with as low as 3 × 1016/cm2 H implantation dose was investigated. Two intrinsic Si0.75Ge0.25/Si samples, merged with B-doped Si0.75Ge0.25 layer and B-doped Si0.75Ge0.25/Si superlattice (SL) layer respectively, were used to study the formation of crack after 3 × 1016/cm2 H implantation and annealing. For the sample into which B doped Si0.75Ge0.25 layer is incorporated, only few discrete cracks are observed along both sides of the B doped Si0.75Ge0.25 layer; on the contrary, a continuous (100) oriented crack is formed in the B-doped Si0.75Ge0.25/Si SL layer, which means ion cut can be achieved using this material with 3 × 1016/cm2 H implantation. As the SIMS profiles confirm that hydrogen tends to be trapped at B-doped SiGe/Si interface, the formation of continuous crack in SL layer can be ascribed to the more efficient hydrogen trapping by the multiple B-doped SiGe/Si interfaces.

  4. Strained multilayer structures with pseudomorphic GeSiSn layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Timofeev, V. A., E-mail: Vyacheslav.t@isp.nsc.ru; Nikiforov, A. I.; Tuktamyshev, A. R.

    2016-12-15

    The temperature and composition dependences of the critical thickness of the 2D–3D transition for a GeSiSn film on Si(100) have been studied. The regularities of the formation of multilayer structures with pseudomorphic GeSiSn layers directly on a Si substrate, without relaxed buffer layers, were investigated for the first time. The possibility of forming multilayer structures based on pseudomorphic GeSiSn layers has been shown and the lattice parameters have been determined using transmission electron microscopy. The grown structures demonstrate photoluminescence for Sn contents from 3.5 to 5% in GeSiSn layers.

  5. Enhanced direct-gap light emission from Si-capped n+-Ge epitaxial layers on Si after post-growth rapid cyclic annealing: impact of non-radiative interface recombination toward Ge/Si double heterostructure lasers.

    PubMed

    Higashitarumizu, Naoki; Ishikawa, Yasuhiko

    2017-09-04

    Enhanced direct-gap light emission is reported for Si-capped n + -Ge layers on Si after post-growth rapid cyclic annealing (RCA), and impact of non-radiative recombination (NRR) at the Ge/Si interface is discussed toward Ge/Si double heterostructure (DH) lasers. P-doped n + -Ge layer (1 × 10 19 cm -3 , 400 nm) is grown on Si by ultra-high vacuum chemical vapor deposition, followed by a growth of Si capping layer (5 nm) to form a Si/Ge/Si DH structure. Post-growth RCA to eliminate defects in Ge is performed in N 2 at temperatures between 900°C and 780°C, where the annealing time is minimized to be 5 s in each RCA cycle to prevent an out-diffusion of P dopants from the Ge surface. Direct-gap photoluminescence (PL) intensity at 1.6 µm increases with the RCA cycles up to 40, although the threading dislocation density in Ge is not reduced after 3 cycles in the present condition. The PL enhancement is ascribed to the suppression of NRR at the Ge/Si interface, where an intermixed SiGe alloy is formed. For Ge/Si DH lasers, NRR at the Ge/Si interface is found to have a significant impact on the threshold current density Jth. In order to achieve Jth on the order of 1 kA/cm 2 , similar to III-V lasers, the interface recombination velocity S is required below 10 3 cm/s in spite of S as large as 10 5 cm/s at the ordinary defect-rich Ge/Si interface.

  6. Bending and buckling of rolled-up SiGe /Si microtubes using nanorobotic manipulation

    NASA Astrophysics Data System (ADS)

    Zhang, Li; Dong, Lixin; Nelson, Bradley J.

    2008-06-01

    Mechanical properties of individual rolled-up SiGe /Si microtubes are investigated experimentally using nanorobotic manipulation. By applying bending loads, individual SiGe /Si microtubes demonstrate various deformation modes with increasing bending angle. Remarkably, the tested microtubes resist fracture even when bent back onto themselves (180° bending angle). Axial compression tests of microtubes with different turns are also performed. Among those tubes, 1.6-turn rolled-up SiGe /Si microtubes show typical Euler buckling behavior when the load is larger than a critical load, which can be estimated by the Euler formula for columns.

  7. Progress toward the development of dual junction GaAs/Ge solar cells

    NASA Technical Reports Server (NTRS)

    Lillington, D. R.; Krut, D. D.; Cavicchi, B. T.; Ralph, E.; Chung, M.

    1991-01-01

    Large area GaAs/Ge cells offer substantial promise for increasing the power output from existing silicon solar array designs and for providing an enabled technology for missions hitherto impossible using silicon. Single junction GaAs/Ge cells offer substantial advantages in both size, weight, and cost compared to GaAs cells but the efficiency is limited to approximately 19.2 to 20 percent AMO. The thermal absorptance of GaAs/Ge cells is also worse than GaAs/GaAs cells (0.88 vs 0.81 typ.) due to the absorption in the Ge substrate. On the other hand dual junction GaAs/Ge cells offer efficiencies up to ultimately 24 percent AMO in sizes up to 8 x 8 cm but there are still technological issues remaining to achieve current matching in the GaAs and Ge cells. This can be achieved through tuned antireflection (AR) coatings, improved quality of the GaAs growth, improved quality Ge wafers and the use of a Back Surface Field (BSF)/Back Surface Reflector (BSR) in the Ge cell. Although the temperature coefficients of efficiency and voltage are higher for dual junction GaAs/Ge cells, it has been shown elsewhere that for typical 28 C cell efficiencies of 22 percent (dual junction) vs 18.5 percent (single junction) there is a positive power tradeoff up to temperatures as high as 120 C. Due to the potential ease of fabrication of GaAs/Ge dual junction cells there is likely to be only a small cost differential compared to single junction cells.

  8. Interfacial Structure and Chemistry of GaN on Ge(111)

    NASA Astrophysics Data System (ADS)

    Zhang, Siyuan; Zhang, Yucheng; Cui, Ying; Freysoldt, Christoph; Neugebauer, Jörg; Lieten, Ruben R.; Barnard, Jonathan S.; Humphreys, Colin J.

    2013-12-01

    The interface of GaN grown on Ge(111) by plasma-assisted molecular beam epitaxy is resolved by aberration corrected scanning transmission electron microscopy. A novel interfacial structure with a 5∶4 closely spaced atomic bilayer is observed that explains why the interface is flat, crystalline, and free of GeNx. Density functional theory based total energy calculations show that the interface bilayer contains Ge and Ga atoms, with no N atoms. The 5∶4 bilayer at the interface has a lower energy than a direct stacking of GaN on Ge(111) and enables the 5∶4 lattice-matching growth of GaN.

  9. Coherently Strained Si-SixGe1-x Core-Shell Nanowire Heterostructures.

    PubMed

    Dillen, David C; Wen, Feng; Kim, Kyounghwan; Tutuc, Emanuel

    2016-01-13

    Coherently strained Si-SixGe1-x core-shell nanowire heterostructures are expected to possess a positive shell-to-core conduction band offset, allowing for quantum confinement of electrons in the Si core. We report the growth of epitaxial, coherently strained Si-SixGe1-x core-shell heterostructures through the vapor-liquid-solid mechanism for the Si core, followed in situ by the epitaxial SixGe1-x shell growth using ultrahigh vacuum chemical vapor deposition. The Raman spectra of individual nanowires reveal peaks associated with the Si-Si optical phonon mode in the Si core and the Si-Si, Si-Ge, and Ge-Ge vibrational modes of the SixGe1-x shell. The core Si-Si mode displays a clear red-shift compared to unstrained, bare Si nanowires thanks to the lattice mismatch-induced tensile strain, in agreement with calculated values using a finite-element continuum elasticity model combined with lattice dynamic theory. N-type field-effect transistors using Si-SixGe1-x core-shell nanowires as channel are demonstrated.

  10. Experimental observation of motion of edge dislocations in Ge/Ge{sub x}Si{sub 1–x}/Si(001) (x = 0.2–0.6) heterostructures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bolkhovityanov, Yu. B., E-mail: bolkhov@isp.nsc.ru; Gutakovskii, A. K.; Deryabin, A. S.

    2016-11-15

    The Ge/Ge{sub x}Si{sub 1–x}/Si(001) (x = 0.2–0.6) heterostructures grown by the molecular epitaxy method are analyzed using high-resolution electron microscopy with atomic resolution. The thickness of the Ge{sub x}Si{sub 1–x} buffer layer is 7–35 nm. It is shown that such heterostructures relax in two stages: an ordered network of edge dislocations is formed during their growth (500°C) at the Ge/GeSi interface and then, contrary to the generally accepted opinion concerning their immobility, some of the edge dislocations move through the buffer GeSi layer to the GeSi/Si(001) interface during annealing at higher temperatures and x > 0.3. It is found thatmore » plastic relaxation of the GeSi buffer layer occurs due to motion of dislocation complexes of the edge type, consisting of a pair of complementary 60° dislocations with the ends of (111) extra planes located approximately at a distance from 2 to 12 interplanar spacings. It is shown that the penetration of dislocation complexes into the GeSi buffer layer and further to the GeSi/Si interface is intensified with increasing annealing temperature (600–800°C) and the fraction of Ge in the buffer layer.« less

  11. Phase separation in SiGe nanocrystals embedded in SiO{sub 2} matrix during high temperature annealing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mogaddam, N. A. P.; Turan, R.; Alagoz, A. S.

    2008-12-15

    SiGe nanocrystals have been formed in SiO{sub 2} matrix by cosputtering Si, Ge, and SiO{sub 2} independently on Si substrate. Effects of the annealing time and temperature on structural and compositional properties are studied by transmission electron microscopy, x-ray diffraction (XRD), and Raman spectroscopy measurements. It is observed that Ge-rich Si{sub (1-x)}Ge{sub x} nanocrystals do not hold their compositional uniformity when annealed at high temperatures for enough long time. A segregation process leading to separation of Ge and Si atoms from each other takes place. This process has been evidenced by a double peak formation in the XRD and Ramanmore » spectra. We attributed this phase separation to the differences in atomic size, surface energy, and surface diffusion disparity between Si and Ge atoms leading to the formation of nonhomogenous structure consist of a Si-rich SiGe core covered by a Ge-rich SiGe shell. This experimental observation is consistent with the result of reported theoretical and simulation methods.« less

  12. Growth dynamics of SiGe nanowires by the vapour-liquid-solid method and its impact on SiGe/Si axial heterojunction abruptness.

    PubMed

    Pura, J L; Periwal, P; Baron, T; Jiménez, J

    2018-08-31

    The vapour-liquid-solid (VLS) method is by far the most extended procedure for bottom-up nanowire growth. This method also allows for the manufacture of nanowire axial heterojunctions in a straightforward way. To do this, during the growth process, precursor gases are switched on/off to obtain the desired change in the nanowire composition. Using this technique, axially heterostructured nanowires can be grown, which are crucial for the fabrication of electronic and optoelectronic devices. SiGe/Si nanowires are compatible with complementary metal oxide semiconductor (CMOS) technology, which improves their versatility and the possibility of integration with current electronic technologies. Abrupt heterointerfaces are fundamental for the development and correct operation of electronic and optoelectronic devices. Unfortunately, the VLS growth of SiGe/Si heterojunctions does not provide abrupt transitions because of the high solubility of group IV semiconductors in Au, with the corresponding reservoir effect that precludes the growth of sharp interfaces. In this work, we studied the growth dynamics of SiGe/Si heterojunctions based on already developed models for VLS growth. A composition map of the Si-Ge-Au liquid alloy is proposed to better understand the impact of the growing conditions on the nanowire growth process and the heterojunction formation. The solution of our model provides heterojunction profiles that are in good agreement with the experimental measurements. Finally, an in-depth study of the composition map provides a practical approach to the drastic reduction of heterojunction abruptness by reducing the Si and Ge concentrations in the catalyst droplet. This converges with previous approaches, which use catalysts aiming to reduce the solubility of the atomic species. This analysis opens new paths to the reduction of heterojunction abruptness using Au catalysts, but the model can be naturally extended to other catalysts and semiconductors.

  13. Intrinsic defect processes and elastic properties of Ti3AC2 (A = Al, Si, Ga, Ge, In, Sn) MAX phases

    NASA Astrophysics Data System (ADS)

    Christopoulos, S.-R. G.; Filippatos, P. P.; Hadi, M. A.; Kelaidis, N.; Fitzpatrick, M. E.; Chroneos, A.

    2018-01-01

    Mn+1AXn phases (M = early transition metal; A = group 13-16 element and X = C or N) have a combination of advantageous metallic and ceramic properties, and are being considered for structural applications particularly where high thermal conductivity and operating temperature are the primary drivers: for example in nuclear fuel cladding. Here, we employ density functional theory calculations to investigate the intrinsic defect processes and mechanical behaviour of a range of Ti3AC2 phases (A = Al, Si, Ga, Ge, In, Sn). Based on the intrinsic defect reaction, it is calculated that Ti3SnC2 is the more radiation-tolerant 312 MAX phase considered herein. In this material, the C Frenkel reaction is the lowest energy intrinsic defect mechanism with 5.50 eV. When considering the elastic properties of the aforementioned MAX phases, Ti3SiC2 is the hardest and Ti3SnC2 is the softest. All the MAX phases considered here are non-central force solids and brittle in nature. Ti3SiC2 is elastically more anisotropic and Ti3AlC2 is nearly isotropic.

  14. Effect of mixed Ge/Si cross-linking on the physical properties of amorphous Ge-Si-Te networks

    NASA Astrophysics Data System (ADS)

    Gunasekera, K.; Boolchand, P.; Micoulaut, M.

    2014-04-01

    Amorphous GexSixTe1-2x glasses are studied as a function of composition by a combination of experimental and theoretical methods, allowing for a full description of the network structure in relationship with physico-chemical properties. Calorimetric and thermal measurements reveal that such glasses display an anomalous behavior across a range of compositions xc1=7.5% and Ge, Si) are increased. The structural manifestation of these anomalies is understood from 119Sn Mössbauer spectroscopy and First Principles Molecular Dynamics at selected compositions (Ge20Te80, Si20Te80, and Ge10Si10Te80). The numerical models reveal the quite different roles played by the modifier or network cross-linker Ge or Si atoms, Si being more tetrahedral in sp3 geometry, whereas Mössbauer spectroscopy shows that the nature of chemical bonding is dramatically changed around x ≃ 8%. The precise evolution of the local structure and chemical bonding ultimately allows understanding the origin of the intermediate phase in these complex tellurides.

  15. Evaporation-based Ge/.sup.68 Ga Separation

    DOEpatents

    Mirzadeh, Saed; Whipple, Richard E.; Grant, Patrick M.; O'Brien, Jr., Harold A.

    1981-01-01

    Micro concentrations of .sup.68 Ga in secular equilibrium with .sup.68 Ge in strong aqueous HCl solution may readily be separated in ionic form from the .sup.68 Ge for biomedical use by evaporating the solution to dryness and then leaching the .sup.68 Ga from the container walls with dilute aqueous solutions of HCl or NaCl. The chloro-germanide produced during the evaporation may be quantitatively recovered to be used again as a source of .sup.68 Ga. If the solution is distilled to remove any oxidizing agents which may be present as impurities, the separation factor may easily exceed 10.sup.5. The separation is easily completed and the .sup.68 Ga made available in ionic form in 30 minutes or less.

  16. Photo-induced intersubband absorption in {Si}/{SiGe} quantum wells

    NASA Astrophysics Data System (ADS)

    Boucaud, P.; Gao, L.; Visocekas, F.; Moussa, Z.; Lourtioz, J.-M.; Julien, F. H.; Sagnes, I.; Campidelli, Y.; Badoz, P.-A.; Vagos, P.

    1995-12-01

    We have investigated photo-induced intersubband absorption in the valence band of {Si}/{SiGe} quantum wells. Carriers are optically generated in the quantum wells using an argon ion laser. The resulting infrared absorption is probed with a step-scan Fourier transform infrared spectrometer. The photo-induced infrared absorption in SiGe quantum wells is dominated by two contributions: the free carrier absorption, which is similar to bulk absorption in a uniformly doped SiGe layer, and the valence subband absorption in the quantum wells. Both p- and s-polarized intersubband absorptions are measured. We have observed that the photo-induced intersubband absorption in doped samples is shifted to lower energy as compared to direct intersubband absorption. This absorption process is attributed to carriers away from the Brillouin zone center. We show that the photo-induced technique is appropriate to study valence band mixing effects and their influence on intersubband absorption.

  17. High efficiency epitaxial GaAs/GaAs and GaAs/Ge solar cell technology using OM/CVD

    NASA Technical Reports Server (NTRS)

    Wang, K. L.; Yeh, Y. C. M.; Stirn, R. J.; Swerdling, S.

    1980-01-01

    A technology for fabricating high efficiency, thin film GaAs solar cells on substrates appropriate for space and/or terrestrial applications was developed. The approach adopted utilizes organometallic chemical vapor deposition (OM-CVD) to form a GaAs layer epitaxially on a suitably prepared Ge epi-interlayer deposited on a substrate, especially a light weight silicon substrate which can lead to a 300 watt per kilogram array technology for space. The proposed cell structure is described. The GaAs epilayer growth on single crystal GaAs and Ge wafer substrates were investigated.

  18. Water-vapor-enhanced growth of Ge GeOx core shell nanowires and Si1-xGexOy nanowires

    NASA Astrophysics Data System (ADS)

    Hsu, Ting-Jui; Ko, Chih-Yuan; Lin, Wen-Tai

    2007-09-01

    The effects of moist Ar on the growth of Ge-GeOx core-shell nanowires (Ge-GeOx NWs) and Si1-xGexOy nanowires (SiGeONWs) on Si substrates without adding a metal catalyst via the carbothermal reduction of GeO2 powders at 1100 °C were studied. No significant nanowires were grown in dry Ar at a flow rate of 100-300 sccm until a bit of water in the range of 0.5-2 ml was loaded into the furnace. More water suppressed the growth of nanowires because of the exhaustion of more graphite powder. The growth of Ge-GeOx NWs and SiGeONWs follows the vapor-solid and vapor-liquid-solid processes, respectively. The present study showed that the water vapor serves as an oxidizer as well as a reducer at 1100 °C in enhancing the growth of SiGeONWs and Ge-GeOx NWs, respectively. The growth mechanisms of Ge-GeOx NWs and SiGeONWs are also discussed.

  19. Block copolymer-templated chemistry on Si, Ge, InP, and GaAs surfaces.

    PubMed

    Aizawa, Masato; Buriak, Jillian M

    2005-06-29

    Patterning of semiconductor surfaces is an area of intense interest, not only for technological applications, such as molecular electronics, sensing, cellular recognition, and others, but also for fundamental understanding of surface reactivity, general control over surface properties, and development of new surface reactivity. In this communication, we describe the use of self-assembling block copolymers to direct semiconductor surface chemistry in a spatially defined manner, on the nanoscale. The proof-of-principle class of reactions evaluated here is galvanic displacement, in which a metal ion, M+, is reduced to M0 by the semiconductor, including Si, Ge, InP, and GaAs. The block copolymer chosen has a polypyridine block which binds to the metal ions and brings them into close proximity with the surface, at which point they undergo reaction; the pattern of resulting surface chemistry, therefore, mirrors the nanoscale structure of the parent block copolymer. This chemistry has the added advantage of forming metal nanostructures that result in an alloy or intermetallic at the interface, leading to strongly bound metal nanoparticles that may have interesting electronic properties. This approach has been shown to be very general, functioning on a variety of semiconductor substrates for both silver and gold deposition, and is being extended to organic and inorganic reactions on a variety of conducting, semiconducting, and insulating substrates.

  20. Growth of strained Si/relaxed SiGe heterostructures on Si(110) substrates using solid-source molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Arimoto, Keisuke; Nakazawa, Hiroki; Mitsui, Shohei; Utsuyama, Naoto; Yamanaka, Junji; Hara, Kosuke O.; Usami, Noritaka; Nakagawa, Kiyokazu

    2017-11-01

    A strained Si/relaxed SiGe heterostructure grown on Si(110) substrate is attractive as a platform for high-hole-mobility Si-based electronic devices. To improve the electrical property, a smoother surface is desirable. In this study, we investigated surface morphology and microstructural aspects of strained Si/relaxed SiGe/Si(110) heterostructures grown by solid-source (SS) molecular beam epitaxy (MBE). It was revealed that SSMBE provides a way to grow strained Si/relaxed SiGe heterostructures with smooth surfaces. In addition, it was found that the strain in the SiGe layer of the SSMBE-grown sample is highly anisotropic whereas that of the GSMBE-grown sample is almost biaxially relaxed. Along with the surface morphology, the symmetry in degree of strain relaxation has implications for the electrical property. Results of a calculation shows that anisotropic strain is preferable for device application since it confines holes solely in the strained Si layer where hole mobility is enhanced.

  1. Phonons in self-assembled Ge/Si structures

    NASA Astrophysics Data System (ADS)

    Milekhin, A. G.; Nikiforov, A. I.; Pchelyakov, O. P.; Schulze, S.; Zahn, D. R. T.

    2002-03-01

    We present the results of an investigation dealing with fundamental vibrations in periodical Ge/Si structures with small-size Ge quantum dots (QDs) performed using macro- and micro-Raman spectroscopy under resonant and off-resonant conditions. Samples with different number of repetition of Ge and Si layers contain Ge QDs with an average dot base size of 15 nm and a QD height of 2 nm. Periodic oscillations observed in the low-frequency region of the Raman spectra are assigned to folded LA phonons in the Ge QD superlattices. The measured phonon frequencies are in a good agreement with those calculated using the Rytov model. These oscillations are superimposed with a broad continuous emission originating from the whole acoustic dispersion branch due to a breaking up of translational invariance. The Raman spectra of the structure with single Ge QD layer reveal a series of peaks corresponding to LA phonons localized in the Si layer. Using the measured phonon frequencies and corresponding wave vectors the dispersion of the LA phonons in the Si is obtained. The longitudinal-acoustic wave velocity determined from the dispersion is 8365 ms-1 and in excellent agreement with that derived from the Brillouin study. In the optical phonon range, the LO and TO phonons localized in Ge QDs are observed. The position of the LO Ge phonons shifts downwards with increasing excitation energy (from 2.5 to 2.7 eV) indicating the presence of a QD size distribution in Ge dot superlattices. Raman scattering from Ge QDs is size-selectively enhanced by the resonance of the exciting laser energy and the confined excitonic states.

  2. Remote plasma enhanced chemical deposition of non-crystalline GeO2 on Ge and Si substrates.

    PubMed

    Lucovsky, Gerald; Zeller, Daniel

    2011-09-01

    Non-crystalline GeO2 films remote were plasma deposited at 300 degrees C onto Ge substrates after a final rinse in NH4OH. The reactant precursors gas were: (i) down-stream injected 2% GeH4 in He as the Ge precursor, and (ii) up-stream, plasma excited O2-He mixtures as the O precursor. Films annealed at 400 degrees C displayed no evidence for loss of O resulting in Ge sub-oxide formation, and for a 5-6 eV mid-gap absorption associated with formation of GeOx suboxide bonding, x < 2. These films were stable in normal laboratory ambients with no evidence for reaction with atmospheric water. Films deposited on Ge and annealed at 600 degrees C and 700 degrees C display spectra indicative of loss of O-atoms, accompanied with a 5.5 eV absorption. X-ray absorption spectroscopy and many-electron theory are combined to describe symmetries and degeneracies for O-vacancy bonding defects. These include comparisons with remote plasma-deposited non-crystalline SiO2 on Si substrates with SiON interfacial layers. Three different properties of remote plasma GeO2 films are addressed comparisons between (i) conduction band and band edge states of GeO2 and SiO2, and (ii) electronic structure of O-atom vacancy defects in GeO2 and SiO2, and differences between (iii) annealing of GeO2 films on Ge substrates, and Si substrates passivated with SiON interfacial transition regions important for device applications.

  3. Hydrogen interaction kinetics of Ge dangling bonds at the Si0.25Ge0.75/SiO2 interface

    NASA Astrophysics Data System (ADS)

    Stesmans, A.; Nguyen Hoang, T.; Afanas'ev, V. V.

    2014-07-01

    The hydrogen interaction kinetics of the GePb1 defect, previously identified by electron spin resonance (ESR) as an interfacial Ge dangling bond (DB) defect occurring in densities ˜7 × 1012 cm-2 at the SiGe/SiO2 interfaces of condensation grown (100)Si/a-SiO2/Ge0.75Si0.25/a-SiO2 structures, has been studied as function of temperature. This has been carried out, both in the isothermal and isochronal mode, through defect monitoring by capacitance-voltage measurements in conjunction with ESR probing, where it has previously been demonstrated the defects to operate as negative charge traps. The work entails a full interaction cycle study, comprised of analysis of both defect passivation (pictured as GePb1-H formation) in molecular hydrogen (˜1 atm) and reactivation (GePb1-H dissociation) in vacuum. It is found that both processes can be suitably described separately by the generalized simple thermal (GST) model, embodying a first order interaction kinetics description based on the basic chemical reactions GePb1 + H2 → GePb1H + H and GePb1H → GePb1 + H, which are found to be characterized by the average activation energies Ef = 1.44 ± 0.04 eV and Ed = 2.23 ± 0.04 eV, and attendant, assumedly Gaussian, spreads σEf = 0.20 ± 0.02 eV and σEd = 0.15 ± 0.02 eV, respectively. The substantial spreads refer to enhanced interfacial disorder. Combination of the separately inferred kinetic parameters for passivation and dissociation results in the unified realistic GST description that incorporates the simultaneous competing action of passivation and dissociation, and which is found to excellently account for the full cycle data. For process times ta ˜ 35 min, it is found that even for the optimum treatment temperature ˜380 °C, only ˜60% of the GePb1 system can be electrically silenced, still far remote from device grade level. This ineffectiveness is concluded, for the major part, to be a direct consequence of the excessive spreads in the activation energies, ˜2

  4. Ge-Photodetectors for Si-Based Optoelectronic Integration

    PubMed Central

    Wang, Jian; Lee, Sungjoo

    2011-01-01

    High speed photodetectors are a key building block, which allow a large wavelength range of detection from 850 nm to telecommunication standards at optical fiber band passes of 1.3–1.55 μm. Such devices are key components in several applications such as local area networks, board to board, chip to chip and intrachip interconnects. Recent technological achievements in growth of high quality SiGe/Ge films on Si wafers have opened up the possibility of low cost Ge-based photodetectors for near infrared communication bands and high resolution spectral imaging with high quantum efficiencies. In this review article, the recent progress in the development and integration of Ge-photodetectors on Si-based photonics will be comprehensively reviewed, along with remaining technological issues to be overcome and future research trends. PMID:22346598

  5. Shell morphology and Raman spectra of epitaxial Ge-SixGe1-x and Si-SixGe1-x core-shell nanowires

    NASA Astrophysics Data System (ADS)

    Wen, Feng; Dillen, David C.; Kim, Kyounghwan; Tutuc, Emanuel

    2017-06-01

    We investigate the shell morphology and Raman spectra of epitaxial Ge-SixGe1-x and Si-SixGe1-x core-shell nanowire heterostructures grown using a combination of a vapor-liquid-solid (VLS) growth mechanism for the core, followed by in-situ epitaxial shell growth using ultra-high vacuum chemical vapor deposition. Cross-sectional transmission electron microscopy reveals that the VLS growth yields cylindrical Ge, and Si nanowire cores grown along the ⟨111⟩, and ⟨110⟩ or ⟨112⟩ directions, respectively. A hexagonal cross-sectional morphology is observed for Ge-SixGe1-x core-shell nanowires terminated by six {112} facets. Two distinct morphologies are observed for Si-SixGe1-x core-shell nanowires that are either terminated by four {111} and two {100} planes associated with the ⟨110⟩ growth direction or four {113} and two {111} planes associated with the ⟨112⟩ growth direction. We show that the Raman spectra of Si- SixGe1-x are correlated with the shell morphology thanks to epitaxial growth-induced strain, with the core Si-Si mode showing a larger red shift in ⟨112⟩ core-shell nanowires compared to their ⟨110⟩ counterparts. We compare the Si-Si Raman mode value with calculations based on a continuum elasticity model coupled with the lattice dynamic theory.

  6. Introduction of Si/SiO{sub 2} interface states by annealing Ge-implanted films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Marstein, E.S.; Gunnaes, A.E.; Olsen, A.

    2004-10-15

    Nanocrystals embedded in SiO{sub 2} films are the subject of a number of recent works, mainly because of their potential usefulness in the fabrication of optoelectronic devices and nanocrystal memory structures. One interesting method for the fabrication of such nanocrystals is the ion implantation of segregating species into SiO{sub 2} films followed by heat treatment in order to induce nanocrystal formation. This method is both relatively simple and also compatible with the current MOS (metal-oxide-semiconductor) device technology. An unintentional effect can occur during the fabrication of nanocrystals using this method, namely a significant diffusion of the implanted species during annealing,more » away from the regions with the highest concentration. The Si/SiO{sub 2} interface can be exposed to this diffusion flux. This can result in an altered interface and have a significant influence on electronic devices. Here, we report on ion implantation of Ge into SiO{sub 2} on Si followed by annealing under conditions, resulting in Ge accumulation at the Si/SiO{sub 2} interface as determined by secondary-ion mass spectroscopy analysis, transmission electron microscopy with energy dispersive analysis of x-rays, and Rutherford backscattering spectrometry. The accumulation of Ge at the Si/SiO{sub 2} interface has also been reported before. The resulting effect on the electronic structure of the interface is a priori unknown. We have fabricated MOS capacitors on the sample structures and their capacitance-voltage characteristics were measured and analyzed. We measure an interface state density around 1x10{sup 12} cm{sup -2}, which is high compared to standard Si MOS devices. We discuss the results in terms of the previous electrical measurements on Ge-oxide interfaces and SiGe interfaces, which also can yield a high interface state density. The specific conditions we report result in a sufficiently low Ge concentration that nanocrystals are not segregated in the Si

  7. Raman and photoluminescence spectroscopy of SiGe layer evolution on Si(100) induced by dewetting

    NASA Astrophysics Data System (ADS)

    Shklyaev, A. A.; Volodin, V. A.; Stoffel, M.; Rinnert, H.; Vergnat, M.

    2018-01-01

    High temperature annealing of thick (40-100 nm) Ge layers deposited on Si(100) at ˜400 °C leads to the formation of continuous films prior to their transformation into porous-like films due to dewetting. The evolution of Si-Ge composition, lattice strain, and surface morphology caused by dewetting is analyzed using scanning electron microscopy, Raman, and photoluminescence (PL) spectroscopies. The Raman data reveal that the transformation from the continuous to porous film proceeds through strong Si-Ge interdiffusion, reducing the Ge content from 60% to about 20%, and changing the stress from compressive to tensile. We expect that Ge atoms migrate into the Si substrate occupying interstitial sites and providing thereby the compensation of the lattice mismatch. Annealing generates only one type of radiative recombination centers in SiGe resulting in a PL peak located at about 0.7 and 0.8 eV for continuous and porous film areas, respectively. Since annealing leads to the propagation of threading dislocations through the SiGe/Si interface, we can tentatively associate the observed PL peak to the well-known dislocation-related D1 band.

  8. Interface Engineering for Atomic Layer Deposited Alumina Gate Dielectric on SiGe Substrates.

    PubMed

    Zhang, Liangliang; Guo, Yuzheng; Hassan, Vinayak Vishwanath; Tang, Kechao; Foad, Majeed A; Woicik, Joseph C; Pianetta, Piero; Robertson, John; McIntyre, Paul C

    2016-07-27

    Optimization of the interface between high-k dielectrics and SiGe substrates is a challenging topic due to the complexity arising from the coexistence of Si and Ge interfacial oxides. Defective high-k/SiGe interfaces limit future applications of SiGe as a channel material for electronic devices. In this paper, we identify the surface layer structure of as-received SiGe and Al2O3/SiGe structures based on soft and hard X-ray photoelectron spectroscopy. As-received SiGe substrates have native SiOx/GeOx surface layers, where the GeOx-rich layer is beneath a SiOx-rich surface. Silicon oxide regrows on the SiGe surface during Al2O3 atomic layer deposition, and both SiOx and GeOx regrow during forming gas anneal in the presence of a Pt gate metal. The resulting mixed SiOx-GeOx interface layer causes large interface trap densities (Dit) due to distorted Ge-O bonds across the interface. In contrast, we observe that oxygen-scavenging Al top gates decompose the underlying SiOx/GeOx, in a selective fashion, leaving an ultrathin SiOx interfacial layer that exhibits dramatically reduced Dit.

  9. Ultra-low Thermal Conductivity in Si/Ge Hierarchical Superlattice Nanowire.

    PubMed

    Mu, Xin; Wang, Lili; Yang, Xueming; Zhang, Pu; To, Albert C; Luo, Tengfei

    2015-11-16

    Due to interfacial phonon scattering and nanoscale size effect, silicon/germanium (Si/Ge) superlattice nanowire (SNW) can have very low thermal conductivity, which is very attractive for thermoelectrics. In this paper, we demonstrate using molecular dynamics simulations that the already low thermal conductivity of Si/Ge SNW can be further reduced by introducing hierarchical structure to form Si/Ge hierarchical superlattice nanowire (H-SNW). The structural hierarchy introduces defects to disrupt the periodicity of regular SNW and scatters coherent phonons, which are the key contributors to thermal transport in regular SNW. Our simulation results show that periodically arranged defects in Si/Ge H-SNW lead to a ~38% reduction of the already low thermal conductivity of regular Si/Ge SNW. By randomizing the arrangement of defects and imposing additional surface complexities to enhance phonon scattering, further reduction in thermal conductivity can be achieved. Compared to pure Si nanowire, the thermal conductivity reduction of Si/Ge H-SNW can be as large as ~95%. It is concluded that the hierarchical structuring is an effective way of reducing thermal conductivity significantly in SNW, which can be a promising path for improving the efficiency of Si/Ge-based SNW thermoelectrics.

  10. Ultra-low Thermal Conductivity in Si/Ge Hierarchical Superlattice Nanowire

    PubMed Central

    Mu, Xin; Wang, Lili; Yang, Xueming; Zhang, Pu; To, Albert C.; Luo, Tengfei

    2015-01-01

    Due to interfacial phonon scattering and nanoscale size effect, silicon/germanium (Si/Ge) superlattice nanowire (SNW) can have very low thermal conductivity, which is very attractive for thermoelectrics. In this paper, we demonstrate using molecular dynamics simulations that the already low thermal conductivity of Si/Ge SNW can be further reduced by introducing hierarchical structure to form Si/Ge hierarchical superlattice nanowire (H-SNW). The structural hierarchy introduces defects to disrupt the periodicity of regular SNW and scatters coherent phonons, which are the key contributors to thermal transport in regular SNW. Our simulation results show that periodically arranged defects in Si/Ge H-SNW lead to a ~38% reduction of the already low thermal conductivity of regular Si/Ge SNW. By randomizing the arrangement of defects and imposing additional surface complexities to enhance phonon scattering, further reduction in thermal conductivity can be achieved. Compared to pure Si nanowire, the thermal conductivity reduction of Si/Ge H-SNW can be as large as ~95%. It is concluded that the hierarchical structuring is an effective way of reducing thermal conductivity significantly in SNW, which can be a promising path for improving the efficiency of Si/Ge-based SNW thermoelectrics. PMID:26568511

  11. High Mobility SiGe/Si Transistor Structures on Sapphire Substrates Using Ion Implantation

    NASA Technical Reports Server (NTRS)

    Alterovitz, S. A.; Mueller, C. H.; Croke, E. T.

    2003-01-01

    High mobility n-type SiGe/Si transistor structures have been fabricated on sapphire substrates by ion implanting phosphorus ions into strained 100 Angstrom thick silicon channels for the first time. The strained Si channels were sandwiched between Si(sub 0.7)Ge(sub 0.3) layers, which, in turn, were deposited on Si(sub 0.7)Ge(sub 0.3) virtual substrates and graded SiGe buffer layers. After the molecular beam epitaxy (MBE) film growth process was completed, ion thick silicon channels implantation and post-annealing were used to introduce donors. The phosphorous ions were preferentially located in the Si channel at a peak concentration of approximately 1x10(exp 18)/cu cm. Room temperature electron mobilities exceeding 750 sq cm/V-sec at carrier densities of 1x10(exp 12)/sq cm were measured. Electron concentration appears to be the key factor that determines mobility, with the highest mobility observed for electron densities in the 1 - 2x10(exp 12)/sq cm range.

  12. Response of single junction GaAs/GaAs and GaAs/Ge solar cells to multiple doses of 1 MeV electrons

    NASA Technical Reports Server (NTRS)

    Meier, D. L.; Szedon, J. R.; Bartko, J.; Chung, M. A.

    1989-01-01

    A comparison of the radiation tolerance of MOCVD-grown GaAs cells and GaAs/Ge cells was undertaken using 1 MeV electrons. The GaAs/Ge cells are somewhat more tolerant of 1 MeV electron irradiation and more responsive to annealing than are the GaAs/GaAs cells examined in this study. However, both types of cells suffer a greater degradation in efficiency than has been observed in other recent studies. The reason for this is not certain, but it may be associated with an emitter thickness which appears to be greater than desired. The deep level transient spectroscopy (DLTS) spectra following irradiation are not significantly different for the GaAs/Ge and the GaAs/GaAs cells, with each having just two peaks. The annealing behavior of these peaks is also similar in the two samples examined. It appears that no penalty in radiation tolerance, and perhaps some benefit, is associated with fabricating MOCVD GaAs cells on Ge substrates rather than GaAs substrates.

  13. Threshold switching in SiGeAsTeN chalcogenide glass prepared by As ion implantation into sputtered SiGeTeN film

    NASA Astrophysics Data System (ADS)

    Liu, Guangyu; Wu, Liangcai; Song, Zhitang; Liu, Yan; Li, Tao; Zhang, Sifan; Song, Sannian; Feng, Songlin

    2017-12-01

    A memory cell composed of a selector device and a storage device is the basic unit of phase change memory. The threshold switching effect, main principle of selectors, is a universal phenomenon in chalcogenide glasses. In this work, we put forward a safe and controllable method to prepare a SiGeAsTeN chalcogenide film by implanting As ions into sputtered SiGeTeN films. For the SiGeAsTeN material, the phase structure maintains the amorphous state, even at high temperature, indicating that no phase transition occurs for this chalcogenide-based material. The electrical test results show that the SiGeAsTeN-based devices exhibit good threshold switching characteristics and the switching voltage decreases with the increasing As content. The decrease in valence alternation pairs, reducing trap state density, may be the physical mechanism for lower switch-on voltage, which makes the SiGeAsTeN material more applicable in selector devices through component optimization.

  14. Vertical epitaxial wire-on-wire growth of Ge/Si on Si(100) substrate.

    PubMed

    Shimizu, Tomohiro; Zhang, Zhang; Shingubara, Shoso; Senz, Stephan; Gösele, Ulrich

    2009-04-01

    Vertically aligned epitaxial Ge/Si heterostructure nanowire arrays on Si(100) substrates were prepared by a two-step chemical vapor deposition method in anodic aluminum oxide templates. n-Butylgermane vapor was employed as new safer precursor for Ge nanowire growth instead of germane. First a Si nanowire was grown by the vapor liquid solid growth mechanism using Au as catalyst and silane. The second step was the growth of Ge nanowires on top of the Si nanowires. The method presented will allow preparing epitaxially grown vertical heterostructure nanowires consisting of multiple materials on an arbitrary substrate avoiding undesired lateral growth.

  15. Toward a III-V Multijunction Space Cell Technology on Si

    NASA Technical Reports Server (NTRS)

    Ringel, S. A.; Lueck, M. R.; Andre, C. L.; Fitzgerald, E. A.; Wilt, D. M.; Scheiman, D.

    2007-01-01

    High efficiency compound semiconductor solar cells grown on Si substrates are of growing interest in the photovoltaics community for both terrestrial and space applications. As a potential substrate for III-V compound photovoltaics, Si has many advantages over traditional Ge and GaAs substrates that include higher thermal conductivity, lower weight, lower material costs, and the potential to leverage the extensive manufacturing base of the Si industry. Such a technology that would retain high solar conversion efficiency at reduced weight and cost would result in space solar cells that simultaneously possess high specific power (W/kg) and high power density (W/m2). For terrestrial solar cells this would result in high efficiency III-V concentrators with improved thermal conductivity, reduced cost, and via the use of SiGe graded interlayers as active component layers the possibility of integrating low bandgap sub-cells that could provide for extremely high conversion efficiency.1 In addition to photovoltaics, there has been an historical interest in III-V/Si integration to provide optical interconnects in Si electronics, which has become of even greater relevance recently due to impending bottlenecks in CMOS based circuitry. As a result, numerous strategies to integrate GaAs with Si have been explored with the primary issue being the approx.4% lattice mismatch between GaAs and Si. Among these efforts, relaxed, compositionally-graded SiGe buffer layers where the substrate lattice constant is effectively tuned from Si to that of Ge so that a close lattice match to subsequent GaAs overlayers have shown great promise. With this approach, threading dislocation densities (TDDs) of approx.1 x 10(exp 6)/sq cm have been uniformly achieved in relaxed Ge layers on Si,5 leading to GaAs on Si with minority carrier lifetimes greater than 10 ns,6 GaAs single junction solar cells on Si with efficiencies greater than 18%,7 InGaAs CW laser diodes on Si,8 and room temperature GaInP red

  16. Secondary growth mechanism of SiGe islands deposited on a mixed-phase microcrystalline Si by ion beam co-sputtering.

    PubMed

    Ke, S Y; Yang, J; Qiu, F; Wang, Z Q; Wang, C; Yang, Y

    2015-11-06

    We discuss the SiGe island co-sputtering deposition on a microcrystalline silicon (μc-Si) buffer layer and the secondary island growth based on this pre-SiGe island layer. The growth phenomenon of SiGe islands on crystalline silicon (c-Si) is also investigated for comparison. The pre-SiGe layer grown on μc-Si exhibits a mixed-phase structure, including SiGe islands and amorphous SiGe (a-SiGe) alloy, while the layer deposited on c-Si shows a single-phase island structure. The preferential growth and Ostwald ripening growth are shown to be the secondary growth mechanism of SiGe islands on μc-Si and c-Si, respectively. This difference may result from the effect of amorphous phase Si (AP-Si) in μc-Si on the island growth. In addition, the Si-Ge intermixing behavior of the secondary-grown islands on μc-Si is interpreted by constructing the model of lateral atomic migration, while this behavior on c-Si is ascribed to traditional uphill atomic diffusion. It is found that the aspect ratios of the preferential-grown super islands are higher than those of the Ostwald-ripening ones. The lower lateral growth rate of super islands due to the lower surface energy of AP-Si on the μc-Si buffer layer for the non-wetting of Ge at 700 °C and the stronger Si-Ge intermixing effect at 730 °C may be responsible for this aspect ratio difference.

  17. High-speed Si/GeSi hetero-structure Electro Absorption Modulator.

    PubMed

    Mastronardi, L; Banakar, M; Khokhar, A Z; Hattasan, N; Rutirawut, T; Bucio, T Domínguez; Grabska, K M; Littlejohns, C; Bazin, A; Mashanovich, G; Gardes, F Y

    2018-03-19

    The ever-increasing demand for integrated, low power interconnect systems is pushing the bandwidth density of CMOS photonic devices. Taking advantage of the strong Franz-Keldysh effect in the C and L communication bands, electro-absorption modulators in Ge and GeSi are setting a new standard in terms of device footprint and power consumption for next generation photonics interconnect arrays. In this paper, we present a compact, low power electro-absorption modulator (EAM) Si/GeSi hetero-structure based on an 800 nm SOI overlayer with a modulation bandwidth of 56 GHz. The device design and fabrication tolerant process are presented, followed by the measurement analysis. Eye diagram measurements show a dynamic ER of 5.2 dB at a data rate of 56 Gb/s at 1566 nm, and calculated modulator power is 44 fJ/bit.

  18. Low-temperature reduction of Ge oxide by Si and SiH4 in low-pressure H2 and Ar environment

    NASA Astrophysics Data System (ADS)

    Minami, Kaichiro; Moriya, Atsushi; Yuasa, Kazuhiro; Maeda, Kiyohiko; Yamada, Masayuki; Kunii, Yasuo; Niwano, Michio; Murota, Junichi

    2015-08-01

    Introduction of Ge into ULSIs has become increasingly attractive because of the higher carrier mobility of Ge. Since Ge native oxide is formed easily in cleanroom air, the control of formation and reduction of the Ge oxide is requested for the introduction of Ge layers into Si process. Here, the reactions between gas phase Ge oxide and Si substrate and between the Ge oxide on Ge epitaxial layer and SiH4 are investigated. The native-oxidized Ge amount is obtained by calculating from chemically shifted peak intensity of Ge 3d measured by X-ray photoelectron spectroscopy. By the adsorption of the Ge oxide on Si(1 0 0) surface, pure Ge and Si oxide are formed on the Si surface even at 350 °C and the formed Ge amount tends to correspond to the oxidized Si amount, independently of the heat-treatment environment of H2 and Ar under the condition that Si oxide is not reduced by H2. By SiH4 treatment, the amount of the oxidized Ge on the Ge layer decreases drastically even at 350 °C and Si oxide is formed on the Ge layer. From these results, it is suggested that the Ge oxide is reduced even at 350 °C by Si or SiH4, and the Si oxide and the pure Ge are formed.

  19. Effect of Ge atoms on crystal structure and optoelectronic properties of hydrogenated Si-Ge films

    NASA Astrophysics Data System (ADS)

    Li, Tianwei; Zhang, Jianjun; Ma, Ying; Yu, Yunwu; Zhao, Ying

    2017-07-01

    Optoelectronic and structural properties of hydrogenated microcrystalline silicon-germanium (μc-Si1-xGex:H) alloys prepared by radio-frequency plasma-enhanced chemical vapor deposition (RF-PECVD) were investigated. When the Ge atoms were predominantly incorporated in amorphous matrix, the dark and photo-conductivity decreased due to the reduced crystalline volume fraction of the Si atoms (XSi-Si) and the increased Ge dangling bond density. The photosensitivity decreased monotonously with Ge incorporation under higher hydrogen dilution condition, which was attributed to the increase in both crystallization of Ge and the defect density.

  20. Abrupt GaP/Si hetero-interface using bistepped Si buffer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ping Wang, Y., E-mail: yanping.wang@insa-rennes.fr; Kuyyalil, J.; Nguyen Thanh, T.

    We evidence the influence of the quality of the starting Si surface on the III-V/Si interface abruptness and on the formation of defects during the growth of III-V/Si heterogeneous crystal, using high resolution transmission electron microscopy and scanning transmission electron microscopy. GaP layers were grown by molecular beam epitaxy on vicinal Si (001). The strong effect of the Si substrate chemical preparation is first demonstrated by studying structural properties of both Si homoepitaxial layer and GaP/Si heterostructure. It is then shown that choosing adequate chemical preparation conditions and subsequent III-V regrowth conditions enables the quasi-suppression of micro-twins in the epilayer.more » Finally, the abruptness of GaP/Si interface is found to be very sensitive to the Si chemical preparation and is improved by the use of a bistepped Si buffer prior to III-V overgrowth.« less

  1. Selective epitaxial growth properties and strain characterization of Si1- x Ge x in SiO2 trench arrays

    NASA Astrophysics Data System (ADS)

    Koo, Sangmo; Jang, Hyunchul; Ko, Dae-Hong

    2017-04-01

    In this study, we investigated the formation of a Si1- x Ge x fin structure in SiO2 trench arrays via an ultra-high-vacuum chemical-vapor deposition (UHV-CVD) selective epitaxial growth (SEG) process. Defect generation and microstructures of Si1- x Ge x fin structures with different Ge concentrations ( x = 0.2, 0.3 and 0.45) were examined. In addition, the strain evolution of a Si1- x Ge x fin structure was analyzed by using reciprocal space mapping (RSM). An (111) facet was formed from the Si1- x Ge x epi-layer and SiO2 trench wall interface to minimize the interface and the surface energy. The Si1- x Ge x fin structures were fully relaxed along the direction perpendicular to the trenches regardless of the Ge concentration. On the other hand, the fin structures were fully or partially strained along the direction parallel to the trenches depending on the Ge concentration: fully strained Si0.8Ge0.2 and Si0.7Ge0.3, and a Si0.55Ge0.45 strain-relaxed buffer. We further confirmed that the strain on the Si1- x Ge x fin structures remained stable after oxide removal and H2/N2 post-annealing.

  2. Silicon and Ge in the deep sea deduced from Si isotope and Ge measurements in giant glass sponges

    NASA Astrophysics Data System (ADS)

    Jochum, K. P.; Schuessler, J. A.; Haug, G. H.; Andreae, M. O.; Froelich, P. N.

    2016-12-01

    Biogenic silica, such as giant glass spicules of the deep-sea sponge Monorhaphis chuni, is an archive to monitor paleo-Si and -Ge in past seawater. Here we report on Si isotopes and Ge/Si ratios in up to 2.7 m long spicules using LA-(MC)-ICP-MS. Isotope ratios of Si are suitable proxies for Si concentrations in seawater, because Si isotope fractionation into biogenic silica is a function of seawater dissolved Si concentration. The δ30Si values for our specimens range from about - 0.5 ‰ to - 3.6 ‰ and are much lower than modern (>1000 m) seawater δ30Si of about 1.3 ‰. Interestingly, there is a systematic Si isotopic and Ge variation from the rim to the center of the cross sections, which we interpret as seawater paleo-Si and -Ge changes. The lifetime of the giant sponges appears to be between about 6 and 14 ka. These age estimates were obtained by comparing our analytical data with various paleo-markers of the glacial-interglacial termination. Thus, the entire Holocene and the end of the last glacial period are contained in the oldest giant spicules. The derived Si and Ge seawater concentrations are ca. 12 % higher and 20 % lower, respectively, during the late glacial than at present. Possible explanations for changing Si, Ge and Ge/Si during the deglaciation could be changes in riverine, glacial, and/or eolian deliveries of silica to the oceans and changes in marine sedimentary reverse weathering, which removes Ge into marine sediments during opal dissolution and diagenesis.

  3. Controllable growth of GeSi nanostructures by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Ma, Yingjie; Zhou, Tong; Zhong, Zhenyang; Jiang, Zuimin

    2018-06-01

    We present an overview on the recent progress achieved on the controllable growth of diverse GeSi alloy nanostructures by molecular beam epitaxy. Prevailing theories for controlled growth of Ge nanostructures on patterned as well as inclined Si surfaces are outlined firstly, followed by reviews on the preferential growth of Ge nanoislands on patterned Si substrates, Ge nanowires and high density nanoislands grown on inclined Si surfaces, and the readily tunable Ge nanostructures on Si nanopillars. Ge nanostructures with controlled geometries, spatial distributions and densities, including two-dimensional ordered nanoislands, three-dimensional ordered quantum dot crystals, ordered nanorings, coupled quantum dot molecules, ordered nanowires and nanopillar alloys, are discussed in detail. A single Ge quantum dot-photonic crystal microcavity coupled optical emission device demonstration fabricated by using the preferentially grown Ge nanoisland technique is also introduced. Finally, we summarize the current technology status with a look at the future development trends and application challenges for controllable growth of Ge nanostructures. Project supports by the Natural Science Foundation of China (Nos. 61605232, 61674039) and the Open Research Project of State Key Laboratory of Surface Physics from Fudan University (Nos. KF2016_15s, KF2017_05).

  4. Formation of a Ge-rich Si1-x Ge x (x > 0.9) fin epitaxial layer condensed by dry oxidation

    NASA Astrophysics Data System (ADS)

    Jang, Hyunchul; Kim, Byongju; Koo, Sangmo; Ko, Dae-Hong

    2017-11-01

    We have selectively grown an epitaxial Si0.35Ge0.65 fin layer in a 65 nm oxide trench pattern array and formed a Ge-rich Si1-x Ge x (x > 0.9) fin layer with condensed Ge using dry oxidation. During oxidation of the SiGe fin structure, we found that the compressive strain of the condensed SiGe layer was increased by about 1.3% while Ge was efficiently condensed due to a two-dimensional oxidation reaction. In this paper, we discussed in detail the diffusion during the two-dimensional condensation reaction as well as the asymmetric biaxial strain of the SiGe fin before and after oxidation using a reciprocal space mapping measurement. The application of dry oxidation on selectively grown SiGe fin layer can be an effective method for increasing hole mobility of SiGe fin with increased Ge content and self-induced compressive strain.

  5. Characterization of SiGe/Ge heterostructures and graded layers using variable angle spectroscopic ellipsometry

    NASA Technical Reports Server (NTRS)

    Croke, E. T.; Wang, K. L.; Heyd, A. R.; Alterovitz, S. A.; Lee, C. H.

    1996-01-01

    Variable angle spectroscopic ellipsometry (VASE) has been used to characterize Si(x)Ge(1-x)/Ge superlattices (SLs) grown on Ge substrates and thick Si(x)Ge(1-x)/Ge heterostructures grown on Si substrates. Our VASE analysis yielded the thicknesses and alloy compositions of all layers within the optical penetration depth of the surface. In addition, strain effects were observed in the VASE results for layers under both compressive and tensile strain. Results for the SL structures were found to be in close agreement with high resolution x-ray diffraction measurements made on the same samples. The VASE analysis has been upgraded to characterize linearly graded Si(x)Ge(1-x) buffer layers. The algorithm has been used to determine the total thickness of the buffer layer along with the start and end alloy composition by breaking the total thickness into many (typically more than 20) equal layers. Our ellipsometric results for 1 (mu)m buffer layers graded in the ranges 0.7 less than or = x less than or = 1.0, and 0.5 less than or = x less than or = 1.0 are presented, and compare favorably with the nominal values.

  6. Atypical self-activation of Ga dopant for Ge nanowire devices.

    PubMed

    Zeiner, Clemens; Lugstein, Alois; Burchhart, Thomas; Pongratz, Peter; Connell, Justin G; Lauhon, Lincoln J; Bertagnolli, Emmerich

    2011-08-10

    In this Letter we report the atypical self-activation of gallium (Ga) implanted by focused ion beam (FIB) into germanium nanowires (Ge-NWs). By FIB implantation of 30 keV Ga(+) ions at room temperature, the Ge-NW conductivity increases up to 3 orders of magnitude with increasing ion fluence. Cu(3)Ge heterostructures were formed by diffusion to ensure well-defined contacts to the NW and enable two point I/V measurements. Additional four point measurements prove that the conductivity enhancement emerges from the modification of the wires themselves and not from contact property modifications. The Ga distribution in the implanted Ge-NWs was measured using atom probe tomography. For high ion fluences, and beginning amorphization of the NWs, the conductivity decreases exponentially. Temperature dependent conductivity measurements show strong evidence for an in situ doping of the Ge-NWs without any further annealing. Finally the feasibility of improving the device performance of top-gated Ge-NW MOSFETs by FIB implantation was shown.

  7. SiGe derivatization by spontaneous reduction of aryl diazonium salts

    NASA Astrophysics Data System (ADS)

    Girard, A.; Geneste, F.; Coulon, N.; Cardinaud, C.; Mohammed-Brahim, T.

    2013-10-01

    Germanium semiconductors have interesting properties for FET-based biosensor applications since they possess high surface roughness allowing the immobilization of a high amount of receptors on a small surface area. Since SiGe combined low cost of Si and intrinsic properties of Ge with high mobility carriers, we focused the study on this particularly interesting material. The comparison of the efficiency of a functionalization process involving the spontaneous reduction of diazonium salts is studied on Si(1 0 0), SiGe and Ge semiconductors. XPS analysis of the functionalized surfaces reveals the presence of a covalent grafted layer on all the substrates that was confirmed by AFM. Interestingly, the modified Ge derivatives have still higher surface roughness after derivatization. To support the estimated thickness by XPS, a step measurement of the organic layers is done by AFM or by profilometer technique after a O2 plasma etching of the functionalized layer. This original method is well-adapted to measure the thickness of thin organic films on rough substrates such as germanium. The analyses show a higher chemical grafting on SiGe substrates compared with Si and Ge semiconductors.

  8. Modeling artificial graphene in Si/SiGe hetrostructures

    NASA Astrophysics Data System (ADS)

    Maurer, Leon; Gamble, John King; Moussa, Jonathan; Tracy, Lisa; Huang, Shih-Hsien; Chuang, Yen; Li, Jiun-Yun; Liu, Chih-Wen; Lu, Tzu-Ming

    Artificial graphene is a synthetic material made using a nanostructure with identical 2D potential wells arranged in a honeycomb lattice. Unlike normal graphene, the properties of artificial graphene can be controlled by changing the nanostructure geometry and adjusting applied voltages. We perform a theoretical study of artificial graphene formed from a 2D electron gas (2DEG) in Si/SiGe and Ge/SiGe heterostructures by a metal honeycomb gate and a global top gate. While many models of artificial graphene assume a simple form for the potential landscape in the 2DEG, we instead calculate the potential landscape for actual devices with a range of bias voltages and geometries. This allows us to find the resulting bandstructure and calculate transport parameters, which we compare directly to experimental results. Sandia is a multi-program laboratory managed and operated by Sandia Corporation, a wholly owned subsidiary of Lockheed Martin Corporation, for the US Department of Energy's National Nuclear Security Administration under Contract No. DE-AC04-94AL85000. This work was funded by the Laboratory Directed Research and Development Program. The work at NTU was supported by the Ministry of Science and Technology (103-2622-E-002-031 and 103-2112-M- 002-002-MY3).

  9. A promising routine to fabricate GeSi nanowires via self-assembly on miscut Si (001) substrates.

    PubMed

    Zhong, Zhenyang; Gong, Hua; Ma, Yingjie; Fan, Yongliang; Jiang, Zuimin

    2011-04-11

    : Very small and compactly arranged GeSi nanowires could self-assembled on vicinal Si (001) substrates with ~8° off toward ⟨110⟩ during Ge deposition. The nanowires were all oriented along the miscut direction. The small ration of height over width of the nanowire indicated that the nanowires were bordered partly with {1 0 5} facets. These self-assembled small nanowires were remarkably influenced by the growth conditions and the miscut angle of substrates in comparison with large dome-like islands obtained after sufficient Ge deposition. These results proposed that the formation of the nanowire was energetically driven under growth kinetic assistance. Three-dimensionally self-assembled GeSi nanowires were first realized via multilayer Ge growth separated with Si spacers. These GeSi nanowires were readily embedded in Si matrix and compatible with the sophisticated Si technology, which suggested a feasible strategy to fabricate nanowires for fundamental studies and a wide variety of applications.PACS: 81.07.Gf, 81.16.Dn, 68.65.-k, 68.37.Ps.

  10. Defects in N/Ge coimplanted GaN studied by positron annihilation

    NASA Astrophysics Data System (ADS)

    Nakano, Yoshitaka; Kachi, Tetsu

    2002-01-01

    We have applied positron annihilation spectroscopy to study the depth distributions and species of defects in N-, Ge-, and N/Ge-implanted GaN at dosages of 1×1015 cm-2. For all the implanted samples, Ga vacancies introduced by ion-implantation are found to diffuse into much deeper regions of the GaN layers during the implantation and to change into some other vacancy-type defects by the annealing at 1300 °C. In particular, markedly different defects turn out to be newly created in the electrically activated regions for both the Ge- and N/Ge-implanted samples after annealing, indicating that these new defects are probably associated with the presence of the implanted Ge dopant atoms.

  11. Boosting the optical performance and commutation speed of phototransistor using SiGe/Si/Ge tunneling structure

    NASA Astrophysics Data System (ADS)

    Ferhati, H.; Djeffal, F.

    2018-06-01

    In this paper, a new optically controlled tunneling field effect transistor (OC-TFET) based on SiGe/Si/Ge hetero-channel is proposed to improve optical commutation speed and reduce power consumption. An exhaustive study of the device switching behavior associated with different hetero-channel structures has been carried out using an accurate numerical simulation. Moreover, a new figure of Merit (FoM) parameter called optical swing factor that describes the phototransistor optical commutation speed is proposed. We demonstrate that the band-to-band tunneling effect can be beneficial for improving the device optical commutation speed. The impact of the Ge mole fraction of the SiGe source region on the device FoMs is investigated. It is found that the optimized design with 40% of Ge content offers the opportunity to overcome the trade-off between ultrafast and very sensitive photoreceiver performance, where it yields 48 mV/dec of optical swing factor and 155 dB of I ON /I OFF ratio. An overall performance comparison between the proposed OC-TFET device and the conventional designs is performed, where the proposed structure ensures high optical detectivity for very low optical powers (sub-1pW) as compared to that of the conventional counterparts. Therefore, the proposed OC-TFET provides the possibility for bridging the gap between improved optical commutation speed and reduced power consumption, which makes it a potential alternative for high-performance inter-chip data communication applications.

  12. Dislocation-free Ge Nano-crystals via Pattern Independent Selective Ge Heteroepitaxy on Si Nano-Tip Wafers.

    PubMed

    Niu, Gang; Capellini, Giovanni; Schubert, Markus Andreas; Niermann, Tore; Zaumseil, Peter; Katzer, Jens; Krause, Hans-Michael; Skibitzki, Oliver; Lehmann, Michael; Xie, Ya-Hong; von Känel, Hans; Schroeder, Thomas

    2016-03-04

    The integration of dislocation-free Ge nano-islands was realized via selective molecular beam epitaxy on Si nano-tip patterned substrates. The Si-tip wafers feature a rectangular array of nanometer sized Si tips with (001) facet exposed among a SiO2 matrix. These wafers were fabricated by complementary metal-oxide-semiconductor (CMOS) compatible nanotechnology. Calculations based on nucleation theory predict that the selective growth occurs close to thermodynamic equilibrium, where condensation of Ge adatoms on SiO2 is disfavored due to the extremely short re-evaporation time and diffusion length. The growth selectivity is ensured by the desorption-limited growth regime leading to the observed pattern independence, i.e. the absence of loading effect commonly encountered in chemical vapor deposition. The growth condition of high temperature and low deposition rate is responsible for the observed high crystalline quality of the Ge islands which is also associated with negligible Si-Ge intermixing owing to geometric hindrance by the Si nano-tip approach. Single island as well as area-averaged characterization methods demonstrate that Ge islands are dislocation-free and heteroepitaxial strain is fully relaxed. Such well-ordered high quality Ge islands present a step towards the achievement of materials suitable for optical applications.

  13. Dislocation-free Ge Nano-crystals via Pattern Independent Selective Ge Heteroepitaxy on Si Nano-Tip Wafers

    PubMed Central

    Niu, Gang; Capellini, Giovanni; Schubert, Markus Andreas; Niermann, Tore; Zaumseil, Peter; Katzer, Jens; Krause, Hans-Michael; Skibitzki, Oliver; Lehmann, Michael; Xie, Ya-Hong; von Känel, Hans; Schroeder, Thomas

    2016-01-01

    The integration of dislocation-free Ge nano-islands was realized via selective molecular beam epitaxy on Si nano-tip patterned substrates. The Si-tip wafers feature a rectangular array of nanometer sized Si tips with (001) facet exposed among a SiO2 matrix. These wafers were fabricated by complementary metal-oxide-semiconductor (CMOS) compatible nanotechnology. Calculations based on nucleation theory predict that the selective growth occurs close to thermodynamic equilibrium, where condensation of Ge adatoms on SiO2 is disfavored due to the extremely short re-evaporation time and diffusion length. The growth selectivity is ensured by the desorption-limited growth regime leading to the observed pattern independence, i.e. the absence of loading effect commonly encountered in chemical vapor deposition. The growth condition of high temperature and low deposition rate is responsible for the observed high crystalline quality of the Ge islands which is also associated with negligible Si-Ge intermixing owing to geometric hindrance by the Si nano-tip approach. Single island as well as area-averaged characterization methods demonstrate that Ge islands are dislocation-free and heteroepitaxial strain is fully relaxed. Such well-ordered high quality Ge islands present a step towards the achievement of materials suitable for optical applications. PMID:26940260

  14. Ellipsometric study of Si(0.5)Ge(0.5)/Si strained-layer superlattices

    NASA Technical Reports Server (NTRS)

    Sieg, R. M.; Alterovitz, S. A.; Croke, E. T.; Harrell, M. J.

    1993-01-01

    An ellipsometric study of two Si(0.5)Ge(0.5)/Si strained-layer super lattices grown by MBE at low temperature (500 C) is presented, and results are compared with x ray diffraction (XRD) estimates. Excellent agreement is obtained between target values, XRD, and ellipsometry when one of two available Si(x)Ge(1-x) databases is used. It is shown that ellipsometry can be used to nondestructively determine the number of superlattice periods, layer thicknesses, Si(x)Ge(1-x) composition, and oxide thickness without resorting to additional sources of information. It was also noted that we do not observe any strain effect on the E(sub 1) critical point.

  15. Anisotropy of Seebeck coefficient in Si/Ge composite quantum dots

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hsin, Cheng-Lun, E-mail: clhsin@ee.ncu.edu.tw; Tsai, Yue-Yun; Lee, Sheng-Wei

    2016-08-22

    In this report, Si{sub 5}Ge{sub 5} alloy and Si/Ge composite quantum dots (CQDs) layers were grown on Si substrates. Seebeck coefficient (S) of Si and Ge wafers, as well as these two samples, were patterned and measured from 60 to 180 °C in [110] and [010] directions. For Si, Ge, and Si{sub 5}Ge{sub 5}, the S of each is a constant in this temperature range. However, the S of the CQDs at 60–80 °C is anomalous and much higher than the others. The behavior of the voltage difference is linear to the temperature difference even as large as 50 °C, except for CQDsmore » at 60–80 °C. This result indicates that a narrow distribution of carriers energy with a sharp change in density of state near Fermi-level and selective carrier scattering in the miniband at Si/Ge interface make the discrepancy of charge transport enhanced. The CQDs can be a good candidate for temperature sensing and thermoelectric applications due to their high S and low thermal conductivity near room temperature.« less

  16. Electrical control of a long-lived spin qubit in a Si/SiGe quantum dot.

    PubMed

    Kawakami, E; Scarlino, P; Ward, D R; Braakman, F R; Savage, D E; Lagally, M G; Friesen, Mark; Coppersmith, S N; Eriksson, M A; Vandersypen, L M K

    2014-09-01

    Nanofabricated quantum bits permit large-scale integration but usually suffer from short coherence times due to interactions with their solid-state environment. The outstanding challenge is to engineer the environment so that it minimally affects the qubit, but still allows qubit control and scalability. Here, we demonstrate a long-lived single-electron spin qubit in a Si/SiGe quantum dot with all-electrical two-axis control. The spin is driven by resonant microwave electric fields in a transverse magnetic field gradient from a local micromagnet, and the spin state is read out in the single-shot mode. Electron spin resonance occurs at two closely spaced frequencies, which we attribute to two valley states. Thanks to the weak hyperfine coupling in silicon, a Ramsey decay timescale of 1 μs is observed, almost two orders of magnitude longer than the intrinsic timescales in GaAs quantum dots, whereas gate operation times are comparable to those reported in GaAs. The spin echo decay time is ~40 μs, both with one and four echo pulses, possibly limited by intervalley scattering. These advances strongly improve the prospects for quantum information processing based on quantum dots.

  17. Ge nanocrystals embedded in ultrathin Si3N4 multilayers with SiO2 barriers

    NASA Astrophysics Data System (ADS)

    Bahariqushchi, R.; Gundogdu, Sinan; Aydinli, A.

    2017-04-01

    Multilayers of germanium nanocrystals (NCs) embedded in thin films of silicon nitride matrix separated with SiO2 barriers have been fabricated using plasma enhanced chemical vapor deposition (PECVD). SiGeN/SiO2 alternating bilayers have been grown on quartz and Si substrates followed by post annealing in Ar ambient from 600 to 900 °C. High resolution transmission electron microscopy (HRTEM) as well as Raman spectroscopy show good crystallinity of Ge confined to SiGeN layers in samples annealed at 900 °C. Strong compressive stress for SiGeN/SiO2 structures were observed through Raman spectroscopy. Size, as well as NC-NC distance were controlled along the growth direction for multilayer samples by varying the thickness of bilayers. Visible photoluminescence (PL) at 2.3 and 3.1 eV with NC size dependent intensity is observed and possible origin of PL is discussed.

  18. Reduced-Pressure Chemical Vapor Deposition Growth of Isolated Ge Crystals and Suspended Layers on Micrometric Si Pillars.

    PubMed

    Skibitzki, Oliver; Capellini, Giovanni; Yamamoto, Yuji; Zaumseil, Peter; Schubert, Markus Andreas; Schroeder, Thomas; Ballabio, Andrea; Bergamaschini, Roberto; Salvalaglio, Marco; Miglio, Leo; Montalenti, Francesco

    2016-10-05

    In this work, we demonstrate the growth of Ge crystals and suspended continuous layers on Si(001) substrates deeply patterned in high aspect-ratio pillars. The material deposition was carried out in a commercial reduced-pressure chemical vapor deposition reactor, thus extending the "vertical-heteroepitaxy" technique developed by using the peculiar low-energy plasma-enhanced chemical vapor deposition reactor, to widely available epitaxial tools. The growth process was thoroughly analyzed, from the formation of small initial seeds to the final coalescence into a continuous suspended layer, by means of scanning and transmission electron microscopy, X-ray diffraction, and μ-Raman spectroscopy. The preoxidation of the Si pillar sidewalls and the addition of hydrochloric gas in the reactants proved to be key to achieve highly selective Ge growth on the pillars top only, which, in turn, is needed to promote the formation of a continuous Ge layer. Thanks to continuum growth models, we were able to single out the different roles played by thermodynamics and kinetics in the deposition dynamics. We believe that our findings will open the way to the low-cost realization of tens of micrometers thick heteroepitaxial layer (e.g., Ge, SiC, and GaAs) on Si having high crystal quality.

  19. Theoretical Investigations of Si-Ge Alloys in P42/ncm Phase: First-Principles Calculations

    PubMed Central

    Ma, Zhenyang; Liu, Xuhong; Yu, Xinhai; Shi, Chunlei; Yan, Fang

    2017-01-01

    The structural, mechanical, anisotropic, electronic and thermal properties of Si, Si0.667Ge0.333, Si0.333Ge0.667 and Ge in P42/ncm phase are investigated in this work. The calculations have been performed with an ultra-soft pseudopotential by using the generalized gradient approximation and local density approximation in the framework of density functional theory. The achieved results for the lattice constants and band gaps of P42/ncm-Si and P42/ncm-Ge in this research have good accordance with other results. The calculated elastic constants and elastic moduli of the Si, Si0.667Ge0.333, Si0.333Ge0.667 and Ge in P42/ncm phase are better than that of the Si, Si0.667Ge0.333, Si0.333Ge0.667 and Ge in P42/mnm phase. The Si, Si0.667Ge0.333, Si0.333Ge0.667 and Ge in P42/ncm phase exhibit varying degrees of mechanical anisotropic properties in Poisson’s ratio, shear modulus, Young’s modulus, and universal anisotropic index. The band structures of the Si, Si0.667Ge0.333, Si0.333Ge0.667 and Ge in P42/ncm phase show that they are all indirect band gap semiconductors with band gap of 1.46 eV, 1.25 eV, 1.36 eV and 1.00 eV, respectively. In addition, we also found that the minimum thermal conductivity κmin of the Si, Si0.667Ge0.333, Si0.333Ge0.667 and Ge in P42/ncm phase exhibit different degrees of anisotropic properties in (001), (010), (100) and (01¯0) planes. PMID:28772964

  20. Strain field mapping of dislocations in a Ge/Si heterostructure.

    PubMed

    Liu, Quanlong; Zhao, Chunwang; Su, Shaojian; Li, Jijun; Xing, Yongming; Cheng, Buwen

    2013-01-01

    Ge/Si heterostructure with fully strain-relaxed Ge film was grown on a Si (001) substrate by using a two-step process by ultra-high vacuum chemical vapor deposition. The dislocations in the Ge/Si heterostructure were experimentally investigated by high-resolution transmission electron microscopy (HRTEM). The dislocations at the Ge/Si interface were identified to be 90° full-edge dislocations, which are the most efficient way for obtaining a fully relaxed Ge film. The only defect found in the Ge epitaxial film was a 60° dislocation. The nanoscale strain field of the dislocations was mapped by geometric phase analysis technique from the HRTEM image. The strain field around the edge component of the 60° dislocation core was compared with those of the Peierls-Nabarro and Foreman dislocation models. Comparison results show that the Foreman model with a = 1.5 can describe appropriately the strain field around the edge component of a 60° dislocation core in a relaxed Ge film on a Si substrate.

  1. Composite films of highly ordered Si nanowires embedded in SiGe0.3 for thermoelectric applications

    NASA Astrophysics Data System (ADS)

    Kikuchi, Akiou; Yao, Akifumi; Mori, Isamu; Ono, Takahito; Samukawa, Seiji

    2017-10-01

    We fabricated a high-density array of silicon nanowires (SiNWs) with a diameter of 10 nm embedded in silicon germanium (SiGe0.3) to give a composite thin film for thermoelectric device applications. The SiNW array was first fabricated by bio-template mask and neutral beam etching techniques. The SiNW array was then embedded in SiGe0.3 by thermal chemical vapor deposition. The cross-plane thermal conductivity of the SiNW-SiGe0.3 composite film with a thickness of 100 nm was 3.5 ± 0.3 W/mK in the temperature range of 300-350 K. Moreover, the temperature dependences of the in-plane electrical conductivity and in-plane Seebeck coefficient of the SiNW-SiGe0.3 composite were evaluated. The fabricated SiNW-SiGe0.3 composite film displayed a maximum power factor of 1 × 103 W/m K2 (a Seebeck coefficient of 4.8 × 103 μV/K and an electrical conductivity of 4.4 × 103 S/m) at 873 K. The present high-density SiNW array structure represents a new route to realize practical thermoelectric devices using mature Si processes without any rare metals.

  2. Vertical nanowire heterojunction devices based on a clean Si/Ge interface.

    PubMed

    Chen, Lin; Fung, Wayne Y; Lu, Wei

    2013-01-01

    Different vertical nanowire heterojunction devices were fabricated and tested based on vertical Ge nanowires grown epitaxially at low temperatures on (111) Si substrates with a sharp and clean Si/Ge interface. The nearly ideal Si/Ge heterojuctions with controlled and abrupt doping profiles were verified through material analysis and electrical characterizations. In the nSi/pGe heterojunction diode, an ideality factor of 1.16, subpicoampere reverse saturation current, and rectifying ratio of 10(6) were obtained, while the n+Si/p+Ge structure leads to Esaki tunnel diodes with a high peak tunneling current of 4.57 kA/cm(2) and negative differential resistance at room temperature. The large valence band discontinuity between the Ge and Si in the nanowire heterojunctions was further verified in the p+Si/pGe structure, which shows a rectifying behavior instead of an Ohmic contact and raises an important issue in making Ohmic contacts to heterogeneously integrated materials. A raised Si/Ge structure was further developed using a self-aligned etch process, allowing greater freedom in device design for applications such as the tunneling field-effect transistor (TFET). All measurement data can be well-explained and fitted with theoretical models with known bulk properties, suggesting that the Si/Ge nanowire system offers a very clean heterojunction interface with low defect density, and holds great potential as a platform for future high-density and high-performance electronics.

  3. Towards rhombohedral SiGe epitaxy on 150mm c-plane sapphire substrates

    NASA Astrophysics Data System (ADS)

    Duzik, Adam J.; Park, Yeonjoon; Choi, Sang H.

    2015-04-01

    Previous work demonstrated for the first time the ability to epitaxially grow uniform single crystal diamond cubic SiGe (111) films on trigonal sapphire (0001) substrates. While SiGe (111) forms two possible crystallographic twins on sapphire (0001), films consisting primarily of one twin were produced on up to 99.95% of the total wafer area. This permits new bandgap engineering possibilities and improved group IV based devices that can exploit the higher carrier mobility in Ge compared to Si. Models are proposed on the epitaxy of such dissimilar crystal structures based on the energetic favorability of crystallographic twins and surface reconstructions. This new method permits Ge (111) on sapphire (0001) epitaxy, rendering Ge an economically feasible replacement for Si in some applications, including higher efficiency Si/Ge/Si quantum well solar cells. Epitaxial SiGe films on sapphire showed a 280% increase in electron mobility and a 500% increase in hole mobility over single crystal Si. Moreover, Ge possesses a wider bandgap for solar spectrum conversion than Si, while the transparent sapphire substrate permits an inverted device structure, increasing the total efficiency to an estimated 30-40%, much higher than traditional Si solar cells. Hall Effect mobility measurements of the Ge layer in the Si/Ge/Si quantum well structure were performed to demonstrate the advantage in carrier mobility over a pure Si solar cell. Another application comes in the use of microelectromechanical devices technology, where high-resistivity Si is currently used as a substrate. Sapphire is a more resistive substrate and offers better performance via lower parasitic capacitance and higher film carrier mobility over the current Si-based technology.

  4. GaAs/Ge solar panels for the SAMPEX program

    NASA Technical Reports Server (NTRS)

    Dobson, Rodney; Kukulka, Jerry; Dakermanji, George; Roufberg, Lew; Ahmad, Anisa; Lyons, John

    1992-01-01

    GaAs based solar cells have been developed for spacecraft use for several years. However, acceptance and application of these cells for spacecraft missions has been slow because of their high cost and concerns about their integration onto solar panels. Spectrolab has now completed fabrication of solar panels with GaAs/Ge solar cells for a second space program. This paper will focus on the design, fabrication and test of GaAs/Ge solar panels for the Solar Anomalous and Magnetospheric Particle Explorer (SAMPEX) Program.

  5. Impacts of excimer laser annealing on Ge epilayer on Si

    NASA Astrophysics Data System (ADS)

    Huang, Zhiwei; Mao, Yichen; Yi, Xiaohui; Lin, Guangyang; Li, Cheng; Chen, Songyan; Huang, Wei; Wang, Jianyuan

    2017-02-01

    The impacts of excimer laser annealing on the crystallinity of Ge epilayers on Si substrate grown by low- and high-temperature two-step approach in an ultra-high vacuum chemical vapor deposition system were investigated. The samples were treated by excimer laser annealing (ELA) at various laser power densities with the temperature above the melting point of Ge, while below that of Si, resulting in effective reduction of point defects and dislocations in the Ge layer with smooth surface. The full-width at half-maximum (FWHM) of X-ray diffraction patterns of the low-temperature Ge epilayer decreases with the increase in laser power density, indicating the crystalline improvement and negligible effect of Ge-Si intermixing during ELA processes. The short laser pulse time and large cooling rate cause quick melting and recrystallization of Ge epilayer on Si in the non-thermal equilibrium process, rendering tensile strain in Ge epilayer as calculated quantitatively with thermal mismatch between Si and Ge. The FWHM of X-ray diffraction patterns is significantly reduced for the two-step grown samples after treated by a combination of ELA and conventional furnace thermal annealing, indicating that the crystalline of Ge epilayer is improved more effectively with pre- annealing by excimer laser.

  6. Si /SiGe n-type resonant tunneling diodes fabricated using in situ hydrogen cleaning

    NASA Astrophysics Data System (ADS)

    Suet, Z.; Paul, D. J.; Zhang, J.; Turner, S. G.

    2007-05-01

    In situ hydrogen cleaning to reduce the surface segregation of n-type dopants in SiGe epitaxy has been used to fabricate Si /SiGe resonant tunneling diodes in a joint gas source chemical vapor deposition and molecular beam epitaxial system. Diodes fabricated without the in situ clean demonstrate linear current-voltage characteristics, while a 15min hydrogen clean produces negative differential resistance with peak-to-valley current ratios up to 2.2 and peak current densities of 5.0A/cm2 at 30K. Analysis of the valley current and the band structure of the devices suggest methods for increasing the operating temperature of Si /SiGe resonant tunneling diodes as required for applications.

  7. Temperature dependence of the interband critical points of bulk Ge and strained Ge on Si

    NASA Astrophysics Data System (ADS)

    Fernando, Nalin S.; Nunley, T. Nathan; Ghosh, Ayana; Nelson, Cayla M.; Cooke, Jacqueline A.; Medina, Amber A.; Zollner, Stefan; Xu, Chi; Menendez, Jose; Kouvetakis, John

    2017-11-01

    Epitaxial Ge layers on a Si substrate experience a tensile biaxial stress due to the difference between the thermal expansion coefficients of the Ge epilayer and the Si substrate, which can be measured using asymmetric X-ray diffraction reciprocal space maps. This stress depends on temperature and affects the band structure, interband critical points, and optical spectra. This manuscripts reports careful measurements of the temperature dependence of the dielectric function and the interband critical point parameters of bulk Ge and Ge epilayers on Si using spectroscopic ellipsometry from 80 to 780 K and from 0.8 to 6.5 eV. The authors find a temperature-dependent redshift of the E1 and E1 + Δ1 critical points in Ge on Si (relative to bulk Ge). This redshift can be described well with a model based on thermal expansion coefficients, continuum elasticity theory, and the deformation potential theory for interband transitions. The interband transitions leading to E0‧ and E2 critical points have lower symmetry and therefore are not affected by the stress.

  8. Interfacial sharpness and intermixing in a Ge-SiGe multiple quantum well structure

    NASA Astrophysics Data System (ADS)

    Bashir, A.; Gallacher, K.; Millar, R. W.; Paul, D. J.; Ballabio, A.; Frigerio, J.; Isella, G.; Kriegner, D.; Ortolani, M.; Barthel, J.; MacLaren, I.

    2018-01-01

    A Ge-SiGe multiple quantum well structure created by low energy plasma enhanced chemical vapour deposition, with nominal well thickness of 5.4 nm separated by 3.6 nm SiGe spacers, is analysed quantitatively using scanning transmission electron microscopy. Both high angle annular dark field imaging and electron energy loss spectroscopy show that the interfaces are not completely sharp, suggesting that there is some intermixing of Si and Ge at each interface. Two methods are compared for the quantification of the spectroscopy datasets: a self-consistent approach that calculates binary substitutional trends without requiring experimental or computational k-factors from elsewhere and a standards-based cross sectional calculation. Whilst the cross section approach is shown to be ultimately more reliable, the self-consistent approach provides surprisingly good results. It is found that the Ge quantum wells are actually about 95% Ge and that the spacers, whilst apparently peaking at about 35% Si, contain significant interdiffused Ge at each side. This result is shown to be not just an artefact of electron beam spreading in the sample, but mostly arising from a real chemical interdiffusion resulting from the growth. Similar results are found by use of X-ray diffraction from a similar area of the sample. Putting the results together suggests a real interdiffusion with a standard deviation of about 0.87 nm, or put another way—a true width defined from 10%-90% of the compositional gradient of about 2.9 nm. This suggests an intrinsic limit on how sharp such interfaces can be grown by this method and, whilst 95% Ge quantum wells (QWs) still behave well enough to have good properties, any attempt to grow thinner QWs would require modifications to the growth procedure to reduce this interdiffusion, in order to maintain a composition of ≥95% Ge.

  9. Effect of high-temperature annealing on the microstructure and thermoelectric properties of GaP doped SiGe. M.S. Thesis

    NASA Technical Reports Server (NTRS)

    Draper, Susan L.

    1987-01-01

    Annealing of GaP doped SiGe will significantly alter the thermoelectric properties of the material resulting in increased performance as measured by the figure of merit Z and the power factor P. The microstructures and corresponding thermoelectric properties after annealing in the 1100 to 1300 C temperature range have been examined to correlate performance improvement with annealing history. The figure of merit and power factor were both improved by homogenizing the material and limiting the amount of cross-doping. Annealing at 1215 C for 100 hr resulted in the best combination of thermoelectric properties with a resultant figure of merit exceeding 1x10 to the -3 deg C to the -1 and a power factor of 44 microW/cm/deg C sq for the temperature range of interest for space power: 400 to 1000 C.

  10. Electrical characterisation of SiGe heterojunction bipolar transistors and Si pseudo-HBTS

    NASA Astrophysics Data System (ADS)

    De Barros, O.; Le Tron, B.; Woods, R. C.; Giroult-Matlakowski, G.; Vincent, G.; Brémond, G.

    1996-08-01

    This paper reports an electrical characterisation of the emitter-base junction of Si pseudo-HBTs and SiGe HBTs fabricated in a CMOS compatible single polysilicon self-aligned process. From the reverse characteristics it appears that the definition of the emitter-base junction by plasma etching induces peripheral defects that increase the base current of the transistors. Deep level transient spectroscopy measurements show a deep level in the case of SiGe base, whose spatial origin is not fully determinate up to now.

  11. Pressure-induced Ge coordination change in SiO2-GeO2 glasses

    NASA Astrophysics Data System (ADS)

    Majérus, O.; Cormier, L.; Itié, J.-P.; Calas, G.

    2003-04-01

    Among the parameters controlling igneous processes in Earth, the density and transport properties of silicate melts are playing a major role. These properties are strongly dependent upon pressure, in a way that can significantly differ from the crystalline phases. The study of the pressure-induced structural changes can give a further understanding of the peculiar microscopic origins of these properties in molten phases. As in silicate minerals, the coordination change IVSi towards VISi is expected to be the major transformation occurring in melts at mantle conditions, yielding amorphous phases with properties distinct to those corresponding to a tetrahedral framework. This change is predicted by molecular dynamics simulations, but experimental evidences are scarce because of difficult technical constraints. The binary SiO_2-GeO_2 system allows a further insight into the compression mechanism of a tetrahedral framework glass structure. The Ge coordination change and its composition dependence can be assessed by using XAS spectroscopy at Ge K-edge with a diamond anvil cell. In this study, we report an in situ investigation carried out on well characterized glasses of the SiO_2-GeO_2 system. Experiments were preformed on the D11 beamline which is a unique dispersive experimental setup developed at the Laboratoire pour l’Utilisation du Rayonnement Magnétique (LURE, Orsay, France). Pressures up to 25 GPa have been obtained. With increasing SiO_2 content, both Ge-O distances extracted from EXAFS data and XANES features indicate the regular increase of the pressure threshold for the Ge coordination change (from 4 in pure GeO_2 to 12 Gpa in 80 mol% SiO_2-bearing glass), which corresponds to the end of the elastic compression regime, and the achievement of the transformation on a broader pressure range as predicted in pure SiO_2. These data are compared to results on slightly depolymerised glasses of Na_2O-GeO_2 composition, where a greater variety of compression

  12. Si Complies with GaN to Overcome Thermal Mismatches for the Heteroepitaxy of Thick GaN on Si.

    PubMed

    Tanaka, Atsunori; Choi, Woojin; Chen, Renjie; Dayeh, Shadi A

    2017-10-01

    Heteroepitaxial growth of lattice mismatched materials has advanced through the epitaxy of thin coherently strained layers, the strain sharing in virtual and nanoscale substrates, and the growth of thick films with intermediate strain-relaxed buffer layers. However, the thermal mismatch is not completely resolved in highly mismatched systems such as in GaN-on-Si. Here, geometrical effects and surface faceting to dilate thermal stresses at the surface of selectively grown epitaxial GaN layers on Si are exploited. The growth of thick (19 µm), crack-free, and pure GaN layers on Si with the lowest threading dislocation density of 1.1 × 10 7 cm -2 achieved to date in GaN-on-Si is demonstrated. With these advances, the first vertical GaN metal-insulator-semiconductor field-effect transistors on Si substrates with low leakage currents and high on/off ratios paving the way for a cost-effective high power device paradigm on an Si CMOS platform are demonstrated. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Ge nanocrystals formed by furnace annealing of Ge(x)[SiO2](1-x) films: structure and optical properties

    NASA Astrophysics Data System (ADS)

    Volodin, V. A.; Cherkov, A. G.; Antonenko, A. Kh; Stoffel, M.; Rinnert, H.; Vergnat, M.

    2017-07-01

    Ge(x)[SiO2](1-x) (0.1  ⩽  x  ⩽  0.4) films were deposited onto Si(0 0 1) or fused quartz substrates using co-evaporation of both Ge and SiO2 in high vacuum. Germanium nanocrystals were synthesized in the SiO2 matrix by furnace annealing of Ge x [SiO2](1-x) films with x  ⩾  0.2. According to electron microscopy and Raman spectroscopy data, the average size of the nanocrystals depends weakly on the annealing temperature (700, 800, or 900 °C) and on the Ge concentration in the films. Neither amorphous Ge clusters nor Ge nanocrystals were observed in as-deposited and annealed Ge0.1[SiO2]0.9 films. Infrared absorption spectroscopy measurements show that the studied films do not contain a noticeable amount of GeO x clusters. After annealing at 900 °C intermixing of germanium and silicon atoms was still negligible thus preventing the formation of GeSi nanocrystals. For annealed samples, we report the observation of infrared photoluminescence at low temperatures, which can be explained by exciton recombination in Ge nanocrystals. Moreover, we report strong photoluminescence in the visible range at room temperature, which is certainly due to Ge-related defect-induced radiative transitions.

  14. Valence-band offsets in strained SiGeSn/Si layers with different tin contents

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bloshkin, A. A., E-mail: bloshkin@isp.nsc.ru; Yakimov, A. I.; Timofeev, V. A.

    Admittance spectroscopy is used to study hole states in Si{sub 0.7–y}Ge{sub 0.3}Sn{sub y}/Si quantum wells in the tin content range y = 0.04–0.1. It is found that the hole binding energy increases with tin content. The hole size-quantization energies in structures containing a pseudomorphic Si{sub 0.7–y}Ge{sub 0.3}Sn{sub y} layer in the Si matrix are determined using the 6-band kp method. The valence-band offset at the Si{sub 0.7–y}Ge{sub 0.3}Sn{sub y} heterointerface is determined by combining the numerical calculation results and experimental data. It is found that the dependence of the experimental values of the valence-band offsets between pseudomorphic Si{sub 0.7–y}Ge{sub 0.3}Sn{submore » y} layers and Si on the tin content is described by the expression ΔE{sub V}{sup exp} = (0.21 ± 0.01) + (3.35 ± 7.8 × 10{sup –4})y eV.« less

  15. Growth of BaSi2 continuous films on Ge(111) by molecular beam epitaxy and fabrication of p-BaSi2/n-Ge heterojunction solar cells

    NASA Astrophysics Data System (ADS)

    Takabe, Ryota; Yachi, Suguru; Tsukahara, Daichi; Toko, Kaoru; Suemasu, Takashi

    2017-05-01

    We grew BaSi2 films on Ge(111) substrates by various growth methods based on molecular beam epitaxy (MBE). First, we attempted to form BaSi2 films directly on Ge(111) by MBE without templates. We next formed BaSi2 films using BaGe2 templates as commonly used for MBE growth of BaSi2 on Si substrates. Contrary to our prediction, the lateral growth of BaSi2 was not promoted by these two methods; BaSi2 formed not into a continuous film but into islands. Although streaky patterns of reflection high-energy electron diffraction were observed inside the growth chamber, no X-ray diffraction lines of BaSi2 were observed in samples taken out from the growth chamber. Such BaSi2 islands were easily to get oxidized. We finally attempted to form a continuous BaSi2 template layer on Ge(111) by solid phase epitaxy, that is, the deposition of amorphous Ba-Si layers onto MBE-grown BaSi2 epitaxial islands, followed by post annealing. We achieved the formation of an approximately 5-nm-thick BaSi2 continuous layer by this method. Using this BaSi2 layer as a template, we succeeded in forming a-axis-oriented 520-nm-thick BaSi2 epitaxial films on Ge substrates, although (111)-oriented Si grains were included in the grown layer. We next formed a B-doped p-BaSi2(20 nm)/n-Ge(111) heterojunction solar cell. A wide-spectrum response from 400 to 2000 nm was achieved. At an external bias voltage of 1 V, the external quantum efficiency reached as high as 60%, demonstrating the great potential of BaSi2/Ge combination. However, the efficiency of a solar cell under AM1.5 illumination was quite low (0.1%). The origin of such a low efficiency was examined.

  16. Effect of Ga Addition on Morphology and Recovery of Primary Si During Al-Si Alloy Solidification Refining

    NASA Astrophysics Data System (ADS)

    Li, Jingwei; Bai, Xiaolong; Li, Yanlei; Ban, Boyuan; Chen, Jian

    2015-12-01

    The effect of Ga addition on alloy macrostructure, morphology and recovery rate of primary Si during the Al-Si-Ga alloy solvent refining process of silicon was studied in this work. The addition of Ga to Al-Si alloy could change the morphology of the primary Si. The average plate thickness of the primary Si increases with increase of Ga content. With the increase of Ga content, the average plate length of the primary Si crystals becomes larger when the Ga content is less than 5% in the Al-30%Si-xGa alloy, but becomes smaller when the Ga content exceeds 5%. Al-Si-Ga alloys consist of three types, primary Si, GaxAl1-x, (α-Al+Si+β-Ga) eutectic. (111) is the preferred growth surface of the plate-like primary Si. The recovery rate of the primary Si increases with the increase of Ga content. When the Ga content increased to 20% in Al-30%Si-xGa alloy, the relative recovery rate of the primary Si increased to 50.41% than that in Al-30%Si alloy.

  17. Quantization and anomalous structures in the conductance of Si/SiGe quantum point contacts

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pock, J. F. von; Salloch, D.; Qiao, G.

    2016-04-07

    Quantum point contacts (QPCs) are fabricated on modulation-doped Si/SiGe heterostructures and ballistic transport is studied at low temperatures. We observe quantized conductance with subband separations up to 4 meV and anomalies in the first conductance plateau at 4e{sup 2}/h. At a temperature of T = 22 mK in the linear transport regime, a weak anomalous kink structure arises close to 0.5(4e{sup 2}/h), which develops into a distinct plateau-like structure as temperature is raised up to T = 4 K. Under magnetic field parallel to the wire up to B = 14 T, the anomaly evolves into the Zeeman spin-split level at 0.5(4e{sup 2}/h), resembling the '0.7 anomaly' in GaAs/AlGaAsmore » QPCs. Additionally, a zero-bias anomaly (ZBA) is observed in nonlinear transport spectroscopy. At T = 22 mK, a parallel magnetic field splits the ZBA peak up into two peaks. At B = 0, elevated temperatures lead to similar splitting, which differs from the behavior of ZBAs in GaAs/AlGaAs QPCs. Under finite dc bias, the differential resistance exhibits additional plateaus approximately at 0.8(4e{sup 2}/h) and 0.2(4e{sup 2}/h) known as '0.85 anomaly' and '0.25 anomaly' in GaAs/AlGaAs QPCs. Unlike the first regular plateau at 4e{sup 2}/h, the 0.2(4e{sup 2}/h) plateau is insensitive to dc bias voltage up to at least V{sub DS} = 80 mV, in-plane magnetic fields up to B = 15 T, and to elevated temperatures up to T = 25 K. We interpret this effect as due to pinching off one of the reservoirs close to the QPC. We do not see any indication of lifting of the valley degeneracy in our samples.« less

  18. GaAsP solar cells on GaP/Si with low threading dislocation density

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yaung, Kevin Nay; Vaisman, Michelle; Lang, Jordan

    2016-07-18

    GaAsP on Si tandem cells represent a promising path towards achieving high efficiency while leveraging the Si solar knowledge base and low-cost infrastructure. However, dislocation densities exceeding 10{sup 8} cm{sup −2} in GaAsP cells on Si have historically hampered the efficiency of such approaches. Here, we report the achievement of low threading dislocation density values of 4.0–4.6 × 10{sup 6} cm{sup −2} in GaAsP solar cells on GaP/Si, comparable with more established metamorphic solar cells on GaAs. Our GaAsP solar cells on GaP/Si exhibit high open-circuit voltage and quantum efficiency, allowing them to significantly surpass the power conversion efficiency of previous devices. The resultsmore » in this work show a realistic path towards dual-junction GaAsP on Si cells with efficiencies exceeding 30%.« less

  19. Formation of uniform high-density and small-size Ge/Si quantum dots by scanning pulsed laser annealing of pre-deposited Ge/Si film

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Qayyum, Hamza; Chen, Szu-yuan, E-mail: sychen@ltl.iams.sinica.edu.tw; Department of Physics, National Central University, Zhongli, Taoyuan 320, Taiwan

    2016-05-15

    The capability to fabricate Ge/Si quantum dots with small dot size and high dot density uniformly over a large area is crucial for many applications. In this work, we demonstrate that this can be achieved by scanning a pre-deposited Ge thin layer on Si substrate with a line-focused pulsed laser beam to induce formation of quantum dots. With suitable setting, Ge/Si quantum dots with a mean height of 2.9 nm, a mean diameter of 25 nm, and a dot density of 6×10{sup 10} cm{sup −2} could be formed over an area larger than 4 mm{sup 2}. The average size ofmore » the laser-induced quantum dots is smaller while their density is higher than that of quantum dots grown by using Stranski-Krastanov growth mode. Based on the dependence of the characteristics of quantum dots on the laser parameters, a model consisting of laser-induced strain, surface diffusion, and Ostwald ripening is proposed for the mechanism underlying the formation of the Ge/Si quantum dots. The technique demonstrated could be applicable to other materials besides Ge/Si.« less

  20. Enhanced Emission of Quantum System in Si-Ge Nanolayer Structure.

    PubMed

    Huang, Zhong-Mei; Huang, Wei-Qi; Dong, Tai-Ge; Wang, Gang; Wu, Xue-Ke

    2016-12-01

    It is very interesting that the enhanced peaks near 1150 and 1550 nm are observed in the photoluminescence (PL) spectra in the quantum system of Si-Ge nanolayer structure, which have the emission characteristics of a three-level system with quantum dots (QDs) pumping and emission of quasi-direct-gap band, in our experiment. In the preparing process of Si-Ge nanolayer structure by using a pulsed laser deposition method, it is discovered that the nanocrystals of Si and Ge grow in the (100) and (111) directions after annealing or electron beam irradiation. The enhanced PL peaks with multi-longitudinal-mode are measured at room temperature in the super-lattice of Si-Ge nanolayer quantum system on SOI.

  1. In-situ crystallization of GeTe\\GaSb phase change memory stacked films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Velea, A., E-mail: alin.velea@psi.ch; National Institute of Materials Physics, RO-077125 Magurele, Ilfov; Borca, C. N.

    2014-12-21

    Single and double layer phase change memory structures based on GeTe and GaSb thin films were deposited by pulsed laser deposition (PLD). Their crystallization behavior was studied using in-situ synchrotron techniques. Electrical resistance vs. temperature investigations, using the four points probe method, showed transition temperatures of 138 °C and 198 °C for GeTe and GaSb single films, respectively. It was found that after GeTe crystallization in the stacked films, Ga atoms from the GaSb layer diffused in the vacancies of the GeTe crystalline structure. Therefore, the crystallization temperature of the Sb-rich GaSb layer is decreased by more than 30 °C. Furthermore, at 210 °C,more » the antimony excess from GaSb films crystallizes as a secondary phase. At higher annealing temperatures, the crystalline Sb phase increased on the expense of GaSb crystalline phase which was reduced. Extended X-ray absorption fine structure (EXAFS) measurements at the Ga and Ge K-edges revealed changes in their local atomic environments as a function of the annealing temperature. Simulations unveil a tetrahedral configuration in the amorphous state and octahedral configuration in the crystalline state for Ge atoms, while Ga is four-fold coordinated in both as-deposited and annealed samples.« less

  2. Extreme IR absorption in group IV-SiGeSn core-shell nanowires

    NASA Astrophysics Data System (ADS)

    Attiaoui, Anis; Wirth, Stephan; Blanchard-Dionne, André-Pierre; Meunier, Michel; Hartmann, J. M.; Buca, Dan; Moutanabbir, Oussama

    2018-06-01

    Sn-containing Si and Ge (Ge1-y-xSixSny) alloys are an emerging family of semiconductors with the potential to impact group IV material-based devices. These semiconductors provide the ability to independently engineer both the lattice parameter and bandgap, which holds the premise to develop enhanced or novel photonic and electronic devices. With this perspective, we present detailed investigations of the influence of Ge1-y-xSixSny layers on the optical properties of Si and Ge based heterostructures and nanowires. We found that by adding a thin Ge1-y-xSixSny capping layer on Si or Ge greatly enhances light absorption especially in the near infrared range, leading to an increase in short-circuit current density. For the Ge1-y-xSixSny structure at thicknesses below 30 nm, a 14-fold increase in the short-circuit current is observed with respect to bare Si. This enhancement decreases by reducing the capping layer thickness. Conversely, decreasing the shell thickness was found to improve the short-circuit current in Si/Ge1-y-xSixSny and Ge/Ge1-y-xSixSny core/shell nanowires. The optical absorption becomes very important by increasing the Sn content. Moreover, by exploiting an optical antenna effect, these nanowires show extreme light absorption, reaching an enhancement factor, with respect to Si or Ge nanowires, on the order of 104 in Si/Ge0.84Si0.04Sn0.12 and 12 in Ge/Ge0.84Si0.04Sn0.12. Furthermore, we analyzed the optical response after the addition of a dielectric layer of Si3N4 to the Si/Ge1-y-xSixSny core-shell nanowire and found approximatively a 50% increase in the short-circuit current density for a dielectric layer of thickness equal to 45 nm and both a core radius and a shell thickness greater than 40 nm. The core-shell optical antenna benefits from a multiplication of enhancements contributed by leaky mode resonances in the semiconductor part and antireflection effects in the dielectric part.

  3. Structure and Properties of Modified and Charge-Compensated Chalcogenide Glasses in the Na/Ba-Ga-Ge Selenide System

    NASA Astrophysics Data System (ADS)

    Mao, Alvin W.

    Chalcogenide glasses exhibit unique optical properties such as infrared transparency owing to the low-phonon energies, optical non-linearity, and photo-induced effects that have important consequences for a wide range of technological applications. However, to fully utilize these properties, it is necessary to better understand the atomic-scale structure and structure-property relationships in this important class of materials. Of particular interest in this regard are glasses in the stoichiometric system Na2Se/BaSe--Ga 2Se3--GeSe2 as they are isoelectronic with the well-studied, oxide glasses of the type M2O(M'O)--Al 2O3--SiO2 (M = alkali, M' = alkaline earth). This dissertation investigates the structure of stoichiometric Na 2Se/BaSe--Ga2Se3--GeSe2 and off-stoichiometric BaSe--Ga2Se3--GeSe 2+/-Se glasses using a combination of Fourier-transform Raman and solid state nuclear magnetic resonance (NMR) spectroscopies. The spectroscopic data is then compared to composition-dependent trends in physical properties such as density, optical band gap, glass transition temperature, and melt fragility to develop predictive structural models of the short- and intermediate-range order in the glass network. These models significantly improve our current understanding of the effects of modifier addition on the structure and properties of chalcogenide glasses, and thus enable a more efficient engineering of these highly functional materials for applications as solid electrolytes in batteries or as optical components in infrared photonics. In general, the underlying stoichiometric Ga2Se3--GeSe 2 network consists primarily of corner-sharing (Ga/Ge)Se4 tetrahedra, where the coordination numbers of Ga, Ge, and Se are 4, 4, and 2, respectively. Some edge-sharing exists, but this configuration is relatively unstable and its concentration tends to decrease with any deviation from the GeSe2 composition. Due to the tetrahedral coordination of Ga, the initial addition of Se-deficient Ga2Se

  4. Atomistics of Ge deposition on Si(100) by atomic layer epitaxy.

    PubMed

    Lin, D S; Wu, J L; Pan, S Y; Chiang, T C

    2003-01-31

    Chlorine termination of mixed Ge/Si(100) surfaces substantially enhances the contrast between Ge and Si sites in scanning tunneling microscopy observations. This finding enables a detailed investigation of the spatial distribution of Ge atoms deposited on Si(100) by atomic layer epitaxy. The results are corroborated by photoemission measurements aided by an unusually large chemical shift between Cl adsorbed on Si and Ge. Adsorbate-substrate atomic exchange during growth is shown to be important. The resulting interface is thus graded, but characterized by a very short length scale of about one monolayer.

  5. Comparison of low frequency charge noise in identically patterned Si/SiO{sub 2} and Si/SiGe quantum dots

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Freeman, Blake M.; Schoenfield, Joshua S.; Jiang, HongWen

    We investigate and compare the charge noise in Si/SiO{sub 2} and Si/SiGe gate defined quantum dots with identically patterned gates by measuring the low frequency 1/f current noise through the biased quantum dots in the coulomb blockade regime. The current noise is normalized and used to extract a measurement of the potential energy noise in the system. Additionally, the temperature dependence of this noise is investigated. The measured charge noise in Si/SiO{sub 2} compares favorably with that of the SiGe device as well as previous measurements made on other substrates suggesting Si/SiO{sub 2} is a potential candidate for spin basedmore » quantum computing.« less

  6. Kirkendall void formation in reverse step graded Si1-xGex/Ge/Si(001) virtual substrates

    NASA Astrophysics Data System (ADS)

    Sivadasan, Vineet; Rhead, Stephen; Leadley, David; Myronov, Maksym

    2018-02-01

    Formation of Kirkendall voids is demonstrated in the Ge underlayer of reverse step graded Si1-xGex/Ge buffer layers grown on Si(001) using reduced pressure chemical vapour deposition (RP-CVD). This phenomenon is seen when the constant composition Si1-xGex layer is grown at high temperatures and for x ≤ 0.7. The density and size of the spherical voids can be tuned by changing Ge content in the Si1-xGex and other growth parameters.

  7. The reliability studies of nano-engineered SiGe HBTs using Pelletron accelerator

    NASA Astrophysics Data System (ADS)

    Prakash, A. P. Gnana; Praveen, K. C.; Pushpa, N.; Cressler, John D.

    2015-05-01

    The effects of high energy ions on the electrical characteristics of silicon-germanium heterojunction bipolar transistors (SiGe HBTs) were studied in the total dose of ranging from 600 krad to 100 Mrad (Si). The two generations (50 GHz and 200 GHz) of SiGe HBTs were exposed to 50 MeV lithium, 75 MeV boron and 100 MeV oxygen ions. The electrical characteristics of SiGe HBTs were studied before and after irradiation. The SiGe HBTs were exposed to 60Co gamma radiation in the same total dose. The results are systematically compared in order to understand the interaction of ions and ionizing radiation with SiGe HBTs.

  8. 15.3%-Efficient GaAsP Solar Cells on GaP/Si Templates

    DOE PAGES

    Vaisman, Michelle; Fan, Shizhao; Nay Yaung, Kevin; ...

    2017-07-26

    As single-junction Si solar cells approach their practical efficiency limits, a new pathway is necessary to increase efficiency in order to realize more cost-effective photovoltaics. Integrating III-V cells onto Si in a multijunction architecture is a promising approach that can achieve high efficiency while leveraging the infrastructure already in place for Si and III-V technology. In this Letter, we demonstrate a record 15.3%-efficient 1.7 eV GaAsP top cell on GaP/Si, enabled by recent advances in material quality in conjunction with an improved device design and a high-performance antireflection coating. Furthermore, we present a separate Si bottom cell with a 1.7more » eV GaAsP optical filter to absorb most of the visible light with an efficiency of 6.3%, showing the feasibility of monolithic III-V/Si tandems with >20% efficiency. Through spectral efficiency analysis, we also compare our results to previously published GaAsP and Si devices, projecting tandem GaAsP/Si efficiencies of up to 25.6% based on current state-of-the-art individual subcells. With the aid of modeling, we further illustrate a realistic path toward 30% GaAsP/Si tandems for high-efficiency, monolithically integrated photovoltaics.« less

  9. Optical spectroscopy of disordered Ca3Ga2Ge4O14 crystal doped with manganese

    NASA Astrophysics Data System (ADS)

    Burkov, Vladimir; Alyabyeva, Liudmila; Mill, Boris; Kotov, Viacheslav

    2018-05-01

    Circular dichroism, absorption and luminescence spectra of single crystalline manganese doped calcium gallogermanate Ca3Ga2Ge4O14:Mn were investigated in 300-850 nm wavelength region in wide temperature range 8-300 K. Careful analysis of experimental results revealed presence of electron transitions typical for sixfold coordinated trivalent manganese ions with d4 electron configuration. Thus, manganese ions doping the crystal matrix of CCG incorporate into lattice in 1a octahedral site-positions substituting Ga3+ ions. The results obtained were compared with investigation of isostructural to CGG manganese doped langasite crystals, La3Ga5SiO14:Mn where dopant is in octahedral Mn4+ state.

  10. Coordination of Fe, Ga and Ge in high pressure glasses by Moessbauer, Raman and X-ray absorption spectroscopy, and geological implications

    NASA Technical Reports Server (NTRS)

    Fleet, M. E.; Henderson, G. S.; Herzberg, C. T.; Crozier, E. D.; Osborne, M. D.; Scarfe, C. M.

    1984-01-01

    For some time, it has been recognized that the structure of silicate liquids has a great bearing on such magma properties as viscosity, diffusivity, and thermal expansion and on the extrapolation of thermodynamic quantities outside of the experimentally measurable range. In this connection it is vital to know if pressure imposes changes in melt structure similar to the pressure-induced reconstructive transformations in crystals. In the present study on 1 bar and high pressure glasses, an investigation is conducted regarding the coordination of Fe(3+) in Fe silicate glasses by Moessbauer spectroscopy. Raman spectroscopy is employed to explore the coordinations of Ge(4+) in GeO2 glasses and of Ga(3+) in NaGa silicate glasses, while the coordination of Ga(3+) in NaGaSiO4 glasses is studied with the aid of methods of X-ray absorption spectroscopy.

  11. Coordination of Fe, Ga and Ge in high pressure glasses by Moessbauer, Raman and X-ray absorption spectroscopy, and geological implications

    NASA Astrophysics Data System (ADS)

    Fleet, M. E.; Herzberg, C. T.; Henderson, G. S.; Crozier, E. D.; Osborne, M. D.; Scarfe, C. M.

    1984-07-01

    For some time, it has been recognized that the structure of silicate liquids has a great bearing on such magma properties as viscosity, diffusivity, and thermal expansion and on the extrapolation of thermodynamic quantities outside of the experimentally measurable range. In this connection it is vital to know if pressure imposes changes in melt structure similar to the pressure-induced reconstructive transformations in crystals. In the present study on 1 bar and high pressure glasses, an investigation is conducted regarding the coordination of Fe(3+) in Fe silicate glasses by Moessbauer spectroscopy. Raman spectroscopy is employed to explore the coordinations of Ge(4+) in GeO2 glasses and of Ga(3+) in NaGa silicate glasses, while the coordination of Ga(3+) in NaGaSiO4 glasses is studied with the aid of methods of X-ray absorption spectroscopy.

  12. Selected AB4 2−/− (A = C, Si, Ge; B = Al, Ga, In) ions: a battle between covalency and aromaticity, and prediction of square planar Si in SiIn4 2−/−†

    PubMed Central

    Alexandrova, Anastassia N.; Nayhouse, Michael J.; Huynh, Mioy T.; Kuo, Jonathan L.; Melkonian, Arek V.; Chavez, Gerardo; Hernando, Nina M.; Kowal, Matthew D.; Liu, Chi-Ping

    2012-01-01

    CAl4 2−/− (D4h, 1A1g) is a cluster ion that has been established to be planar, aromatic, and contain a tetracoordinate planar C atom. Valence isoelectronic substitution of C with Si and Ge in this cluster leads to a radical change of structure toward distorted pentagonal species. We find that this structural change goes together with the cluster acquiring partial covalency of bonding between Si/Ge and Al4, facilitated by hybridization of the atomic orbitals (AOs). Counter intuitively, for the AAl4 2−/− (A = C, Si, Ge) clusters, hybridization in the dopant atom is strengthened from C, to Si, and to Ge, even though typically AOs are more likely to hybridize if they are closer in energy (i.e. in earlier elements in the Periodic Table). The trend is explained by the better overlap of the hybrids of the heavier dopants with the orbitals of Al4. From the thus understood trend, it is inferred that covalency in such clusters can be switched off, by varying the relative sizes of the AOs of the main element and the dopant. Using this mechanism, we then successfully killed covalency in Si, and predicted a new aromatic cluster ion containing a tetracoordinate square planar Si, SiIn4 2−/−. PMID:22868353

  13. Thermal oxidation of Si/SiGe heterostructures for use in quantum dot qubits

    NASA Astrophysics Data System (ADS)

    Neyens, Samuel F.; Foote, Ryan H.; Knapp, T. J.; McJunkin, Thomas; Savage, D. E.; Lagally, M. G.; Coppersmith, S. N.; Eriksson, M. A.

    Here we demonstrate dry thermal oxidation of a Si/SiGe heterostructure at 700°C and use a Hall bar device to measure the mobility after oxidation to be 43,000 cm2V-1s-1 at a carrier density of 4.1 ×1011 cm-2. Surprisingly, we find no significant reduction in mobility compared with an Al2O3 device made with atomic layer deposition on the same heterostructure, indicating thermal oxidation can be used to process Si/SiGe quantum dot devices. This result provides a path for investigating improvements to the gate oxide in Si/SiGe qubit devices, whose performance is believed to be limited by charge noise in the oxide layer. This work was supported in part by ARO (W911NF-12-0607) and NSF (DMR-1206915 and PHY-1104660). Development and maintenance of the growth facilities used for fabricating samples is supported by DOE (DE-FG02-03ER46028). This research utilized NSF-supported shared facilities at the University of Wisconsin-Madison.

  14. Spectroscopic ellipsometric characterization of Si/Si(1-x)Ge(x) strained-layer superlattices

    NASA Technical Reports Server (NTRS)

    Yao, H.; Woollam, J. A.; Wang, P. J.; Tejwani, M. J.; Alterovitz, S. A.

    1993-01-01

    Spectroscopic ellipsometry (SE) was employed to characterize Si/Si(1-x)Ge(x) strained-layer superlattices. An algorithm was developed, using the available optical constants measured at a number of fixed x values of Ge composition, to compute the dielectric function spectrum of Si(1-x)Ge(x) at an arbitrary x value in the spectral range 17 to 5.6 eV. The ellipsometrically determined superlattice thicknesses and alloy compositional fractions were in excellent agreement with results from high-resolution x ray diffraction studies. The silicon surfaces of the superlattices were subjected to a 9:1 HF cleaning prior to the SE measurements. The HF solution removed silicon oxides on the semiconductor surface, and terminated the Si surface with hydrogen-silicon bonds, which were monitored over a period of several weeks, after the HF cleaning, by SE measurements. An equivalent dielectric layer model was established to describe the hydrogen-terminated Si surface layer. The passivated Si surface remained unchanged for greater than 2 h, and very little surface oxidation took place even over 3 to 4 days.

  15. Light harvesting with Ge quantum dots embedded in SiO{sub 2} or Si{sub 3}N{sub 4}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cosentino, Salvatore, E-mail: Salvatore.cosentino@ct.infn.it; Raciti, Rosario; Simone, Francesca

    2014-01-28

    Germanium quantum dots (QDs) embedded in SiO{sub 2} or in Si{sub 3}N{sub 4} have been studied for light harvesting purposes. SiGeO or SiGeN thin films, produced by plasma enhanced chemical vapor deposition, have been annealed up to 850 °C to induce Ge QD precipitation in Si based matrices. By varying the Ge content, the QD diameter can be tuned in the 3–9 nm range in the SiO{sub 2} matrix, or in the 1–2 nm range in the Si{sub 3}N{sub 4} matrix, as measured by transmission electron microscopy. Thus, Si{sub 3}N{sub 4} matrix hosts Ge QDs at higher density and more closely spaced thanmore » SiO{sub 2} matrix. Raman spectroscopy revealed a higher threshold for amorphous-to-crystalline transition for Ge QDs embedded in Si{sub 3}N{sub 4} matrix in comparison with those in the SiO{sub 2} host. Light absorption by Ge QDs is shown to be more effective in Si{sub 3}N{sub 4} matrix, due to the optical bandgap (0.9–1.6 eV) being lower than in SiO{sub 2} matrix (1.2–2.2 eV). Significant photoresponse with a large measured internal quantum efficiency has been observed for Ge QDs in Si{sub 3}N{sub 4} matrix when they are used as a sensitive layer in a photodetector device. These data will be presented and discussed, opening new routes for application of Ge QDs in light harvesting devices.« less

  16. Heteroepitaxial Growth of Ferromagnetic MnSb(0001) Films on Ge/Si(111) Virtual Substrates.

    PubMed

    Burrows, Christopher W; Dobbie, Andrew; Myronov, Maksym; Hase, Thomas P A; Wilkins, Stuart B; Walker, Marc; Mudd, James J; Maskery, Ian; Lees, Martin R; McConville, Christopher F; Leadley, David R; Bell, Gavin R

    2013-11-06

    Molecular beam epitaxial growth of ferromagnetic MnSb(0001) has been achieved on high quality, fully relaxed Ge(111)/Si(111) virtual substrates grown by reduced pressure chemical vapor deposition. The epilayers were characterized using reflection high energy electron diffraction, synchrotron hard X-ray diffraction, X-ray photoemission spectroscopy, and magnetometry. The surface reconstructions, magnetic properties, crystalline quality, and strain relaxation behavior of the MnSb films are similar to those of MnSb grown on GaAs(111). In contrast to GaAs substrates, segregation of substrate atoms through the MnSb film does not occur, and alternative polymorphs of MnSb are absent.

  17. Electronic structure and dynamics of thin Ge/GaAs(110) heterostructures

    NASA Astrophysics Data System (ADS)

    Haight, R.; Silberman, J. A.

    1990-10-01

    Using angle-resolved picosecond laser photoemission we have investigated both occupied and transiently excited empty states at the surface of Ge grown epitaxially on GaAs(110). We observe a normally unoccupied, Ge layer derived state whose separation from the valence-band maximum of the system is 700±50 meV at six monolayers Ge coverage. The evolution of the electronic structure is followed as a function of coverage and correlated with low-energy electron diffraction. The time dependence of the transiently occupied Ge signal is compared with that of the clean GaAs(110) surface and shows that electrons are prevented from diffusing into the GaAs bulk by the conduction-band offset of 330±40 meV.

  18. Surface tension and density of Si-Ge melts

    NASA Astrophysics Data System (ADS)

    Ricci, Enrica; Amore, Stefano; Giuranno, Donatella; Novakovic, Rada; Tuissi, Ausonio; Sobczak, Natalia; Nowak, Rafal; Korpala, Bartłomiej; Bruzda, Grzegorz

    2014-06-01

    In this work, the surface tension and density of Si-Ge liquid alloys were determined by the pendant drop method. Over the range of measurements, both properties show a linear temperature dependence and a nonlinear concentration dependence. Indeed, the density decreases with increasing silicon content exhibiting positive deviation from ideality, while the surface tension increases and deviates negatively with respect to the ideal solution model. Taking into account the Si-Ge phase diagram, a simple lens type, the surface tension behavior of the Si-Ge liquid alloys was analyzed in the framework of the Quasi-Chemical Approximation for the Regular Solutions model. The new experimental results were compared with a few data available in the literature, obtained by the containerless method.

  19. MAX phase – Alumina composites via elemental and exchange reactions in the Ti{sub n+1}AC{sub n} systems (A=Al, Si, Ga, Ge, In and Sn)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cuskelly, Dylan, E-mail: dylan.cuskelly@uon.edu.au; Richards, Erin; Kisi, Erich, E-mail: Erich.Kisi@newcastle.edu.au

    2016-05-15

    Extension of the aluminothermal exchange reaction synthesis of M{sub n+1}AX{sub n} phases to systems where the element ‘A’ is not the reducing agent was investigated in systems TiO{sub 2}–A–Al–C for A=Al, Si, Ga, Ge, In and Sn as well as Cr{sub 2}O{sub 3}–Ga–Al–C. MAX phase-Al{sub 2}O{sub 3} composites were made in all systems except those with A=Ga or In. The effectiveness of conversion to MAX phases was generally in the range 63–96% without optimisation of starting ratios. Optimisation in the Ti–Si–C system gave a MAX phase component with >98% Ti{sub 3}SiC{sub 2}. - Graphical abstract: A range of Ti{sub n+1}AX{submore » n} phases with different A elements were synthesised directly from the M oxide via exchange reactions. The process has now been shown to be general in all the systems marked in green in the table. - Highlights: • Ti{sub n+1}AC{sub n} phases were produced via a single step exchange reaction. • 3 MAX phase systems were successful via this method for the first time. • Cr{sub 2}GeC was also able to be produced via an exchange reaction. • The interconversion reaction in MAX phases is more general than previously thought.« less

  20. Wet oxidation of GeSi strained layers by rapid thermal processing

    NASA Astrophysics Data System (ADS)

    Nayak, D. K.; Kamjoo, K.; Park, J. S.; Woo, J. C. S.; Wang, K. L.

    1990-07-01

    A cold-wall rapid thermal processor is used for the wet oxidation of the commensurately grown GexSi1-x layers on Si substrates. The rate of oxidation of the GexSi1-x layer is found to be significantly higher than that of pure Si, and the oxidation rate increases with the increase in the Ge content in GexSi1-x layer. The oxidation rate of GexSi1-x appears to decrease with increasing oxidation time for the time-temperature cycles considered here. Employing high-frequency and quasi-static capacitance-voltage measurements, it is found that a fixed negative oxide charge density in the range of 1011- 1012/cm2 and the interface trap level density (in the mid-gap region) of about 1012/cm2 eV are present. Further, the density of this fixed interface charge at the SiO2/GeSi interface is found to increase with the Ge concentration in the commensurately grown GeSi layers.

  1. Crystal growth kinetics in undercooled melts of pure Ge, Si and Ge-Si alloys.

    PubMed

    Herlach, Dieter M; Simons, Daniel; Pichon, Pierre-Yves

    2018-02-28

    We report on measurements of crystal growth dynamics in semiconducting pure Ge and pure Si melts and in Ge 100- x Si x ( x  = 25, 50, 75) alloy melts as a function of undercooling. Electromagnetic levitation techniques are applied to undercool the samples in a containerless way. The growth velocity is measured by the utilization of a high-speed camera technique over an extended range of undercooling. Solidified samples are examined with respect to their microstructure by scanning electron microscopic investigations. We analyse the experimental results of crystal growth kinetics as a function of undercooling within the sharp interface theory developed by Peter Galenko. Transitions of the atomic attachment kinetics are found at large undercoolings, from faceted growth to dendrite growth.This article is part of the theme issue 'From atomistic interfaces to dendritic patterns'. © 2018 The Author(s).

  2. Si/Ge Junctions Formed by Nanomembrane Bonding

    DTIC Science & Technology

    2011-01-01

    hydrophobic bonding of a 200 nm thick 14. ABSTRACT monocrystalline Si(001) membrane to a bulk Ge(001) wafer. The membrane bond has an extremely high...temperature hydrophobic bonding of a 200 nm thick monocrystalline Si(001) membrane to a bulk Ge(001) wafer. The membrane bond has an extremely high quality...them. A RTIC LE KIEFER ET AL. VOL. 5 ’ NO. 2 ’ 1179–1189 ’ 2011 1182 www.acsnano.org monocrystalline . The interfacial region appears to be amorphous

  3. Atomistic simulations of thermal transport in Si and SiGe based materials: From bulk to nanostructures

    NASA Astrophysics Data System (ADS)

    Savic, Ivana; Mingo, Natalio; Donadio, Davide; Galli, Giulia

    2010-03-01

    It has been recently proposed that Si and SiGe based nanostructured materials may exhibit low thermal conductivity and overall promising properties for thermoelectric applications. Hence there is a considerable interest in developing accurate theoretical and computational methods which can help interpret recent measurements, identify the physical origin of the reduced thermal conductivity, as well as shed light on the interplay between disorder and nanostructuring in determining a high figure of merit. In this work, we investigate the capability of an atomistic Green's function method [1] to describe phonon transport in several types of Si and SiGe based systems: amorphous Si, SiGe alloys, planar and nanodot Si/SiGe multilayers. We compare our results with experimental data [2,3], and with the findings of molecular dynamics simulations and calculations based on the Boltzmann transport equation. [1] I. Savic, N. Mingo, and D. A. Stewart, Phys. Rev. Lett. 101, 165502 (2008). [2] S.-M. Lee, D. G. Cahill, and R. Venkatasubramanian, Appl. Phys. Lett. 70, 2957 (1997). [3] G. Pernot et al., submitted.

  4. Electron mobility enhancement in epitaxial multilayer Si-Si/1-x/Ge/x/ alloy films on /100/Si

    NASA Technical Reports Server (NTRS)

    Manasevit, H. M.; Gergis, I. S.; Jones, A. B.

    1982-01-01

    Enhanced Hall-effect mobilities have been measured in epitaxial (100)-oriented multilayer n-type Si/Si(1-x)Ge(x) films grown on single-crystal Si substrates by chemical vapor deposition. Mobilities from 20 to 40% higher than that of epitaxial Si layers and about 100% higher than that of epitaxial SiGe layers on Si were measured for the doping range 8 x 10 to the 15th to 10 to the 17th/cu cm. No mobility enhancement was observed in multilayer p-type (100) films and n-type (111)-oriented films. Experimental studies included the effects upon film properties of layer composition, total film thickness, doping concentrations, layer thickness, and growth temperature.

  5. Thermal transport through Ge-rich Ge/Si superlattices grown on Ge(0 0 1)

    NASA Astrophysics Data System (ADS)

    Thumfart, L.; Carrete, J.; Vermeersch, B.; Ye, N.; Truglas, T.; Feser, J.; Groiss, H.; Mingo, N.; Rastelli, A.

    2018-01-01

    The cross-plane thermal conductivities of Ge-rich Si/Ge superlattices have been measured using both time-domain thermoreflectance and the differential 3ω method. The superlattices were grown by molecular beam epitaxy on Ge(0 0 1) substrates. Crystal quality and structural information were investigated by x-ray diffractometry and transmission electron microscopy. The influence of segregation during growth on the composition profiles was modeled using the experimental growth temperatures and deposition rates. Those profiles were then employed to obtain parameter-free theoretical estimates of the thermal conductivity by combining first-principles calculations, Boltzmann transport theory and phonon Green’s functions. Good agreement between theory and experiment is observed. The thermal conductivity shows a strong dependence on the composition and the thickness of the samples. Moreover, the importance of the composition profile is reflected in the fact that the thermal conductivity of the superlattices is considerably lower than predicted values for alloys with the same average composition and thickness. Measurement on different samples with the same Si layer thickness and number of periods, but different Ge layer thickness, show that the thermal resistance is only weakly dependent on the Ge layers. We analyze this phenomenon based on the first-principles mode, and build an approximate parametrization showing that, in this regime, the resistivity of a SL is roughly linear on the amount of Si.

  6. Characterization testing of MEASAT GaAs/Ge solar cell assemblies

    NASA Technical Reports Server (NTRS)

    Brown, Mike R.; Garcia, Curtis A.; Goodelle, George S.; Powe, Joseph S.; Schwartz, Joel A.

    1996-01-01

    The first commercial communications satellite with gallium-arsenide on germanium (GaAs/Ge) solar arrays is scheduled for launch in December 1995. The spacecraft, named MEASAT, was built by Hughes Space and Communications Company. The solar cell assemblies consisted of large area GaAs/Ge cells supplied by Spectrolab Inc. with infrared reflecting (IRR) coverglass supplied by Pilkington Space Technology. A comprehensive characterization program was performed on the GaAs/Ge solar cell assemblies used on the MEASAT array. This program served two functions; first to establish the database needed to accurately predict on-orbit performance under a variety of conditions; and second, to demonstrate the ability of the solar cell assemblies to withstand all mission environments while still providing the required power at end-of-life. Characterization testing included measurement of electrical performance parameters as a function of radiation exposure, temperature, and angle of incident light; reverse bias stability; optical and thermal properties; mechanical strength tests, panel fabrication, humidity and thermal cycling environmental tests. The results provided a complete database enabling the design of the MEASAT solar array, and demonstrated that the GaAs/Ge cells meet the spacecraft requirements at end-of-life.

  7. Electrically pumped 1.3 microm room-temperature InAs/GaAs quantum dot lasers on Si substrates by metal-mediated wafer bonding and layer transfer.

    PubMed

    Tanabe, Katsuaki; Guimard, Denis; Bordel, Damien; Iwamoto, Satoshi; Arakawa, Yasuhiko

    2010-05-10

    An electrically pumped InAs/GaAs quantum dot laser on a Si substrate has been demonstrated. The double-hetero laser structure was grown on a GaAs substrate by metal-organic chemical vapor deposition and layer-transferred onto a Si substrate by GaAs/Si wafer bonding mediated by a 380-nm-thick Au-Ge-Ni alloy layer. This broad-area Fabry-Perot laser exhibits InAs quantum dot ground state lasing at 1.31 microm at room temperature with a threshold current density of 600 A/cm(2). (c) 2010 Optical Society of America.

  8. Significant reduction of thermal conductivity in Si/Ge core-shell nanowires.

    PubMed

    Hu, Ming; Giapis, Konstantinos P; Goicochea, Javier V; Zhang, Xiaoliang; Poulikakos, Dimos

    2011-02-09

    We report on the effect of germanium (Ge) coatings on the thermal transport properties of silicon (Si) nanowires using nonequilibrium molecular dynamics simulations. Our results show that a simple deposition of a Ge shell of only 1 to 2 unit cells in thickness on a single crystalline Si nanowire can lead to a dramatic 75% decrease in thermal conductivity at room temperature compared to an uncoated Si nanowire. By analyzing the vibrational density states of phonons and the participation ratio of each specific mode, we demonstrate that the reduction in the thermal conductivity of Si/Ge core-shell nanowire stems from the depression and localization of long-wavelength phonon modes at the Si/Ge interface and of high frequency nonpropagating diffusive modes.

  9. Surfactant-Mediated Growth of Ge/Si(001) Interface Studied by XPD

    NASA Astrophysics Data System (ADS)

    Gunnella, R.; Castrucci, P.; Pinto, N.; Cucculelli, P.; Davoli, I.; Sébilleau, D.; de Crescenzi, M.

    The influence of Sb as a surfactant on the formation of Si/Ge interface is studied by means of XPD (X-ray photoelectron diffraction) and AED (Auger electron diffraction) from Ge and Si core levels. The technique employed is particularly suitable for checking the film tetragonal distortion, the growth morphology and the sharpness of the interface. We found a layer by layer growth mode for 3 ML of Ge on Si(001) and related values of strain of the film close to the value predicted by the elastic theory which enforces the use of such a surfactant to obtain high quality and sharp heterostructures. In addition, studying the influence of 3 ML of the Si cap layer on the 3 ML Ge, we obtain no indication of Ge segregation into the Si cap layer. Finally, evidences of quality degradation after high temperature (T > 600°C) annealing are shown.

  10. Phonon transport control by nanoarchitecture including epitaxial Ge nanodots for Si-based thermoelectric materials

    PubMed Central

    Yamasaka, Shuto; Nakamura, Yoshiaki; Ueda, Tomohiro; Takeuchi, Shotaro; Sakai, Akira

    2015-01-01

    Phonon transport in Si films was controlled using epitaxially-grown ultrasmall Ge nanodots (NDs) with ultrahigh density for the purpose of developing Si-based thermoelectric materials. The Si/Ge ND stacked structures, which were formed by the ultrathin SiO2 film technique, exhibited lower thermal conductivities than those of the conventional nanostructured SiGe bulk alloys, despite the stacked structures having a smaller Ge fraction. This came from the large thermal resistance caused by phonon scattering at the Si/Ge ND interfaces. The phonon scattering can be controlled by the Ge ND structure, which was independent of Si layer structure for carrier transport. These results demonstrate the effectiveness of ultrasmall epitaxial Ge NDs as phonon scattering sources, opening up a route for the realisation of Si-based thermoelectric materials. PMID:26434678

  11. Strong quantum-confined Stark effect in a lattice-matched GeSiSn/GeSn multi-quantum-well structure

    NASA Astrophysics Data System (ADS)

    Peng, Ruizhi; Chunfuzhang; Han, Genquan; Hao, Yue

    2017-06-01

    This paper presents modeling and simulation of a multiple quantum well structure formed with Ge0.95Sn0.05 quantum wells separated by Ge0.51Si0.35Sn0.14 barriers for the applications. These alloy compositions are chosen to satisfy two conditions simultaneously: type-I band alignment between Ge0.95Sn0.05/Ge0.51Si0.35Sn0.14 and a lattice match between wells and barriers. This lattice match ensures that the strain-free structure can be grown upon a relaxed Ge0.51Si0.35Sn0.14 buffer on a silicon substrate - a CMOS compatible process. A electro-absorption modulator with the Ge0.95Sn0.05/Ge0.51Si0.35Sn0.14 multiple quantum well structure based on quantum-confined Stark effect(QCSE) is demonstrated in theory. The energy band diagrams of the GeSiSn/GeSn multi-quantum-well structure at 0 and 0.5V bias are calculated, respectively. And the corresponding absorption coefficients as a function of cut-off energy for this multiple quantum well structure at 0 and 0.5Vbias are also obtained, respectively. The reduction of cut-off energy is observed with the applying of the external electric field, indicating a strong QCSE in the structure.

  12. Hydrogen interaction kinetics of Ge dangling bonds at the Si{sub 0.25}Ge{sub 0.75}/SiO{sub 2} interface

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Stesmans, A., E-mail: andre.stesmans@fys.kuleuven.be; Nguyen Hoang, T.; Afanas'ev, V. V.

    2014-07-28

    The hydrogen interaction kinetics of the GeP{sub b1} defect, previously identified by electron spin resonance (ESR) as an interfacial Ge dangling bond (DB) defect occurring in densities ∼7 × 10{sup 12} cm{sup −2} at the SiGe/SiO{sub 2} interfaces of condensation grown (100)Si/a-SiO{sub 2}/Ge{sub 0.75}Si{sub 0.25}/a-SiO{sub 2} structures, has been studied as function of temperature. This has been carried out, both in the isothermal and isochronal mode, through defect monitoring by capacitance-voltage measurements in conjunction with ESR probing, where it has previously been demonstrated the defects to operate as negative charge traps. The work entails a full interaction cycle study, comprised of analysis ofmore » both defect passivation (pictured as GeP{sub b1}-H formation) in molecular hydrogen (∼1 atm) and reactivation (GeP{sub b1}-H dissociation) in vacuum. It is found that both processes can be suitably described separately by the generalized simple thermal (GST) model, embodying a first order interaction kinetics description based on the basic chemical reactions GeP{sub b1} + H{sub 2} → GeP{sub b1}H + H and GeP{sub b1}H → GeP{sub b1} + H, which are found to be characterized by the average activation energies E{sub f} = 1.44 ± 0.04 eV and E{sub d} = 2.23 ± 0.04 eV, and attendant, assumedly Gaussian, spreads σE{sub f} = 0.20 ± 0.02 eV and σE{sub d} = 0.15 ± 0.02 eV, respectively. The substantial spreads refer to enhanced interfacial disorder. Combination of the separately inferred kinetic parameters for passivation and dissociation results in the unified realistic GST description that incorporates the simultaneous competing action of passivation and dissociation, and which is found to excellently account for the full cycle data. For process times t{sub a} ∼ 35 min, it is found that even for the optimum treatment temperature ∼380 °C, only ∼60% of the GeP{sub b1

  13. Production status of GaAs/Ge solar cells and panels

    NASA Technical Reports Server (NTRS)

    Smith, B.; Gillanders, M.; Vijayakumar, P.; Lillington, D.; Yang, H.; Rolph, R.

    1991-01-01

    GaAs/Ge solar cells with lot average efficiencies in excess of 18 percent were produced by MOCVD growth techniques. A description of the cell, its performance and the production facility are discussed. Production GaAs/Ge cells of this type were recently assembled into circuits and bonded to aluminum honeycomb panels to be used as the solar array for the British UOSAT-F program.

  14. Production status of GaAs/Ge solar cells and panels

    NASA Astrophysics Data System (ADS)

    Smith, B.; Gillanders, M.; Vijayakumar, P.; Lillington, D.; Yang, H.; Rolph, R.

    1991-08-01

    GaAs/Ge solar cells with lot average efficiencies in excess of 18 percent were produced by MOCVD growth techniques. A description of the cell, its performance and the production facility are discussed. Production GaAs/Ge cells of this type were recently assembled into circuits and bonded to aluminum honeycomb panels to be used as the solar array for the British UOSAT-F program.

  15. Alleviation of Fermi level pinning at metal/n-Ge interface with lattice-matched Si x Ge1‑ x ‑ y Sn y ternary alloy interlayer on Ge

    NASA Astrophysics Data System (ADS)

    Suzuki, Akihiro; Nakatsuka, Osamu; Sakashita, Mitsuo; Zaima, Shigeaki

    2018-06-01

    The impact of a silicon germanium tin (Si x Ge1‑ x ‑ y Sn y ) ternary alloy interlayer on the Schottky barrier height (SBH) of metal/Ge contacts with various metal work functions has been investigated. Lattice matching at the Si x Ge1‑ x ‑ y Sn y /Ge heterointerface is a key factor for controlling Fermi level pinning (FLP) at the metal/Ge interface. The Si x Ge1‑ x ‑ y Sn y ternary alloy interlayer having a small lattice mismatch with the Ge substrate can alleviate FLP at the metal/Ge interface significantly. A Si0.11Ge0.86Sn0.03 interlayer increases the slope parameter for the work function dependence of the SBH to 0.4. An ohmic behavior with an SBH below 0.15 eV can be obtained with Zr and Al/Si0.11Ge0.86Sn0.03/n-Ge contacts at room temperature.

  16. Spectroscopic Studies of Semiconductor Materials for Aggressive-scaled Micro- and Opto-electronic Devices: nc-SiO2, GeO2; ng-Si, Ge and ng-Transition metal (TM) oxides

    NASA Astrophysics Data System (ADS)

    Cheng, Cheng

    Non-crystalline thin film materials are widely used in the semiconductor industry (micro- and optoelectronics) and in green energy, e.g., photovolatic applications. This dissertation under-pins these device application with studies of their electronic structures using derivative X-ray Absorption Spectroscopy (XAS) and derivative Spectroscopic Ellipsometry (SE) for the first time to experimentally determine electronic and intrinsic defect structures. Differences between electron and hole mobilities in c- (and ng-Si) and c- (and ng- Ge), make Ge channels superior to Si channels in for aggressively scaled CMOS field effect transistors (FETs). Bonding between Si and Ge substrates and gate dielectric oxides is the focus this dissertation. The primary objective of this research is to measure and interpret by ab-initio theory the electronic and intrinsic electronic defect structures mirco-electronic thin film materials. This is accomplished for the first time by combining (i) derivative XAS TEY data obtained at the Stanford Synchrotron Radiation Light Source (SSRL) with (ii) derivative Spectroscopic Ellipsometry results obtained at the J.A. Woollam Co. laboratory. All the oxides were deposited in RPECVD system with in-line AES and RHEED. Thins films and gate stacks were annealed in RTA system in Ar to determine temperature dependent changes. 2nd derivative analysis is applied on XAS and SE spectra emphasizing the conduction band (CB) and virtual bound state (VBS) regimes. 2nd derivative SE spectra for ng-Si and ng-Ge each have 3 distinct regimes: (i) 3 excitons, (ii) 2 features in the CB edge region, and (iii) 3 additional exciton features above the IP. Excitonic spectral width provides conductivity electron masses (em0*) and hence electron mobilities. The wider the energy range, the higher the electron mobility in that CB. Spectra of high-K dielectrics have an additional energy regime between the CB edge regime, and the higher eV excitons. This regime has 4 intra-d state

  17. Study of the physical properties of Ge-S-Ga glassy alloy

    NASA Astrophysics Data System (ADS)

    Rana, Anjli; Sharma, Raman

    2018-05-01

    In the present work, we have studied the effect of Ga doping on the physical properties of Ge20S80-xGax glassy alloy. The basic physical parameters which have important role in determining the structure and strength of the material viz. average coordination number, lone-pair electrons, mean bond energy, glass transition temperature, electro negativity, probabilities for bond distribution and cohesive energy have been computed theoretically for Ge-S-Ga glassy alloy. Here, the glass transition temperature and mean bond energy have been investigated using the Tichy-Ticha approach. The cohesive energy has been calculated by using chemical bond approach (CBA) method. It has been found that while average coordination number increases, all the other parameters decrease with the increase in Ga content in Ge-S-Ga system.

  18. On the radiative recombination and tunneling of charge carriers in SiGe/Si heterostructures with double quantum wells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yablonsky, A. N., E-mail: yablonsk@ipmras.ru; Zhukavin, R. Kh.; Bekin, N. A.

    For SiGe/Si(001) epitaxial structures with two nonequivalent SiGe quantum wells separated by a thin Si barrier, the spectral and time characteristics of interband photoluminescence corresponding to the radiative recombination of excitons in quantum wells are studied. For a series of structures with two SiGe quantum wells different in width, the characteristic time of tunneling of charge carriers (holes) from the narrow quantum well, distinguished by a higher exciton recombination energy, to the wide quantum well is determined as a function of the Si barrier thickness. It is shown that the time of tunneling of holes between the Si{sub 0.8}5Ge{sub 0.15}more » layers with thicknesses of 3 and 9 nm steadily decreases from ~500 to <5 ns, as the Si barrier thickness is reduced from 16 to 8 nm. At intermediate Si barrier thicknesses, an increase in the photoluminescence signal from the wide quantum well is observed, with a characteristic time of the same order of magnitude as the luminescence decay time of the narrow quantum well. This supports the observation of the effect of the tunneling of holes from the narrow to the wide quantum well. A strong dependence of the tunneling time of holes on the Ge content in the SiGe layers at the same thickness of the Si barrier between quantum wells is observed, which is attributed to an increase in the effective Si barrier height.« less

  19. Magnetotransport studies of mobility limiting mechanisms in undoped Si/SiGe heterostructures

    NASA Astrophysics Data System (ADS)

    Mi, X.; Hazard, T. M.; Payette, C.; Wang, K.; Zajac, D. M.; Cady, J. V.; Petta, J. R.

    2015-07-01

    We perform detailed magnetotransport studies on two-dimensional electron gases (2DEGs) formed in undoped Si/SiGe heterostructures in order to identify the electron mobility limiting mechanisms. By analyzing data from 26 different heterostructures, we observe a strong correlation between the background oxygen concentration in the Si quantum well and the maximum mobility. The highest-quality wafer supports a 2DEG with mobility μ =160 000 cm 2/Vs at a density n =2.17 ×1011 /cm 2 and exhibits a metal-to-insulator transition at a critical density nc=0.46 ×1011 /cm 2. We extract a valley splitting Δv˜150 μ eV at a magnetic field B =1.8 T. These results provide evidence that undoped Si/SiGe heterostructures are suitable for the fabrication of few-electron quantum dots.

  20. Modelling the influence of high currents on the cutoff frequency in Si/SiGe/Si heterojunction transistors

    NASA Astrophysics Data System (ADS)

    Briggs, P. J.; Walker, A. B.; Herbert, D. C.

    1998-05-01

    A one-dimensional self-consistent bipolar Monte Carlo simulation code has been used to model carrier mobilities in strained doped SiGe and the base-collector region of Si/SiGe/Si and SiC/Si heterojunction bipolar transistors (HBTs) with wide collectors, to study the variation of the cutoff frequency 0268-1242/13/5/005/img6 with collector current density 0268-1242/13/5/005/img7. Our results show that while the presence of strain enhances the electron mobility, the scattering from alloy disorder and from ionized impurities reduces the electron mobility so much that it is less than that of Si at the same doping level, leading to larger base transit times 0268-1242/13/5/005/img8 and hence poorer 0268-1242/13/5/005/img6 performance for large 0268-1242/13/5/005/img7 for an Si/SiGe/Si HBT than for an SiC/Si HBT. At high values of 0268-1242/13/5/005/img7, we demonstrate the formation of a parasitic electron barrier at the base-collector interface which causes a sharp increase in 0268-1242/13/5/005/img8 and hence a dramatic reduction in 0268-1242/13/5/005/img6. Based on a comparison of the height of this parasitic barrier with estimates from an analytical model, we suggest a physical mechanism for base pushout after barrier formation that differs somewhat from that given for the analytical model.

  1. Infrared absorption and admittance spectroscopy of Ge quantum dots on a strained SiGe layer

    NASA Astrophysics Data System (ADS)

    Yakimov, A. I.; Nikiforov, A. I.; Timofeev, V. A.; Dvurechenskii, A. V.

    2011-12-01

    A combined infrared absorption and admittance spectroscopy is carried out in examining the energy level structure and the hole emission process in self-assembled Ge quantum dots (QDs) placed on a strained Si0.65Ge0.35 quantum well (QW), which, in turn, is incorporated in a Si matrix. In the midinfrared spectral range, the dots exhibit three dominant absorption bands peaked at 130, 250 and 390 meV. By a comparison between absorption measurements and six-band {\\bf k}\\;{\\bm \\cdot}\\;{\\bf p} calculations, the long-wave (~130 meV) resonance is attributed to a transition from the QD hole ground state to the two-dimensional heavy-hole states confined in the Si0.65Ge0.35 layer. The mid-wave absorption band around 390 meV is ascribed to a transition from the QD hole ground state to the three-dimensional continuum states of the Si matrix. An equivalent absorption cross section for these two types of transitions is determined to be 1.2 × 10-15 cm2 and 1.2 × 10-16 cm2, respectively. The origin of the transmission minimum around 250 meV is more ambiguous. We tentatively propose that it can be due to transition either from the highest heavy-hole subband of the Si0.65Ge0.35 QW to continuum states above the Si barrier or from the dot states to the light-hole and split-off subbands of the Si0.65Ge0.35 layer. The photoinduced bleaching of the near-infrared absorption is detected under interband optical excitation of undoped samples. This finding is explained by blocking the interband transitions inside the dots due to the state filling effect. By using the admittance spectroscopy, the mechanism of hole escape from QDs in the presence of an ac vertical electric field is identified. A thermally activated emission from the QD ground state into the two-dimensional states of the Si0.65Ge0.35 well is observed. From the temperature- and frequency-dependent measurements the QD hole ground state is determined to be located ~160 meV below the heavy-hole subband of the Si0.65Ge0

  2. Development of Si(1-x)Ge(x) technology for microwave sensing applications

    NASA Technical Reports Server (NTRS)

    Mena, Rafael A.; Taub, Susan R.; Alterovitz, Samuel A.; Young, Paul E.; Simons, Rainee N.; Rosenfeld, David

    1993-01-01

    The progress for the first year of the work done under the Director's Discretionary Fund (DDF) research project entitled, 'Development of Si(1-x)Ge(x) Technology for Microwave Sensing Applications.' This project includes basic material characterization studies of silicon-germanium (SiGe), device processing on both silicon (Si) and SiGe substrates, and microwave characterization of transmission lines on silicon substrates. The material characterization studies consisted of ellipsometric and magneto-transport measurements and theoretical calculations of the SiGe band-structure. The device fabrication efforts consisted of establishing SiGe device processing capabilities in the Lewis cleanroom. The characterization of microwave transmission lines included studying the losses of various coplanar transmission lines and the development of transitions on silicon. Each part of the project is discussed individually and the findings for each part are presented. Future directions are also discussed.

  3. (abstract) Transmission Electron Microscopy of Al(sub x)Ga(sub 1-x)N/SiC Multilayer Structures Grown on Sapphire Substrates

    NASA Technical Reports Server (NTRS)

    Pike, W. T.; George, T.; Khan, M. A.; Kuznia, J. N.

    1994-01-01

    The potential of wide-band-gap III-V nitrides as ultraviolet sensors and light emitters has prompted an increasing amount of work recently, including the fabrication of the first UV sensors from as-deposited single crystal GaN. We have used high resolution transmission electron microscopy (TEM) to study the microstructure of two novel developments of wide-band-gap III-V nitrides: the growth of ultra-short period GaN/AlN superlattices; and the incorporation of SiC layers into Al(sub x)Ga(sub 1-x)N structures. By varying the relative periods in a GaN/AlN superlattice, the band gap of the composite can be tailored to lie between the elemental values of 365 nm for GaN and 200 nm for AlN. The group IV semiconductor, SiC, has a wide band-gap and has a close lattice match (less than 3 %) to Al(sub x)Ga(sub 1-x)N for growth on the basal plane. Demonstration of epitaxial growth for Al(sub x)Ga(sub 1-x)N/SiC multilayers would introduce a wide band-gap analog to the already existing family of III-V and Si(sub 1-x)Ge(sub x) heteroepitaxial growth systems. Although good quality growth of GaN on SiC substrates has been demonstrated, Al(sub x)Ga(sub 1-x)N/SiC multilayer structures have never been grown and the interfacial structure is unknown.

  4. Continuation of comprehensive quality control of the itG 68Ge/68Ga generator and production of 68Ga-DOTATOC and 68Ga-PSMA-HBED-CC for clinical research studies.

    PubMed

    Amor-Coarasa, Alejandro; Kelly, James M; Gruca, Monika; Nikolopoulou, Anastasia; Vallabhajosula, Shankar; Babich, John W

    2017-10-01

    Performance of a second itG 68 Ge/ 68 Ga generator system and production of 68 Ga-DOTATOC and 68 Ga-PSMA-HBED-CC were tested over one year as an accompaniment to a previously published study (J Nucl Med. 2016;57:1402-1405). Performance of a 1951MBq 68 Ge/ 68 Ga generator was characterized and the eluate used for preparation of 68 Ga-DOTATOC and 68 Ga-PSMA-HBED-CC. Weekly elution profiles of 68 Ga elution yield and 68 Ge breakthrough were determined. 68 Ga elution yields averaged 82% (61.8-98.4%) and 68 Ge breakthrough averaged 0.002% (0.0007% to 0.004%). The radiochemical purities of 68 Ga-DOTATOC and 68 Ga-PSMA-HBED-CC were determined by HPLC analysis to be >98% and specific activity was 12.6 and 42GBq/μmol, respectively. 68 Ge contamination in the product was under the detection limit (0.00001%). Final sterile, pyrogen-free formulation of 68 Ga-DOTATOC and 68 Ga-PSMA-HBED-CC in physiologic saline with 5%-7% ethanol was achieved. Performance of a 68 Ge/ 68 Ga generator was studied over one year with satisfactory results. The generator eluate was used to synthesize 68 Ga-DOTATOC and 68 Ga-PSMA-HBED-CC on a routine basis in high purity. Copyright © 2017. Published by Elsevier Inc.

  5. Thermoelectric properties of hot-pressed fine particulate powder SiGe alloys

    NASA Technical Reports Server (NTRS)

    Beaty, John S.; Rolfe, Jonathan; Vandersande, Jan

    1991-01-01

    A novel material system and its fabrication technique have been defined and applied to the production of SiGe thermoelectric material through the hot pressing of 50-100 A ultrafine particulates into 80/20 SiGe. Relative to conventionally processed SiGe, a reduction of thermal conductivity of up to 40 percent is achieved in conjunction with an enhancement of material figure-of-merit of the order of 10-15 percent.

  6. Thermal conductivity of hexagonal Si, Ge, and Si1-xGex alloys from first-principles

    NASA Astrophysics Data System (ADS)

    Gu, Xiaokun; Zhao, C. Y.

    2018-05-01

    Hexagonal Si and Ge with a lonsdaleite crystal structure are allotropes of silicon and germanium that have recently been synthesized. These materials as well as their alloys are promising candidates for novel applications in optoelectronics. In this paper, we systematically study the phonon transport and thermal conductivity of hexagonal Si, Ge, and their alloys by using the first-principle-based Peierls-Boltzmann transport equation approach. Both three-phonon and four-phonon scatterings are taken into account in the calculations as the phonon scattering mechanisms. The thermal conductivity anisotropy of these materials is identified. While the thermal conductivity parallel to the hexagonal plane for hexagonal Si and Ge is found to be larger than that perpendicular to the hexagonal plane, alloying effectively tunes the thermal conductivity anisotropy by suppressing the thermal conductivity contributions from the middle-frequency phonons. The importance of four-phonon scatterings is assessed by comparing the results with the calculations without including four-phonon scatterings. We find that four-phonon scatterings cannot be ignored in hexagonal Si and Ge as the thermal conductivity would be overestimated by around 10% (40%) at 300 K (900) K. In addition, the phonon mean free path distribution of hexagonal Si, Ge, and their alloys is also discussed.

  7. The Effects of Annealing Temperatures on Composition and Strain in SixGe1−x Obtained by Melting Growth of Electrodeposited Ge on Si (100)

    PubMed Central

    Abidin, Mastura Shafinaz Zainal; Morshed, Tahsin; Chikita, Hironori; Kinoshita, Yuki; Muta, Shunpei; Anisuzzaman, Mohammad; Park, Jong-Hyeok; Matsumura, Ryo; Mahmood, Mohamad Rusop; Sadoh, Taizoh; Hashim, Abdul Manaf

    2014-01-01

    The effects of annealing temperatures on composition and strain in SixGe1−x, obtained by rapid melting growth of electrodeposited Ge on Si (100) substrate were investigated. Here, a rapid melting process was performed at temperatures of 1000, 1050 and 1100°C for 1 s. All annealed samples show single crystalline structure in (100) orientation. A significant appearance of Si-Ge vibration mode peak at ~00 cm−1 confirms the existence of Si-Ge intermixing due to out-diffusion of Si into Ge region. On a rapid melting process, Ge melts and reaches the thermal equilibrium in short time. Si at Ge/Si interface begins to dissolve once in contact with the molten Ge to produce Si-Ge intermixing. The Si fraction in Si-Ge intermixing was calculated by taking into account the intensity ratio of Ge-Ge and Si-Ge vibration mode peaks and was found to increase with the annealing temperatures. It is found that the strain turns from tensile to compressive as the annealing temperature increases. The Si fraction dependent thermal expansion coefficient of SixGe1−x is a possible cause to generate such strain behavior. The understanding of compositional and strain characteristics is important in Ge/Si heterostructure as these properties seem to give significant effects in device performance. PMID:28788521

  8. SiGe:C Heterojunction Bipolar Transistors: From Materials Research to Chip Fabrication

    NASA Astrophysics Data System (ADS)

    Ruecker, H.; Heinemann, B.; Knoll, D.; Ehwald, K.-E.

    Incorporation of substitutional carbon ( ~10^20 cm^-3) into the SiGe region of a heterojunction bipolar transistor (HBT) strongly reduces boron diffusion during device processing. We describe the physical mechanism behind the suppression of B diffusion in C-rich Si and SiGe, and explain how the increased thermal stability of doping profiles in SiGe:C HBTs can be used to improve device performance. Manufacturability of SiGe:C HBTs with transit frequencies of 100 GHz and maximum oscillation frequencies of 130 GHz is demonstrated in a BiCMOS technology capable of fabricating integrated circuits for radio frequencies with high yield.

  9. Growth and characterization of gold catalyzed SiGe nanowires and alternative metal-catalyzed Si nanowires

    PubMed Central

    2011-01-01

    The growth of semiconductor (SC) nanowires (NW) by CVD using Au-catalyzed VLS process has been widely studied over the past few years. Among others SC, it is possible to grow pure Si or SiGe NW thanks to these techniques. Nevertheless, Au could deteriorate the electric properties of SC and the use of other metal catalysts will be mandatory if NW are to be designed for innovating electronic. First, this article's focus will be on SiGe NW's growth using Au catalyst. The authors managed to grow SiGe NW between 350 and 400°C. Ge concentration (x) in Si1-xGex NW has been successfully varied by modifying the gas flow ratio: R = GeH4/(SiH4 + GeH4). Characterization (by Raman spectroscopy and XRD) revealed concentrations varying from 0.2 to 0.46 on NW grown at 375°C, with R varying from 0.05 to 0.15. Second, the results of Si NW growths by CVD using alternatives catalysts such as platinum-, palladium- and nickel-silicides are presented. This study, carried out on a LPCVD furnace, aimed at defining Si NW growth conditions when using such catalysts. Since the growth temperatures investigated are lower than the eutectic temperatures of these Si-metal alloys, VSS growth is expected and observed. Different temperatures and HCl flow rates have been tested with the aim of minimizing 2D growth which induces an important tapering of the NW. Finally, mechanical characterization of single NW has been carried out using an AFM method developed at the LTM. It consists in measuring the deflection of an AFM tip while performing approach-retract curves at various positions along the length of a cantilevered NW. This approach allows the measurement of as-grown single NW's Young modulus and spring constant, and alleviates uncertainties inherent in single point measurement. PMID:21711709

  10. Epitaxial Ge Solar Cells Directly Grown on Si (001) by MOCVD Using Isobutylgermane

    NASA Astrophysics Data System (ADS)

    Kim, Youngjo; Kim, Kangho; Lee, Jaejin; Kim, Chang Zoo; Kang, Ho Kwan; Park, Won-Kyu

    2018-03-01

    Epitaxial Ge layers have been grown on Si (001) substrates by metalorganic chemical vapor deposition (MOCVD) using an isobutylgermane (IBuGe) metalorganic source. Low and high temperature two-step growth and post annealing techniques are employed to overcome the lattice mismatch problem between Ge and Si. It is demonstrated that high quality Ge epitaxial layers can be grown on Si (001) by using IBuGe with surface RMS roughness of 2 nm and an estimated threading dislocation density of 4.9 × 107 cm -2. Furthermore, single-junction Ge solar cells have been directly grown on Si substrates with an in situ MOCVD growth. The epitaxial Ge p- n junction structures are investigated with transmission electron microscopy and electrochemical C- V measurements. As a result, a power conversion efficiency of 1.69% was achieved for the Ge solar cell directly grown on Si substrate under AM1.5G condition.

  11. Low Temperature Rhombohedral Single Crystal SiGe Epitaxy on c-plane Sapphire

    NASA Technical Reports Server (NTRS)

    Duzik, Adam J.; Choi, Sang H.

    2016-01-01

    Current best practice in epitaxial growth of rhombohedral SiGe onto (0001) sapphire (Al2O3) substrate surfaces requires extreme conditions to grow a single crystal SiGe film. Previous models described the sapphire surface reconstruction as the overriding factor in rhombohedral epitaxy, requiring a high temperature Al-terminated surface for high quality films. Temperatures in the 850-1100 C range were thought to be necessary to get SiGe to form coherent atomic matching between the (111) SiGe plane and the (0001) sapphire surface. Such fabrication conditions are difficult and uneconomical, hindering widespread application. This work proposes an alternative model that considers the bulk sapphire structure and determines how the SiGe film nucleates and grows. Accounting for thermal expansion effects, calculations using this new model show that both pure Ge and SiGe can form single crystal films in the 450-550 C temperature range. Experimental results confirm these predictions, where x-ray diffraction and atomic force microscopy show the films fabricated at low temperature rival the high temperature films in crystallographic and surface quality. Finally, an explanation is provided for why films of comparable high quality can be produced in either temperature range.

  12. Comparative study of GeO 2/Ge and SiO 2/Si structures on anomalous charging of oxide films upon water adsorption revealed by ambient-pressure X-ray photoelectron spectroscopy

    DOE PAGES

    Mori, Daichi; Oka, Hiroshi; Hosoi, Takuji; ...

    2016-09-02

    The energy difference between the oxide and bulk peaks in X-ray photoelectron spectroscopy (XPS) spectra was investigated in this paper for both GeO 2/Ge and SiO 2/Si structures with thickness-controlled water films. This was achieved by obtaining XPS spectra at various values of relative humidity (RH) of up to ~15%. The increase in the energy shift is more significant for thermal GeO 2 on Ge than for thermal SiO 2 on Si above ~10 -4% RH, which is due to the larger amount of water molecules that infiltrate into the GeO 2 film to form hydroxyls. Analyzing the origins ofmore » this energy shift, we propose that the positive charging of a partially hydroxylated GeO 2 film, which is unrelated to X-ray irradiation, causes the larger energy shift for GeO 2/Ge than for SiO 2/Si. A possible microscopic mechanism of this intrinsic positive charging is the emission of electrons from adsorbed water species in the suboxide layer of the GeO 2 film to the Ge bulk, leaving immobile cations or positively charged states in the oxide. Finally, this may be related to the reported negative shift of flat band voltages in metal-oxide-semiconductor diodes with an air-exposed GeO 2 layer.« less

  13. Large-area ordered Ge-Si compound quantum dot molecules on dot-patterned Si (001) substrates

    NASA Astrophysics Data System (ADS)

    Lei, Hui; Zhou, Tong; Wang, Shuguang; Fan, Yongliang; Zhong, Zhenyang

    2014-08-01

    We report on the formation of large-area ordered Ge-Si compound quantum dot molecules (CQDMs) in a combination of nanosphere lithography and self-assembly. Truncated-pyramid-like Si dots with {11n} facets are readily formed, which are spatially ordered in a large area with controlled period and size. Each Si dot induces four self-assembled Ge-rich dots at its base edges that can be fourfold symmetric along <110> directions. A model based on surface chemical potential accounts well for these phenomena. Our results disclose the critical effect of surface curvature on the diffusion and the aggregation of Ge adatoms and shed new light on the unique features and the inherent mechanism of self-assembled QDs on patterned substrates. Such a configuration of one Si QD surrounded by fourfold symmetric Ge-rich QDs can be seen as a CQDM with unique features, which will have potential applications in novel devices.

  14. Characterization testing of MEASAT GaAs/Ge solar cell assemblies

    NASA Technical Reports Server (NTRS)

    Brown, Mike R.; Garcia, Curtis A.; Goodelle, George S.; Powe, Joseph S.; Schwartz, Joel A.

    1995-01-01

    The first commercial communications satellite with gallium-arsenide on germanium (GaAs/Ge) solar arrays is scheduled for launch in December 1995. The spacecraft, named MEASAT, was built by hughes Space and Telecommunications company for Binariang Satellite Systems of Malaysia. The solar cell assemblies consisted of large area GaAs/Ge cells supplied by Spectrolab Inc. with infrared reflecting (IRR) coverglass supplied by Pilkington Space Technology. A comprehensive characterization program was performed on the GaAs/Ge solar cell assemblies used on the MEASAT array. This program served two functions; first to establish the database needed to accurately predict on-orbit performance under a variety of conditions; and second, to demonstrate the ability of the solar cell assemblies to withstand all mission environments while still providing the required power at end-of-life. characterization testing included measurement of electrical performance parameters as a function of radiation exposure, temperature, and angle of incident light; reverse bias stability; optical and thermal properties; mechanical strength tests, panel fabrication, humidity and thermal cycling environmental tests. The results provided a complete database enabling the design of the MEASAT solar array, and demonstrated that the GaAs/Ge cells meet the spacecraft requirements at end-of-life.

  15. Sublattice reversal in GaAs/Ge/GaAs (113)B heterostructures and its application to THz emitting devices based on a coupled multilayer cavity

    NASA Astrophysics Data System (ADS)

    Lu, Xiangmeng; Kumagai, Naoto; Minami, Yasuo; Kitada, Takahiro

    2018-04-01

    We fabricated a coupled multilayer cavity with a GaAs/Ge/GaAs sublattice reversal structure for terahertz emission application. Sublattice reversal in GaAs/Ge/GaAs was confirmed by comparing the anisotropic etching profile of an epitaxial sample with those of reference (113)A and (113)B GaAs substrates. The interfaces of GaAs/Ge/GaAs were evaluated at the atomic level by scanning transmission electron microscopy (STEM) and energy-dispersive X-ray spectroscopy (EDX) mapping. Defect-free GaAs/Ge/GaAs heterostructures were observed in STEM images and the sublattice lattice was directly seen through atomic arrangements in EDX mapping. A GaAs/AlAs coupled multilayer cavity with a sublattice reversal structure was grown on the (113)B GaAs substrate after the confirmation of sublattice reversal. Smooth GaAs/AlAs interfaces were formed over the entire region of the coupled multilayer cavity structure both below and above the Ge layer. Two cavity modes with a frequency difference of 2.9 THz were clearly observed.

  16. Surface Morphology Transformation Under High-Temperature Annealing of Ge Layers Deposited on Si(100).

    PubMed

    Shklyaev, A A; Latyshev, A V

    2016-12-01

    We study the surface morphology and chemical composition of SiGe layers after their formation under high-temperature annealing at 800-1100 °C of 30-150 nm Ge layers deposited on Si(100) at 400-500 °C. It is found that the annealing leads to the appearance of the SiGe layers of two types, i.e., porous and continuous. The continuous layers have a smoothened surface morphology and a high concentration of threading dislocations. The porous and continuous layers can coexist. Their formation conditions and the ratio between their areas on the surface depend on the thickness of deposited Ge layers, as well as on the temperature and the annealing time. The data obtained suggest that the porous SiGe layers are formed due to melting of the strained Ge layers and their solidification in the conditions of SiGe dewetting on Si. The porous and dislocation-rich SiGe layers may have properties interesting for applications.

  17. Broadband Ge/SiGe quantum dot photodetector on pseudosubstrate

    PubMed Central

    2013-01-01

    We report the fabrication and characterization of a ten-period Ge quantum dot photodetector grown on SiGe pseudosubstrate. The detector exhibits tunable photoresponse in both 3- to 5- μm and 8- to 12- μm spectral regions with responsivity values up to about 1 mA/W at a bias of −3 V and operates under normal incidence radiation with background limited performance at 100 K. The relative response in the mid- and long-wave atmospheric windows could be controlled through the applied voltage. PMID:23651470

  18. Structural and electrical investigations of MBE-grown SiGe nanoislands

    NASA Astrophysics Data System (ADS)

    Şeker, İsa; Karatutlu, Ali; Gürbüz, Osman; Yanık, Serhat; Bakış, Yakup; Karakız, Mehmet

    2018-01-01

    SiGe nanoislands were grown by Molecular Beam Epitaxy (MBE) method on Si (100) substrates with comparative growth parameters such as annealing temperature, top Ge content and layer-by-layer annealing (LBLA). XRD and Raman data suggest that annealing temperature, top Ge content and layer-by-layer annealing (LBLA) can overall give a control not only over the amorphous content but also over yielding the strained Ge layer formation in addition to mostly Ge crystallites. Depending on the layer design and growth conditions, size of the crystallites was observed to be changed. Four Point Probe (FPP) Method via Semiconductor Analyzer shows that 100 °C rise in annealing temperature of the samples with Si0.25Ge0.75 top layers caused rougher islands with vacancies which further resulted in the formation of laterally higher resistive thin film sheets. However, vertically performed I-AFM analysis produced higher I-V values which suggest that the vertical and horizantal conductance mechanisms appear to be different. Ge top-layered samples gained greater crystalline structure and better surface conductivity where LBLA resulted in the formation of Ge nucleation and tight 2D stacking resulting in enhanced current values.

  19. Selective Etching of Silicon in Preference to Germanium and Si0.5Ge0.5.

    PubMed

    Ahles, Christopher F; Choi, Jong Youn; Wolf, Steven; Kummel, Andrew C

    2017-06-21

    The selective etching characteristics of silicon, germanium, and Si 0.5 Ge 0.5 subjected to a downstream H 2 /CF 4 /Ar plasma have been studied using a pair of in situ quartz crystal microbalances (QCMs) and X-ray photoelectron spectroscopy (XPS). At 50 °C and 760 mTorr, Si can be etched in preference to Ge and Si 0.5 Ge 0.5 , with an essentially infinite Si/Ge etch-rate ratio (ERR), whereas for Si/Si 0.5 Ge 0.5 , the ERR is infinite at 22 °C and 760 mTorr. XPS data showed that the selectivity is due to the differential suppression of etching by a ∼2 ML thick C x H y F z layer formed by the H 2 /CF 4 /Ar plasma on Si, Ge, and Si 0.5 Ge 0.5 . The data are consistent with the less exothermic reaction of fluorine radicals with Ge or Si 0.5 Ge 0.5 being strongly suppressed by the C x H y F z layer, whereas, on Si, the C x H y F z layer is not sufficient to completely suppress etching. Replacing H 2 with D 2 in the feed gas resulted in an inverse kinetic isotope effect (IKIE) where the Si and Si 0.5 Ge 0.5 etch rates were increased by ∼30 times with retention of significant etch selectivity. The use of D 2 /CF 4 /Ar instead of H 2 /CF 4 /Ar resulted in less total carbon deposition on Si and Si 0.5 Ge 0.5 and gave less Ge enrichment of Si 0.5 Ge 0.5 . These results are consistent with the selectivity being due to the differential suppression of etching by an angstrom-scale carbon layer.

  20. Device simulation of GeSn/GeSiSn pocket n-type tunnel field-effect transistor for analog and RF applications

    NASA Astrophysics Data System (ADS)

    Wang, Suyuan; Zheng, Jun; Xue, Chunlai; Li, Chuanbo; Zuo, Yuhua; Cheng, Buwen; Wang, Qiming

    2017-11-01

    We present the device simulations of analog and radio frequency (RF) performances of four double-gate pocket n-type tunneling field-effect transistors (NTFETs). The direct current (DC), analog and RF performances of the Ge-homo, GeSn-homo, GeSn/Ge and GeSn/GeSiSn NTFETs, are compared. The GeSn NTFETs greatly improve the on-state current (ION) and average subthreshold slope (SS), when compared with the Ge NTFET. Moreover, the GeSn/GeSiSn NTFET has the largest intrinsic gain (Av), and exhibits a suppressed ambipolar behavior, improved cut-off frequency (fT), and gain bandwidth product (GBW), according to the analyzed analog and RF figures of merit (FOM). Therefore, it can be concluded that the GeSn/GeSiSn NTFET has great potential as a promising candidate for the realization of future generation low-power analog/RF applications.

  1. Monolithic Ge-on-Si lasers for large-scale electronic-photonic integration

    NASA Astrophysics Data System (ADS)

    Liu, Jifeng; Kimerling, Lionel C.; Michel, Jurgen

    2012-09-01

    A silicon-based monolithic laser source has long been envisioned as a key enabling component for large-scale electronic-photonic integration in future generations of high-performance computation and communication systems. In this paper we present a comprehensive review on the development of monolithic Ge-on-Si lasers for this application. Starting with a historical review of light emission from the direct gap transition of Ge dating back to the 1960s, we focus on the rapid progress in band-engineered Ge-on-Si lasers in the past five years after a nearly 30-year gap in this research field. Ge has become an interesting candidate for active devices in Si photonics in the past decade due to its pseudo-direct gap behavior and compatibility with Si complementary metal oxide semiconductor (CMOS) processing. In 2007, we proposed combing tensile strain with n-type doping to compensate the energy difference between the direct and indirect band gap of Ge, thereby achieving net optical gain for CMOS-compatible diode lasers. Here we systematically present theoretical modeling, material growth methods, spontaneous emission, optical gain, and lasing under optical and electrical pumping from band-engineered Ge-on-Si, culminated by recently demonstrated electrically pumped Ge-on-Si lasers with >1 mW output in the communication wavelength window of 1500-1700 nm. The broad gain spectrum enables on-chip wavelength division multiplexing. A unique feature of band-engineered pseudo-direct gap Ge light emitters is that the emission intensity increases with temperature, exactly opposite to conventional direct gap semiconductor light-emitting devices. This extraordinary thermal anti-quenching behavior greatly facilitates monolithic integration on Si microchips where temperatures can reach up to 80 °C during operation. The same band-engineering approach can be extended to other pseudo-direct gap semiconductors, allowing us to achieve efficient light emission at wavelengths previously

  2. PAL spectroscopy of rare-earth doped Ga-Ge-Te/Se glasses

    NASA Astrophysics Data System (ADS)

    Shpotyuk, Ya.; Ingram, A.; Shpotyuk, O.

    2016-04-01

    Positron annihilation lifetime (PAL) spectroscopy was applied for the first time to study free-volume void evolution in chalcogenide glasses of Ga-Ge-Te/Se cut-section exemplified by glassy Ga10Ge15Te75 and Ga10Ge15Te72Se3 doped with 500 ppm of Tb3+ or Pr3+. The collected PAL spectra reconstructed within two-state trapping model reveal decaying tendency in positron trapping efficiency in these glasses under rare-earth doping. This effect results in unchanged or slightly increased defect-related lifetimes τ2 at the cost of more strong decrease in I2 intensities, as well as reduced positron trapping rate in defects and fraction of trapped positrons. Observed changes are ascribed to rare-earth activated elimination of intrinsic free volumes associated mainly with negatively-charged states of chalcogen atoms especially those neighboring with Ga-based polyhedrons.

  3. A new 68Ge/68Ga generator system using an organic polymer containing N-methylglucamine groups as adsorbent for 68Ge.

    PubMed

    Nakayama, M; Haratake, M; Ono, M; Koiso, T; Harada, K; Nakayama, H; Yahara, S; Ohmomo, Y; Arano, Y

    2003-01-01

    A macroporous styrene-divinylbenzene copolymer containing N-methylglucamine groups was selected for a new 68Ge/68Ga generator system. This resin packed into a column effectively adsorbed the parent nuclide 68Ge. The daughter 68Ga was eluted from the resin with a solution of a low-affinity gallium chelating ligand such as citric or phosphoric acid. The 68Ge leakage was less than 0.0004% of the 68Ge adsorbed on the resin. By simple mixing of transferrin and desferoxamine conjugated HSA and IgG with the eluate from the column, 68Ga-labeling was completed in high yield. Copyright 2002 Elsevier Science Ltd.

  4. Tailoring the strain in Si nano-structures for defect-free epitaxial Ge over growth.

    PubMed

    Zaumseil, P; Yamamoto, Y; Schubert, M A; Capellini, G; Skibitzki, O; Zoellner, M H; Schroeder, T

    2015-09-04

    We investigate the structural properties and strain state of Ge nano-structures selectively grown on Si pillars of about 60 nm diameter with different SiGe buffer layers. A matrix of TEOS SiO2 surrounding the Si nano-pillars causes a tensile strain in the top part at the growth temperature of the buffer that reduces the misfit and supports defect-free initial growth. Elastic relaxation plays the dominant role in the further increase of the buffer thickness and subsequent Ge deposition. This method leads to Ge nanostructures on Si that are free from misfit dislocations and other structural defects, which is not the case for direct Ge deposition on these pillar structures. The Ge content of the SiGe buffer is thereby not a critical parameter; it may vary over a relatively wide range.

  5. Dislocation reduction in heteroepitaxial Ge on Si using SiO{sub 2} lined etch pits and epitaxial lateral overgrowth

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Leonhardt, Darin; Han, Sang M.

    2011-09-12

    We report a technique that significantly reduces threading dislocations in Ge on Si heteroepitaxy. Germanium is first grown on Si and etched to produce pits in the surface where threading dislocations terminate. Further processing leaves a layer of SiO{sub 2} only within etch pits. Subsequent selective epitaxial Ge growth results in coalescence above the SiO{sub 2}. The SiO{sub 2} blocks the threading dislocations from propagating into the upper Ge epilayer. With annealed Ge films grown on Si, the said method reduces the defect density from 2.6 x 10{sup 8} to 1.7 x 10{sup 6} cm{sup -2}, potentially making the layermore » suitable for electronic and photovoltaic devices.« less

  6. Formation of Si and Ge films and micropatterns by wet process using laser direct writing method

    NASA Astrophysics Data System (ADS)

    Watanabe, Akira

    2011-03-01

    The studies toward the formation of Si and Ge films and micropatterns by wet process using laser direct writing method are reported. First is the the formation of Si film by laser scanning irradiation to Si nano- or micro-particle dispersed films. By using organogermanium nanocluster (OrGe) as a dispersion medium of Si particles, a homogeneous Si film was formed by laser scanning irradiation on a Si particle/OrGe composite film. The micro-Raman spectra showed the formation of the polycrystalline Ge and SiGe alloy during the fusion of the Si particles by laser irradiation. The second is the formation of the Si and Ge micropatterns by LLDW (liquid phase laser direct writing) method. Micro-Raman spectra showed the formation of polycrystalline Si and Ge micropatterns by laser irradiation on the interfaces of SiCl4/substrate and GeCl4/substrate, respectively.

  7. Organometallic chemical vapor deposition and characterization of ZnGeP2/GaP multiple heterostructures on GaP substrates

    NASA Technical Reports Server (NTRS)

    Xing, G. C.; Bachmann, Klaus J.

    1993-01-01

    The growth of ZnGeP2/GaP double and multiple heterostructures on GaP substrates by organometallic chemical vapor deposition is reported. These epitaxial films were deposited at a temperature of 580 C using dimethylzinc, trimethylgallium, germane, and phosphine as source gases. With appropriate deposition conditions, mirror smooth epitaxial GaP/ZnGeP2 multiple heterostructures were obtained on (001) GaP substrates. Transmission electron microscopy (TEM) and secondary ion mass spectroscopy (SIMS) studies of the films showed that the interfaces are sharp and smooth. Etching study of the films showed dislocation density on the order of 5x10(exp 4)cm(sup -2). The growth rates of the GaP layers depend linearly on the flow rates of trimethylgallium. While the GaP layers crystallize in zinc-blende structure, the ZnGeP2 layers crystallize in the chalcopyrite structure as determined by (010) electron diffraction pattern. This is the first time that multiple heterostructures combining these two crystal structures were made.

  8. Amorphous Ge quantum dots embedded in crystalline Si: ab initio results.

    PubMed

    Laubscher, M; Küfner, S; Kroll, P; Bechstedt, F

    2015-10-14

    We study amorphous Ge quantum dots embedded in a crystalline Si matrix through structure modeling and simulation using ab initio density functional theory including spin-orbit interaction and quasiparticle effects. Three models are generated by replacing a spherical region within diamond Si by Ge atoms and creating a disordered bond network with appropriate density inside the Ge quantum dot. After total-energy optimisations of the atomic geometry we compute the electronic and optical properties. We find three major effects: (i) the resulting nanostructures adopt a type-I heterostructure character; (ii) the lowest optical transitions occur only within the Ge quantum dots, and do not involve or cross the Ge-Si interface. (iii) for larger amorphous Ge quantum dots, with diameters of about 2.0 and 2.7 nm, absorption peaks appear in the mid-infrared spectral region. These are promising candidates for intense luminescence at photon energies below the gap energy of bulk Ge.

  9. High intensity low temperature (HILT) performance of space concentrator GaInP/GaInAs/Ge MJ SCs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shvarts, Maxim Z., E-mail: shvarts@scell.ioffe.ru; Kalyuzhnyy, Nikolay A.; Mintairov, Sergey A.

    2014-09-26

    In the work, the results of an investigation of GaInP/GaInAs/Ge MJ SCs intended for converting concentrated solar radiation, when operating at low temperatures (down to −190 °C) are presented. A kink of the cell I-V characteristic has been observed in the region close to V{sub oc} starting from −20°C at operation under concentrated sunlight. The causes for its occurrence have been analyzed and the reasons for formation of a built-in potential barrier for majority charge carriers at the n-GaInP/n-Ge isotype hetero-interface are discussed. The effect of charge carrier transport in n-GaInP/n-pGe heterostructures on MJ SC output characteristics at low temperaturesmore » has been studied including EL technique.« less

  10. Thermal transport across high-pressure semiconductor-metal transition in Si and Si 0.991 Ge 0.009

    DOE PAGES

    Hohensee, Gregory T.; Fellinger, Michael R.; Trinkle, Dallas R.; ...

    2015-05-07

    Time-domain thermoreflectance (TDTR) can be applied to metallic samples at high pressures in the diamond anvil cell (DAC) and provide non-contact measurements of thermal transport properties. We have performed regular and beam-offset TDTR to establish the thermal conductivities of Si and Si 0.991Ge 0.009 across the semiconductor-metal phase transition and up to 45 GPa. The thermal conductivities of metallic Si and Si(Ge) are comparable to aluminum and indicative of predominantly electronic heat carriers. Metallic Si and Si(Ge) have an anisotropy of approximately 1.4, similar to that of beryllium, due to the primitive hexagonal crystal structure. Furthermore, we used the Wiedemann-Franzmore » law to derive the associated electrical resistivity, and found it consistent with the Bloch-Gruneisen model.« less

  11. Rational design of new materials for spintronics: Co2FeZ (Z=Al, Ga, Si, Ge)

    PubMed Central

    Balke, Benjamin; Wurmehl, Sabine; Fecher, Gerhard H; Felser, Claudia; Kübler, Jürgen

    2008-01-01

    Spintronic is a multidisciplinary field and a new research area. New materials must be found for satisfying the different types of demands. The search for stable half-metallic ferromagnets and ferromagnetic semiconductors with Curie temperatures higher than room temperature is still a challenge for solid state scientists. A general understanding of how structures are related to properties is a necessary prerequisite for material design. Computational simulations are an important tool for a rational design of new materials. The new developments in this new field are reported from the point of view of material scientists. The development of magnetic Heusler compounds specifically designed as material for spintronic applications has made tremendous progress in the very recent past. Heusler compounds can be made as half-metals, showing a high spin polarization of the conduction electrons of up to 100% in magnetic tunnel junctions. High Curie temperatures were found in Co2-based Heusler compounds with values up to 1120 K in Co2FeSi. The latest results at the time of writing are a tunnelling magnet resistance (TMR) device made from the Co2FeAl0.5Si0.5 Heusler compound and working at room temperature with a (TMR) effect higher than 200%. Good interfaces and a well-ordered compound are the precondition to realize the predicted half-metallic properties. The series Co2FeAl1- xSix is found to exhibit half-metallic ferromagnetism over a broad range, and it is shown that electron doping stabilizes the gap in the minority states for x=0.5. This might be a reason for the exceptional temperature behaviour of Co2FeAl0.5Si0.5 TMR devices. Using x-ray diffraction (XRD), it was shown conclusively that Co2FeAl crystallizes in the B2 structure whereas Co2FeSi crystallizes in the L21 structure. For the compounds Co2FeGa or Co2FeGe, with Curie temperatures expected higher than 1000 K, the standard XRD technique using laboratory sources cannot be used to easily distinguish between the two

  12. Thermoelectric properties of hot pressed p-type SiGe alloys

    NASA Technical Reports Server (NTRS)

    Bajgar, Clara; Masters, Richard; Scoville, Nancy; Vandersande, Jan

    1991-01-01

    This paper presents the results of measurements of electrical resistivity, Seebeck coefficient, thermal conductivity, as well as Hall carrier concentration, and mobility, for hot pressed SiGe 80 at. pct Si-20 at. pct Ge (SiGe) thermoelectric materials containing 0.24-3.0 at. pct boron. The carrier concentration was varied by annealing and quenching at different high temperatures. Figure-of-merit, Z, was found to be 0.60 +/- 0.03 x 10 exp -3/K over a carrier concentration range from 1.8- 3.5 x 10 exp -20/cu cm. This result is very encouraging from a production standpoint, since the dopant concentration is not critical.

  13. Lattice contraction with boron doping in fully strained SiGe epitaxial layers

    NASA Astrophysics Data System (ADS)

    Shin, Keun Wook; Song, Sukchan; Kim, Hyun-Woo; Lee, Gun-Do; Yoon, Euijoon

    2018-06-01

    Changes in lattice constants of epitaxial SiGe layers by boron (B) doping were studied by using high resolution X-ray diffraction (HRXRD) by using SiGe:B with Ge and B concentrations in the range of 11–23% and (1.5–4.2) × 1019 cm‑3, respectively. The lattice contraction coefficient (β) of B in SiGe was measured to be (9.6 ± 0.6) × 10‑24 cm3, which was approximately twice as large as that of B in Si. The ab initio calculation of β, 9.35 × 10‑24 cm3, was in excellent agreement with the experiment. From the ab initio calculation, it is found that the large lattice contraction is due to the favorability of Si–B bond than Si–Ge bond.

  14. Wafer-scale high-throughput ordered arrays of Si and coaxial Si/Si(1-x)Ge(x) wires: fabrication, characterization, and photovoltaic application.

    PubMed

    Pan, Caofeng; Luo, Zhixiang; Xu, Chen; Luo, Jun; Liang, Renrong; Zhu, Guang; Wu, Wenzhuo; Guo, Wenxi; Yan, Xingxu; Xu, Jun; Wang, Zhong Lin; Zhu, Jing

    2011-08-23

    We have developed a method combining lithography and catalytic etching to fabricate large-area (uniform coverage over an entire 5-in. wafer) arrays of vertically aligned single-crystal Si nanowires with high throughput. Coaxial n-Si/p-SiGe wire arrays are also fabricated by further coating single-crystal epitaxial SiGe layers on the Si wires using ultrahigh vacuum chemical vapor deposition (UHVCVD). This method allows precise control over the diameter, length, density, spacing, orientation, shape, pattern and location of the Si and Si/SiGe nanowire arrays, making it possible to fabricate an array of devices based on rationally designed nanowire arrays. A proposed fabrication mechanism of the etching process is presented. Inspired by the excellent antireflection properties of the Si/SiGe wire arrays, we built solar cells based on the arrays of these wires containing radial junctions, an example of which exhibits an open circuit voltage (V(oc)) of 650 mV, a short-circuit current density (J(sc)) of 8.38 mA/cm(2), a fill factor of 0.60, and an energy conversion efficiency (η) of 3.26%. Such a p-n radial structure will have a great potential application for cost-efficient photovoltaic (PV) solar energy conversion. © 2011 American Chemical Society

  15. Optimization of intrinsic layer thickness, dopant layer thickness and concentration for a-SiC/a-SiGe multilayer solar cell efficiency performance using Silvaco software

    NASA Astrophysics Data System (ADS)

    Yuan, Wong Wei; Natashah Norizan, Mohd; Salwani Mohamad, Ili; Jamalullail, Nurnaeimah; Hidayah Saad, Nor

    2017-11-01

    Solar cell is expanding as green renewable alternative to conventional fossil fuel electricity generation, but compared to other land-used electrical generators, it is a comparative beginner. Many applications covered by solar cells starting from low power mobile devices, terrestrial, satellites and many more. To date, the highest efficiency solar cell is given by GaAs based multilayer solar cell. However, this material is very expensive in fabrication and material costs compared to silicon which is cheaper due to the abundance of supply. Thus, this research is devoted to develop multilayer solar cell by combining two different layers of P-I-N structures with silicon carbide and silicon germanium. This research focused on optimising the intrinsic layer thickness, p-doped layer thickness and concentration, n-doped layer thickness and concentration in achieving the highest efficiency. As a result, both single layer a-SiC and a-SiGe showed positive efficiency improvement with the record of 27.19% and 9.07% respectively via parametric optimization. The optimized parameters is then applied on both SiC and SiGe P-I-N layers and resulted the convincing efficiency of 33.80%.

  16. Mechanical characterization of poly-SiGe layers for CMOS-MEMS integrated application

    NASA Astrophysics Data System (ADS)

    Modlinski, Robert; Witvrouw, Ann; Verbist, Agnes; Puers, Robert; De Wolf, Ingrid

    2010-01-01

    Measuring mechanical properties at the microscale is essential to understand and to fabricate reliable MEMS. In this paper a tensile testing system and matching microscale test samples are presented. The test samples have a dog-bone-like structure. They are designed to mimic standard macro-tensile test samples. The micro-tensile tests are used to characterize 0.9 µm thick polycrystalline silicon germanium (poly-SiGe) films. The poly-SiGe film, that can be considered as a close equivalent to polycrystalline silicon (poly-Si), is studied as a very promising material for use in CMOS/MEMS integration in a single chip due to its low-temperature LPCVD deposition (T < 450 °C). The fabrication process of the poly-SiGe micro-tensile test structure is explained in detail: the design, the processing and post-processing, the testing and finally the results' discussion. The poly-SiGe micro-tensile results are also compared with nanoindentation data obtained on the same poly-SiGe films as well as with results obtained by other research groups.

  17. Direct growth of Ge quantum dots on a graphene/SiO2/Si structure using ion beam sputtering deposition.

    PubMed

    Zhang, Z; Wang, R F; Zhang, J; Li, H S; Zhang, J; Qiu, F; Yang, J; Wang, C; Yang, Y

    2016-07-29

    The growth of Ge quantum dots (QDs) using the ion beam sputtering deposition technique has been successfully conducted directly on single-layer graphene supported by SiO2/Si substrate. The results show that the morphology and size of Ge QDs on graphene can be modulated by tuning the Ge coverage. Charge transfer behavior, i.e. doping effect in graphene has been demonstrated at the interface of Ge/graphene. Compared with that of traditional Ge dots grown on Si substrate, the positions of both corresponding photoluminescence (PL) peaks of Ge QDs/graphene hybrid structure undergo a large red-shift, which can probably be attributed to the lack of atomic intermixing and the existence of surface states in this hybrid material. According to first-principles calculations, the Ge growth on the graphene should follow the so-called Volmer-Weber mode instead of the Stranski-Krastanow one which is observed generally in the traditional Ge QDs/Si system. The calculations also suggest that the interaction between Ge and graphene layer can be enhanced with the decrease of the Ge coverage. Our results may supply a prototype for fabricating novel optoelectronic devices based on a QDs/graphene hybrid nanostructure.

  18. Heavy Ion Current Transients in SiGe HBTs

    NASA Technical Reports Server (NTRS)

    Pellish, Jonathan A.; Reed, Robert A.; Vizkelethy, Gyorgy; McMorrow, Dale; Ferlet-Cavrois, Veronique; Baggio, Jacques; Paillet, Philipe; Duhanel, Olivier; Phillips, Stanley D.; Sutton, Akil K.; hide

    2009-01-01

    Time-resolved ion beam induced charge reveals heavy ion response of IBM 5AM SiGe HBT: a) Position correlation[ b) Unique response for different bias schemes; c) Similarities to TPA pulsed-laser data. Heavy ion broad-beam transients provide more realistic device response: a) Feedback using microbeam data; b) Overcome issues of LET and ion range with microbeam. Both micro- and broad-beam data sets yield valuable input for TCAD simulations. Uncover detailed mechanisms for SiGe HBTs and other devices fabricated on lightly-doped substrates.

  19. Effect of asymmetric concentration profile on thermal conductivity in Ge/SiGe superlattices

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hahn, Konstanze R., E-mail: konstanze.hahn@dsf.unica.it; Cecchi, Stefano; Colombo, Luciano

    2016-05-16

    The effect of the chemical composition in Si/Ge-based superlattices on their thermal conductivity has been investigated using molecular dynamics simulations. Simulation cells of Ge/SiGe superlattices have been generated with different concentration profiles such that the Si concentration follows a step-like, a tooth-saw, a Gaussian, and a gamma-type function in direction of the heat flux. The step-like and tooth-saw profiles mimic ideally sharp interfaces, whereas Gaussian and gamma-type profiles are smooth functions imitating atomic diffusion at the interface as obtained experimentally. Symmetry effects have been investigated comparing the symmetric profiles of the step-like and the Gaussian function to the asymmetric profilesmore » of the tooth-saw and the gamma-type function. At longer sample length and similar degree of interdiffusion, the thermal conductivity is found to be lower in asymmetric profiles. Furthermore, it is found that with smooth concentration profiles where atomic diffusion at the interface takes place the thermal conductivity is higher compared to systems with atomically sharp concentration profiles.« less

  20. Molecular beam epitaxy and metalorganic chemical vapor deposition growth of epitaxial CdTe on (100) GaAs/Si and (111) GaAs/Si substrates

    NASA Technical Reports Server (NTRS)

    Nouhi, A.; Radhakrishnan, G.; Katz, J.; Koliwad, K.

    1988-01-01

    Epitaxial CdTe has been grown on both (100)GaAs/Si and (111)GaAs/Si substrates. A combination of molecular beam epitaxy (MBE) and metalorganic chemical vapor deposition (MOCVD) has been employed for the first time to achieve this growth: the GaAs layers are grown on Si substrates by MBE and the CdTe film is subsequently deposited on GaAs/Si by MOCVD. The grown layers have been characterized by X-ray diffraction, scanning electron microscopy, and photoluminescence.

  1. A review of molecular beam epitaxy of ferroelectric BaTiO3 films on Si, Ge and GaAs substrates and their applications

    PubMed Central

    Mazet, Lucie; Yang, Sang Mo; Kalinin, Sergei V; Schamm-Chardon, Sylvie; Dubourdieu, Catherine

    2015-01-01

    SrTiO3 epitaxial growth by molecular beam epitaxy (MBE) on silicon has opened up the route to the monolithic integration of various complex oxides on the complementary metal-oxide–semiconductor silicon platform. Among functional oxides, ferroelectric perovskite oxides offer promising perspectives to improve or add functionalities on-chip. We review the growth by MBE of the ferroelectric compound BaTiO3 on silicon (Si), germanium (Ge) and gallium arsenide (GaAs) and we discuss the film properties in terms of crystalline structure, microstructure and ferroelectricity. Finally, we review the last developments in two areas of interest for the applications of BaTiO3 films on silicon, namely integrated photonics, which benefits from the large Pockels effect of BaTiO3, and low power logic devices, which may benefit from the negative capacitance of the ferroelectric. PMID:27877816

  2. Recent Results on SEU Hardening of SiGe HBT Logic Circuits

    NASA Technical Reports Server (NTRS)

    Krithivasan, Ramkumar; Marshall, Paul W.; Nayeem, Mustayeen; Sutton, Akil K.; Kuo, Wei-Min Lance; Haugerud, Becca M.; Najafizadeh, Laieh; Cressler, John D.; Carts, martin A.; Marshall, Cheryl J.

    2006-01-01

    A viewgraph presentation on SEU tolerant SiGe HBT technology is shown. The topics include: 1) Introduction; 2) TID and SEU in SiGe Technology; 3) RHBD Techniques; 4) Experiment; 5) Heavy-Ion Data and Analysis; and 6) Summary.

  3. Nucleation Of Ge 3D-islands On Pit-patterned Si Substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Novikov, P. L.; Smagina, J. V.; Vlasov, D. Yu.

    2011-12-23

    Joint experimental and theoretical study of Ge nanoislands growth on pit-patterned Si substrate is carried out. Si substrates that have been templated by means of electron beam lithography and reactive ion etching have been used to grow Ge by molecular-beam epitaxy. Atomic-force-microscopy studies show that at Si(100) substrate temperature 550 deg. C, Ge nanoislands are formed at the pits' edges, rather than between the pits. The effect is interpreted in terms of energy barrier, that is formed near the edge of a pit and prevents Ge transport inside the pit. By molecular dynamics calculations the value of the energy barriermore » 0.9 eV was obtained.« less

  4. Enhanced Electron Mobility in Nonplanar Tensile Strained Si Epitaxially Grown on SixGe1-x Nanowires.

    PubMed

    Wen, Feng; Tutuc, Emanuel

    2018-01-10

    We report the growth and characterization of epitaxial, coherently strained Si x Ge 1-x -Si core-shell nanowire heterostructure through vapor-liquid-solid growth mechanism for the Si x Ge 1-x core, followed by an in situ ultrahigh-vacuum chemical vapor deposition for the Si shell. Raman spectra acquired from individual nanowire reveal the Si-Si, Si-Ge, and Ge-Ge modes of the Si x Ge 1-x core and the Si-Si mode of the shell. Because of the compressive (tensile) strain induced by lattice mismatch, the core (shell) Raman modes are blue (red) shifted compared to those of unstrained bare Si x Ge 1-x (Si) nanowires, in good agreement with values calculated using continuum elasticity model coupled with lattice dynamic theory. A large tensile strain of up to 2.3% is achieved in the Si shell, which is expected to provide quantum confinement for electrons due to a positive core-to-shell conduction band offset. We demonstrate n-type metal-oxide-semiconductor field-effect transistors using Si x Ge 1-x -Si core-shell nanowires as channel and observe a 40% enhancement of the average electron mobility compared to control devices using Si nanowires due to an increased electron mobility in the tensile-strained Si shell.

  5. Efficient Fabrication of Nanoporous Si and Si/Ge Enabled by a Heat Scavenger in Magnesiothermic Reactions

    PubMed Central

    Luo, Wei; Wang, Xingfeng; Meyers, Colin; Wannenmacher, Nick; Sirisaksoontorn, Weekit; Lerner, Michael M.; Ji, Xiulei

    2013-01-01

    Magnesiothermic reduction can directly convert SiO2 into Si nanostructures. Despite intense efforts, efficient fabrication of highly nanoporous silicon by Mg still remains a significant challenge due to the exothermic reaction nature. By employing table salt (NaCl) as a heat scavenger for the magnesiothermic reduction, we demonstrate an effective route to convert diatom (SiO2) and SiO2/GeO2 into nanoporous Si and Si/Ge composite, respectively. Fusion of NaCl during the reaction consumes a large amount of heat that otherwise collapses the nano-porosity of products and agglomerates silicon domains into large crystals. Our methodology is potentially competitive for a practical production of nanoporous Si-based materials. PMID:23860418

  6. Efficient fabrication of nanoporous si and Si/Ge enabled by a heat scavenger in magnesiothermic reactions.

    PubMed

    Luo, Wei; Wang, Xingfeng; Meyers, Colin; Wannenmacher, Nick; Sirisaksoontorn, Weekit; Lerner, Michael M; Ji, Xiulei

    2013-01-01

    Magnesiothermic reduction can directly convert SiO2 into Si nanostructures. Despite intense efforts, efficient fabrication of highly nanoporous silicon by Mg still remains a significant challenge due to the exothermic reaction nature. By employing table salt (NaCl) as a heat scavenger for the magnesiothermic reduction, we demonstrate an effective route to convert diatom (SiO2) and SiO2/GeO2 into nanoporous Si and Si/Ge composite, respectively. Fusion of NaCl during the reaction consumes a large amount of heat that otherwise collapses the nano-porosity of products and agglomerates silicon domains into large crystals. Our methodology is potentially competitive for a practical production of nanoporous Si-based materials.

  7. Optical properties of Ag- and AgI-doped Ge-Ga-Te far-infrared chalcogenide glasses

    NASA Astrophysics Data System (ADS)

    Cheng, Ci; Wang, Xunsi; Xu, Tiefeng; Sun, Lihong; Pan, Zhanghao; Liu, Shuo; Zhu, Qingde; Liao, Fangxing; Nie, Qiuhua; Dai, Shixun; Shen, Xiang; Zhang, Xianghua; Chen, Wei

    2016-05-01

    Te-based glasses are ideal material for life detection and infrared-sensing applications because of their excellent far-infrared properties. In this study, the influence of Ag- and AgI- doped Te-based glasses were discussed. Thermal and optical properties of the prepared glasses were evaluated using X-ray diffraction, differential scanning calorimetry, and Fourier transform infrared spectroscopy. Results show that these glass samples have good amorphous state and thermal stability. However, Ge-Ga-Te-Ag and Ge-Ga-Te-AgI glass systems exhibit completely different in optical properties. With an increase of Ag content, the absorption cut-off edge of Ge-Ga-Te-Ag glass system has a red shift. On the contrary, a blue shift appears in Ge-Ga-Te-AgI glass system with an increase of AgI content. Moreover, the transmittance of Ge-Ga-Te-Ag glass system deteriorates while that of Ge-Ga-Te-AgI glass system ameliorates. All glass samples have wide infrared transmission windows and the far-infrared cut-off wavelengths of these glasses are beyond 25 μm. The main absorption peaks of these glasses are eliminated through a purifying method.

  8. Deep ultraviolet photodetectors based on p-Si/ i-SiC/ n-Ga2O3 heterojunction by inserting thin SiC barrier layer

    NASA Astrophysics Data System (ADS)

    An, Yuehua; Zhi, Yusong; Wu, Zhenping; Cui, Wei; Zhao, Xiaolong; Guo, Daoyou; Li, Peigang; Tang, Weihua

    2016-12-01

    Deep ultraviolet photodetectors based on p-Si/ n-Ga2O3 and p-Si/ i-SiC/ n-Ga2O3 heterojunctions were fabricated by laser molecular beam epitaxial (L-MBE), respectively. In compare with p-Si/ n-Ga2O3 heterostructure-based photodetector, the dark current of p-Si/ i-SiC/ n-Ga2O3-based photodetector decreased by three orders of magnitude, and the rectifying behavior was tuned from reverse to forward. In order to improve the quality of the photodetector, we reduced the oxygen vacancies of p-Si/ i-SiC/ n-Ga2O3 heterostructures by changing the oxygen pressure during annealing. As a result, the rectification ratio ( I F/ I R) of the fabricated photodetectors was 36 at 4.5 V and the photosensitivity was 5.4 × 105% under the 254 nm light illumination at -4.5 V. The energy band structure of p-Si/ n-Ga2O3 and p-Si/ i-SiC/ n-Ga2O3 heterostructures was schematic drawn to explain the physic mechanism of enhancement of the performance of p-Si/ i-SiC/ n-Ga2O3 heterostructure-based deep UV photodetector by introduction of SiC layer.

  9. Ge/Si(001) heterostructures with dense arrays of Ge quantum dots: morphology, defects, photo-emf spectra and terahertz conductivity.

    PubMed

    Yuryev, Vladimir A; Arapkina, Larisa V; Storozhevykh, Mikhail S; Chapnin, Valery A; Chizh, Kirill V; Uvarov, Oleg V; Kalinushkin, Victor P; Zhukova, Elena S; Prokhorov, Anatoly S; Spektor, Igor E; Gorshunov, Boris P

    2012-07-23

    : Issues of Ge hut cluster array formation and growth at low temperatures on the Ge/Si(001) wetting layer are discussed on the basis of explorations performed by high resolution STM and in-situ RHEED. Dynamics of the RHEED patterns in the process of Ge hut array formation is investigated at low and high temperatures of Ge deposition. Different dynamics of RHEED patterns during the deposition of Ge atoms in different growth modes is observed, which reflects the difference in adatom mobility and their 'condensation' fluxes from Ge 2D gas on the surface for different modes, which in turn control the nucleation rates and densities of Ge clusters. Data of HRTEM studies of multilayer Ge/Si heterostructures are presented with the focus on low-temperature formation of perfect films.Heteroepitaxial Si p-i-n-diodes with multilayer stacks of Ge/Si(001) quantum dot dense arrays built in intrinsic domains have been investigated and found to exhibit the photo-emf in a wide spectral range from 0.8 to 5 μm. An effect of wide-band irradiation by infrared light on the photo-emf spectra has been observed. Photo-emf in different spectral ranges has been found to be differently affected by the wide-band irradiation. A significant increase in photo-emf is observed in the fundamental absorption range under the wide-band irradiation. The observed phenomena are explained in terms of positive and neutral charge states of the quantum dot layers and the Coulomb potential of the quantum dot ensemble. A new design of quantum dot infrared photodetectors is proposed.By using a coherent source spectrometer, first measurements of terahertz dynamical conductivity (absorptivity) spectra of Ge/Si(001) heterostructures were performed at frequencies ranged from 0.3 to 1.2 THz in the temperature interval from 300 to 5 K. The effective dynamical conductivity of the heterostructures with Ge quantum dots has been discovered to be significantly higher than that of the structure with the same amount of bulk

  10. Synthesis and fundamental properties of stable Ph(3)SnSiH(3) and Ph(3)SnGeH(3) hydrides: model compounds for the design of Si-Ge-Sn photonic alloys.

    PubMed

    Tice, Jesse B; Chizmeshya, Andrew V G; Groy, Thomas L; Kouvetakis, John

    2009-07-06

    The compounds Ph(3)SnSiH(3) and Ph(3)SnGeH(3) (Ph = C(6)H(5)) have been synthesized as colorless solids containing Sn-MH(3) (M = Si, Ge) moieties that are stable in air despite the presence of multiple and highly reactive Si-H and Ge-H bonds. These molecules are of interest since they represent potential model compounds for the design of new classes of IR semiconductors in the Si-Ge-Sn system. Their unexpected stability and high solubility also makes them a safe, convenient, and potentially useful delivery source of -SiH(3) and -GeH(3) ligands in molecular synthesis. The structure and composition of both compounds has been determined by chemical analysis and a range of spectroscopic methods including multinuclear NMR. Single crystal X-ray structures were determined and indicated that both compounds condense in a Z = 2 triclinic (P1) space group with lattice parameters (a = 9.7754(4) A, b = 9.8008(4) A, c = 10.4093(5) A, alpha = 73.35(10)(o), beta = 65.39(10)(o), gamma = 73.18(10)(o)) for Ph(3)SnSiH(3) and (a = 9.7927(2) A, b = 9.8005(2) A, c = 10.4224(2) A, alpha = 74.01(3)(o), beta = 65.48(3)(o), gamma = 73.43(3)(o)) for Ph(3)SnGeH(3). First principles density functional theory simulations are used to corroborate the molecular structures of Ph(3)SnSiH(3) and Ph(3)SnGeH(3), gain valuable insight into the relative stability of the two compounds, and provide correlations between the Si-Sn and Ge-Sn bonds in the molecules and those in tetrahedral Si-Ge-Sn solids.

  11. Spectroscopic ellipsometry study on E2 peak splitting of Si-Ge short period superlattices

    NASA Astrophysics Data System (ADS)

    Kim, Y. D.; Klein, M. V.; Baribeau, J.-M.; Hwang, S. H.; Whang, K. W.; Yoon, E.

    1997-06-01

    We report spectroscopic ellipsometry (SE) studies on (Si)2(Ge)12, (Si)6(Ge)2, and (Si)12(Ge)2 short period superlattices (SLs) whose optical response has not been reported yet. Multilayer calculations enabled us to determine the dielectric response of the superlattice layers. We report the clear observation of splitting of the E2 peak in (Si)m(Ge)n superlattices contrary to the previous SE report that the separation was observed only in larger period SLs.

  12. Low temperature thermal ALD of a SiNx interfacial diffusion barrier and interface passivation layer on SixGe1- x(001) and SixGe1- x(110)

    NASA Astrophysics Data System (ADS)

    Edmonds, Mary; Sardashti, Kasra; Wolf, Steven; Chagarov, Evgueni; Clemons, Max; Kent, Tyler; Park, Jun Hong; Tang, Kechao; McIntyre, Paul C.; Yoshida, Naomi; Dong, Lin; Holmes, Russell; Alvarez, Daniel; Kummel, Andrew C.

    2017-02-01

    Atomic layer deposition of a silicon rich SiNx layer on Si0.7Ge0.3(001), Si0.5Ge0.5(001), and Si0.5Ge0.5(110) surfaces has been achieved by sequential pulsing of Si2Cl6 and N2H4 precursors at a substrate temperature of 285 °C. XPS spectra show a higher binding energy shoulder peak on Si 2p indicative of SiOxNyClz bonding while Ge 2p and Ge 3d peaks show only a small amount of higher binding energy components consistent with only interfacial bonds, indicating the growth of SiOxNy on the SiGe surface with negligible subsurface reactions. Scanning tunneling spectroscopy measurements confirm that the SiNx interfacial layer forms an electrically passive surface on p-type Si0.70Ge0.30(001), Si0.50Ge0.50(110), and Si0.50Ge0.50(001) substrates as the surface Fermi level is unpinned and the electronic structure is free of states in the band gap. DFT calculations show that a Si rich a-SiO0.4N0,4 interlayer can produce lower interfacial defect density than stoichiometric a-SiO0.8N0.8, substoichiometric a-Si3N2, or stoichiometric a-Si3N4 interlayers by minimizing strain and bond breaking in the SiGe by the interlayer. Metal-oxide-semiconductor capacitors devices were fabricated on p-type Si0.7Ge0.3(001) and Si0.5Ge0.5(001) substrates with and without the insertion of an ALD SiOxNy interfacial layer, and the SiOxNy layer resulted in a decrease in interface state density near midgap with a comparable Cmax value.

  13. Ge-rich graded-index Si1-xGex devices for MID-IR integrated photonics

    NASA Astrophysics Data System (ADS)

    Ramirez, J. M.; Vakarin, V.; Liu, Q.; Frigerio, J.; Ballabio, A.; Le Roux, X.; Benedikovic, D.; Alonso-Ramos, C.; Isella, G.; Vivien, L.; Marris-Morini, D.

    2018-02-01

    Mid-infrared (mid-IR) silicon photonics is becoming a prominent research with remarkable potential in several applications such as in early medical diagnosis, safe communications, imaging, food safety and many more. In the quest for the best material platform to develop new photonic systems, Si and Ge depart with a notable advantage over other materials due to the high processing maturity accomplished during the last part of the 20th century through the deployment of the CMOS technology. From an optical viewpoint, combining Si with Ge to obtain SiGe alloys with controlled stoichiometry is also of interest for the photonic community since permits to increase the effective refractive index and the nonlinear parameter, providing a fascinating playground to exploit nonlinear effects. Furthermore, using Ge-rich SiGe gives access to a range of deep mid-IR wavelengths otherwise inaccessible (λ 2-20 μm). In this paper, we explore for the first time the limits of this approach by measuring the spectral loss characteristic over a broadband wavelength range spanning from λ = 5.5 μm to 8.5 μm. Three different SiGe waveguide platforms are compared, each one showing higher compactness than the preceding through the engineering of the vertical Ge profile, giving rise to different confinement characteristics to the propagating modes. A flat propagation loss characteristic of 2-3 dB/cm over the entire wavelength span is demonstrated in Ge-rich graded-index SiGe waveguides of only 6 μm thick. Also, the role of the overlap fraction of the confined optical mode with the Si-rich area at the bottom side of the epitaxial SiGe waveguide is put in perspective, revealing a lossy characteristic compared to the other designs were the optical mode is located in the Ge-rich area at the top of the waveguide uniquely. These Ge-rich graded-index SiGe waveguides may pave the way towards a new generation of photonic integrated circuits operating at deep mid-IR wavelengths.

  14. Comparative study of GeO{sub 2}/Ge and SiO{sub 2}/Si structures on anomalous charging of oxide films upon water adsorption revealed by ambient-pressure X-ray photoelectron spectroscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mori, Daichi; Kawai, Kentaro; Morita, Mizuho

    2016-09-07

    The energy difference between the oxide and bulk peaks in X-ray photoelectron spectroscopy (XPS) spectra was investigated for both GeO{sub 2}/Ge and SiO{sub 2}/Si structures with thickness-controlled water films. This was achieved by obtaining XPS spectra at various values of relative humidity (RH) of up to ∼15%. The increase in the energy shift is more significant for thermal GeO{sub 2} on Ge than for thermal SiO{sub 2} on Si above ∼10{sup −4}% RH, which is due to the larger amount of water molecules that infiltrate into the GeO{sub 2} film to form hydroxyls. Analyzing the origins of this energy shift,more » we propose that the positive charging of a partially hydroxylated GeO{sub 2} film, which is unrelated to X-ray irradiation, causes the larger energy shift for GeO{sub 2}/Ge than for SiO{sub 2}/Si. A possible microscopic mechanism of this intrinsic positive charging is the emission of electrons from adsorbed water species in the suboxide layer of the GeO{sub 2} film to the Ge bulk, leaving immobile cations or positively charged states in the oxide. This may be related to the reported negative shift of flat band voltages in metal-oxide-semiconductor diodes with an air-exposed GeO{sub 2} layer.« less

  15. Kinetics of Si and Ge nanowires growth through electron beam evaporation

    PubMed Central

    2011-01-01

    Si and Ge have the same crystalline structure, and although Si-Au and Ge-Au binary alloys are thermodynamically similar (same phase diagram, with the eutectic temperature of about 360°C), in this study, it is proved that Si and Ge nanowires (NWs) growth by electron beam evaporation occurs in very different temperature ranges and fluence regimes. In particular, it is demonstrated that Ge growth occurs just above the eutectic temperature, while Si NWs growth occurs at temperature higher than the eutectic temperature, at about 450°C. Moreover, Si NWs growth requires a higher evaporated fluence before the NWs become to be visible. These differences arise in the different kinetics behaviors of these systems. The authors investigate the microscopic growth mechanisms elucidating the contribution of the adatoms diffusion as a function of the evaporated atoms direct impingement, demonstrating that adatoms play a key role in physical vapor deposition (PVD) NWs growth. The concept of incubation fluence, which is necessary for an interpretation of NWs growth in PVD growth conditions, is highlighted. PMID:21711696

  16. Kinetics of Si and Ge nanowires growth through electron beam evaporation.

    PubMed

    Artoni, Pietro; Pecora, Emanuele Francesco; Irrera, Alessia; Priolo, Francesco

    2011-02-21

    Si and Ge have the same crystalline structure, and although Si-Au and Ge-Au binary alloys are thermodynamically similar (same phase diagram, with the eutectic temperature of about 360°C), in this study, it is proved that Si and Ge nanowires (NWs) growth by electron beam evaporation occurs in very different temperature ranges and fluence regimes. In particular, it is demonstrated that Ge growth occurs just above the eutectic temperature, while Si NWs growth occurs at temperature higher than the eutectic temperature, at about 450°C. Moreover, Si NWs growth requires a higher evaporated fluence before the NWs become to be visible. These differences arise in the different kinetics behaviors of these systems. The authors investigate the microscopic growth mechanisms elucidating the contribution of the adatoms diffusion as a function of the evaporated atoms direct impingement, demonstrating that adatoms play a key role in physical vapor deposition (PVD) NWs growth. The concept of incubation fluence, which is necessary for an interpretation of NWs growth in PVD growth conditions, is highlighted.

  17. Isoelectronic bound-exciton photoluminescence in strained beryllium-doped Si0.92Ge0.08 epilayers and Si0.92Ge0.08/Si superlattices at ambient and elevated hydrostatic pressure

    NASA Astrophysics Data System (ADS)

    Kim, Sangsig; Chang, Ganlin; Herman, Irving P.; Bevk, Joze; Moore, Karen L.; Hall, Dennis G.

    1997-03-01

    Photoluminescence (PL) from a beryllium-doped Si0.92Ge0.08 epilayer and three different beryllium-doped Si0.92Ge0.08/Si superlattices (SL's) commensurately grown on Si(100) substrates is examined at 9 K at ambient pressure and, for the epilayer and one SL, as a function of hydrostatic pressure. In each structure, excitons bind to the isoelectronic Be pairs in the strained Si0.92Ge0.08 layers. The zero-phonon PL peaks of the epilayer and the in situ doped 50-Å Si0.92Ge0.08/100-Å Si SL shift linearly with pressure toward lower energy at the rate of 0.68+/-0.03 and 0.97+/-0.03 meV/kbar, respectively, which are near the 0.77-meV/kbar value for Si:Be. The PL energies at ambient and elevated pressure are analyzed by accounting for strain, quantum confinement, and exciton binding. A modified Hopfield-Thomas-Lynch model is used to model exciton binding to the Be pairs. This model, in which potential wells bind electrons to a site (that then trap holes), predicts a distribution of electron binding energies when an inhomogeneous distribution of potential-well depths is used. This accounts for the large PL linewidth and the decrease of linewidth with increasing pressure, among other observations. In SL's, the exciton binding energy is shown to depend on the width of the wells as well as the spatial distribution of Be dopants in the superlattice. Also, at and above 58 kbar a very unusual peak is observed in one of the SL's, which is associated with a free-exciton peak in Si, that shifts very fast with pressure (-6.02+/-0.03 meV/kbar).

  18. Initial growth processes in the epitaxy of Ge with GeH{sub 4} on oxidized Si substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Angermeier, D.; Kuhn, W.S.; Druihle, R.

    1997-02-01

    The heteroepitaxial growth of Ge on (100) Si in a horizontal, atmospheric pressure metallorganic vapor-phase epitaxy reactor is reported using germane GeH{sub 4} (0.1% in H{sub 2}). A particularly crucial parameter for germanium deposition on silicon is the time for the onset of epitaxial growth, the incubation time. The time was measured at substrate temperatures between 450 and 600{degree}C. At a substrate temperature of 450{degree}C an incubation time of 520 s was found and for the subsequent epitaxy growth rates of 50 nm/min were determined by Nomarski microscopy and electron diffraction. The existence of residual oxide in the reactor chambermore » forming an in situ SiO{sub 2} layer was evaluated by x-ray photoemission spectroscopy. To obtain a more thorough understanding of the gas- and solid-phase composition of Ge, Si, and oxygen the Gibbs energy of the system was calculated for various growth temperatures. It was concluded that SiO{sub 2} molecules are reduced by GeH{sub 4} molecules during the incubation period.« less

  19. Deposition of thin Si and Ge films by ballistic hot electron reduction in a solution-dripping mode and its application to the growth of thin SiGe films

    NASA Astrophysics Data System (ADS)

    Suda, Ryutaro; Yagi, Mamiko; Kojima, Akira; Mentek, Romain; Mori, Nobuya; Shirakashi, Jun-ichi; Koshida, Nobuyoshi

    2015-04-01

    To enhance the usefulness of ballistic hot electron injection into solutions for depositing thin group-IV films, a dripping scheme is proposed. A very small amount of SiCl4 or GeCl4 solution was dripped onto the surface of a nanocrystalline Si (nc-Si) electron emitter, and then the emitter is driven without using any counter electrodes. It is shown that thin Si and Ge films are deposited onto the emitting surface. Spectroscopic surface and compositional analyses showed no extrinsic carbon contaminations in deposited thin films, in contrast to the results of a previous study using the dipping scheme. The availability of this technique for depositing thin SiGe films is also demonstrated using a mixture SiCl4+GeCl4 solution. Ballistic hot electrons injected into solutions with appropriate kinetic energies promote preferential reduction of target ions with no by-products leading to nuclei formation for the thin film growth. Specific advantageous features of this clean, room-temperature, and power-effective process is discussed in comparison with the conventional dry and wet processes.

  20. Growth of BaSi2 film on Ge(100) by vacuum evaporation and its photoresponse properties

    NASA Astrophysics Data System (ADS)

    Trinh, Cham Thi; Nakagawa, Yoshihiko; Hara, Kosuke O.; Kurokawa, Yasuyoshi; Takabe, Ryota; Suemasu, Takashi; Usami, Noritaka

    2017-05-01

    We have successfully grown a polycrystalline orthorhombic BaSi2 film on a Ge(100) substrate by an evaporation method. Deposition of an amorphous Si (a-Si) film on the Ge substrate prior to BaSi2 evaporation plays a critical role in obtaining a high-quality BaSi2 film. By controlling substrate temperature and the thickness of the a-Si film, a crack-free and single-phase polycrystalline orthorhombic BaSi2 film with a long carrier lifetime of 1.5 µs was obtained on Ge substrates. The photoresponse property of the ITO/BaSi2/Ge/Al structure was clearly observed, and photoresponsivity was found to increase with increasing substrate temperature during deposition of a-Si. Furthermore, the BaSi2 film grown on Ge showed a higher photoresponsivity than that grown on Si, indicating the potential application of evaporated BaSi2 on Ge to thin-film solar cells.

  1. ‘Symbiotic’ semiconductors: unusual and counter-intuitive Ge/Si/O interactions

    NASA Astrophysics Data System (ADS)

    George, T.; Li, P. W.; Chen, K. H.; Peng, K. P.; Lai, W. T.

    2017-03-01

    Since the inception of the first transistors in the 1940s, the immense body of work on the Group IV semiconductors, Si and Ge, has spearheaded spectacular advances in modern integrated-circuit (IC) technology that has enabled a vast landscape of device applications in logic, memory, and computing. Although initially Si supplanted Ge as the material of choice for metal-oxide-semiconductor field-effect transistors, Ge-based devices are now breaking new ground. Widespread and innovative Ge-based applications exist in optoelectronics, communications, microelectro-mechanical systems, and energy harvesting/savings. On the fundamental, materials science front, while it is well known that Ge and Si are fully miscible in each other, the nature and extent of their attraction for each other has largely been unexplored. In this paper, we report a rather curious interplay between Ge and Si that occurs at high temperature (~900 °C) and that can be best described as ‘symbiotic’. Each element appears to facilitate reactions in the other which would otherwise not be possible. Oxygen intersititials also appear to play a major role in these reactions. Our experimental work has allowed us to classify four distinct regimes where these reactions occur. We describe these conditions and provide the necessary theoretical explanations for these results.

  2. Misfit dislocation gettering by substrate pit-patterning in SiGe films on Si(001)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Grydlik, Martyna; Groiss, Heiko; Brehm, Moritz

    2012-07-02

    We show that suitable pit-patterning of a Si(001) substrate can strongly influence the nucleation and the propagation of dislocations during epitaxial deposition of Si-rich Si{sub 1-x}Ge{sub x} alloys, preferentially gettering misfit segments along pit rows. In particular, for a 250 nm layer deposited by molecular beam epitaxy at x{sub Ge} = 15%, extended film regions appear free of dislocations, by atomic force microscopy, as confirmed by transmission electron microscopy sampling. This result is quite general, as explained by dislocation dynamics simulations, which reveal the key role of the inhomogeneous distribution in stress produced by the pit-patterning.

  3. SiGe Integrated Circuit Developments for SQUID/TES Readout

    NASA Astrophysics Data System (ADS)

    Prêle, D.; Voisin, F.; Beillimaz, C.; Chen, S.; Piat, M.; Goldwurm, A.; Laurent, P.

    2018-03-01

    SiGe integrated circuits dedicated to the readout of superconducting bolometer arrays for astrophysics have been developed since more than 10 years at APC. Whether for Cosmic Microwave Background (CMB) observations with the QUBIC ground-based experiment (Aumont et al. in astro-ph.IM, 2016. arXiv:1609.04372) or for the Hot and Energetic Universe science theme with the X-IFU instrument on-board of the ATHENA space mission (Barret et al. in SPIE 9905, space telescopes & instrumentation 2016: UV to γ Ray, 2016. https://doi.org/10.1117/12.2232432), several kinds of Transition Edge Sensor (TES) (Irwin and Hilton, in ENSS (ed) Cryogenic particle detection, Springer, Berlin, 2005) arrays have been investigated. To readout such superconducting detector arrays, we use time or frequency domain multiplexers (TDM, FDM) (Prêle in JINST 10:C08015, 2016. https://doi.org/10.1088/1748-0221/10/08/C08015) with Superconducting QUantum Interference Devices (SQUID). In addition to the SQUID devices, low-noise biasing and amplification are needed. These last functions can be obtained by using BiCMOS SiGe technology in an Application Specific Integrated Circuit (ASIC). ASIC technology allows integration of highly optimised circuits specifically designed for a unique application. Moreover, we could reach very low-noise and wide band amplification using SiGe bipolar transistor either at room or cryogenic temperatures (Cressler in J Phys IV 04(C6):C6-101, 1994. https://doi.org/10.1051/jp4:1994616). This paper discusses the use of SiGe integrated circuits for SQUID/TES readout and gives an update of the last developments dedicated to the QUBIC telescope and to the X-IFU instrument. Both ASIC called SQmux128 and AwaXe are described showing the interest of such SiGe technology for SQUID multiplexer controls.

  4. Photonic metasurface made of array of lens-like SiGe Mie resonators formed on (100) Si substrate via dewetting

    NASA Astrophysics Data System (ADS)

    Poborchii, Vladimir; Shklyaev, Alexander; Bolotov, Leonid; Uchida, Noriyuki; Tada, Tetsuya; Utegulov, Zhandos N.

    2017-12-01

    Metasurfaces consisting of arrays of high-index Mie resonators concentrating/redirecting light are important for integrated optics, photodetectors, and solar cells. Herein, we report the optical properties of low-Ge-content SiGe lens-like Mie resonator island arrays fabricated via dewetting during Ge deposition on a Si(100) surface at approximately 900 °C. We observe enhancement of the Si interaction with light owing to the efficient island-induced light concentration in the submicron-depth Si layer, which is mediated by both near-field Mie resonance leaking into the substrate and far-field light focusing. Such metasurfaces can improve the Si photodetector and solar-cell performance.

  5. Defect Characterization in SiGe/SOI Epitaxial Semiconductors by Positron Annihilation

    PubMed Central

    2010-01-01

    The potential of positron annihilation spectroscopy (PAS) for defect characterization at the atomic scale in semiconductors has been demonstrated in thin multilayer structures of SiGe (50 nm) grown on UTB (ultra-thin body) SOI (silicon-on-insulator). A slow positron beam was used to probe the defect profile. The SiO2/Si interface in the UTB-SOI was well characterized, and a good estimation of its depth has been obtained. The chemical analysis indicates that the interface does not contain defects, but only strongly localized charged centers. In order to promote the relaxation, the samples have been submitted to a post-growth annealing treatment in vacuum. After this treatment, it was possible to observe the modifications of the defect structure of the relaxed film. Chemical analysis of the SiGe layers suggests a prevalent trapping site surrounded by germanium atoms, presumably Si vacancies associated with misfit dislocations and threading dislocations in the SiGe films. PMID:21170391

  6. Entirely relaxed lattice-mismatched GaSb/GaAs/Si(001) heterostructure grown via metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Ha, Minh Thien Huu; Hoang Huynh, Sa; Binh Do, Huy; Nguyen, Tuan Anh; Luc, Quang Ho; Lee, Ching Ting; Chang, Edward Yi

    2018-05-01

    A GaSb epilayer is grown on a GaAs/Si(001) epitaxial substrate via metalorganic chemical vapor deposition. High-resolution transmission electron microscopy micrographs and high-resolution X-ray reciprocal space mapping indicate an entirely relaxed interfacial misfit (IMF) array GaSb epilayer. The valence-band offset and conduction-band offset of the Al2O3/GaSb/GaAs/Si structure are estimated to be 2.39 and 3.65 eV, respectively. The fabricated Al2O3/p-GaSb/GaAs/Si MOS capacitors exhibited good capacitance–voltage characteristics with a small accumulation frequency dispersion of approximately 1.05% per decade. These results imply that the GaSb epilayer grown on the GaAs/Si platform in the IMF mode can be used for future complementary metal–oxide semiconductor applications.

  7. A review of molecular beam epitaxy of ferroelectric BaTiO 3 films on Si, Ge and GaAs substrates and their applications

    DOE PAGES

    Mazet, Lucie; Yang, Sang Mo; Kalinin, Sergei V.; ...

    2015-06-30

    SrTiO 3 epitaxial growth by molecular beam epitaxy (MBE) on silicon has opened up the route to the monolithic integration of various complex oxides on the complementary metal-oxide-semiconductor silicon platform. Among functional oxides, ferroelectric perovskite oxides offer promising perspectives to improve or add functionalities on-chip. We review the growth by MBE of the ferroelectric compound BaTiO 3 on silicon (Si), germanium (Ge) and gallium arsenide (GaAs) and we discuss the film properties in terms of crystalline structure, microstructure and ferroelectricity. Lastly, we review the last developments in two areas of interest for the applications of BaTiO 3 films on silicon,more » namely integrated photonics, which benefits from the large Pockels effect of BaTiO 3, and low power logic devices, which may benefit from the negative capacitance of the ferroelectric.« less

  8. Combined wet and dry cleaning of SiGe(001)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Park, Sang Wook; Kaufman-Osborn, Tobin; Kim, Hyonwoong

    Combined wet and dry cleaning via hydrofluoric acid (HF) and atomic hydrogen on Si{sub 0.6}Ge{sub 0.4}(001) surface was studied at the atomic level using ultrahigh vacuum scanning tunneling microscopy (STM), scanning tunneling spectroscopy (STS), and x-ray photoelectron spectroscopy to understand the chemical transformations of the surface. Aqueous HF removes native oxide, but residual carbon and oxygen are still observed on Si{sub 0.6}Ge{sub 0.4}(001) due to hydrocarbon contamination from post HF exposure to ambient. The oxygen contamination can be eliminated by shielding the sample from ambient via covering the sample in the HF cleaning solution until the sample is introduced tomore » the vacuum chamber or by transferring the sample in an inert environment; however, both processes still leave carbon contaminant. Dry in-situ atomic hydrogen cleaning above 330 °C removes the carbon contamination on the surface consistent with a thermally activated atomic hydrogen reaction with surface hydrocarbon. A postdeposition anneal at 550 °C induces formation of an atomically flat and ordered SiGe surface observed by STM. STS verifies that the wet and dry cleaned surface has an unpinned Fermi level with no states between the conduction and valence band edge comparable to sputter cleaned SiGe surfaces.« less

  9. Ge/Si(001) heterostructures with dense arrays of Ge quantum dots: morphology, defects, photo-emf spectra and terahertz conductivity

    PubMed Central

    2012-01-01

    Issues of Ge hut cluster array formation and growth at low temperatures on the Ge/Si(001) wetting layer are discussed on the basis of explorations performed by high resolution STM and in-situ RHEED. Dynamics of the RHEED patterns in the process of Ge hut array formation is investigated at low and high temperatures of Ge deposition. Different dynamics of RHEED patterns during the deposition of Ge atoms in different growth modes is observed, which reflects the difference in adatom mobility and their ‘condensation’ fluxes from Ge 2D gas on the surface for different modes, which in turn control the nucleation rates and densities of Ge clusters. Data of HRTEM studies of multilayer Ge/Si heterostructures are presented with the focus on low-temperature formation of perfect films. Heteroepitaxial Si p–i–n-diodes with multilayer stacks of Ge/Si(001) quantum dot dense arrays built in intrinsic domains have been investigated and found to exhibit the photo-emf in a wide spectral range from 0.8 to 5 μm. An effect of wide-band irradiation by infrared light on the photo-emf spectra has been observed. Photo-emf in different spectral ranges has been found to be differently affected by the wide-band irradiation. A significant increase in photo-emf is observed in the fundamental absorption range under the wide-band irradiation. The observed phenomena are explained in terms of positive and neutral charge states of the quantum dot layers and the Coulomb potential of the quantum dot ensemble. A new design of quantum dot infrared photodetectors is proposed. By using a coherent source spectrometer, first measurements of terahertz dynamical conductivity (absorptivity) spectra of Ge/Si(001) heterostructures were performed at frequencies ranged from 0.3 to 1.2 THz in the temperature interval from 300 to 5 K. The effective dynamical conductivity of the heterostructures with Ge quantum dots has been discovered to be significantly higher than that of the structure with the same amount

  10. Quantum-confined Stark effect at 1.3 μm in Ge/Si(0.35)Ge(0.65) quantum-well structure.

    PubMed

    Rouifed, Mohamed Said; Chaisakul, Papichaya; Marris-Morini, Delphine; Frigerio, Jacopo; Isella, Giovanni; Chrastina, Daniel; Edmond, Samson; Le Roux, Xavier; Coudevylle, Jean-René; Vivien, Laurent

    2012-10-01

    Room-temperature quantum-confined Stark effect in a Ge/SiGe quantum-well structure is reported at the wavelength of 1.3 μm. The operating wavelength is tuned by the use of strain engineering. Low-energy plasma-enhanced chemical vapor deposition is used to grow 20 periods of strain-compensated quantum wells (8 nm Ge well and 12 nm Si(0.35)Ge(0.65) barrier) on Si(0.21)Ge(0.79) virtual substrate. The fraction of light absorbed per well allows for a strong modulation around 1.3 μm. The half-width at half-maximum of the excitonic peak of only 12 meV allows for a discussion on physical mechanisms limiting the performances of such devices.

  11. Copper-Based OHMIC Contracts for the Si/SiGe Heterojunction Bipolar Transistor Structure

    NASA Technical Reports Server (NTRS)

    Das, Kalyan; Hall, Harvey

    1999-01-01

    Silicon based heterojunction bipolar transistors (HBT) with SiGe base are potentially important devices for high-speed and high-frequency microelectronics. These devices are particularly attractive as they can be fabricated using standard Si processing technology. However, in order to realize the full potential of devices fabricated in this material system, it is essential to be able to form low resistance ohmic contacts using low thermal budget process steps and have full compatibility with VLSI/ULSI processing. Therefore, a study was conducted in order to better understand the contact formation and to develop optimized low resistance contacts to layers with doping densities corresponding to the p-type SiGe base and n-type Si emitter regions of the HBTS. These as-grown doped layers were implanted with BF(sub 2) up to 1 X 10(exp 16)/CM(exp 2) and As up to 5 x 10(exp 15)/CM2, both at 30 keV for the p-type SiGe base and n-type Si emitter layers, respectively, in order to produce a low sheet resistance surface layer. Standard transfer length method (TLM) contact pads on both p and n type layers were deposited using an e-beam evaporated trilayer structure of Ti/CufTi/Al (25)A/1500A/250A/1000A). The TLM pads were delineated by a photoresist lift-off procedure. These contacts in the as-deposited state were ohmic, with specific contact resistances for the highest implant doses of the order of 10(exp -7) ohm-CM2 and lower.

  12. High-Power, High-Frequency Si-Based (SiGe) Transistors Developed

    NASA Technical Reports Server (NTRS)

    Ponchak, George E.

    2002-01-01

    Future NASA, DOD, and commercial products will require electronic circuits that have greater functionality and versatility but occupy less space and cost less money to build and integrate than current products. System on a Chip (SOAC), a single semiconductor substrate containing circuits that perform many functions or containing an entire system, is widely recognized as the best technology for achieving low-cost, small-sized systems. Thus, a circuit technology is required that can gather, process, store, and transmit data or communications. Since silicon-integrated circuits are already used for data processing and storage and the infrastructure that supports silicon circuit fabrication is very large, it is sensible to develop communication circuits on silicon so that all the system functions can be integrated onto a single wafer. Until recently, silicon integrated circuits did not function well at the frequencies required for wireless or microwave communications, but with the introduction of small amounts of germanium into the silicon to make silicon-germanium (SiGe) transistors, silicon-based communication circuits are possible. Although microwavefrequency SiGe circuits have been demonstrated, there has been difficulty in obtaining the high power from their transistors that is required for the amplifiers of a transmitter, and many researchers have thought that this could not be done. The NASA Glenn Research Center and collaborators at the University of Michigan have developed SiGe transistors and amplifiers with state-of-the-art output power at microwave frequencies from 8 to 20 GHz. These transistors are fabricated using standard silicon processing and may be integrated with CMOS integrated circuits on a single chip. A scanning electron microscope image of a typical SiGe heterojunction bipolar transistor is shown in the preceding photomicrograph. This transistor achieved a record output power of 550 mW and an associated power-added efficiency of 33 percent at 8

  13. Si-Ge-Sn alloys with 1.0 eV gap for CPV multijunction solar cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Roucka, Radek, E-mail: radek@translucentinc.com; Clark, Andrew; Landini, Barbara

    2015-09-28

    Si-Ge-Sn ternary group IV alloys offer an alternative to currently used 1.0 eV gap materials utilized in multijunction solar cells. The advantage of Si-Ge-Sn is the ability to vary both the bandgap and lattice parameter independently. We present current development in fabrication of Si-Ge-Sn alloys with gaps in the 1.0 eV range. Produced material exhibits excellent structural properties, which allow for integration with existing III-V photovoltaic cell concepts. Time dependent room temperature photoluminescence data demonstrate that these materials have long carrier lifetimes. Absorption tunable by compositional changes is observed. As a prototype device set utilizing the 1 eV Si-Ge-Sn junction,more » single junction Si-Ge-Sn device and triple junction device with Si-Ge-Sn subcell have been fabricated. The resulting I-V and external quantum efficiency data show that the Si-Ge-Sn junction is fully functional and the performance is comparable to other 1.0 eV gap materials currently used.« less

  14. Delayed plastic relaxation limit in SiGe islands grown by Ge diffusion from a local source

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vanacore, G. M.; Zani, M.; Tagliaferri, A., E-mail: alberto.tagliaferri@polimi.it

    2015-03-14

    The hetero-epitaxial strain relaxation in nano-scale systems plays a fundamental role in shaping their properties. Here, the elastic and plastic relaxation of self-assembled SiGe islands grown by surface-thermal-diffusion from a local Ge solid source on Si(100) are studied by atomic force and transmission electron microscopies, enabling the simultaneous investigation of the strain relaxation in different dynamical regimes. Islands grown by this technique remain dislocation-free and preserve a structural coherence with the substrate for a base width as large as 350 nm. The results indicate that a delay of the plastic relaxation is promoted by an enhanced Si-Ge intermixing, induced by themore » surface-thermal-diffusion, which takes place already in the SiGe overlayer before the formation of a critical nucleus. The local entropy of mixing dominates, leading the system toward a thermodynamic equilibrium, where non-dislocated, shallow islands with a low residual stress are energetically stable. These findings elucidate the role of the interface dynamics in modulating the lattice distortion at the nano-scale, and highlight the potential use of our growth strategy to create composition and strain-controlled nano-structures for new-generation devices.« less

  15. Promising features of low-temperature grown Ge nanostructures on Si(001) substrates

    NASA Astrophysics Data System (ADS)

    Wang, Ze; Wang, Shuguang; Yin, Yefei; Liu, Tao; Lin, Dongdong; Li, De-hui; Yang, Xinju; Jiang, Zuimin; Zhong, Zhenyang

    2017-03-01

    High-quality Ge nanostructures are obtained by molecular beam epitaxy of Ge on Si(001) substrates at 200 °C and ex situ annealing at 400 °C. Their structural properties are comprehensively characterized by atomic force microscopy, transmission electron microscopy and Raman spectroscopy. It is disclosed that they are almost defect free except for some defects at the Ge/Si interface and in the subsequent Si capping layer. The misfit strain in the nanostructure is substantially relaxed. Dramatically strong photoluminescence (PL) from the Ge nanostructures is observed. Detailed analyses on the power- and temperature-dependent PL spectra, together with a self-consistent calculation, indicate the confinement and the high quantum efficiency of excitons within the Ge nanostructures. Our results demonstrate that the Ge nanostructures obtained via the present feasible route may have great potential in optoelectronic devices for monolithic optical-electronic integration circuits.

  16. Characterization of Si (sub X)Ge (sub 1-x)/Si Heterostructures for Device Applications Using Spectroscopic Ellipsometry

    NASA Technical Reports Server (NTRS)

    Sieg, R. M.; Alterovitz, S. A.; Croke, E. T.; Harrell, M. J.; Tanner, M.; Wang, K. L.; Mena, R. A.; Young, P. G.

    1993-01-01

    Spectroscopic ellipsometry (SE) characterization of several complex Si (sub X)Ge (sub 1-x)/Si heterostructures prepared for device fabrication, including structures for heterojunction bipolar transistors (HBT), p-type and n-type heterostructure modulation doped field effect transistors, has been performed. We have shown that SE can simultaneously determine all active layer thicknesses, Si (sub X)Ge (sub 1-x) compositions, and the oxide overlayer thickness, with only a general knowledge of the structure topology needed a priori. The characterization of HBT material included the SE analysis of a Si (sub X)Ge (sub 1-x) layer deeply buried (600 nanometers) under the silicon emitter and cap layers. In the SE analysis of n-type heterostructures, we examined for the first time a silicon layer under tensile strain. We found that an excellent fit can be obtained using optical constants of unstrained silicon to represent the strained silicon conduction layer. We also used SE to measure lateral sample homogeneity, providing quantitative identification of the inhomogeneous layer. Surface overlayers resulting from prior sample processing were also detected and measured quantitatively. These results should allow SE to be used extensively as a non-destructive means of characterizing Si (sub X)Ge (sub 1-x)/Si heterostructures prior to device fabrication and testing.

  17. Theoretical calculation of performance enhancement in lattice-matched SiGeSn/GeSn p-channel tunneling field-effect transistor with type-II staggered tunneling junction

    NASA Astrophysics Data System (ADS)

    Wang, Hongjuan; Han, Genquan; Wang, Yibo; Peng, Yue; Liu, Yan; Zhang, Chunfu; Zhang, Jincheng; Hu, Shengdong; Hao, Yue

    2016-04-01

    In this work, a lattice-matched SiGeSn/GeSn heterostructure p-channel tunneling field-effect transistor (hetero-PTFET) with a type-II staggered tunneling junction (TJ) is investigated theoretically. Lattice matching and type-II band alignment at the Γ-point is obtained at the SiGeSn/GeSn interface by tuning Sn and Si compositions. A steeper subthreshold swing (SS) and a higher on state current (I ON) are demonstrated in SiGeSn/GeSn hetero-PTFET than in GeSn homo-PTFET. Si0.31Ge0.49Sn0.20/Ge0.88Sn0.12 hetero-PTFET achieves a 2.3-fold higher I ON than Ge0.88Sn0.12 homo-PTFET at V DD of 0.3 V. Hetero-PTFET achieves a more abrupt hole profile and a higher carrier density near TJ than the homo-PTFET, which contributes to the significantly enhanced band-to-band tunneling (BTBT) rate and tunneling current in hetero-PTFET.

  18. Proton Tolerance of SiGe Precision Voltage References for Extreme Temperature Range Electronics

    NASA Astrophysics Data System (ADS)

    Najafizadeh, Laleh; Bellini, Marco; Prakash, A. P. Gnana; Espinel, Gustavo A.; Cressler, John D.; Marshall, Paul W.; Marshall, Cheryl J.

    2006-12-01

    A comprehensive investigation of the effects of proton irradiation on the performance of SiGe BiCMOS precision voltage references intended for extreme environment operational conditions is presented. The voltage reference circuits were designed in two distinct SiGe BiCMOS technology platforms (first generation (50 GHz) and third generation (200 GHz)) in order to investigate the effect of technology scaling. The circuits were irradiated at both room temperature and at 77 K. Measurement results from the experiments indicate that the proton-induced changes in the SiGe bandgap references are minor, even down to cryogenic temperatures, clearly good news for the potential application of SiGe mixed-signal circuits in emerging extreme environments

  19. Ballistic-Electron-Emission-Microscopy of Strained Si(sub 1-x)Ge(sub x) Layers

    NASA Technical Reports Server (NTRS)

    Bell, L. D.; Milliken, A. M.; Manion, S. J.; Kaiser, W. J.; Fathauer, R. W.; Pike, W. T.

    1994-01-01

    Ballistic-electron-emission microscopy (BEEM) has been used to investigate the effects of strain on Si(sub 1-x)Ge(sub x) alloys. Lifting of the degeneracy of the conduction-band minimum of Si(sub 1-x)Ge(sub x), due to lattice deformation has been directly measured by application of BEEM spectroscopy to Ag/Si structures. Experimental values for this conduction-band splitting agree well with calculations. In addition, an unexpected heterogeneity in the strain of the Si(sub 1-x)Ge(sub x) layer is introduced by deposition of Au. This effect, not observed with Ag, is attributed to species interdiffusion and has important implications for metal-semiconductor devices based oil pseudomorphic Si(sub 1-x)Ge(sub x)/Si material systems.

  20. Atomic structure and stoichiometry of In(Ga)As/GaAs quantum dots grown on an exact-oriented GaP/Si(001) substrate

    NASA Astrophysics Data System (ADS)

    Schulze, C. S.; Huang, X.; Prohl, C.; Füllert, V.; Rybank, S.; Maddox, S. J.; March, S. D.; Bank, S. R.; Lee, M. L.; Lenz, A.

    2016-04-01

    The atomic structure and stoichiometry of InAs/InGaAs quantum-dot-in-a-well structures grown on exactly oriented GaP/Si(001) are revealed by cross-sectional scanning tunneling microscopy. An averaged lateral size of 20 nm, heights up to 8 nm, and an In concentration of up to 100% are determined, being quite similar compared with the well-known quantum dots grown on GaAs substrates. Photoluminescence spectra taken from nanostructures of side-by-side grown samples on GaP/Si(001) and GaAs(001) show slightly blue shifted ground-state emission wavelength for growth on GaP/Si(001) with an even higher peak intensity compared with those on GaAs(001). This demonstrates the high potential of GaP/Si(001) templates for integration of III-V optoelectronic components into silicon-based technology.

  1. Segmented SiGe-PbTe couples

    NASA Technical Reports Server (NTRS)

    Eggers, P. E.; Mueller, J. J.

    1969-01-01

    New design of segmented couples incorporates an intermediate junction contacted by pressure, and eliminates transition members that bond materials differing in thermal expansion. Development of a reproducible and reliable intermediate junction between PbTe and SiGe will be applicable to direct conversion of energy.

  2. The source of dissolved silicon in soil surface solutions of a temperate forest ecosystem: Ge/Si and Si isotope ratios as biogeochemical tracers

    NASA Astrophysics Data System (ADS)

    Cornelis, J.; Delvaux, B.; Cardinal, D.; André, L.; Ranger, J.; Opfergelt, S.

    2010-12-01

    Understand the biogeochemical cycle of silicon (Si) in the Earth’s critical zone and the dissolved Si transfer from the litho-pedosphere into the hydrosphere is of great interest for the global balance of biogeochemical processes, including the global C cycle. Indeed, the interaction between Si and C cycles regulates the atmospheric CO2 through the chemical weathering of silicate minerals, the C sequestration in stable organo-mineral compounds and the Si nutrition of phytoplankton CO2-consumers in oceans. H4SiO4 released by mineral dissolution contributes to the critical zone evolution through neoformation of secondary minerals, adsorption onto hydroxyl-bearing phases and recycling by vegetation and return of phytoliths on topsoil. The neoformation of secondary precipitates (clay minerals and phytoliths polymerized in plants) and adsorption of Si onto Fe and Al (hydr)oxides are processes favoring the light Si isotope incorporation, generating rivers enriched in heavy Si isotopes. On the other hand, clay minerals and phytoliths display contrasting Ge/Si ratios since clay-sized weathering products are enriched in Ge and phytoliths are depleted in Ge. Thus stable Si isotope and Ge/Si ratios constitute very interesting proxies to trace transfer of Si in the critical zone. Here we report Si isotopic and Ge/Si ratios of the different Si pools in a temperate soil-tree system (Breuil experimental forest, France) involving various tree species grown on Alumnic Cambisol derived from granitic bedrock. Relative to granitic bedrock (δ30Si = -0.07 ‰; Ge/Si = 2.5 µmol/mol), clay-sized minerals are enriched in 28Si (-1.07 ‰) and Ge (6.2 µmol/mol) while phytoliths are enriched in 28Si (-0.28 to -0.64 ‰) and depleted in Ge (0.1 to 0.3 µmol/mol). This contrast allows us to infer the relative contribution of litho/pedogenic and biogenic mineral dissolution on the release of H4SiO4 in soil surface solutions. The Si-isotope signatures and Ge/Si ratios of forest floor

  3. GaN growth via HVPE on SiC/Si substrates: growth mechanisms

    NASA Astrophysics Data System (ADS)

    Sharofidinov, Sh Sh; Redkov, A. V.; Osipov, A. V.; Kukushkin, S. A.

    2017-11-01

    The article focuses on the study of GaN thin film growth via chloride epitaxy on SiC/Si hybrid substrate. SiC buffer layer was grown by a method of substitution of atoms, which allows one to reduce impact of mechanical stress therein on subsequent growth of III-nitride films. It is shown, that change in GaN growth conditions leads to change in its growth mechanism. Three mechanisms: epitaxial, spiral and stepwise growth are considered and mechanical stresses are estimated via Raman spectroscopy.

  4. Studies of molecular-beam epitaxy growth of GaAs on porous Si substrates

    NASA Technical Reports Server (NTRS)

    Mii, Y. J.; Kao, Y. C.; Wu, B. J.; Wang, K. L.; Lin, T. L.; Liu, J. K.

    1988-01-01

    GaAs has been grown on porous Si directly and on Si buffer layer-porous Si substrates by molecular-beam epitaxy. In the case of GaAs growth on porous Si, transmission electron microscopy (TEM) reveals that the dominant defects in GaAs layers grown on porous Si are microtwins and stacking faults, which originate from the GaAs/porous Si interface. GaAs is found to penetrate into the porous Si layers. By using a thin Si buffer layer (50 nm), GaAs penetration diminishes and the density of microtwins and stacking faults is largely reduced and localized at the GaAs/Si buffer interface. However, there is a high density of threading dislocations remaining. Both Si (100) aligned and four degree tilted substrates have been examined in this study. TEM results show no observable effect of the tilted substrates on the quality of the GaAs epitaxial layer.

  5. Purification and labeling strategies for (68)Ga from (68)Ge/ (68)Ga generator eluate.

    PubMed

    Mueller, Dirk; Klette, Ingo; Baum, Richard P

    2013-01-01

    For successful labeling, (68)Ge/(68)Ga generator eluate has to be concentrated (from 10 mL or more to less than 1 mL) and to be purified of metallic impurities, especially Fe(III), and (68)Ge breakthrough. Anionic, cationic and fractional elution methods are well known. We describe two new methods: (1) a combined cationic-anionic purification and (2) an easy-to-use and reliable cationic purification with NaCl solution. Using the first method, (68)Ga from 10 mL generator eluate was collected on a SCX cartridge, then eluted with 1.0 mL 5.5 M HCl directly on an anion exchanger (30 mg AG1X8). After drying with a stream of helium, (68)Ga was eluted with 0.4 mL water into the reaction vial. We provide as an example labeling of BPAMD. Using the second method, (68)Ga from 10 mL generator eluate was collected on a SCX cartridge, then eluted with a hydrochloric solution of sodium chloride (0.5 mL 5 M NaCl, 12.5 μL 5.5 M HCl) into the reaction vial, containing 40 μg DOTATOC and 0.5 mL 1 M ammonium acetate buffer pH 4.5. After heating for 7 min at 90°C, the reaction was finished. Radiochemical purity was higher than 95% without further purification. No (68)Ge breakthrough was found in the final product.

  6. Selective growth of Ge1- x Sn x epitaxial layer on patterned SiO2/Si substrate by metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Takeuchi, Wakana; Washizu, Tomoya; Ike, Shinichi; Nakatsuka, Osamu; Zaima, Shigeaki

    2018-01-01

    We have investigated the selective growth of a Ge1- x Sn x epitaxial layer on a line/space-patterned SiO2/Si substrate by metal-organic chemical vapor deposition. We examined the behavior of a Sn precursor of tributyl(vinyl)tin (TBVSn) during the growth on Si and SiO2 substrates and investigated the effect of the Sn precursor on the selective growth. The selective growth of the Ge1- x Sn x epitaxial layer was performed under various total pressures and growth temperatures of 300 and 350 °C. The selective growth of the Ge1- x Sn x epitaxial layer on the patterned Si region is achieved at a low total pressure without Ge1- x Sn x growth on the SiO2 region. In addition, we found that the Sn content in the Ge1- x Sn x epitaxial layer increases with width of the SiO2 region for a fixed Si width even with low total pressure. To control the Sn content in the selective growth of the Ge1- x Sn x epitaxial layer, it is important to suppress the decomposition and migration of Sn and Ge precursors.

  7. Reduced thermal conductivity of nanotwinned random layer structures: a promising nanostructuring towards efficient Si and Si/Ge thermoelectric materials

    NASA Astrophysics Data System (ADS)

    Samaraweera, Nalaka; Chan, Kin L.; Mithraratne, Kumar

    2018-05-01

    Si and Si/Ge based nanostructures of reduced lattice thermal conductivity are widely attractive for developing efficient thermoelectric materials. In this study, we demonstrate the reduced thermal conductivity of Si nanotwinned random layer (NTRL) structures over corresponding superlattice and twin-free counterparts. The participation ratio analysis of vibrational modes shows that a possible cause of thermal conductivity reduction is phonon localization due to the random arrangement of twin boundaries. Via non-equilibrium molecular dynamic simulations, it is shown that ~23 and ~27% reductions over superlattice counterparts and ~55 and 53% over twin-free counterparts can be attained for the structures of total lengths of 90 and 170 nm, respectively. Furthermore, a random twin boundary distribution is applied for Si/Ge random layer structures seeking further reduction of thermal conductivity. A significant reduction in thermal conductivity of Si/Ge structures exceeding the thermal insulating performance of the corresponding amorphous Si structure by ~31% for a total length of 90 nm can be achieved. This reduction is as high as ~98% compared to the twin-free Si counterpart. It is demonstrated that application of randomly organised nanoscale twin boundaries is a promising nanostructuring strategy towards developing efficient Si and Si/Ge based thermoelectric materials in the future.

  8. High-performance Ge p-i-n photodetector on Si substrate

    NASA Astrophysics Data System (ADS)

    Chen, Li-qun; Huang, Xiang-ying; Li, Min; Huang, Yan-hua; Wang, Yue-yun; Yan, Guang-ming; Li, Cheng

    2015-05-01

    High-performance and tensile-strained germanium (Ge) p-i-n photodetector is demonstrated on Si substrate. The epitaxial Ge layers were prepared in an ultrahigh vacuum chemical vapor deposition (UHV-CVD) system using low temperature Ge buffer technique. The devices were fabricated by in situ doping and using Si as passivation layer between Ge and metal, which can improve the ohmic contact and realize the high doping. The results show that the dark current of the photodetector with diameter of 24 μm is about 2.5×10-7 μA at the bias voltage of -1 V, and the optical responsivity is 0.1 A/W at wavelength of 1.55 μm. The 3 dB bandwidth (BW) of 4 GHz is obtained for the photodetector with diameter of 24 μm at reverse bias voltage of 1 V. The long diffusion time of minority carrier in n-type Ge and the large contact resistance in metal/Ge contacts both affect the performance of Ge photodetectors.

  9. MeV Si ion modifications on the thermoelectric generators from Si/Si + Ge superlattice nano-layered films

    NASA Astrophysics Data System (ADS)

    Budak, S.; Heidary, K.; Johnson, R. B.; Colon, T.; Muntele, C.; Ila, D.

    2014-08-01

    The performance of thermoelectric materials and devices is characterized by a dimensionless figure of merit, ZT = S2σT/K, where, S and σ denote, respectively, the Seebeck coefficient and electrical conductivity, T is the absolute temperature in Kelvin and K represents the thermal conductivity. The figure of merit may be improved by means of raising either S or σ or by lowering K. In our laboratory, we have fabricated and characterized the performance of a large variety of thermoelectric generators (TEG). Two TEG groups comprised of 50 and 100 alternating layers of Si/Si + Ge multi-nanolayered superlattice films have been fabricated and thoroughly characterized. Ion beam assisted deposition (IBAD) was utilized to assemble the alternating sandwiched layers, resulting in total thickness of 300 nm and 317 nm for 50 and 100 layer devices, respectively. Rutherford Backscattering Spectroscopy (RBS) was employed in order to monitor the precise quantity of Si and Ge utilized in the construction of specific multilayer thin films. The material layers were subsequently impregnated with quantum dots and/or quantum clusters, in order to concurrently reduce the cross plane thermal conductivity, increase the cross plane Seebeck coefficient and raise the cross plane electrical conductivity. The quantum dots/clusters were implanted via the 5 MeV Si ion bombardment which was performed using a Pelletron high energy ion beam accelerator. We have achieved remarkable results for the thermoelectric and optical properties of the Si/Si + Ge multilayer thin film TEG systems. We have demonstrated that with optimal setting of the 5 MeV Si ion beam bombardment fluences, one can fabricate TEG systems with figures of merits substantially higher than the values previously reported.

  10. Ge{sub 1-y}Sn{sub y} (y = 0.01-0.10) alloys on Ge-buffered Si: Synthesis, microstructure, and optical properties

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Senaratne, C. L.; Kouvetakis, J.; Gallagher, J. D.

    Novel hydride chemistries are employed to deposit light-emitting Ge{sub 1-y}Sn{sub y} alloys with y ≤ 0.1 by Ultra-High Vacuum Chemical Vapor Deposition (UHV-CVD) on Ge-buffered Si wafers. The properties of the resultant materials are systematically compared with similar alloys grown directly on Si wafers. The fundamental difference between the two systems is a fivefold (and higher) decrease in lattice mismatch between film and virtual substrate, allowing direct integration of bulk-like crystals with planar surfaces and relatively low dislocation densities. For y ≤ 0.06, the CVD precursors used were digermane Ge₂H₆ and deuterated stannane SnD₄. For y ≥ 0.06, the Gemore » precursor was changed to trigermane Ge₃H₈, whose higher reactivity enabled the fabrication of supersaturated samples with the target film parameters. In all cases, the Ge wafers were produced using tetragermane Ge₄H₁₀ as the Ge source. The photoluminescence intensity from Ge{sub 1–y}Sn{sub y}/Ge films is expected to increase relative to Ge{sub 1–y}Sn{sub y}/Si due to the less defected interface with the virtual substrate. However, while Ge{sub 1–y}Sn{sub y}/Si films are largely relaxed, a significant amount of compressive strain may be present in the Ge{sub 1–y}Sn{sub y}/Ge case. This compressive strain can reduce the emission intensity by increasing the separation between the direct and indirect edges. In this context, it is shown here that the proposed CVD approach to Ge{sub 1–y}Sn{sub y}/Ge makes it possible to approach film thicknesses of about 1 μm, for which the strain is mostly relaxed and the photoluminescence intensity increases by one order of magnitude relative to Ge{sub 1–y}Sn{sub y}/Si films. The observed strain relaxation is shown to be consistent with predictions from strain-relaxation models first developed for the Si{sub 1–x}Ge{sub x}/Si system. The defect structure and atomic distributions in the films are studied in detail using advanced

  11. Oxidation kinetics of Si and SiGe by dry rapid thermal oxidation, in-situ steam generation oxidation and dry furnace oxidation

    NASA Astrophysics Data System (ADS)

    Rozé, Fabien; Gourhant, Olivier; Blanquet, Elisabeth; Bertin, François; Juhel, Marc; Abbate, Francesco; Pribat, Clément; Duru, Romain

    2017-06-01

    The fabrication of ultrathin compressively strained SiGe-On-Insulator layers by the condensation technique is likely a key milestone towards low-power and high performances FD-SOI logic devices. However, the SiGe condensation technique still requires challenges to be solved for an optimized use in an industrial environment. SiGe oxidation kinetics, upon which the condensation technique is founded, has still not reached a consensus in spite of various studies which gave insights into the matter. This paper aims to bridge the gaps between these studies by covering various oxidation processes relevant to today's technological needs with a new and quantitative analysis methodology. We thus address oxidation kinetics of SiGe with three Ge concentrations (0%, 10%, and 30%) by means of dry rapid thermal oxidation, in-situ steam generation oxidation, and dry furnace oxidation. Oxide thicknesses in the 50 Å to 150 Å range grown with oxidation temperatures between 850 and 1100 °C were targeted. The present work shows first that for all investigated processes, oxidation follows a parabolic regime even for thin oxides, which indicates a diffusion-limited oxidation regime. We also observe that, for all investigated processes, the SiGe oxidation rate is systematically higher than that of Si. The amplitude of the variation of oxidation kinetics of SiGe with respect to Si is found to be strongly dependent on the process type. Second, a new quantitative analysis methodology of oxidation kinetics is introduced. This methodology allows us to highlight the dependence of oxidation kinetics on the Ge concentration at the oxidation interface, which is modulated by the pile-up mechanism. Our results show that the oxidation rate increases with the Ge concentration at the oxidation interface.

  12. Atomic structure and stoichiometry of In(Ga)As/GaAs quantum dots grown on an exact-oriented GaP/Si(001) substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schulze, C. S.; Prohl, C.; Füllert, V.

    2016-04-04

    The atomic structure and stoichiometry of InAs/InGaAs quantum-dot-in-a-well structures grown on exactly oriented GaP/Si(001) are revealed by cross-sectional scanning tunneling microscopy. An averaged lateral size of 20 nm, heights up to 8 nm, and an In concentration of up to 100% are determined, being quite similar compared with the well-known quantum dots grown on GaAs substrates. Photoluminescence spectra taken from nanostructures of side-by-side grown samples on GaP/Si(001) and GaAs(001) show slightly blue shifted ground-state emission wavelength for growth on GaP/Si(001) with an even higher peak intensity compared with those on GaAs(001). This demonstrates the high potential of GaP/Si(001) templates for integration ofmore » III-V optoelectronic components into silicon-based technology.« less

  13. Photoconductivity of ultra-thin Ge(GeSn) layers grown in Si by low-temperature molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Talochkin, A. B., E-mail: tal@isp.nsc.ru; Novosibirsk State University, Novosibirsk 630090; Chistokhin, I. B.

    2016-04-07

    Photoconductivity (PC) spectra of Si/Ge(GeSn)/Si structures with the ultra-thin (1.0–2.3 nm) Ge and GeSn alloy layers grown by the low-temperature (T = 100 °C) molecular beam epitaxy are studied. Photoresponse in the range of 1.2–0.4 eV related to light absorption in the buried Ge(GeSn) layer is observed. It is shown that in case of lateral PC, a simple diffusion model can be used to determine the absorption coefficient of this layer α ∼ 10{sup 5 }cm{sup −1}. This value is 100 times larger than that of a single Ge quantum dot layer and is reached significantly above the band gap of most bulk semiconductors. The observedmore » absorption is caused by optical transitions between electron and hole states localized at the interfaces. The anomalous high value of α can be explained by the unusual state of Ge(GeSn) layer with high concentration of dangling bonds, the optical properties of which have been predicted theoretically by Knief and von Niessen (Phys. Rev. B 59, 12940 (1999)).« less

  14. Low temperature growth of heavy boron-doped hydrogenated Ge epilayers and its application in Ge/Si photodetectors

    NASA Astrophysics Data System (ADS)

    Kuo, Wei-Cheng; Lee, Ming Jay; Wu, Mount-Learn; Lee, Chien-Chieh; Tsao, I.-Yu; Chang, Jenq-Yang

    2017-04-01

    In this study, heavily boron-doped hydrogenated Ge epilayers are grown on Si substrates at a low growth temperature (220 °C). The quality of the boron-doped epilayers is dependent on the hydrogen flow rate. The optical emission spectroscopic, X-ray diffraction and Hall measurement results demonstrate that better quality boron-doped Ge epilayers can be obtained at low hydrogen flow rates (0 sccm). This reduction in quality is due to an excess of hydrogen in the source gas, which breaks one of the Ge-Ge bonds on the Ge surface, leading to the formation of unnecessary dangling bonds. The structure of the boron doped Ge epilayers is analyzed by transmission electron microscopy and atomic force microscopy. In addition, the performance, based on the I-V characteristics, of Ge/Si photodetectors fabricated with boron doped Ge epilayers produced under different hydrogen flow rates was examined. The photodetectors with boron doped Ge epilayers produced with a low hydrogen flow rate (0 sccm) exhibited a higher responsivity of 0.144 A/W and a lower dark current of 5.33 × 10-7 A at a reverse bias of 1 V.

  15. Auger electron diffraction study of the initial stage of Ge heteroepitaxy on Si(001)

    NASA Astrophysics Data System (ADS)

    Sasaki, M.; Abukawa, T.; Yeom, H. W.; Yamada, M.; Suzuki, S.; Sato, S.; Kono, S.

    1994-12-01

    The initial stage of pure and surfactant (Sb)-assisted Ge growth on a Si(001) surface has been studied by Auger electron diffraction (AED) and X-ray photoelectron diffraction (XPD). A single-domain Si(001)2 × 1 substrate was used to avoid the ambiguity arising from the usual double-domain substrate. For the pure Ge growth, 1 monolayer of Ge was deposited onto the room temperature substrate followed by annealing at 350°C-600°C, which appeared to have (1 × 2) periodicity by LEED. Ge LMM AED patterns were measured to find that a substantial amount of Ge atoms diffuse to the bulk Si positions up to the fourth layer at least. For the Sb-assisted Ge growth, a Sb(1 × 2)/Si(001) surface was first prepared and Sb 3d XPD patterns were measured to find that Sb forms dimers on the substrate. 1 ML of Ge was deposited onto the Sb(1 × 2)/Si(001) surface and then the surface was annealed at 600°C. Ge LMM AED and Sb 3d XPD patterns measured for this surface showed that surfactant Sb atoms are indeed present on the first layer forming dimers and that Ge atoms are present mainly on the second layer with a substantial amount of Ge diffused into the third and fourth layers.

  16. Single-shot readout of accumulation mode Si/SiGe spin qubits using RF reflectometry

    NASA Astrophysics Data System (ADS)

    Volk, Christian; Martins, Frederico; Malinowski, Filip; Marcus, Charles M.; Kuemmeth, Ferdinand

    Spin qubits based on gate-defined quantum dots are promising systems for realizing quantum computation. Due to their low concentration of nuclear-spin-carrying isotopes, Si/SiGe heterostructures are of particular interest. While high fidelities have been reported for single-qubit and two-qubit gate operations, qubit initialization and measurement times are relatively slow. In order to develop fast read-out techniques compatible with the operation of spin qubits, we characterize double and triple quantum dots confined in undoped Si/Si0.7Ge0.3 heterostructures using accumulation and depletion gates and a nearby RF charge sensor dot. We implement a RF reflectometry technique that allows single-shot charge read-out at integration times on the order of a few μs. We show our recent advancement towards implementing spin qubits in these structures, including spin-selective single-shot read-out.

  17. Fabrication of Coaxial Si1- x Ge x Heterostructure Nanowires by O2 Flow-Induced Bifurcate Reactions

    NASA Astrophysics Data System (ADS)

    Kim, Ilsoo; Lee, Ki-Young; Kim, Ungkil; Park, Yong-Hee; Park, Tae-Eon; Choi, Heon-Jin

    2010-10-01

    We report on bifurcate reactions on the surface of well-aligned Si1- x Ge x nanowires that enable fabrication of two different coaxial heterostructure nanowires. The Si1- x Ge x nanowires were grown in a chemical vapor transport process using SiCl4 gas and Ge powder as a source. After the growth of nanowires, SiCl4 flow was terminated while O2 gas flow was introduced under vacuum. On the surface of nanowires was deposited Ge by the vapor from the Ge powder or oxidized into SiO2 by the O2 gas. The transition from deposition to oxidation occurred abruptly at 2 torr of O2 pressure without any intermediate region and enables selectively fabricated Ge/Si1- x Ge x or SiO2/Si1- x Ge x coaxial heterostructure nanowires. The rate of deposition and oxidation was dominated by interfacial reaction and diffusion of oxygen through the oxide layer, respectively.

  18. Fabrication of Coaxial Si(1-x)Ge(x) Heterostructure Nanowires by O(2) Flow-Induced Bifurcate Reactions.

    PubMed

    Kim, Ilsoo; Lee, Ki-Young; Kim, Ungkil; Park, Yong-Hee; Park, Tae-Eon; Choi, Heon-Jin

    2010-06-17

    We report on bifurcate reactions on the surface of well-aligned Si(1-x)Ge(x) nanowires that enable fabrication of two different coaxial heterostructure nanowires. The Si(1-x)Ge(x) nanowires were grown in a chemical vapor transport process using SiCl(4) gas and Ge powder as a source. After the growth of nanowires, SiCl(4) flow was terminated while O(2) gas flow was introduced under vacuum. On the surface of nanowires was deposited Ge by the vapor from the Ge powder or oxidized into SiO(2) by the O(2) gas. The transition from deposition to oxidation occurred abruptly at 2 torr of O(2) pressure without any intermediate region and enables selectively fabricated Ge/Si(1-x)Ge(x) or SiO(2)/Si(1-x)Ge(x) coaxial heterostructure nanowires. The rate of deposition and oxidation was dominated by interfacial reaction and diffusion of oxygen through the oxide layer, respectively.

  19. Design of Si0.5Ge0.5 based tunnel field effect transistor and its performance evaluation

    NASA Astrophysics Data System (ADS)

    Singh, Gurmeet; Amin, S. Intekhab; Anand, Sunny; Sarin, R. K.

    2016-04-01

    In this work, the performance comparison of two heterojunction PIN TFETs having Si channel and Si0.5Ge0.5 source with high-k (SiGe DGTFET HK) and hetero-gate dielectric (SiGe DGTFET HG) respectively with those of two homojunction Si based PIN (DGTFET HK and DGTFET HG) TFETs is performed. Similarly, by employing the technique of pocketing at source junction in above four PIN TFETs, the performances of resultant four PNPN TFETs (SiGe PNPN DGTFET HK, SiGe PNPN DGTFET HG, PNPN DGTFET HK and PNPN DGTFET HG) are also compared with each other. Due to lower tunnel resistance of SiGe based heterojunction PIN and PNPN TFETs, the DC parameters such as ON current, ON-OFF current ratio, average subthreshold slope are improved significantly as compared to Si based PIN and PNPN TFETs respectively. The output characteristics of HG architectures in Si based homojunction PIN and PNPN TFETs is observed to be identical to with respective Si based HK PIN and PNPN TFET architectures. However, the output characteristics of HG architectures in SiGe based heterojunction PIN and PNPN TFETs degrade as compared to their respective SiGe based HK PIN and PNPN TFET architectures. In ON state, SiGe based HK and HG PIN and PNPN TFETs have lower gate capacitance (Cgg) as compared to their respective Si based HK and HG PIN and PNPN TFETs. Moreover, HG architecture suppresses gate to drain capacitance (Cgd) and ambipolar conduction. Transconductance (gm) and cut off frequency (fT) is also observed to be higher for SiGe based PIN and PNPN TFETs.

  20. Electronic structure and optical properties of noncentrosymmetric LiGaGe2Se6, a promising nonlinear optical material

    NASA Astrophysics Data System (ADS)

    Lavrentyev, A. A.; Gabrelian, B. V.; Vu, V. T.; Ananchenko, L. N.; Isaenko, L. I.; Yelisseyev, A.; Krinitsin, P. G.; Khyzhun, O. Y.

    2016-11-01

    X-ray photoelectron core-level and valence-band spectra are measured for pristine and Ar+ ion-bombarded surfaces of LiGaGe2Se6 single crystal grown by Bridgman-Stockbarger technique. Further, electronic structure of LiGaGe2Se6 is elucidated from both theoretical and experimental viewpoints. Density functional theory (DFT) calculations are made using the augmented plane wave +local orbitals (APW+lo) method to study total and partial densities of states in the LiGaGe2Se6 compound. The present calculations indicate that the principal contributors to the valence band are the Se 4p states: they contribute mainly at the top and in the central portion of the valence band of LiGaGe2Se6, with also their significant contributions in its lower portion. The Ge 4s and Ge 4p states are among other significant contributors to the valence band of LiGaGe2Se6, contributing mainly at the bottom and in the central portion, respectively. In addition, the calculations indicate that the bottom of the conduction band is composed mainly from the unoccupied Ge s and Se p states. The present DFT calculations are supported experimentally by comparison on a common energy scale of the X-ray emission bands representing the energy distribution of the 4p states associated with Ga, Ge and Se and the XPS valence-band spectrum of the LiGaGe2Se6 single crystal. The main optical characteristics of the LiGaGe2Se6 compound are elucidated by the first-principles calculations.

  1. SiGe Based Low Temperature Electronics for Lunar Surface Applications

    NASA Technical Reports Server (NTRS)

    Mojarradi, Mohammad M.; Kolawa, Elizabeth; Blalock, Benjamin; Cressler, John

    2012-01-01

    The temperature at the permanently shadowed regions of the moon's surface is approximately -240 C. Other areas of the lunar surface experience temperatures that vary between 120 C and -180 C during the day and night respectively. To protect against the large temperature variations of the moon surface, traditional electronics used in lunar robotics systems are placed inside a thermally controlled housing which is bulky, consumes power and adds complexity to the integration and test. SiGe Based electronics have the capability to operate over wide temperature range like that of the lunar surface. Deploying low temperature SiGe electronics in a lander platform can minimize the need for the central thermal protection system and enable the development of a new generation of landers and mobility platforms with highly efficient distributed architecture. For the past five years a team consisting of NASA, university and industry researchers has been examining the low temperature and wide temperature characteristic of SiGe based transistors for developing electronics for wide temperature needs of NASA environments such as the Moon, Titan, Mars and Europa. This presentation reports on the status of the development of wide temperature SiGe based electronics for the landers and lunar surface mobility systems.

  2. Bright photoluminescence from ordered arrays of SiGe nanowires grown on Si(111)

    PubMed Central

    Rowell, N L; Benkouider, A; Ronda, A; Favre, L; Berbezier, I

    2014-01-01

    Summary We report on the optical properties of SiGe nanowires (NWs) grown by molecular beam epitaxy (MBE) in ordered arrays on SiO2/Si(111) substrates. The production method employs Au catalysts with self-limited sizes deposited in SiO2-free sites opened-up in the substrate by focused ion beam patterning for the preferential nucleation and growth of these well-organized NWs. The NWs thus produced have a diameter of 200 nm, a length of 200 nm, and a Ge concentration x = 0.15. Their photoluminescence (PL) spectra were measured at low temperatures (from 6 to 25 K) with excitation at 405 and 458 nm. There are four major features in the energy range of interest (980–1120 meV) at energies of 1040.7, 1082.8, 1092.5, and 1098.5 meV, which are assigned to the NW-transverse optic (TO) Si–Si mode, NW-transverse acoustic (TA), Si–substrate–TO and NW-no-phonon (NP) lines, respectively. From these results the NW TA and TO phonon energies are found to be 15.7 and 57.8 meV, respectively, which agree very well with the values expected for bulk Si1− xGex with x = 0.15, while the measured NW NP energy of 1099 meV would indicate a bulk-like Ge concentration of x = 0.14. Both of these concentrations values, as determined from PL, are in agreement with the target value. The NWs are too large in diameter for a quantum confinement induced energy shift in the band gap. Nevertheless, NW PL is readily observed, indicating that efficient carrier recombination is occurring within the NWs. PMID:25671145

  3. Electronic structure of O-doped SiGe calculated by DFT + U method

    NASA Astrophysics Data System (ADS)

    Zhao, Zong-Yan; Yang, Wen; Yang, Pei-Zhi

    2016-12-01

    To more in depth understand the doping effects of oxygen on SiGe alloys, both the micro-structure and properties of O-doped SiGe (including: bulk, (001) surface, and (110) surface) are calculated by DFT + U method in the present work. The calculated results are as follows. (i) The (110) surface is the main exposing surface of SiGe, in which O impurity prefers to occupy the surface vacancy sites. (ii) For O interstitial doping on SiGe (110) surface, the existences of energy states caused by O doping in the band gap not only enhance the infrared light absorption, but also improve the behaviors of photo-generated carriers. (iii) The finding about decreased surface work function of O-doped SiGe (110) surface can confirm previous experimental observations. (iv) In all cases, O doing mainly induces the electronic structures near the band gap to vary, but is not directly involved in these variations. Therefore, these findings in the present work not only can provide further explanation and analysis for the corresponding underlying mechanism for some of the experimental findings reported in the literature, but also conduce to the development of μc-SiGe-based solar cells in the future. Project supported by the Natural Science Foundation of Yunnan Province, China (Grant No. 2015FB123), the 18th Yunnan Province Young Academic and Technical Leaders Reserve Talent Project, China (Grant No. 2015HB015), and the National Natural Science Foundation of China (Grant No. U1037604).

  4. Mechanical, Anisotropic, and Electronic Properties of XN (X = C, Si, Ge): Theoretical Investigations.

    PubMed

    Ma, Zhenyang; Liu, Xuhong; Yu, Xinhai; Shi, Chunlei; Wang, Dayun

    2017-08-08

    The structural, mechanical, elastic anisotropic, and electronic properties of Pbca -XN (X = C, Si, Ge) are investigated in this work using the Perdew-Burke-Ernzerhof (PBE) functional, Perdew-Burke-Ernzerhof for solids (PBEsol) functional, and Ceperly and Alder, parameterized by Perdew and Zunger (CA-PZ) functional in the framework of density functional theory. The achieved results for the lattice parameters and band gap of Pbca -CN with the PBE functional in this research are in good accordance with other theoretical results. The band structures of Pbca -XN (X = C, Si, Ge) show that Pbca -SiN and Pbca -GeN are both direct band gap semiconductor materials with a band gap of 3.39 eV and 2.22 eV, respectively. Pbca -XN (X = C, Si, Ge) exhibits varying degrees of mechanical anisotropic properties with respect to the Poisson's ratio, bulk modulus, shear modulus, Young's modulus, and universal anisotropic index. The (001) plane and (010) plane of Pbca -CN/SiN/GeN both exhibit greater elastic anisotropy in the bulk modulus and Young's modulus than the (100) plane.

  5. Fabrication of quantum dots in undoped Si/Si 0.8Ge 0.2 heterostructures using a single metal-gate layer

    DOE PAGES

    Lu, T. M.; Gamble, J. K.; Muller, R. P.; ...

    2016-08-01

    Enhancement-mode Si/SiGe electron quantum dots have been pursued extensively by many groups for their potential in quantum computing. Most of the reported dot designs utilize multiple metal-gate layers and use Si/SiGe heterostructures with Ge concentration close to 30%. Here, we report the fabrication and low-temperature characterization of quantum dots in the Si/Si 0.8Ge 0.2 heterostructures using only one metal-gate layer. We find that the threshold voltage of a channel narrower than 1 μm increases as the width decreases. The higher threshold can be attributed to the combination of quantum confinement and disorder. We also find that the lower Ge ratiomore » used here leads to a narrower operational gate bias range. The higher threshold combined with the limited gate bias range constrains the device design of lithographic quantum dots. We incorporate such considerations in our device design and demonstrate a quantum dot that can be tuned from a single dot to a double dot. Furthermore, the device uses only a single metal-gate layer, greatly simplifying device design and fabrication.« less

  6. A Versatile Low Temperature Synthetic Route to Zintl Phase Precursors: Na4Si4, Na4Ge4 and K4Ge4 as Examples

    PubMed Central

    Ma, Xuchu; Xu, Fen; Atkins, Tonya; Goforth, Andrea M.; Neiner, Doinita; Navrotsky, Alexandra; Kauzlarich, Susan M.

    2010-01-01

    Na4Si4 and Na4Ge4 are ideal chemical precursors for inorganic clathrate structures, clusters, and nanocrystals. The monoclinic Zintl phases, Na4Si4 and Na4Ge4, contain isolated homo-tetrahedranide [Si4]4− and [Ge4]4− clusters surrounded by alkali metal cations. In this study, a simple scalable route has been applied to prepare Zintl phases of composition Na4Si4 and Na4Ge4 using the reaction between NaH and Si or Ge at low temperature (420 °C for Na4Si4 and 270 °C for Na4Ge4). The method was also applied to K4Ge4, using KH and Ge as raw materials, to show the versatility of this approach. The influence of specific reaction conditions on the purity of these Zintl phases has been studied by controlling five factors: the method of reagent mixing (manual or ball milled), the stoichiometry between raw materials, the reaction temperature, the heating time and the gas flow rate. Moderate ball-milling and excess NaH or KH facilitate the formation of pure Na4Si4, Na4Ge4 or K4Ge4 at 420 °C (Na4Si4) or 270 °C (both M4Ge4 compounds, M = Na, K). TG/DSC analysis of the reaction of NaH and Ge indicates that ball milling reduces the temperature for reaction and confirms the formation temperature. This method provides large quantities of high quality Na4Si4 and Na4Ge4 without the need for specialized laboratory equipment, such as Schlenk lines, niobium/tantalum containers, or an arc welder, thereby expanding the accessibility and chemical utility of these phases by making them more convenient to prepare. This new synthetic method may also be extended to lithium-containing Zintl phases (LiH is commercially available) as well as to alkali metal-tetrel Zintl compounds of other compositions, e.g. K4Ge9. PMID:19921060

  7. GaSb and GaSb/AlSb Superlattice Buffer Layers for High-Quality Photodiodes Grown on Commercial GaAs and Si Substrates

    NASA Astrophysics Data System (ADS)

    Gutiérrez, M.; Lloret, F.; Jurczak, P.; Wu, J.; Liu, H. Y.; Araújo, D.

    2018-05-01

    The objective of this work is the integration of InGaAs/GaSb/GaAs heterostructures, with high indium content, on GaAs and Si commercial wafers. The design of an interfacial misfit dislocation array, either on GaAs or Si substrates, allowed growth of strain-free devices. The growth of purposely designed superlattices with their active region free of extended defects on both GaAs and Si substrates is demonstrated. Transmission electron microscopy technique is used for the structural characterization and plastic relaxation study. In the first case, on GaAs substrates, the presence of dopants was demonstrated to reduce several times the threading dislocation density through a strain-hardening mechanism avoiding dislocation interactions, while in the second case, on Si substrates, similar reduction of dislocation interactions is obtained using an AlSb/GaSb superlattice. The latter is shown to redistribute spatially the interfacial misfit dislocation array to reduce dislocation interactions.

  8. Systematic study of Si-based GeSn photodiodes with 2.6 µm detector cutoff for short-wave infrared detection.

    PubMed

    Pham, Thach; Du, Wei; Tran, Huong; Margetis, Joe; Tolle, John; Sun, Greg; Soref, Richard A; Naseem, Hameed A; Li, Baohua; Yu, Shui-Qing

    2016-03-07

    Normal-incidence Ge 1-x Sn x photodiode detectors with Sn compositions of 7 and 10% have been demonstrated. Such detectors were based on Ge/Ge 1-x Sn x /Ge double heterostructures grown directly on a Si substrate via a chemical vapor deposition system. A temperature-dependence study of these detectors was conducted using both electrical and optical characterizations from 300 to 77 K. Spectral response up to 2.6 µm was achieved for a 10% Sn device at room temperature. The peak responsivity and specific detectivity (D*) were measured to be 0.3 A/W and 4 × 10 9 cmHz 1/2 W -1 at 1.55 µm, respectively. The spectral D* of a 7% Sn device at 77 K was only one order-of-magnitude lower than that of an extended-InGaAs photodiode operating in the same wavelength range, indicating the promising future of GeSn-based photodetectors.

  9. Effect of small scattering centers on the thermoelectric properties of p-type SiGe alloys

    NASA Technical Reports Server (NTRS)

    Beaty, John S.; Rolfe, Jonathan L.; Vandersande, Jan W.

    1991-01-01

    Theory predicts that the addition of ultra-fine, inert, phonon-scattering centers to thermoelectric materials will reduce their thermal conductivity. To investigate this prediction, ultrafine particulates (20 to 120 A) of silicon nitride have been added to boron-doped, p-type, 80/20 SiGe. All of the SiGe samples produced from ultrafine powder have lower thermal conductivities than standard SiGe, but high-temperature heat treatment increases the thermal conductivity back to the value for standard SiGe. However, the SiGe samples with silicon nitride, inert, phonon-scattering centers retained the lower thermal conductivity after several heat treatments. A reduction of approximately 25 percent in thermal conductivity has been achieved in these samples. The magnitude of the reduction agrees with theoretical predictions.

  10. Formation of spherical-shaped GaN and InN quantum dots on curved SiN/Si surface.

    PubMed

    Choi, Ilgyu; Lee, Hyunjoong; Lee, Cheul-Ro; Jeong, Kwang-Un; Kim, Jin Soo

    2018-08-03

    This paper reports the formation of GaN and InN quantum dots (QDs) with symmetric spherical shapes, grown on SiN/Si(111). Spherical QDs are grown by modulating initial growth behavior via gallium and indium droplets functioning as nucleation sites for QDs. Field-emission scanning electron microscope (FE-SEM) images show that GaN and InN QDs are formed on curved SiN/Si(111) instead of on a flat surface similar to balls on a latex mattress. This is considerably different from the structural properties of In(Ga)As QDs grown on GaAs or InP. In addition, considering the shape of the other III-V semiconductor QDs, the QDs in this study are very close to the ideal shape of zero-dimensional nanostructures. Transmission-electron microscope images show the formation of symmetric GaN and InN QDs with a round shape, agreeing well with the FE-SEM results. Compared to other III-V semiconductor QDs, the unique structural properties of Si-based GaN and InN QDs are strongly related to the modulation in the initial nucleation characteristics due to the presence of droplets, the degree of lattice mismatch between GaN or InN and SiN/Si(111), and the melt-back etching phenomenon.

  11. Crystal growth kinetics in undercooled melts of pure Ge, Si and Ge-Si alloys

    NASA Astrophysics Data System (ADS)

    Herlach, Dieter M.; Simons, Daniel; Pichon, Pierre-Yves

    2018-01-01

    We report on measurements of crystal growth dynamics in semiconducting pure Ge and pure Si melts and in Ge100-xSix (x = 25, 50, 75) alloy melts as a function of undercooling. Electromagnetic levitation techniques are applied to undercool the samples in a containerless way. The growth velocity is measured by the utilization of a high-speed camera technique over an extended range of undercooling. Solidified samples are examined with respect to their microstructure by scanning electron microscopic investigations. We analyse the experimental results of crystal growth kinetics as a function of undercooling within the sharp interface theory developed by Peter Galenko. Transitions of the atomic attachment kinetics are found at large undercoolings, from faceted growth to dendrite growth. This article is part of the theme issue `From atomistic interfaces to dendritic patterns'.

  12. Effect of SiC buffer layer on GaN growth on Si via PA-MBE

    NASA Astrophysics Data System (ADS)

    Kukushkin, S. A.; Mizerov, A. M.; Osipov, A. V.; Redkov, A. V.; Telyatnik, R. S.; Timoshnev, S. N.

    2017-11-01

    The study is devoted to comparison of GaN thin films grown on SiC/Si substrates made by the method of atoms substitution with the films grown directly on Si substrates. The growth was performed in a single process via plasma assisted molecular beam epitaxy. The samples were studied via optical microscopy, Raman spectroscopy, ellipsometry, and a comparison of their characteristics was made. Using chemical etching in KOH, the polarity of GaN films grown on SiC/Si and Si substrates was determined.

  13. Interwell coupling effect in Si/SiGe quantum wells grown by ultra high vacuum chemical vapor deposition

    PubMed Central

    Wang, Rui; Lu, Fen; Fan, Wei Jun; Liu, Chong Yang; Loh, Ter-Hoe; Nguyen, Hoai Son; Narayanan, Balasubramanian

    2007-01-01

    Si/Si0.66Ge0.34coupled quantum well (CQW) structures with different barrier thickness of 40, 4 and 2 nm were grown on Si substrates using an ultra high vacuum chemical vapor deposition (UHV-CVD) system. The samples were characterized using high resolution x-ray diffraction (HRXRD), cross-sectional transmission electron microscopy (XTEM) and photoluminescence (PL) spectroscopy. Blue shift in PL peak energy due to interwell coupling was observed in the CQWs following increase in the Si barrier thickness. The Si/SiGe heterostructure growth process and theoretical band structure model was validated by comparing the energy of the no-phonon peak calculated by the 6 + 2-bandk·pmethod with experimental PL data. Close agreement between theoretical calculations and experimental data was obtained.

  14. Sn - Induced decomposition of SiGeSn alloys grown on Si by molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Talochkin, A. B.; Timofeev, V. A.; Gutakovskii, A. K.; Mashanov, V. I.

    2017-11-01

    Structural features of Si1-x-yGexSny alloy layers grown on Si by molecular-beam epitaxy are studied. These layers with the thickness of 2.0 nm, the nominal Ge composition of x0 ≈ 0.3, and the Sn-content of y ≈ 2-6 at.% have been grown at low temperatures (100-150 °C). We have used high-resolution transmission electron microscopy to analyze atomic structure of grown layers and Raman spectroscopy to evaluate the real Ge-content x from the observed optical phonon frequencies. It is found that the x value coincides with the nominal one at low Sn-content (2-3 at.%), and when it is increased (y ≥ 5 at.%), the decomposition of alloys into two fractions occurs. One of them is enriched by Ge with x up to 0.6 and the other fraction is Si-enriched. It is shown that the observed decomposition is Sn-induced and related to increase in Ge adatoms mobility in the growth process. This mechanism is similar to that theoretically predicted by Venezuela and Tersoff (Phys. Rev. 58, 10871 (1998)) for the case of high growth temperature.

  15. Investigation of GaAs/Al(x)Ga(1-x)As and In(y)Ga(1-y)As/GaAs superlattices on Si substrates

    NASA Technical Reports Server (NTRS)

    Reddy, U. K.; Ji, G.; Huang, D.; Munns, G.; Morkoc, H.

    1987-01-01

    The optical properties of lattice-matched GaAs/Al(x)Ga(1-x)As and In(y)Ga(1-y)As/GaAs strained-layer superlattices grown on Si substrates have been studied using the photoreflectance technique. These preliminary results show that good quality III-IV epilayers can be grown on Si. The experimental data were compared with calculations based on the envelope-function approximation and fitted to the third-derivative functional form of reflectance modulation theory.

  16. Effect of Synthesis Procedure on Thermoelectric Property of SiGe Alloy

    NASA Astrophysics Data System (ADS)

    Li, Jing; Han, Jun; Jiang, Tao; Luo, Lili; Xiang, Yongchun

    2018-05-01

    SiGe thermoelectric material has been synthesized by ball milling combined with hot pressing (HP) or spark plasma sintering (SPS). Effects of ball milling time, powder to ball weight ratio and sintering method on microstructure and thermoelectric properties of SiGe are studied. The results show that longer ball milling time leads to decreased density and worse electrical properties. In the sintering process, SPS results in much larger density and better electrical properties than HP. The Si0.795Ge0.2B0.005 sample prepared by 2 h ball milling combined with SPS obtains a maximum power factor of 3.0 mW m-1 K-2 at 860 K and ZT of 0.95 at 1000 K.

  17. Improving the opto-microwave performance of SiGe/Si phototransistor through edge-illuminated structure

    NASA Astrophysics Data System (ADS)

    Tegegne, Z. G.; Viana, C.; Polleux, J. L.; Grzeskowiak, M.; Richalot, E.

    2016-03-01

    This paper demonstrates the experimental study of edge and top illuminated SiGe phototransistors (HPT) implemented using the existing industrial SiGe2RF Telefunken GmbH BiCMOS technology for opto-microwave (OM) applications using 850nm Multi-Mode Fibers (MMF). Its technology and structure are described. Two different optical window size HPTs with top illumination (5x5μm2, 10x10μm2) and an edge illuminated HPTs having 5μm x5μm size are presented and compared. A two-step post fabrication process was used to create an optical access on the edge of the HPT for lateral illumination with a lensed MMF through simple polishing and dicing techniques. We perform Opto-microwave Scanning Near-field Optical Microscopy (OM-SNOM) analysis on edge and top illuminated HPTs in order to observe the fastest and the highest sensitive regions of the HPTs. This analysis also allows understanding the parasitic effect from the substrate, and thus draws a conclusion on the design aspect of SiGe/Si HPT. A low frequency OM responsivity of 0.45A/W and a cutoff frequency, f-3dB, of 890MHz were measured for edge illuminated HPT. Compared to the top illuminated HPT of the same size, the edge illuminated HPT improves the f-3dB by a factor of more than two and also improves the low frequency responsivity by a factor of more than four. These results demonstrate that a simple etched HPT is still enough to achieve performance improvements compared to the top illuminated HPT without requiring a complex coupling structure. Indeed, it also proves the potential of edge coupled SiGe HPT in the ultra-low-cost silicon based optoelectronics circuits with a new approach of the optical packaging and system integration to 850nm MMF.

  18. GaAs/AlGaAs core multishell nanowire-based light-emitting diodes on Si.

    PubMed

    Tomioka, Katsuhiro; Motohisa, Junichi; Hara, Shinjiroh; Hiruma, Kenji; Fukui, Takashi

    2010-05-12

    We report on integration of GaAs nanowire-based light-emitting-diodes (NW-LEDs) on Si substrate by selective-area metalorganic vapor phase epitaxy. The vertically aligned GaAs/AlGaAs core-multishell nanowires with radial p-n junction and NW-LED array were directly fabricated on Si. The threshold current for electroluminescence (EL) was 0.5 mA (current density was approximately 0.4 A/cm(2)), and the EL intensity superlinearly increased with increasing current injections indicating superluminescence behavior. The technology described in this letter could help open new possibilities for monolithic- and on-chip integration of III-V NWs on Si.

  19. X-ray characterization of Ge dots epitaxially grown on nanostructured Si islands on silicon-on-insulator substrates

    PubMed Central

    Zaumseil, Peter; Kozlowski, Grzegorz; Yamamoto, Yuji; Schubert, Markus Andreas; Schroeder, Thomas

    2013-01-01

    On the way to integrate lattice mismatched semiconductors on Si(001), the Ge/Si heterosystem was used as a case study for the concept of compliant substrate effects that offer the vision to be able to integrate defect-free alternative semiconductor structures on Si. Ge nanoclusters were selectively grown by chemical vapour deposition on Si nano-islands on silicon-on-insulator (SOI) substrates. The strain states of Ge clusters and Si islands were measured by grazing-incidence diffraction using a laboratory-based X-ray diffraction technique. A tensile strain of up to 0.5% was detected in the Si islands after direct Ge deposition. Using a thin (∼10 nm) SiGe buffer layer between Si and Ge the tensile strain increases to 1.8%. Transmission electron microscopy studies confirm the absence of a regular grid of misfit dislocations in such structures. This clear experimental evidence for the compliance of Si nano-islands on SOI substrates opens a new integration concept that is not only limited to Ge but also extendable to semiconductors like III–V and II–VI materials. PMID:24046490

  20. X-ray characterization of Ge dots epitaxially grown on nanostructured Si islands on silicon-on-insulator substrates.

    PubMed

    Zaumseil, Peter; Kozlowski, Grzegorz; Yamamoto, Yuji; Schubert, Markus Andreas; Schroeder, Thomas

    2013-08-01

    On the way to integrate lattice mismatched semiconductors on Si(001), the Ge/Si heterosystem was used as a case study for the concept of compliant substrate effects that offer the vision to be able to integrate defect-free alternative semiconductor structures on Si. Ge nanoclusters were selectively grown by chemical vapour deposition on Si nano-islands on silicon-on-insulator (SOI) substrates. The strain states of Ge clusters and Si islands were measured by grazing-incidence diffraction using a laboratory-based X-ray diffraction technique. A tensile strain of up to 0.5% was detected in the Si islands after direct Ge deposition. Using a thin (∼10 nm) SiGe buffer layer between Si and Ge the tensile strain increases to 1.8%. Transmission electron microscopy studies confirm the absence of a regular grid of misfit dislocations in such structures. This clear experimental evidence for the compliance of Si nano-islands on SOI substrates opens a new integration concept that is not only limited to Ge but also extendable to semiconductors like III-V and II-VI materials.

  1. Modal Contributions to Heat Conduction across Crystalline and Amorphous Si/Ge Interfaces

    NASA Astrophysics Data System (ADS)

    Gordiz, Kiarash; Henry, Asegun

    Until now, our entire understanding of interfacial heat transfer has been based on the phonon gas model and Landauer formalism. Based on this framework, it is difficult to offer any intuition on heat transfer between two solid materials if one side of the interface is an amorphous structure. Here, using the interface conductance modal analysis (ICMA) method, we investigate the modal contributions to thermal interface conductance (TIC) through crystalline (c) and amorphous (a) Si/Ge interfaces. It is revealed that around 15% of the conductance through the cSi/cGe interface arises from less than 0.1% of the modes of vibration in the structure that exist between 12-13THz and because of their large eigenvectors around the interface are classified as interfacial modes. Correlation maps show that these interfacial modes exhibit strong correlations with all the other modes. The physics behind this strong coupling ability is studied by calculating the mode-level harmonic and anharmonic energy distribution among all the atoms in the system. It is found that these interfacial modes are enabled by the large degree of anharmonicity near the interface, which is higher than the bulk and ultimately allows this small group of modes to couple to other modes of vibration. In addition, unlike the cSi/cGe, correlation maps for aSi/cGe, cSi/aGe, and aSi/aGe interfaces show that the majority of contributions to TIC arise from auto-correlations instead of cross-correlations. The provided analysis sheds light on the nature of localized vibrations at interfaces and can be enlightening for other investigations of localization.

  2. Poly-SiGe MEMS actuators for adaptive optics

    NASA Astrophysics Data System (ADS)

    Lin, Blake C.; King, Tsu-Jae; Muller, Richard S.

    2006-01-01

    Many adaptive optics (AO) applications require mirror arrays with hundreds to thousands of segments, necessitating a CMOS-compatible MEMS process to integrate the mirrors with their driving electronics. This paper proposes a MEMS actuator that is fabricated using low-temperature polycrystalline silicon-germanium (poly-SiGe) surface-micromaching technology (total thermal budget is 6 hours at or below 425°C). The MEMS actuator consists of three flexures and a hexagonal platform, on which a micromirror is to be assembled. The flexures are made of single-layer poly-SiGe with stress gradient across thickness of the film, making them bend out-of-plane after sacrificial-layer release to create a large nominal gap. The platform, on the other hand, has an additional stress-balancing SiGe layer deposited on top, making the dual-layer stack stay flat after release. Using this process, we have successfully fabricated the MEMS actuator which is lifted 14.6 μm out-of-plane by 290-μm-long flexures. The 2-μm-thick hexagonal mirror-platform exhibits a strain gradient of -5.5×10 -5 μm -1 (equivalent to 18 mm radius-of-curvature), which would be further reduced once the micromirror is assembled.

  3. Electron Spin Coherence Times in Si/SiGe Quantum Dots

    NASA Astrophysics Data System (ADS)

    Jock, R. M.; He, Jianhua; Tyryshkin, A. M.; Lyon, S. A.; Lee, C.-H.; Huang, S.-H.; Liu, C. W.

    2014-03-01

    Single electron spin states in silicon have shown a great deal of promise as qubits due to their long spin relaxation (T1) and coherence (T2) times. Recent results exhibit a T2 of 250 us for electrons confined in Si/SiGe quantum dots at 350 mK. These experiments used conventional X-band (10 GHz) pulsed Electron Spin Resonance on a large area (3.5 mm x 20 mm), dual-gated, undoped Si/SiGe heterostructure quantum dots. These dots are induced in a natural Si quantum well by e-beam defined gates having a lithographic radius of 150 nm and pitch of 700 nm. The relatively large size of these dots led to closely spaced energy levels and long T2's could only be measured at sub-Kelvin temperatures. At 2K confined electrons displayed a 3 us T2, which is comparable to that of 2D electrons at that temperature. Decreasing the quantum dot size increases the electron confinement and reduces the effects of valley-splitting and spin-orbit coupling on the electron spin coherence times. We will report results on dots with 80 nm lithographic radii and a 375 nm pitch. This device displays an extended electron coherence time of 30 us at 2K, suggesting tighter confinement of electrons. Further measurements at lower temperatures are in progress. This work was supported in part by NSF through the Materials World Network program (DMR-1107606) and the Princeton MRSEC (DMR-0819860), and in part by the U.S. Army Research Office (W911NF-13-1-0179).

  4. Long-term evaluation of TiO2-based 68Ge/68Ga generators and optimized automation of [68Ga]DOTATOC radiosynthesis.

    PubMed

    Lin, Mai; Ranganathan, David; Mori, Tetsuya; Hagooly, Aviv; Rossin, Raffaella; Welch, Michael J; Lapi, Suzanne E

    2012-10-01

    Interest in using (68)Ga is rapidly increasing for clinical PET applications due to its favorable imaging characteristics and increased accessibility. The focus of this study was to provide our long-term evaluations of the two TiO(2)-based (68)Ge/(68)Ga generators and develop an optimized automation strategy to synthesize [(68)Ga]DOTATOC by using HEPES as a buffer system. This data will be useful in standardizing the evaluation of (68)Ge/(68)Ga generators and automation strategies to comply with regulatory issues for clinical use. Copyright © 2012 Elsevier Ltd. All rights reserved.

  5. Long-Term Reliability of High Speed SiGe/Si Heterojunction Bipolar Transistors

    NASA Technical Reports Server (NTRS)

    Ponchak, George E. (Technical Monitor); Bhattacharya, Pallab

    2003-01-01

    Accelerated lifetime tests were performed on double-mesa structure Si/Si0.7Ge0.3/Si npn heterojunction bipolar transistors, grown by molecular beam epitaxy, in the temperature range of 175C-275C. Both single- and multiple finger transistors were tested. The single-finger transistors (with 5x20 micron sq m emitter area) have DC current gains approximately 40-50 and f(sub T) and f(sub MAX) of up to 22 GHz and 25 GHz, respectively. The multiple finger transistors (1.4 micron finger width, 9 emitter fingers with total emitter area of 403 micron sq m) have similar DC current gain but f(sub T) of 50 GHz. It is found that a gradual degradation in these devices is caused by the recombination enhanced impurity diffusion (REID) of boron atoms from the p-type base region and the associated formation of parasitic energy barriers to electron transport from the emitter to collector layers. This REID has been quantitatively modeled and explained, to the first order of approximation, and the agreement with the measured data is good. The mean time to failure (MTTF) of the devices at room temperature is estimated from the extrapolation of the Arrhenius plots of device lifetime versus reciprocal temperature. The results of the reliability tests offer valuable feedback for SiGe heterostructure design in order to improve the long-term reliability of the devices and circuits made with them. Hot electron induced degradation of the base-emitter junction was also observed during the accelerated lifetime testing. In order to improve the HBT reliability endangered by the hot electrons, deuterium sintered techniques have been proposed. The preliminary results from this study show that a deuterium-sintered HBT is, indeed, more resistant to hot-electron induced base-emitter junction degradation. SiGe/Si based amplifier circuits were also subjected to lifetime testing and we extrapolate MTTF is approximately 1.1_10(exp 6) hours at 125iC junction temperature from the circuit lifetime data.

  6. Silane and germane plasma diagnostics for depositing photosensitive a-SiGe:H films

    NASA Technical Reports Server (NTRS)

    Shing, Y. H.; Perry, J. W.; Allevato, C. E.

    1988-01-01

    Highly photosensitive a-SiGe:H films with a light-to-dark conductivity ratio of 8 x 103 and an optical bandgap of 1.40 eV have been produced by RF glow discharge using hydrogen dilution of SiH4 and GeH4 mixed gas plasma. The critical role of hydrogen dilution in GeH4 containing plasmas is to suppress the gas-phase polymerization and promote the incorporation of Ge into the film. It is observed that inelastic laser light scattering of the RF plasma is a sensitive method for monitoring the onset of the gas-phase polymerization. In situ coherent anti-Stokes Raman spectroscopy measurements have shown that the dissociation rate of GeH4 is a factor of three larger than that of SiH4.

  7. Metal-Free CVD Graphene Synthesis on 200 mm Ge/Si(001) Substrates.

    PubMed

    Lukosius, M; Dabrowski, J; Kitzmann, J; Fursenko, O; Akhtar, F; Lisker, M; Lippert, G; Schulze, S; Yamamoto, Y; Schubert, M A; Krause, H M; Wolff, A; Mai, A; Schroeder, T; Lupina, G

    2016-12-14

    Good quality, complementary-metal-oxide-semiconductor (CMOS) technology compatible, 200 mm graphene was obtained on Ge(001)/Si(001) wafers in this work. Chemical vapor depositions were carried out at the deposition temperatures of 885 °C using CH 4 as carbon source on epitaxial Ge(100) layers, which were grown on Si(100), prior to the graphene synthesis. Graphene layer with the 2D/G ratio ∼3 and low D mode (i.e., low concentration of defects) was measured over the entire 200 mm wafer by Raman spectroscopy. A typical full-width-at-half-maximum value of 39 cm -1 was extracted for the 2D mode, further indicating that graphene of good structural quality was produced. The study also revealed that the lack of interfacial oxide correlates with superior properties of graphene. In order to evaluate electrical properties of graphene, its 2 × 2 cm 2 pieces were transferred onto SiO 2 /Si substrates from Ge/Si wafers. The extracted sheet resistance and mobility values of transferred graphene layers were ∼1500 ± 100 Ω/sq and μ ≈ 400 ± 20 cm 2 /V s, respectively. The transferred graphene was free of metallic contaminations or mechanical damage. On the basis of results of DFT calculations, we attribute the high structural quality of graphene grown by CVD on Ge to hydrogen-induced reduction of nucleation probability, explain the appearance of graphene-induced facets on Ge(001) as a kinetic effect caused by surface step pinning at linear graphene nuclei, and clarify the orientation of graphene domains on Ge(001) as resulting from good lattice matching between Ge(001) and graphene nucleated on such nuclei.

  8. Quantification of local strain distributions in nanoscale strained SiGe FinFET structures

    NASA Astrophysics Data System (ADS)

    Mochizuki, Shogo; Murray, Conal E.; Madan, Anita; Pinto, Teresa; Wang, Yun-Yu; Li, Juntao; Weng, Weihao; Jagannathan, Hemanth; Imai, Yasuhiko; Kimura, Shigeru; Takeuchi, Shotaro; Sakai, Akira

    2017-10-01

    Strain within nanoscale strained SiGe FinFET structures has been investigated using a combination of X-ray diffraction and transmission electron microscopy-based nanobeam diffraction (NBD) techniques to reveal the evolution of the stress state within the FinFETs. Reciprocal space maps collected using high-resolution X-ray diffraction exhibited distinct features corresponding to the SiGe fin width, pitch, and lattice deformation and were analyzed to quantify the state of stress within the fins. Although the majority of the SiGe fin volume exhibited a uniaxial stress state due to elastic relaxation of the transverse in-plane stress, NBD measurements confirmed a small interaction region near the SOI interface that is mechanically constrained by the underlying substrate. We have quantitatively characterized the evolution of the fin stress state from biaxial to uniaxial as a function of fin aspect ratio and Ge fraction and confirmed that the fins obey elastic deformation based on a model that depends on the relative difference between the equilibrium Si and SiGe lattice constants and relative fraction of in-plane stress transverse to the SiGe fins. Spatially resolved, nanobeam X-ray diffraction measurements conducted near the SiGe fin edge indicate the presence of additional elastic relaxation from a uniaxial stress state to a fully relaxed state at the fin edge. Mapping of the lattice deformation within 500 nm of this fin edge by NBD revealed large gradients, particularly at the top corner of the fin. The values of the volume averaged lattice deformation obtained by nanoXRD and NBD are qualitatively consistent. Furthermore, the modulation of strain at the fin edge obtained by quantitative analysis of the nanoXRD results agrees with the lattice deformation profile obtained by NBD.

  9. Demonstration of β-(Al x Ga1- x )2O3/β-Ga2O3 modulation doped field-effect transistors with Ge as dopant grown via plasma-assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Ahmadi, Elaheh; Koksaldi, Onur S.; Zheng, Xun; Mates, Tom; Oshima, Yuichi; Mishra, Umesh K.; Speck, James S.

    2017-07-01

    β-(Al x Ga1- x )2O3/β-Ga2O3 heterostructures were grown via plasma-assisted molecular beam epitaxy. The β-(Al x Ga1- x )2O3 barrier was partially doped by Ge to achieve a two-dimensional electron gas (2DEG) in Ga2O3. The formation of the 2DEG was confirmed by capacitance-voltage measurements. The impact of Ga-polishing on both the surface morphology and the reduction of the unintentionally incorporated Si at the growth interface was investigated using atomic force microscopy and secondary-ion mass spectrometry. Modulation doped field-effect transistors were fabricated. A maximum current density of 20 mA/mm with a pinch-off voltage of -6 V was achieved on a sample with a 2DEG sheet charge density of 1.2 × 1013 cm-2.

  10. Mechanical, Anisotropic, and Electronic Properties of XN (X = C, Si, Ge): Theoretical Investigations

    PubMed Central

    Ma, Zhenyang; Liu, Xuhong; Yu, Xinhai; Shi, Chunlei; Wang, Dayun

    2017-01-01

    The structural, mechanical, elastic anisotropic, and electronic properties of Pbca-XN (X = C, Si, Ge) are investigated in this work using the Perdew–Burke–Ernzerhof (PBE) functional, Perdew–Burke–Ernzerhof for solids (PBEsol) functional, and Ceperly and Alder, parameterized by Perdew and Zunger (CA–PZ) functional in the framework of density functional theory. The achieved results for the lattice parameters and band gap of Pbca-CN with the PBE functional in this research are in good accordance with other theoretical results. The band structures of Pbca-XN (X = C, Si, Ge) show that Pbca-SiN and Pbca-GeN are both direct band gap semiconductor materials with a band gap of 3.39 eV and 2.22 eV, respectively. Pbca-XN (X = C, Si, Ge) exhibits varying degrees of mechanical anisotropic properties with respect to the Poisson’s ratio, bulk modulus, shear modulus, Young’s modulus, and universal anisotropic index. The (001) plane and (010) plane of Pbca-CN/SiN/GeN both exhibit greater elastic anisotropy in the bulk modulus and Young’s modulus than the (100) plane. PMID:28786960

  11. Computational assessment of promising mid-infrared nonlinear optical materials Mg–IV–V2 (IV = Si, Ge, Sn; V = P, As): a first-principles study

    NASA Astrophysics Data System (ADS)

    Xiao, Jianping; Zhu, Shifu; Zhao, Beijun; Chen, Baojun; Liu, Hui; He, Zhiyu

    2018-03-01

    The mid-infrared (mid-IR) nonlinear optical (NLO) capabilities of Mg–IV–V2 (IV = Si, Ge, Sn; V = P, As) are systematically assessed by the first-principles calculation. The results show that the compounds in this group except MgSiP2 and MgSnP2 have moderate birefringence values to fulfill the phase-matching conditions. In particular, MgGeP2 and MgSiAs2 possess relatively large band gaps and almost three to four times larger static SHG coefficients than the benchmark material AgGaSe2, exhibiting good potential for mid-IR NLO application. According to the detailed analysis of the electronic structures, it is found that the dominant SHG contributions are from the orbitals of the asymmetry anionic unit [IV–V2]2‑. Moreover, the further evaluation reveals that MgSiAs2, MgGeAs2, MgSnP2 and MgSnAs2 are not thermodynamically stable and the new synthesis strategy (i.e. synthesis under non-equilibrium conditions) should be considered.

  12. Structural and electrical characterization of epitaxial Ge thin films on Si(001) formed by sputtering

    NASA Astrophysics Data System (ADS)

    Otsuka, Shintaro; Mori, Takahiro; Morita, Yukinori; Uchida, Noriyuki; Liu, Yongxun; O'uchi, Shin-ichi; Fuketa, Hiroshi; Migita, Shinji; Masahara, Meishoku; Matsukawa, Takashi

    2017-04-01

    We structurally and electrically characterize sub-10-nm-thick heteroepitaxial Ge films on Si(001), formed by heated sputtering and subsequent rapid thermal annealing (RTA). After RTA treatment at 720 °C, we find the heteroepitaxial Ge films to have smooth surfaces with a roughness root mean square value of 0.54 nm. Raman measurement reveals that the 720 °C RTA improves the crystallinity of Ge films while maintaining abrupt Ge/Si interfaces. Cross-sectional transmission electron microscopy confirms that the 720 °C RTA step effectively reduces stacking faults and dislocations in the Ge films. The Richardson plot of the TaN/Ge/n-Si diode indicates a Schottky barrier height (SBH) of 0.33 V, which is close to the height of 0.37 V measured from the capacitance-voltage measurement. These values are reasonable compared with the reported SBH of the TaN/bulk Ge Schottky barrier diode, indicating that the method involving heated sputtering and subsequent RTA provides adequate thin Ge films for Ge/Si heterostructures.

  13. High efficiency thin-film crystalline Si/Ge tandem solar cell.

    PubMed

    Sun, G; Chang, F; Soref, R A

    2010-02-15

    We propose and simulate a photovoltaic solar cell comprised of Si and Ge pn junctions in tandem. With an anti-reflection film at the front surface, we have shown that optimal solar cells favor a thin Si layer and a thick Ge layer with a thin tunnel hetero-diode placed in between. We predict efficiency ranging from 19% to 28% for AM1.5G solar irradiance concentrated from 1 approximately 1000 Suns for a cell with a total thickness approximately 100 microm.

  14. Magneto-transport analysis of an ultra-low-density two-dimensional hole gas in an undoped strained Ge/SiGe heterostructure

    DOE PAGES

    Laroche, D.; Huang, S. -H.; Chuang, Y.; ...

    2016-06-06

    We report the magneto-transport, scattering mechanisms, and e ective mass analysis of an ultralow density two-dimensional hole gas capacitively induced in an undoped strained Ge/Si0:2Ge0:8 heterostructure. This fabrication technique allows hole densities as low as p 1:1 1010 cm² to be achieved, more than one order of magnitude lower than previously reported in doped Ge/SiGe heterostructures. The power-law exponent of the electron mobility versus density curve, / n , is found to be 0:29 over most of the density range, implying that background impurity scattering is the dominant scattering mechanism at intermediate densities in such devices. A charge migration modelmore » is used to explain the mobility decrease at the highest achievable densities. The hole e ective mass is deduced from the temperature dependence of Shubnikov-de Haas oscillations. At p 1:0 1011cm², the e ective mass m is 0:105 m0, which is signi cantly larger than masses obtained from modulation-doped Ge/SiGe two-dimensional hole gases.« less

  15. STM study of the Ga thin films grown on Si(111) surface

    NASA Astrophysics Data System (ADS)

    Tao, Min-Long; Tu, Yu-Bing; Sun, Kai; Ye, Juan; Hao, Shao-Jie; Xiao, Hua-Fang; Wang, Ya-Li; Xie, Zheng-Bo; Wang, Jun-Zhong

    2017-09-01

    Structural evolution of Ga thin films grown on the Si(111)-√{ 3 } × √{ 3 } -Ga template have been investigated with a low-temperature scanning tunneling microscopy (STM). The first Ga layer exhibits a stripe structure along the base vectors of Si(111) lattices. Individual Ga dimers have been directly visualized from the high-resolution STM images of the first Ga layer. The second Ga layer reveals a pseudo 1×1 structure with respect to the Si(111). A new 5×5 phase has been found in the second Ga layer when annealing the sample to 120 ℃. Further annealing to 150 ℃ leads to the formation of 6.3×6.3 phase, which is more stable than the 5×5 phase. The existences of a variety of superstructures of Ga films demonstrates the delicate balance between the interactions of Si(111)-Ga and Ga-Ga. These results shed important light on the epitaxial growth mechanism of Ga films on semiconductor surfaces.

  16. Electrical and optical properties of Si-doped Ga2O3

    NASA Astrophysics Data System (ADS)

    Li, Yin; Yang, Chuanghua; Wu, Liyuan; Zhang, Ru

    2017-05-01

    The charge densities, band structure, density of states, dielectric functions of Si-doped β-Ga2O3 have been investigated based on the density functional theory (DFT) within the hybrid functional HSE06. The heavy doping makes conduction band split out more bands and further influences the band structure. It decreases the band gap and changes from a direct gap to an indirect gap. After doping, the top of the valence bands is mainly composed by the O-2p states, Si-3p states and Ga-4p states and the bottom of the conduction bands is almost formed by the Si-3s, Si-3p and Ga-4s orbits. The anisotropic optical properties have been investigated by means of the complex dielectric function. After the heavy Si doping, the position of absorption band edges did not change much. The slope of the absorption curve descends and indicates that the absorption became more slow for Si-doped β-Ga2O3 than undoped one due to the indirect gap of Si-doped β-Ga2O3.

  17. High-aspect-ratio and high-flatness Cu3(SiGe) nanoplatelets prepared by chemical vapor deposition.

    PubMed

    Klementová, Mariana; Palatinus, Lukás; Novotný, Filip; Fajgar, Radek; Subrt, Jan; Drínek, Vladislav

    2013-06-01

    Cu3(SiGe) nanoplatelets were synthesized by low-pressure chemical vapor deposition of a SiH3C2H5/Ge2(CH3)6 mixture on a Cu-substrate at 500 degrees C, total pressure of 110-115 Pa, and Ge/Si molar ratio of 22. The nanoplatelets with composition Cu76Si15Ge12 are formed by the 4'-phase, and they are flattened perpendicular to the [001] direction. Their lateral dimensions reach several tens of micrometers in size, but they are only about 50 nm thick. Their surface is extremely flat, with measured root mean square roughness R(q) below 0.2 nm. The nanoplatelets grow via the non-catalytic vapor-solid mechanism and surface growth. In addition, nanowires and nanorods of various Cu-Si-Ge alloys were also obtained depending on the experimental conditions. Morphology of the resulting Cu-Si-Ge nanoobjects is very sensitive to the experimental parameters. The formation of nanoplatelets is associated with increased amount of Ge in the alloy.

  18. Comparative studies of Ge and Si p-channel metal-oxide-semiconductor field-effect-transistors with HfSiON dielectric and TaN metal gate

    NASA Astrophysics Data System (ADS)

    Hu, Ai-Bin; Xu, Qiu-Xia

    2010-05-01

    Ge and Si p-channel metal-oxide-semiconductor field-effect-transistors (p-MOSFETs) with hafnium silicon oxynitride (HfSiON) gate dielectric and tantalum nitride (TaN) metal gate are fabricated. Self-isolated ring-type transistor structures with two masks are employed. W/TaN metal stacks are used as gate electrode and shadow masks of source/drain implantation separately. Capacitance-voltage curve hysteresis of Ge metal-oxide-semiconductor (MOS) capacitors may be caused by charge trapping centres in GeO2 (1 < x < 2). Effective hole mobilities of Ge and Si transistors are extracted by using a channel conductance method. The peak hole mobilities of Si and Ge transistors are 33.4 cm2/(V · s) and 81.0 cm2/(V · s), respectively. Ge transistor has a hole mobility 2.4 times higher than that of Si control sample.

  19. Enhanced photoluminescence of multilayer Ge quantum dots on Si(001) substrates by increased overgrowth temperature.

    PubMed

    Liu, Zhi; Cheng, Buwen; Hu, Weixuan; Su, Shaojian; Li, Chuanbo; Wang, Qiming

    2012-07-11

    Four-bilayer Ge quantum dots (QDs) with Si spacers were grown on Si(001) substrates by ultrahigh vacuum chemical vapor deposition. In three samples, all Ge QDs were grown at 520 °C, while Si spacers were grown at various temperatures (520 °C, 550 °C, and 580 °C). Enhancement and redshift of room temperature photoluminescence (PL) were observed from the samples in which Si spacers were grown at a higher temperature. The enhancement of PL is explained by higher effective electrons capturing in the larger size Ge QDs. Quantum confinement of the Ge QDs is responsible for the redshift of PL spectra. The Ge QDs' size and content were investigated by atomic force microscopy and Raman scattering measurements.

  20. Texture analysis of CoGe2 alloy films grown heteroepitaxially on GaAs(100) using partially ionized beam deposition

    NASA Astrophysics Data System (ADS)

    Mello, K. E.; Murarka, S. P.; Lu, T.-M.; Lee, S. L.

    1997-06-01

    Reflection x-ray pole figure analysis techniques were used to study the heteroepitaxial relationships of the cobalt germanide CoGe2 to GaAs(100). The alloy films were grown using the partially ionized beam deposition technique, in which low energy Ge+ ions are employed to alter the heteroepitaxial orientation of the CoGe2 deposits. The CoGe2[001](100)∥GaAs[100](001) orientation, which has the smallest lattice mismatch, was found to occur for depositions performed at a substrate temperature around 280 °C and with ˜1200 eV Ge+ ions. Lowering the substrate temperature or reducing the Ge+ ion energy leads to CoGe2(100) orientation domination with CoGe2[100](010)∥GaAs[100](001) and CoGe2[100](001)∥GaAs[100](001). Substrate temperature alone was seen to produce only the CoGe2(100) orientation. For CoGe2(001) films, additional energy was required from Ge+ ions in the evaporant stream.

  1. Mechanism of growth of the Ge wetting layer upon exposure of Si(100)-2 x 1 to GeH4.

    PubMed

    Liu, Chie-Sheng; Chou, Li-Wei; Hong, Lu-Sheng; Jiang, Jyh-Chiang

    2008-04-23

    This paper describes the initial reaction kinetics of Ge deposition after exposure of Si(100)-2 x 1 to GeH4 in a UHV-CVD system. The rate of Ge growth, especially at the wetting layer stage, was investigated using in situ X-ray photoelectron spectroscopy to measure the Ge signal at the onset of deposition. A kinetic analysis of the initial growth of the Ge wetting layer at temperatures ranging from 698 to 823 K revealed an activation energy of 30.7 kcal/mol. Density functional theory calculations suggested that opening of the Si dimer--with a closely matching energy barrier of 29.7 kcal/mol, following hydrogen atom migration--was the rate controlling step for the incorporation of a GeH2 unit into the lattice to complete the growth of the Ge wetting layer after dissociative adsorption of GeH4.

  2. Versatile buffer layer architectures based on Ge1-xSnx alloys

    NASA Astrophysics Data System (ADS)

    Roucka, R.; Tolle, J.; Cook, C.; Chizmeshya, A. V. G.; Kouvetakis, J.; D'Costa, V.; Menendez, J.; Chen, Zhihao D.; Zollner, S.

    2005-05-01

    We describe methodologies for integration of compound semiconductors with Si via buffer layers and templates based on the GeSn system. These layers exhibit atomically flat surface morphologies, low defect densities, tunable thermal expansion coefficients, and unique ductile properties, which enable them to readily absorb differential stresses produced by mismatched overlayers. They also provide a continuous selection of lattice parameters higher than that of Ge, which allows lattice matching with technologically useful III-V compounds. Using this approach we have demonstrated growth of GaAs, GeSiSn, and pure Ge layers at low temperatures on Si(100). These materials display extremely high-quality structural, morphological, and optical properties opening the possibility of versatile integration schemes directly on silicon.

  3. Low-temperature magnetotransport in Si/SiGe heterostructures on 300 mm Si wafers

    NASA Astrophysics Data System (ADS)

    Scappucci, Giordano; Yeoh, L.; Sabbagh, D.; Sammak, A.; Boter, J.; Droulers, G.; Kalhor, N.; Brousse, D.; Veldhorst, M.; Vandersypen, L. M. K.; Thomas, N.; Roberts, J.; Pillarisetty, R.; Amin, P.; George, H. C.; Singh, K. J.; Clarke, J. S.

    Undoped Si/SiGe heterostructures are a promising material stack for the development of spin qubits in silicon. To deploy a qubit into high volume manufacturing in a quantum computer requires stringent control over substrate uniformity and quality. Electron mobility and valley splitting are two key electrical metrics of substrate quality relevant for qubits. Here we present low-temperature magnetotransport measurements of strained Si quantum wells with mobilities in excess of 100000 cm2/Vs fabricated on 300 mm wafers within the framework of advanced semiconductor manufacturing. These results are benchmarked against the results obtained in Si quantum wells deposited on 100 mm Si wafers in an academic research environment. To ensure rapid progress in quantum wells quality we have implemented fast feedback loops from materials growth, to heterostructure FET fabrication, and low temperature characterisation. On this topic we will present recent progress in developing a cryogenic platform for high-throughput magnetotransport measurements.

  4. SiGe-on-insulator fabricated via germanium condensation following high-fluence Ge+ ion implantation

    NASA Astrophysics Data System (ADS)

    Anthony, R.; Haddara, Y. M.; Crowe, I. F.; Knights, A. P.

    2017-08-01

    Germanium condensation is demonstrated using a two-step wet oxidation of germanium implanted Silicon-On-Insulator (SOI). Samples of 220 nm thick SOI are implanted with a nominal fluence of 5 × 1016 cm-2 Ge+ at an energy of 33 keV. Primary post-implantation wet oxidation is performed initially at 870 °C for 70 min, with the aim of capping the sample without causing significant dose loss via Ge evaporation through the sample surface. This is followed by a secondary higher temperature wet oxidation at either 900 °C, 1000 °C, or 1080 °C. The germanium retained dose and concentration profile, and the oxide thickness is examined after primary oxidation, and various secondary oxidation times, using Rutherford backscattering analysis. A mixed SiGe oxide is observed to form during the primary oxidation followed by a pure silicon oxide after higher temperature secondary oxidation. The peak germanium concentration, which varies with secondary oxidation condition, is found to range from 43 at. % to 95 at. %, while the FWHM of the Ge profile varies from 13 to 5 nm, respectively. It is also observed that both the diffusion of germanium and the rate of oxidation are enhanced at 870 and 900 °C compared to equilibrium expectations. Transmission electron microscopy of a representative sample with secondary oxidation at 1080 °C for 20 min shows that the SiGe layer is crystalline in nature and seeded from the underlying silicon. Raman spectroscopy is used to determine residual strain in the SiGe region following secondary oxidation. The strain is compressive in nature and increases with Ge concentration to a maximum of approximately 1% in the samples probed. In order to elucidate the physical mechanisms, which govern the implantation-condensation process, we fit the experimental profiles of the samples with a model that uses a modified segregation boundary condition; a modified linear rate constant for the oxidation; and an enhanced diffusion coefficient of germanium where the

  5. Photoluminescence of phosphorus atomic layer doped Ge grown on Si

    NASA Astrophysics Data System (ADS)

    Yamamoto, Yuji; Nien, Li-Wei; Capellini, Giovanni; Virgilio, Michele; Costina, Ioan; Schubert, Markus Andreas; Seifert, Winfried; Srinivasan, Ashwyn; Loo, Roger; Scappucci, Giordano; Sabbagh, Diego; Hesse, Anne; Murota, Junichi; Schroeder, Thomas; Tillack, Bernd

    2017-10-01

    Improvement of the photoluminescence (PL) of Phosphorus (P) doped Ge by P atomic layer doping (ALD) is investigated. Fifty P delta layers of 8 × 1013 cm-2 separated by 4 nm Ge spacer are selectively deposited at 300 °C on a 700 nm thick P-doped Ge buffer layer of 1.4 × 1019 cm-3 on SiO2 structured Si (100) substrate. A high P concentration region of 1.6 × 1020 cm-3 with abrupt P delta profiles is formed by the P-ALD process. Compared to the P-doped Ge buffer layer, a reduced PL intensity is observed, which might be caused by a higher density of point defects in the P delta doped Ge layer. The peak position is shifted by ˜0.1 eV towards lower energy, indicating an increased active carrier concentration in the P-delta doped Ge layer. By introducing annealing at 400 °C to 500 °C after each Ge spacer deposition, P desorption and diffusion is observed resulting in relatively uniform P profiles of ˜2 × 1019 cm-3. Increased PL intensity and red shift of the PL peak are observed due to improved crystallinity and higher active P concentration.

  6. [Disposal of radioactive contaminated waste from Ga-68-PET - calculation of a clearance level for Ge-68].

    PubMed

    Solle, Alexander; Wanke, Carsten; Geworski, Lilli

    2017-03-01

    Ga-68-labeled radiotracers, particularly used for the detection of neuroendocrine tumors by means of Ga-68-DOTA-TATE or -DOTA-TOC or for the diagnosis of prostate cancer by means of Ga-68-labeled antigens (Ga 68-PSMA), become increasingly important. In addition to the high sensitivity and specificity of these radiopharmaceuticals, the short-lived radionuclide Ga-68 offers almost ideal nuclear characteristics for use in PET. Ga-68 is obtained from a germanium-gallium-generator system, so that the availability of Ga-68-labeled radiotracers is independent of an on-site-cyclotron regardless of the short half-life of Ga-68 of about 68minutes. Regarding the disposal of the radioactively contaminated waste from the preparation of the radiopharmaceutical, the eluted Ga-68 has to be considered to be additionally contaminated with its parent nuclide Ge-68. Due to this production-related impurity in combination with the short half-life of Ga-68, the radioactive waste has to be considered to be contaminated with Ge-68 and Ga-68 in radioactive equilibrium (hereafter referred to as Ge-68+). As there are no clearance levels for Ge-68+ given in the German Radiation Protection Ordinance, this work presents a method to calculate the missing value basing on a recommendation of the German Radiation Protection Commission in combination with simple geometric models of practical radiation protection. Regarding the relevant exposure scenarios, a limit value for the unrestricted clearance of Ge-68+ of 0.4 Bq/g was determined. Copyright © 2016. Published by Elsevier GmbH.

  7. One-dimensional Si/Ge nanowires and their heterostructures for multifunctional applications—a review

    NASA Astrophysics Data System (ADS)

    Ray, Samit K.; Katiyar, Ajit K.; Raychaudhuri, Arup K.

    2017-03-01

    Remarkable progress has been made in the field of one-dimensional semiconductor nanostructures for electronic and photonic devices. Group-IV semiconductors and their heterostructures have dominated the years of success in microelectronic industry. However their use in photonic devices is limited since they exhibit poor optical activity due to indirect band gap nature of Si and Ge. Reducing their dimensions below a characteristic length scale of various fundamental parameters like exciton Bohr radius, phonon mean free path, critical size of magnetic domains, exciton diffusion length etc result in the significant modification of bulk properties. In particular, light emission from Si/Ge nanowires due to quantum confinement, strain induced band structure modification and impurity doping may lead to the integration of photonic components with mature silicon CMOS technology in near future. Several promising applications based on Si and Ge nanowires have already been well established and studied, while others are now at the early demonstration stage. The control over various forms of energy and carrier transport through the unconstrained dimension makes Si and Ge nanowires a promising platform to manufacture advanced solid-state devices. This review presents the progress of the research with emphasis on their potential application of Si/Ge nanowires and their heterostructures for electronic, photonic, sensing and energy devices.

  8. X-ray spectra and electronic structure of the Ca3Ga2Ge3О12 compound

    NASA Astrophysics Data System (ADS)

    Shcherba, I. D.; Kostyk, L. V.; Noga, H.; Bekenov, L. V.; Uskokovich, D.; Jatsyk, B. M.

    2017-09-01

    The band structure of Ca3Ga2Ge3О12 with the garnet structure has been determined for the first time by X-ray emission and photoelectron spectroscopy. It has been established that the bottom of the valence band is formed by Ge d states, which are not dominant in the chemical bonding. Strong hybridization of oxygen 2s states with 4p states of Ga and Ge revealed by the presence of an extra structure in the X-ray emission spectra has been found. The middle of the valence band has been demonstrated to be occupied by d states of Ga, while Ga and Ge 4рstates with a considerable admixture of oxygen 2p states form the top of the valence band.

  9. The curious case of exploding quantum dots: anomalous migration and growth behaviors of Ge under Si oxidation

    PubMed Central

    2013-01-01

    We have previously demonstrated the unique migration behavior of Ge quantum dots (QDs) through Si3N4 layers during high-temperature oxidation. Penetration of these QDs into the underlying Si substrate however, leads to a completely different behavior: the Ge QDs ‘explode,’ regressing back almost to their origins as individual Ge nuclei as formed during the oxidation of the original nanopatterned SiGe structures used for their generation. A kinetics-based model is proposed to explain the anomalous migration behavior and morphology changes of the Ge QDs based on the Si flux generated during the oxidation of Si-containing layers. PMID:23618165

  10. Stress engineering in GaN structures grown on Si(111) substrates by SiN masking layer application

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Szymański, Tomasz, E-mail: tomasz.szymanski@pwr.edu.pl; Wośko, Mateusz; Paszkiewicz, Bogdan

    2015-07-15

    GaN layers without and with an in-situ SiN mask were grown by using metal organic vapor phase epitaxy for three different approaches used in GaN on silicon(111) growth, and the physical and optical properties of the GaN layers were studied. For each approach applied, GaN layers of 1.4 μm total thickness were grown, using silan SiH{sub 4} as Si source in order to grow Si{sub x}N{sub x} masking layer. The optical micrographs, scanning electron microscope images, and atomic force microscope images of the grown samples revealed cracks for samples without SiN mask, and micropits, which were characteristic for the samples grownmore » with SiN mask. In situ reflectance signal traces were studied showing a decrease of layer coalescence time and higher degree of 3D growth mode for samples with SiN masking layer. Stress measurements were conducted by two methods—by recording micro-Raman spectra and ex-situ curvature radius measurement—additionally PLs spectra were obtained revealing blueshift of PL peak positions with increasing stress. The authors have shown that a SiN mask significantly improves physical and optical properties of GaN multilayer systems reducing stress in comparison to samples grown applying the same approaches but without SiN masking layer.« less

  11. Intermixing between HfO{sub 2} and GeO{sub 2} films deposited on Ge(001) and Si(001): Role of the substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Soares, G. V.; Krug, C.; Miotti, L.

    2011-03-28

    Thermally driven atomic transport in HfO{sub 2}/GeO{sub 2}/substrate structures on Ge(001) and Si(001) was investigated in N{sub 2} ambient as function of annealing temperature and time. As-deposited stacks showed no detectable intermixing and no instabilities were observed on Si. On Ge, loss of O and Ge was detected in all annealed samples, presumably due to evolution of GeO from the GeO{sub 2}/Ge interface. In addition, hafnium germanate is formed at 600 deg. C. Our data indicate that at 500 deg. C and above HfO{sub 2}/GeO{sub 2} stacks are stable only if isolated from the Ge substrate.

  12. Design of High Performance Si/SiGe Heterojunction Tunneling FETs with a T-Shaped Gate

    NASA Astrophysics Data System (ADS)

    Li, Wei; Liu, Hongxia; Wang, Shulong; Chen, Shupeng; Yang, Zhaonian

    2017-03-01

    In this paper, a new Si/SiGe heterojunction tunneling field-effect transistor with a T-shaped gate (HTG-TFET) is proposed and investigated by Silvaco-Atlas simulation. The two source regions of the HTG-TFET are placed on both sides of the gate to increase the tunneling area. The T-shaped gate is designed to overlap with N+ pockets in both the lateral and vertical directions, which increases the electric field and tunneling rate at the top of tunneling junctions. Moreover, using SiGe in the pocket regions leads to the smaller tunneling distance. Therefore, the proposed HTG-TFET can obtain the higher on-state current. The simulation results show that on-state current of HTG-TFET is increased by one order of magnitude compared with that of the silicon-based counterparts. The average subthreshold swing (SS) of HTG-TFET is 44.64 mV/dec when V g is varied from 0.1 to 0.4 V, and the point SS is 36.59 mV/dec at V g = 0.2 V. Besides, this design cannot bring the sever Miller capacitance for the TFET circuit design. By using the T-shaped gate and SiGe pocket regions, the overall performance of the TFET is optimized.

  13. Design of High Performance Si/SiGe Heterojunction Tunneling FETs with a T-Shaped Gate.

    PubMed

    Li, Wei; Liu, Hongxia; Wang, Shulong; Chen, Shupeng; Yang, Zhaonian

    2017-12-01

    In this paper, a new Si/SiGe heterojunction tunneling field-effect transistor with a T-shaped gate (HTG-TFET) is proposed and investigated by Silvaco-Atlas simulation. The two source regions of the HTG-TFET are placed on both sides of the gate to increase the tunneling area. The T-shaped gate is designed to overlap with N + pockets in both the lateral and vertical directions, which increases the electric field and tunneling rate at the top of tunneling junctions. Moreover, using SiGe in the pocket regions leads to the smaller tunneling distance. Therefore, the proposed HTG-TFET can obtain the higher on-state current. The simulation results show that on-state current of HTG-TFET is increased by one order of magnitude compared with that of the silicon-based counterparts. The average subthreshold swing (SS) of HTG-TFET is 44.64 mV/dec when V g is varied from 0.1 to 0.4 V, and the point SS is 36.59 mV/dec at V g  = 0.2 V. Besides, this design cannot bring the sever Miller capacitance for the TFET circuit design. By using the T-shaped gate and SiGe pocket regions, the overall performance of the TFET is optimized.

  14. Towards III-V solar cells on Si: Improvement in the crystalline quality of Ge-on-Si virtual substrates through low porosity porous silicon buffer layer and annealing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Calabrese, Gabriele; Baricordi, Stefano; Bernardoni, Paolo

    2014-09-26

    A comparison between the crystalline quality of Ge grown on bulk Si and on a low porosity porous Si (pSi) buffer layer using low energy plasma enhanced chemical vapor deposition is reported. Omega/2Theta coupled scans around the Ge and Si (004) diffraction peaks show a reduction of the Ge full-width at half maximum (FWHM) of 22.4% in presence of the pSi buffer layer, indicating it is effective in improving the epilayer crystalline quality. At the same time atomic force microscopy analysis shows an increase in root means square roughness for Ge grown on pSi from 38.5 nm to 48.0 nm,more » as a consequence of the larger surface roughness of pSi compared to bulk Si. The effect of 20 minutes vacuum annealing at 580°C is also investigated. The annealing leads to a FWHM reduction of 23% for Ge grown on Si and of 36.5% for Ge on pSi, resulting in a FWHM of 101 arcsec in the latter case. At the same time, the RMS roughness is reduced of 8.8% and of 46.5% for Ge grown on bulk Si and on pSi, respectively. The biggest improvement in the crystalline quality of Ge grown on pSi with respect to Ge grown on bulk Si observed after annealing is a consequence of the simultaneous reorganization of the Ge epilayer and the buffer layer driven by energy minimization. A low porosity buffer layer can thus be used for the growth of low defect density Ge on Si virtual substrates for the successive integration of III-V multijunction solar cells on Si. The suggested approach is simple and fast –thus allowing for high throughput-, moreover is cost effective and fully compatible with subsequent wafer processing. Finally it does not introduce new chemicals in the solar cell fabrication process and can be scaled to large area silicon wafers.« less

  15. Carbon-doped single-crystalline SiGe/Si thermistor with high temperature coefficient of resistance and low noise level

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Radamson, H. H.; Kolahdouz, M.; Shayestehaminzadeh, S.

    2010-11-29

    SiGe (C)/Si(C) multiquantum wells have been studied as a thermistor material for future bolometers. A thermistor material for uncooled Si-based thermal detectors with thermal coefficient of resistance of 4.5%/K for 100x100 {mu}m{sup 2} pixel sizes and low noise constant (K{sub 1/f}) value of 4.4x10{sup -15} is presented. The outstanding performance of the devices is due to Ni-silicide contacts, smooth interfaces, and high quality multiquantum wells containing high Ge content.

  16. Ge-rich islands grown on patterned Si substrates by low-energy plasma-enhanced chemical vapour deposition.

    PubMed

    Bollani, M; Chrastina, D; Fedorov, A; Sordan, R; Picco, A; Bonera, E

    2010-11-26

    Si(1-x)Ge(x) islands grown on Si patterned substrates have received considerable attention during the last decade for potential applications in microelectronics and optoelectronics. In this work we propose a new methodology to grow Ge-rich islands using a chemical vapour deposition technique. Electron-beam lithography is used to pre-pattern Si substrates, creating material traps. Epitaxial deposition of thin Ge films by low-energy plasma-enhanced chemical vapour deposition then leads to the formation of Ge-rich Si(1-x)Ge(x) islands (x > 0.8) with a homogeneous size distribution, precisely positioned with respect to the substrate pattern. The island morphology was characterized by atomic force microscopy, and the Ge content and strain in the islands was studied by μRaman spectroscopy. This characterization indicates a uniform distribution of islands with high Ge content and low strain: this suggests that the relatively high growth rate (0.1 nm s(-1)) and low temperature (650 °C) used is able to limit Si intermixing, while maintaining a long enough adatom diffusion length to prevent nucleation of islands outside pits. This offers the novel possibility of using these Ge-rich islands to induce strain in a Si cap.

  17. Multi-junction, monolithic solar cell using low-band-gap materials lattice matched to GaAs or Ge

    DOEpatents

    Olson, Jerry M.; Kurtz, Sarah R.; Friedman, Daniel J.

    2001-01-01

    A multi-junction, monolithic, photovoltaic solar cell device is provided for converting solar radiation to photocurrent and photovoltage with improved efficiency. The solar cell device comprises a plurality of semiconductor cells, i.e., active p/n junctions, connected in tandem and deposited on a substrate fabricated from GaAs or Ge. To increase efficiency, each semiconductor cell is fabricated from a crystalline material with a lattice constant substantially equivalent to the lattice constant of the substrate material. Additionally, the semiconductor cells are selected with appropriate band gaps to efficiently create photovoltage from a larger portion of the solar spectrum. In this regard, one semiconductor cell in each embodiment of the solar cell device has a band gap between that of Ge and GaAs. To achieve desired band gaps and lattice constants, the semiconductor cells may be fabricated from a number of materials including Ge, GaInP, GaAs, GaInAsP, GaInAsN, GaAsGe, BGaInAs, (GaAs)Ge, CuInSSe, CuAsSSe, and GaInAsNP. To further increase efficiency, the thickness of each semiconductor cell is controlled to match the photocurrent generated in each cell. To facilitate photocurrent flow, a plurality of tunnel junctions of low-resistivity material are included between each adjacent semiconductor cell. The conductivity or direction of photocurrent in the solar cell device may be selected by controlling the specific p-type or n-type characteristics for each active junction.

  18. Electrical and structural properties of In-implanted Si 1–xGe x alloys

    DOE PAGES

    Feng, Ruixing; Kremer, F.; Sprouster, D. J.; ...

    2016-01-14

    Here, we report on the effects of dopant concentration and substrate stoichiometry on the electrical and structural properties of In-implanted Si 1–xGe x alloys. Correlating the fraction of electrically active In atoms from Hall Effect measurements with the In atomic environment determined by X-ray absorption spectroscopy, we observed the transition from electrically active, substitutional In at low In concentration to electrically inactive metallic In at high In concentration. The In solid-solubility limit has been quantified and was dependent on the Si 1–xGe x alloy stoichiometry; the solid-solubility limit increased as the Ge fraction increased. This result was consistent with densitymore » functional theory calculations of two In atoms in a Si 1–xGe x supercell that demonstrated that In–In pairing was energetically favorable for x ≲ 0.7 and energetically unfavorable for x ≳ 0.7. Transmission electron microscopy imaging further complemented the results described earlier with the In concentration and Si 1–xGe x alloy stoichiometry dependencies readily visible. We have demonstrated that low resistivity values can be achieved with In implantation in Si 1–xGe x alloys, and this combination of dopant and substrate represents an effective doping protocol.« less

  19. A self-ordered, body-centered tetragonal superlattice of SiGe nanodot growth by reduced pressure CVD

    NASA Astrophysics Data System (ADS)

    Yamamoto, Yuji; Zaumseil, Peter; Capellini, Giovanni; Schubert, Markus Andreas; Hesse, Anne; Albani, Marco; Bergamaschini, Roberto; Montalenti, Francesco; Schroeder, Thomas; Tillack, Bernd

    2017-12-01

    Self-ordered three-dimensional body-centered tetragonal (BCT) SiGe nanodot structures are fabricated by depositing SiGe/Si superlattice layer stacks using reduced pressure chemical vapor deposition. For high enough Ge content in the island (>30%) and deposition temperature of the Si spacer layers (T > 700 °C), we observe the formation of an ordered array with islands arranged in staggered position in adjacent layers. The in plane periodicity of the islands can be selected by a suitable choice of the annealing temperature before the Si spacer layer growth and of the SiGe dot volume, while only a weak influence of the Ge concentration is observed. Phase-field simulations are used to clarify the driving force determining the observed BCT ordering, shedding light on the competition between heteroepitaxial strain and surface-energy minimization in the presence of a non-negligible surface roughness.

  20. Magnetism and electronic structure of CoFeCrX (X = Si, Ge) Heusler alloys

    NASA Astrophysics Data System (ADS)

    Jin, Y.; Kharel, P.; Lukashev, P.; Valloppilly, S.; Staten, B.; Herran, J.; Tutic, I.; Mitrakumar, M.; Bhusal, B.; O'Connell, A.; Yang, K.; Huh, Y.; Skomski, R.; Sellmyer, D. J.

    2016-08-01

    The structural, electronic, and magnetic properties of CoFeCrX (X = Si, Ge) Heusler alloys have been investigated. Experimentally, the alloys were synthesized in the cubic L21 structure with small disorder. The cubic phase of CoFeCrSi was found to be highly stable against heat treatment, but CoFeCrGe disintegrated into other new compounds when the temperature reached 402 °C (675 K). Although the first-principle calculation predicted the possibility of tetragonal phase in CoFeCrGe, the tetragonal phase could not be stabilized experimentally. Both CoFeCrSi and CoFeCrGe compounds showed ferrimagnetic spin order at room temperature and have Curie temperatures (TC) significantly above room temperature. The measured TC for CoFeCrSi is 790 K but that of CoFeCrGe could not be measured due to its dissociation into new compounds at 675 K. The saturation magnetizations of CoFeCrSi and CoFeCrGe are 2.82 μB/f.u. and 2.78 μB/f.u., respectively, which are close to the theoretically predicted value of 3 μB/f.u. for their half-metallic phases. The calculated band gaps for CoFeCrSi and CoFeCrGe are, respectively, 1 eV and 0.5 eV. These materials have potential for spintronic device applications, as they exhibit half-metallic electronic structures with large band gaps, and Curie temperatures significantly above room temperature.

  1. Single photon detection in a waveguide-coupled Ge-on-Si lateral avalanche photodiode.

    PubMed

    Martinez, Nicholas J D; Gehl, Michael; Derose, Christopher T; Starbuck, Andrew L; Pomerene, Andrew T; Lentine, Anthony L; Trotter, Douglas C; Davids, Paul S

    2017-07-10

    We examine gated-Geiger mode operation of an integrated waveguide-coupled Ge-on-Si lateral avalanche photodiode (APD) and demonstrate single photon detection at low dark count for this mode of operation. Our integrated waveguide-coupled APD is fabricated using a selective epitaxial Ge-on-Si growth process resulting in a separate absorption and charge multiplication (SACM) design compatible with our silicon photonics platform. Single photon detection efficiency and dark count rate is measured as a function of temperature in order to understand and optimize performance characteristics in this device. We report single photon detection of 5.27% at 1310 nm and a dark count rate of 534 kHz at 80 K for a Ge-on-Si single photon avalanche diode. Dark count rate is the lowest for a Ge-on-Si single photon detector in this range of temperatures while maintaining competitive detection efficiency. A jitter of 105 ps was measured for this device.

  2. Plasmon polariton enhanced mid-infrared photodetectors based on Ge quantum dots in Si

    NASA Astrophysics Data System (ADS)

    Yakimov, A. I.; Kirienko, V. V.; Bloshkin, A. A.; Armbrister, V. A.; Dvurechenskii, A. V.

    2017-10-01

    Quantum dot based infrared (IR) photodetectors (QDIPs) have the potential to provide meaningful advances to the next generation of imaging systems due to their sensitivity to normal incidence radiation, large optical gain, low dark currents, and high operating temperature. SiGe-based QDIPs are of particular interest as they are compatible with silicon integration technology but suffer from the low absorption coefficient and hence small photoresponse in the mid-wavelength IR region. Here, we report on the plasmonic enhanced Ge/Si QDIPs with tailorable wavelength optical response and polarization selectivity. Ge/Si heterostructures with self-assembled Ge quantum dots are monolithically integrated with periodic two-dimensional arrays of subwavelength holes (2DHAs) perforated in gold films to convert the incident electromagnetic IR radiation into the surface plasmon polariton (SPP) waves. The resonant responsivity of the plasmonic detector at a wavelength of 5.4 μm shows an enhancement of up to thirty times over a narrow spectral bandwidth (FWHM = 0.3 μm), demonstrating the potentiality of this approach for the realization of high-performance Ge/Si QDIPs that require high spectral resolution. The possibility of the polarization-sensitive detection in Ge/Si QDIPs enhanced with a stretched-lattice 2DHA is reported. The excitation of SPP modes and the near-field components are investigated with the three-dimensional finite-element frequency-domain method. The role that plasmonic electric field plays in QDIP enhancement is discussed.

  3. Elemental boron-doped p(+)-SiGe layers grown by molecular beam epitaxy for infrared detector applications

    NASA Technical Reports Server (NTRS)

    Lin, T. L.; George, T.; Jones, E. W.; Ksendzov, A.; Huberman, M. L.

    1992-01-01

    SiGe/Si heterojunction internal photoemission (HIP) detectors have been fabricated utilizing molecular beam epitaxy of p(+)-SiGe layers on p(-)-Si substrates. Elemental boron from a high-temperature effusion cell was used as the dopant source during MBE growth, and high doping concentrations have been achieved. Strong infrared absorption, mainly by free-carrier absorption, was observed for the degenerately doped SiGe layers. The use of elemental boron as the dopant source allows a low MBE growth temperature, resulting in improved crystalline quality and smooth surface morphology of the Si(0.7)Ge(0.3) layers. Nearly ideal thermionic emission dark current characteristics have been obtained. Photoresponse of the HIP detectors in the long-wavelength infrared regime has been demonstrated.

  4. Static and dynamic behavior of a Si/Si0.8Ge0.2/Si heterojunction bipolar transistor using Monte Carlo simulation

    NASA Astrophysics Data System (ADS)

    Galdin, Sylvie; Dollfus, Philippe; Hesto, Patrice

    1994-03-01

    A theoretical study of a Si/Si1-xGex/Si heterojunction bipolar transistor using Monte Carlo simulations is reported. The geometry and composition of the emitter-base junction are optimized using one-dimensional simulations with a view to improving electron transport in the base. It is proposed to introduce a thin Si-P spacer layer, between the Si-N emitter and the SiGe-P base, which allows launching hot electrons into the base despite the lack of natural conduction-band discontinuity between Si and strain SiGe. The high-frequency behavior of the complete transistor is then studied using 2D modeling. A method of microwave analysis using small signal Monte Carlo simulations that consists of expanding the terminal currents in Fourier series is presented. A cutoff frequency fT of 68 GHz has been extracted. Finally, the occurrence of a parasitic electron barrier at the collector-base junction is responsible for the fT fall-off at high collector current density. This parasitic barrier is lowered through the influence of the collector potential.

  5. Characterization of strain relaxation behavior in Si1- x Ge x epitaxial layers by dry oxidation

    NASA Astrophysics Data System (ADS)

    Jang, Hyunchul; Kim, Byongju; Koo, Sangmo; Park, Seran; Ko, Dae-Hong

    2017-11-01

    We fabricated fully strained Si0.77Ge0.23 epitaxial layers on Si substrates and investigated their strain relaxation behaviors under dry oxidation and the effect of oxidation temperatures and times. After the oxidation process, a Ge-rich layer was formed between the oxide and the remaining Si0.77Ge0.23 layer. Using reciprocal space mapping measurements, we confirmed that the strain of the Si0.77Ge0.23 layers was efficiently relaxed after oxidation, with a maximum relaxation value of 70% after oxidation at 850 °C for 120 min. The surface of Si0.77Ge0.23 layer after strain relaxation by dry oxidation was smoother than a thick Si0.77Ge0.23 layer, which achieved a similar strain relaxation value by increasing the film thickness. Additionally, N2 annealing was performed in order to compare its effect on the relaxation compared to dry oxidation and to identify relaxation mechanisms, other than the thermally driven ones, occurring during dry oxidation.

  6. N2O + CO reaction over single Ga or Ge atom embedded graphene: A DFT study

    NASA Astrophysics Data System (ADS)

    Esrafili, Mehdi D.; Vessally, Esmail

    2018-01-01

    The possibility of using a single Ga or Ge atom embedded graphene as an efficient catalyst for the reduction of N2O molecule by CO is examined. We perform density functional theory calculations to calculate adsorption energies as well as analysis of the structural and electronic properties of different species involved in the N2O + CO reaction. The large activation energy for the diffusion of the single Ga or Ge atom on the C vacancy site of graphene shows the high stability of both Ga- and Ge-embedded graphene sheets in the N2O reduction. The activation energy needed for the decomposition of N2O is calculated to be 18.4 and 14.1 kcal/mol over Ga- and Ge-embedded graphene, respectively. The results indicate that the Ge-embedded graphene may serve as an effective catalyst for the N2O reduction. Moreover, the activation energy for the disproportionation of N2O molecules that generates N2 and O2 is relatively high; so, the generation of these side products may be hindered by decreasing the temperature.

  7. GeAs and SiAs monolayers: Novel 2D semiconductors with suitable band structures

    NASA Astrophysics Data System (ADS)

    Zhou, Liqin; Guo, Yu; Zhao, Jijun

    2018-01-01

    Two dimensional (2D) materials provide a versatile platform for nanoelectronics, optoelectronics and clean energy conversion. Based on first-principles calculations, we propose a novel kind of 2D materials - GeAs and SiAs monolayers and investigate their atomic structure, thermodynamic stability, and electronic properties. The calculations show that monolayer GeAs and SiAs sheets are energetically and dynamically stable. Their small interlayer cohesion energies (0.191 eV/atom for GeAs and 0.178 eV/atom for SiAs) suggest easy exfoliation from the bulk solids that exist in nature. As 2D semiconductors, GeAs and SiAs monolayers possess band gap of 2.06 eV and 2.50 eV from HSE06 calculations, respectively, while their band gap can be further engineered by the number of layers. The relatively small and anisotropic carrier effective masses imply fast electric transport in these 2D semiconductors. In particular, monolayer SiAs is a direct gap semiconductor and a potential photocatalyst for water splitting. These theoretical results shine light on utilization of monolayer or few-layer GeAs and SiAs materials for the next-generation 2D electronics and optoelectronics with high performance and satisfactory stability.

  8. Equivalent circuit model of Ge/Si separate absorption charge multiplication avalanche photodiode

    NASA Astrophysics Data System (ADS)

    Wang, Wei; Chen, Ting; Yan, Linshu; Bao, Xiaoyuan; Xu, Yuanyuan; Wang, Guang; Wang, Guanyu; Yuan, Jun; Li, Junfeng

    2018-03-01

    The equivalent circuit model of Ge/Si Separate Absorption Charge Multiplication Avalanche Photodiode (SACM-APD) is proposed. Starting from the carrier rate equations in different regions of device and considering the influences of non-uniform electric field, noise, parasitic effect and some other factors, the equivalent circuit model of SACM-APD device is established, in which the steady-state and transient current voltage characteristics can be described exactly. In addition, the proposed Ge/Si SACM APD equivalent circuit model is embedded in PSpice simulator. The important characteristics of Ge/Si SACM APD such as dark current, frequency response, shot noise are simulated, the simulation results show that the simulation with the proposed model are in good agreement with the experimental results.

  9. Time-Resolved Photoluminescence Studies of Si-doped AlGaN alloys

    NASA Astrophysics Data System (ADS)

    Nam, K. B.; Li, J.; Nakarmi, M. L.; Lin, J. Y.; Jiang, H. X.

    2002-03-01

    Si-doped n-type Al x Ga_1-x N alloys with x between 0.3 and 0.5 were grown by metal-organic chemical vapor deposition (MOCVD) on sapphire substrates. Time-resolved photoluminescence (PL) emission spectroscopy and variable temperature Hall-effect measurements were employed to study the optical and electrical properties of these epilayers. Our electrical data revealed that the conductivity of Si-doped Al x Ga_1-x N alloys (x > 0.4) increases with an increase of the Si doping concentration (N_Si) for a fixed x value and exhibits a sharp increase around N_Si= 1x10 ^18cm-3, suggesting the existence of a critical Si doping concentration needed to convert insulating Al x Ga_1-x N alloys (x > 0.4) to n-type conductivity. Time-resolved PL studies also showed that PL decay lifetime and activation energy decrease sharply when Si-doping concentration increases from N_Si= 0 to 1x10 ^18cm-3and then followed by gradual decreases as N_Si further increases. Our results thus suggest that Si-doping reduces the effect of carrier localization in Al x Ga_1-x N alloys and a sharp drop in carrier localization energy occurs at N_Si= 1x10 ^18cm-3, which is the critical Si-doping concentration needed to fill up the localized states in Al x Ga_1-x N alloys (x > 0.4). The implications of these results to UV optoelectronic devices are also discussed.

  10. Enhanced Ge/Si(001) island areal density and self-organization due to P predeposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cho, B.; Bareno, J.; Petrov, I.

    The predeposition of P, with coverages {theta}{sub P} ranging from 0 to 1 ML, on Si(001) significantly increases both the areal density and spatial self-organization of Ge islands grown by gas-source molecular beam epitaxy from hydride precursors. The Ge island density {rho}{sub Ge} initially increases with {theta}{sub P}, reaching a maximum of 1.4 x 10{sup 10} cm{sup -2} at {theta}{sub P} = 0.7 ML, a factor of four times higher than on bare Si(001) under the same deposition conditions, before decreasing at higher P coverages. The increase in {rho}{sub Ge}({theta}{sub P}) is due to a corresponding decrease in Ge adatommore » mean free paths resulting from passivation of surface dangling bonds by adsorbed pentavalent P atoms which, in addition, leads to surface roughening and, therefore, higher Ge coverages at constant Ge{sub 2}H{sub 6} dose. As {theta}{sub P} (and hence, {rho}{sub Ge}) increases, so does the degree of Ge island ordering along <100> directions due to the anisotropic strain field surrounding individual islands. Similar results are obtained for Ge island growth on P-doped Si(001) layers where strong P surface segregation provides partial monolayer coverage prior to Ge deposition.« less

  11. Visible photoluminescence of porous Si(1-x)Ge(x) obtained by stain etching

    NASA Technical Reports Server (NTRS)

    Ksendzov, A.; Fathauer, R. W.; George, T.; Pike, W. T.; Vasquez, R. P.; Taylor, A. P.

    1993-01-01

    We have investigated visible photoluminescence (PL) from thin porous Si(1-x)Ge(x) alloy layers prepared by stain etching of molecular-beam-epitaxy-grown material. Seven samples with nominal Ge fraction x varying from 0.04 to 0.41 were studied at room temperature and 80 K. Samples of bulk stain etched Si and Ge were also investigated. The composition of the porous material was determined using X-ray photoemission spectroscopy and Rutherford backscattering techniques to be considerably more Ge-rich than the starting epitaxial layers. While the luminescence intensity drops significantly with the increasing Ge fraction, we observe no significant variation in the PL wavelength at room temperature. This is clearly in contradiction to the popular model based on quantum confinement in crystalline silicon which predicts that the PL energy should follow the bandgap variation of the starting material. However, our data are consistent with small active units containing only a few Si atoms that are responsible for the light emission. Such units are present in many compounds proposed in the literature as the cause of the visible PL in porous Si.

  12. Gas cluster ion beam assisted NiPt germano-silicide formation on SiGe

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ozcan, Ahmet S., E-mail: asozcan@us.ibm.com; Lavoie, Christian; Jordan-Sweet, Jean

    We report the formation of very uniform and smooth Ni(Pt)Si on epitaxially grown SiGe using Si gas cluster ion beam treatment after metal-rich silicide formation. The gas cluster ion implantation process was optimized to infuse Si into the metal-rich silicide layer and lowered the NiSi nucleation temperature significantly according to in situ X-ray diffraction measurements. This novel method which leads to more uniform films can also be used to control silicide depth in ultra-shallow junctions, especially for high Ge containing devices, where silicidation is problematic as it leads to much rougher interfaces.

  13. Heteroepitaxial growth of Ge films on (100) GaAs by pyrolysis of digermane

    NASA Astrophysics Data System (ADS)

    Eres, Djula; Lowndes, Douglas H.; Tischler, J. Z.; Sharp, J. W.; Geohegan, D. B.; Pennycook, S. J.

    1989-08-01

    Pyrolysis of high-purity digermane (Ge2 H6 ) has been used to grow epitaxial Ge films of high crystalline quality on (100) GaAs substrates in a low-pressure environment. X-ray double-crystal diffractometry shows that fully commensurate, coherently strained epitaxial Ge films can be grown on (100) GaAs at digermane partial pressures of 0.05-40 mTorr for substrate temperatures of 380-600 °C. Amorphous films also were deposited. Information about the crystalline films surface morphology, growth mode, and microstructure was obtained from scanning electron microscopy, cross-section transmission electron microscopy, and in situ reflectivity measurements. The amorphous-to-crystalline transition temperature and the morphology of the crystalline films were both found to depend on deposition conditions (primarily the incidence rate of Ge-bearing species and the substrate temperature). Epitaxial growth rates using digermane were found to be about two orders of magnitude higher than rates using germane (GeH4 ) under similar experimental conditions.

  14. High Temperature Stable Nanocrystalline SiGe Thermoelectric Material

    NASA Technical Reports Server (NTRS)

    Yang, Sherwin (Inventor); Matejczyk, Daniel Edward (Inventor); Determan, William (Inventor)

    2013-01-01

    A method of forming a nanocomposite thermoelectric material having microstructural stability at temperatures greater than 1000 C. The method includes creating nanocrystalline powder by cryomilling. The method is particularly useful in forming SiGe alloy powder.

  15. Gold-catalyzed oxide nanopatterns for the directed assembly of Ge island arrays on Si.

    PubMed

    Robinson, Jeremy T; Ratto, Fulvio; Moutanabbir, Oussama; Heun, Stefan; Locatelli, Andrea; Mentes, T Onur; Aballe, Lucia; Dubon, Oscar D

    2007-09-01

    The heteroepitaxial growth of Ge on Au-patterned Si(001) is investigated using in situ spectromicroscopy. Patterning of a hydrogen-terminated Si surface with a square array of Au dots followed by brief exposure to air leads to the spontaneous, local oxidation of Si. The resulting oxide nanopattern limits the surface migration of Au during annealing up to 600 degrees C, resulting in complete preservation of the Au pattern. Subsequent deposition of Ge induces a redistribution of Au across the surface even as the oxide nanopattern persists. As a result, the oxide pattern drives the growth of Ge islands into an ordered assembly, while Au decorates the surfaces of the Ge islands and modifies their shape.

  16. Growing GaN LEDs on amorphous SiC buffer with variable C/Si compositions

    PubMed Central

    Cheng, Chih-Hsien; Tzou, An-Jye; Chang, Jung-Hung; Chi, Yu-Chieh; Lin, Yung-Hsiang; Shih, Min-Hsiung; Lee, Chao-Kuei; Wu, Chih-I; Kuo, Hao-Chung; Chang, Chun-Yen; Lin, Gong-Ru

    2016-01-01

    The epitaxy of high-power gallium nitride (GaN) light-emitting diode (LED) on amorphous silicon carbide (a-SixC1−x) buffer is demonstrated. The a-SixC1−x buffers with different nonstoichiometric C/Si composition ratios are synthesized on SiO2/Si substrate by using a low-temperature plasma enhanced chemical vapor deposition. The GaN LEDs on different SixC1−x buffers exhibit different EL and C-V characteristics because of the extended strain induced interfacial defects. The EL power decays when increasing the Si content of SixC1−x buffer. The C-rich SixC1−x favors the GaN epitaxy and enables the strain relaxation to suppress the probability of Auger recombination. When the SixC1−x buffer changes from Si-rich to C-rich condition, the EL peak wavelengh shifts from 446 nm to 450 nm. Moreover, the uniform distribution contour of EL intensity spreads between the anode and the cathode because the traping density of the interfacial defect gradually reduces. In comparison with the GaN LED grown on Si-rich SixC1−x buffer, the device deposited on C-rich SixC1−x buffer shows a lower turn-on voltage, a higher output power, an external quantum efficiency, and an efficiency droop of 2.48 V, 106 mW, 42.3%, and 7%, respectively. PMID:26794268

  17. Direct growth of freestanding GaN on C-face SiC by HVPE.

    PubMed

    Tian, Yuan; Shao, Yongliang; Wu, Yongzhong; Hao, Xiaopeng; Zhang, Lei; Dai, Yuanbin; Huo, Qin

    2015-06-02

    In this work, high quality GaN crystal was successfully grown on C-face 6H-SiC by HVPE using a two steps growth process. Due to the small interaction stress between the GaN and the SiC substrate, the GaN was self-separated from the SiC substrate even with a small thickness of about 100 μm. Moreover, the SiC substrate was excellent without damage after the whole process so that it can be repeatedly used in the GaN growth. Hot phosphoric acid etching (at 240 °C for 30 min) was employed to identify the polarity of the GaN layer. According to the etching results, the obtained layer was Ga-polar GaN. High-resolution X-ray diffraction (HRXRD) and electron backscatter diffraction (EBSD) were done to characterize the quality of the freestanding GaN. The Raman measurements showed that the freestanding GaN film grown on the C-face 6H-SiC was stress-free. The optical properties of the freestanding GaN layer were determined by photoluminescence (PL) spectra.

  18. A new CMOS SiGeC avalanche photo-diode pixel for IR sensing

    NASA Astrophysics Data System (ADS)

    Augusto, Carlos; Forester, Lynn; Diniz, Pedro C.

    2009-05-01

    Near-infra-red sensing with silicon is limited by the bandgap of silicon, corresponding to a maximum wavelength of absorption of 1.1 μm. A new type of CMOS sensor is presented, which uses a SiGeC epitaxial film in conjunction with novel device architecture to extend absorption into the infra-red. The SiGeC film composition and thickness determine the spectrum of absorption; in particular for SiGeC superlattices, the layer ordering to create pseudo direct bandgaps is the critical parameter. In this new device architecture, the p-type SiGeC film is grown on an active region surrounded by STI, linked to the S/D region of an adjacent NMOS, under the STI by a floating N-Well. On a n-type active, a P-I-N device is formed, and on a p-type active, a P-I-P device is formed, each sensing different regions of the spectrum. The SiGeC films can be biased for avalanche operation, as the required vertical electric field is confined to the region near the heterojunction interface, thereby not affecting the gate oxide of the adjacent NMOS. With suitable heterojunction and doping profiles, the avalanche region can also be bandgap engineered, allowing for avalanche breakdown voltages that are compatible with CMOS devices.

  19. Atomic Layer Deposition of HfO2 and Si Nitride on Ge Substrates

    NASA Astrophysics Data System (ADS)

    Zhu, Shiyang; Nakajima, Anri

    2007-12-01

    Hafnium oxide (HfO2) thin films were deposited on Ge substrates at 300 °C using atomic layer deposition (ALD) with tetrakis(diethylamino)hafnium (termed as TDEAH) as a precursor and water as an oxidant. The deposition rate was estimated to be 0.09 nm/cycle and the deposited HfO2 films have a smooth surface and an almost stoichiometric composition, indicating that the growth follows a layer-by-layer kinetics, similarly to that on Si substrates. Si nitride thin films were also deposited on Ge by ALD using SiCl4 as a precursor and NH3 as an oxidant. Si nitride has a smaller deposition rate of about 0.055 nm/cycle and a larger gate leakage current than HfO2 deposited on Ge by ALD.

  20. Structures and stability of metal-doped Ge nM (n = 9, 10) clusters

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Qin, Wei; Lu, Wen-Cai; Xia, Lin-Hua

    The lowest-energy structures of neutral and cationic Ge nM (n = 9, 10; M = Si, Li, Mg, Al, Fe, Mn, Pb, Au, Ag, Yb, Pm and Dy) clusters were studied by genetic algorithm (GA) and first-principles calculations. The calculation results show that doping of the metal atoms and Si into Ge 9 and Ge 10 clusters is energetically favorable. Most of the metal-doped Ge cluster structures can be viewed as adding or substituting metal atom on the surface of the corresponding ground-state Ge n clusters. However, the neutral and cationic FeGe 9,10,MnGe 9,10 and Ge 10Al are cage-like withmore » the metal atom encapsulated inside. Such cage-like transition metal doped Ge n clusters are shown to have higher adsorption energy and thermal stability. Our calculation results suggest that Ge 9,10Fe and Ge 9Si would be used as building blocks in cluster-assembled nanomaterials because of their high stabilities.« less

  1. Structures and stability of metal-doped Ge nM (n = 9, 10) clusters

    DOE PAGES

    Qin, Wei; Lu, Wen-Cai; Xia, Lin-Hua; ...

    2015-06-26

    The lowest-energy structures of neutral and cationic Ge nM (n = 9, 10; M = Si, Li, Mg, Al, Fe, Mn, Pb, Au, Ag, Yb, Pm and Dy) clusters were studied by genetic algorithm (GA) and first-principles calculations. The calculation results show that doping of the metal atoms and Si into Ge 9 and Ge 10 clusters is energetically favorable. Most of the metal-doped Ge cluster structures can be viewed as adding or substituting metal atom on the surface of the corresponding ground-state Ge n clusters. However, the neutral and cationic FeGe 9,10,MnGe 9,10 and Ge 10Al are cage-like withmore » the metal atom encapsulated inside. Such cage-like transition metal doped Ge n clusters are shown to have higher adsorption energy and thermal stability. Our calculation results suggest that Ge 9,10Fe and Ge 9Si would be used as building blocks in cluster-assembled nanomaterials because of their high stabilities.« less

  2. An investigation of the effects of radiation exposure on stability constraints in epitaxial SiGe strained layers

    NASA Astrophysics Data System (ADS)

    Chen, Tianbing; Sutton, Akil K.; Haugerud, Becca M.; Henderson, Walter; Gnana Prakash, A. P.; Cressler, John D.; Doolittle, Alan; Liu, Xuefeng; Joseph, Alvin; Marshall, Paul W.

    2006-07-01

    The thermodynamic stability of device-relevant epitaxial SiGe strained layers under proton irradiation is investigated using X-ray diffraction techniques, and compared with its stability constrain under high-temperature annealing. Irradiation with 63 MeV protons is found to introduce no significant microdefects into the SiGe thin films, regardless of starting stability condition of the SiGe film, and thus does not appear to be an issue for the use of SiGe HBT technology in emerging space systems. The strain relaxation of SiGe thin film under thermal annealing, however, is sensitive to the composition and thickness of the as-grown samples, as expected, with the subsequent lattice relaxation of the unstable samples occurring at a much higher rate than that of metastable samples.

  3. Dopant diffusion and segregation in semiconductor heterostructures: Part III, diffusion of Si into GaAs

    NASA Astrophysics Data System (ADS)

    Chen, C.-H.; Gösele, U. M.; Tan, T. Y.

    We have mentioned previously that in the third part of the present series of papers, a variety of n-doping associated phenomena will be treated. Instead, we have decided that this paper, in which the subject treated is diffusion of Si into GaAs, shall be the third paper of the series. This choice is arrived at because this subject is a most relevent heterostructure problem, and also because of space and timing considerations. The main n-type dopant Si in GaAs is amphoteric which may be incorporated as shallow donor species SiGa+ and as shallow acceptor species SiAs-. The solubility of SiAs- is much lower than that of SiGa+ except at very high Si concentration levels. Hence, a severe electrical self-compensation occurs at very high Si concentrations. In this study we have modeled the Si distribution process in GaAs by assuming that the diffusing species is SiGa+ which will convert into SiAs- in accordance with their solubilities and that the point defect species governing the diffusion of SiGa+ are triply-negatively-charged Ga vacancies VGa3-. The outstanding features of the Si indiffusion profiles near the Si/GaAs interface have been quantitatively explained for the first time. Deposited on the GaAs crystal surface, the Si source material is a polycrystalline Si layer which may be undoped or n+-doped using As or P. Without the use of an As vapor phase in the ambient, the As- and P-doped source materials effectively render the GaAs crystals into an As-rich composition, which leads to a much more efficient Si indiffusion process than for the case of using undoped source materials which maintains the GaAs crystals in a relatively As-poor condition. The source material and the GaAs crystal together form a heterostructure with its junction influencing the electron distribution in the region, which, in turn, affects the Si indiffusion process prominently.

  4. K-Band Si/SiGe HBT MMIC Amplifiers Using Lumped Passive Components with a Micromachined Structure

    NASA Technical Reports Server (NTRS)

    Lu, Liang-Hung; Rieh, Jae-Sung; Bhattacharya, Pallab; Katechi, Linda P. B.; Croke, E. T.; Ponchak, George E.; Alterovitz, Samuel A.

    2000-01-01

    Using Si/SiGe heterojunction bipolar transistors with a maximum oscillation frequency of 52 GHz and a novel structure for passive components, a two-stage K-band lumped-element amplifier has been designed and fabricated on high-resistivity Si substrates. The chip size including biasing and RF chokes is 0.92 x 0.67 sq mm.

  5. In-situ characterization of the optical and electronic properties in GeTe and GaSb thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Velea, A.; Popescu, M.; Galca, A. C., E-mail: ac-galca@infim.ro

    2015-10-07

    GeTe and GaSb thin films obtained by pulsed laser deposition were investigated by spectroscopic ellipsometry at controlled temperatures. The GeTe films were fully amorphous, while the GaSb films were partially crystalized in the as-deposited state. The Tauc-Lorentz model was employed to fit the experimental data. From the temperature study of the optical constants, it was observed the crystallization in the 150–160 °C range of GeTe amorphous films and between 230 and 240 °C of GaSb amorphous phase. A second transition in the resonance energy and the broadening parameter of the Lorentz oscillator was observed due to the crystallization of Sb after 250 °C.more » The temperatures of 85 °C and 130 °C are noticed as the start of the relaxation of the amorphous GeTe phase and as-deposited GaSb. The peaks of the imaginary part of the dielectric function red shifted after the phase change, while the variation with temperature of the crystalline phase follows the Varshni law. The electron-phonon coupling constants are 2.88 and 1.64 for c-GeTe and c-GaSb, respectively. An optical contrast up to 60% was obtained for GeTe films and a maximum value of 7.5% is revealed in the case GaSb, which is altered by the partial crystallinity of the as-deposited films.« less

  6. Nanoepitaxy of GaAs on a Si(001) substrate using a round-hole nanopatterned SiO2 mask.

    PubMed

    Hsu, Chao-Wei; Chen, Yung-Feng; Su, Yan-Kuin

    2012-12-14

    GaAs is grown by metal-organic vapor-phase epitaxy on a 55 nm round-hole patterned Si substrate with SiO(2) as a mask. The threading dislocations, which are stacked on the lowest energy facet plane, move along the SiO(2) walls, reducing the number of dislocations. The etching pit density of GaAs on the 55 nm round-hole patterned Si substrate is about 3.3 × 10(5) cm(-2). Compared with the full width at half maximum measurement from x-ray diffraction and photoluminescence spectra of GaAs on a planar Si(001) substrate, those of GaAs on the 55 nm round-hole patterned Si substrate are reduced by 39.6 and 31.4%, respectively. The improvement in material quality is verified by transmission electron microscopy, field-emission scanning electron microscopy, Hall measurements, Raman spectroscopy, photoluminescence, and x-ray diffraction studies.

  7. Si/InGaN core/shell hierarchical nanowire arrays and their photoelectrochemical properties.

    PubMed

    Hwang, Yun Jeong; Wu, Cheng Hao; Hahn, Chris; Jeong, Hoon Eui; Yang, Peidong

    2012-03-14

    Three-dimensional hierarchical nanostructures were synthesized by the halide chemical vapor deposition of InGaN nanowires on Si wire arrays. Single phase InGaN nanowires grew vertically on the sidewalls of Si wires and acted as a high surface area photoanode for solar water splitting. Electrochemical measurements showed that the photocurrent density with hierarchical Si/InGaN nanowire arrays increased by 5 times compared to the photocurrent density with InGaN nanowire arrays grown on planar Si (1.23 V vs RHE). High-resolution transmission electron microscopy showed that InGaN nanowires are stable after 15 h of illumination. These measurements show that Si/InGaN hierarchical nanostructures are a viable high surface area electrode geometry for solar water splitting. © 2012 American Chemical Society

  8. Waveguide-integrated vertical pin photodiodes of Ge fabricated on p+ and n+ Si-on-insulator layers

    NASA Astrophysics Data System (ADS)

    Ito, Kazuki; Hiraki, Tatsurou; Tsuchizawa, Tai; Ishikawa, Yasuhiko

    2017-04-01

    Vertical pin structures of Ge photodiodes (PDs) integrated with Si optical waveguides are fabricated by depositing Ge epitaxial layers on Si-on-insulator (SOI) layers, and the performances of n+-Ge/i-Ge/p+-SOI PDs are compared with those of p+-Ge/i-Ge/n+-SOI PDs. Both types of PDs show responsivities as high as 1.0 A/W at 1.55 µm, while the dark leakage current is different, which is consistent with previous reports on free-space PDs formed on bulk Si wafers. The dark current of the p+-Ge/i-Ge/n+-SOI PDs is higher by more than one order of magnitude. Taking into account the activation energies for dark current as well as the dependence on PD area, the dark current of the n+-Ge/i-Ge/p+-SOI PDs is dominated by the thermal generation of carriers via mid-gap defect levels in Ge, while for the p+-Ge/i-Ge/n+-SOI PDs, the dark current is ascribed to not only thermal generation but also other mechanisms such as locally formed conduction paths.

  9. Growth of High-Quality GaAs on Ge by Controlling the Thickness and Growth Temperature of Buffer Layer

    NASA Astrophysics Data System (ADS)

    Zhou, Xu-Liang; Pan, Jiao-Qing; Yu, Hong-Yan; Li, Shi-Yan; Wang, Bao-Jun; Bian, Jing; Wang, Wei

    2014-12-01

    High-quality GaAs thin films grown on miscut Ge substrates are crucial for GaAs-based devices on silicon. We investigate the effect of different thicknesses and temperatures of GaAs buffer layers on the crystal quality and surface morphology of GaAs on Ge by metal-organic chemical vapor deposition. Through high resolution x-ray diffraction measurements, it is demonstrated that the full width at half maximum for the GaAs epilayer (Ge substrate) peak could achieve 19.3 (11.0) arcsec. The value of etch pit density could be 4×104 cm-2. At the same time, GaAs surfaces with no pyramid-shaped pits are obtained when the buffer layer growth temperature is lower than 360°C, due to effective inhibition of initial nucleation at terraces of the Ge surface. In addition, it is shown that large island formation at the initial stage of epitaxial growth is a significant factor for the final rough surface and that this initial stage should be carefully controlled when a device quality GaAs surface is desired.

  10. Surface roughening of undoped and in situ B-doped SiGe epitaxial layers deposited by using reduced pressure chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Kim, Youngmo; Park, Jiwoo; Sohn, Hyunchul

    2018-01-01

    Si1- x Ge x (:B) epitaxial layers were deposited by using reduced pressure chemical vapor deposition with SiH4, GeH4, and B2H6 source gases, and the dependences of the surface roughness of undoped Si1- x Ge x on the GeH4 flow rate and of Si1- x Ge x :B on the B2H6 flow rate were investigated. The root-mean-square (RMS) roughness value of the undoped Si1- x Ge x at constant thickness increased gradually with increasing Ge composition, resulting from an increase in the amplitude of the wavy surface before defect formation. At higher Ge compositions, the residual strain in Si1- x Ge x significantly decreased through the formation of defects along with an abrupt increase in the RMS roughness. The variation of the surface roughness of Si1- x Ge x :B depended on the boron (B) concentration. At low B concentrations, the RMS roughness of Si1- x Ge x remained constant regardless of Ge composition, which is similar to that of undoped Si1- x Ge x . However, at high B concentrations, the RMS roughness of Si1- x Ge x :B increased greatly due to B islanding. In addition, at very high B concentrations ( 9.9 at%), the RMS roughness of Si1- x Ge x :B decreased due to non-epitaxial growth.

  11. Magneto-transport analysis of an ultra-low-density two-dimensional hole gas in an undoped strained Ge/SiGe heterostructure

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Laroche, D.; Lu, T. M., E-mail: tlu@sandia.gov; Huang, S.-H.

    2016-06-06

    We report the magneto-transport, scattering mechanisms, and effective mass analysis of an ultra-low density two-dimensional hole gas capacitively induced in an undoped strained Ge/Si{sub 0.2}Ge{sub 0.8} heterostructure. This fabrication technique allows hole densities as low as p ∼ 1.1 × 10{sup 10 }cm{sup −2} to be achieved, more than one order of magnitude lower than previously reported in doped Ge/SiGe heterostructures. The power-law exponent of the electron mobility versus density curve, μ ∝ n{sup α}, is found to be α ∼ 0.29 over most of the density range, implying that background impurity scattering is the dominant scattering mechanism at intermediate densities in such devices. A charge migrationmore » model is used to explain the mobility decrease at the highest achievable densities. The hole effective mass is deduced from the temperature dependence of Shubnikov-de Haas oscillations. At p ∼ 1.0 × 10{sup 11 }cm{sup −2}, the effective mass m* is ∼0.105 m{sub 0}, which is significantly larger than masses obtained from modulation-doped Ge/SiGe two-dimensional hole gases.« less

  12. GaN transistors on Si for switching and high-frequency applications

    NASA Astrophysics Data System (ADS)

    Ueda, Tetsuzo; Ishida, Masahiro; Tanaka, Tsuyoshi; Ueda, Daisuke

    2014-10-01

    In this paper, recent advances of GaN transistors on Si for switching and high-frequency applications are reviewed. Novel epitaxial structures including superlattice interlayers grown by metal organic chemical vapor deposition (MOCVD) relieve the strain and eliminate the cracks in the GaN over large-diameter Si substrates up to 8 in. As a new device structure for high-power switching application, Gate Injection Transistors (GITs) with a p-AlGaN gate over an AlGaN/GaN heterostructure successfully achieve normally-off operations maintaining high drain currents and low on-state resistances. Note that the GITs on Si are free from current collapse up to 600 V, by which the drain current would be markedly reduced after the application of high drain voltages. Highly efficient operations of an inverter and DC-DC converters are presented as promising applications of GITs for power switching. The high efficiencies in an inverter, a resonant LLC converter, and a point-of-load (POL) converter demonstrate the superior potential of the GaN transistors on Si. As for high-frequency transistors, AlGaN/GaN heterojuction field-effect transistors (HFETs) on Si designed specifically for microwave and millimeter-wave frequencies demonstrate a sufficiently high output power at these frequencies. Output powers of 203 W at 2.5 GHz and 10.7 W at 26.5 GHz are achieved by the fabricated GaN transistors. These devices for switching and high-frequency applications are very promising as future energy-efficient electronics because of their inherent low fabrication cost and superior device performance.

  13. Effects of Si-doping on magnetic properties of Ga1-xCrxN

    NASA Astrophysics Data System (ADS)

    Zhou, Zhongpo; Yang, Zongxian; Liu, Chang

    2015-01-01

    Ga1-xCrxN thin films with and without the Si doping have been prepared by molecular beam epitaxy. The samples have been investigated by X-ray diffraction, X-ray photoemission spectroscopy, photoluminescence, optical absorption spectra and magnetic measurements. It has been confirmed that for the undoped samples Cr in GaN is predominantly trivalent when substituting for Ga and that the Cr 3d state appears within the band gap of GaN. In Si doped specimens the upward shifts of the chemical potential are observed, and the electrons supplied by the Si doping are trapped at Cr sites forming Cr2+. As a result, the Si doping effects show an increase of the Curie temperature, and a reduction of the saturation magnetization in the Ga1-xCrxN:Si samples. The significant effect on the ferromagnetism with Si doping in Ga1-xCrxN is explained by the percolation theory of bound magnetic polarons.

  14. Toward precise site-controlling of self-assembled Ge quantum dots on Si microdisks.

    PubMed

    Wang, Shuguang; Zhang, Ningning; Chen, Peizong; Wang, Liming; Yang, Xinju; Jiang, Zuimin; Zhong, Zhenyang

    2018-08-24

    A feasible route is developed toward precise site-controlling of quantum dots (QDs) at the microdisk periphery, where most microdisk cavity modes are located. The preferential growth of self-assembled Ge QDs at the periphery of Si microdisks is discovered. Moreover, both the height and linear density of Ge QDs can be controlled by tuning the amount of deposited Ge and the microdisk size. The inherent mechanisms of these unique features are discussed, taking into account both the growth kinetics and thermodynamics. By growing Ge on the innovative Si microdisks with small protrusions at the disk periphery, the positioning of Ge QDs at the periphery can be exactly predetermined. Such a precise site-controlling of Ge QDs at the periphery enables the location of the QD right at the field antinodes of the cavity mode of the Si microdisk, thereby achieving spatial matching between QD and cavity mode. These results open a promising door to realize the semiconductor QD-microdisk systems with both spectral and spatial matching between QDs and microdisk cavity modes, which will be the promising candidates for exploring the fundamental features of cavity quantum electrodynamics and the innovative optoelectronic devices based on strong light-matter interaction.

  15. Si0.7Ge0.3 Nanorings Mediated By Ag Nanodots: Structural Evolution and Enhanced Photoluminescence Properties

    NASA Astrophysics Data System (ADS)

    Ho, Chih; -Hau He, Cheng-Ying Chen, Jr.

    2009-03-01

    Currently nanorings (NRs) are attractive because there is a great deal of interest in nanostructures from theoretical, experimental, and device perspectives. The feasible NR fabrication is demanded in the field of electronic and optoelectronic devices at the nanoscale. In the present study, the growth of high-density Si0.7Ge0.3 NRs has been achieved on ultrathin Ag films on Si0.7Ge0.3 substrate. In situ ultrahigh-vacuum transmission electron microscopy revealed that the formation of nanorings involves a mechanism mediated by Ag NDs and evaporation of Ag-Si-Ge eutectic liquid at high temperature. Si0.7Ge0.3 NRs exhibit the enhanced PL intensity over Si0.7Ge0.3 thin film due to quantum size effects. The luminescence efficiency as a function of the size of Si0.7Ge0.3 NRs has been investigated. Power-dependent PL demonstrates that the NR mediated by Ag NDs is type-I band alignment. The process promising the availability of type-I Si1-xGex NRs can serve as a useful platform for the fundamental understanding and future practical applications of NRs.

  16. Improved Si0.5Ge0.5/Si interface quality achieved by the process of low energy hydrogen plasma cleaning and investigation of interface quality with positron annihilation spectroscopy

    NASA Astrophysics Data System (ADS)

    Liao, M.-H.; Chen, C.-H.

    2013-04-01

    The Positron Annihilation Spectra (PAS), Raman, and Photoluminescence spectroscopy reveal that Si0.5Ge0.5/Si interface quality can be significantly improved by the low energy plasma cleaning process using hydrogen. In the PAS, the particularly small value of lifetime and intensity near the Si0.5Ge0.5/Si interface in the sample with the treatment indicate that the defect concentration is successfully reduced 2.25 times, respectively. Fewer defects existed in the Si0.5Ge0.5/Si interface result in the high compressive strain about 0.36% in the top epi-Si0.5Ge0.5 layer, which can be observed in Raman spectra and stronger radiative recombination rate about 1.39 times for the infrared emission, which can be observed in the photoluminescence spectra. With better Si0.5Ge0.5/Si interface quality, the SiGe-based devices can have better optical and electrical characteristics for more applications in the industry. The PAS is also demonstrated that it is the useful methodology tool to quantify the defect information in the SiGe-based material.

  17. CONDENSED MATTER: ELECTRONIC STRUCTURE, ELECTRICAL, MAGNETIC, AND OPTICAL PROPERTIES: A super junction SiGe low-loss fast switching power diode

    NASA Astrophysics Data System (ADS)

    Ma, Li; Gao, Yong

    2009-01-01

    This paper proposes a novel super junction (SJ) SiGe switching power diode which has a columnar structure of alternating p- and n- doped pillar substituting conventional n- base region and has far thinner strained SiGe p+ layer to overcome the drawbacks of existing Si switching power diode. The SJ SiGe diode can achieve low specific on-resistance, high breakdown voltages and fast switching speed. The results indicate that the forward voltage drop of SJ SiGe diode is much lower than that of conventional Si power diode when the operating current densities do not exceed 1000 A/cm2, which is very good for getting lower operating loss. The forward voltage drop of the Si diode is 0.66 V whereas that of the SJ SiGe diode is only 0.52 V at operating current density of 10 A/cm2. The breakdown voltages are 203 V for the former and 235 V for the latter. Compared with the conventional Si power diode, the reverse recovery time of SJ SiGe diode with 20 per cent Ge content is shortened by above a half and the peak reverse current is reduced by over 15%. The SJ SiGe diode can remarkably improve the characteristics of power diode by combining the merits of both SJ structure and SiGe material.

  18. State-conditional coherent charge qubit oscillations in a Si/SiGe quadruple quantum dot

    NASA Astrophysics Data System (ADS)

    Ward, Daniel R.; Kim, Dohun; Savage, Donald E.; Lagally, Max G.; Foote, Ryan H.; Friesen, Mark; Coppersmith, Susan N.; Eriksson, Mark A.

    2016-10-01

    Universal quantum computation requires high-fidelity single-qubit rotations and controlled two-qubit gates. Along with high-fidelity single-qubit gates, strong efforts have been made in developing robust two-qubit logic gates in electrically gated quantum dot systems to realise a compact and nanofabrication-compatible architecture. Here we perform measurements of state-conditional coherent oscillations of a charge qubit. Using a quadruple quantum dot formed in a Si/SiGe heterostructure, we show the first demonstration of coherent two-axis control of a double quantum dot charge qubit in undoped Si/SiGe, performing Larmor and Ramsey oscillation measurements. We extract the strength of the capacitive coupling between a pair of double quantum dots by measuring the detuning energy shift (≈75 μeV) of one double dot depending on the excess charge configuration of the other double dot. We further demonstrate that the strong capacitive coupling allows fast, state-conditional Landau-Zener-Stückelberg oscillations with a conditional π phase flip time of about 80 ps, showing a promising pathway towards multi-qubit entanglement and control in semiconductor quantum dots.

  19. State-conditional coherent charge qubit oscillations in a Si/SiGe quadruple quantum dot

    DOE PAGES

    Ward, Daniel R.; Kim, Dohun; Savage, Donald E.; ...

    2016-10-18

    Universal quantum computation requires high-fidelity single-qubit rotations and controlled two-qubit gates. Along with high-fidelity single-qubit gates, strong efforts have been made in developing robust two-qubit logic gates in electrically gated quantum dot systems to realise a compact and nanofabrication-compatible architecture. Here we perform measurements of state-conditional coherent oscillations of a charge qubit. Using a quadruple quantum dot formed in a Si/SiGe heterostructure, we show the first demonstration of coherent two-axis control of a double quantum dot charge qubit in undoped Si/SiGe, performing Larmor and Ramsey oscillation measurements. We extract the strength of the capacitive coupling between a pair of doublemore » quantum dots by measuring the detuning energy shift (≈75 μeV) of one double dot depending on the excess charge configuration of the other double dot. Finally, we further demonstrate that the strong capacitive coupling allows fast, state-conditional Landau–Zener–Stückelberg oscillations with a conditional π phase flip time of about 80 ps, showing a promising pathway towards multi-qubit entanglement and control in semiconductor quantum dots.« less

  20. State-conditional coherent charge qubit oscillations in a Si/SiGe quadruple quantum dot

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ward, Daniel R.; Kim, Dohun; Savage, Donald E.

    Universal quantum computation requires high-fidelity single-qubit rotations and controlled two-qubit gates. Along with high-fidelity single-qubit gates, strong efforts have been made in developing robust two-qubit logic gates in electrically gated quantum dot systems to realise a compact and nanofabrication-compatible architecture. Here we perform measurements of state-conditional coherent oscillations of a charge qubit. Using a quadruple quantum dot formed in a Si/SiGe heterostructure, we show the first demonstration of coherent two-axis control of a double quantum dot charge qubit in undoped Si/SiGe, performing Larmor and Ramsey oscillation measurements. We extract the strength of the capacitive coupling between a pair of doublemore » quantum dots by measuring the detuning energy shift (≈75 μeV) of one double dot depending on the excess charge configuration of the other double dot. Finally, we further demonstrate that the strong capacitive coupling allows fast, state-conditional Landau–Zener–Stückelberg oscillations with a conditional π phase flip time of about 80 ps, showing a promising pathway towards multi-qubit entanglement and control in semiconductor quantum dots.« less

  1. Substrate effects on the epitaxial growth of ZnGeP2 thin films by open tube organometallic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Xing, G. C.; Bachmann, K. J.; Posthill, J. B.; Timmons, M. L.

    1991-01-01

    Epitaxial ZnGeP2-Ge films have been grown on (111)GaP substrates using MOCVD. The films grown with dimethylzinc to germane flow rate ratio R greater than 10 show mirror-smooth surface morphology. Films grown with R less than 10 show a high density of twinning, including both double position and growth twins. Compared to films grown on (001) GaP substrates, the layers on (111) GaP generally show a higher density of microstructural defects. TEM electron diffraction patterns show that the films grown on (111) GaP substrates are more disordered than films grown on (001) GaP under comparable conditions. The growth rate on (111) GaP substrates is about 2.5 times slower than that on (001) GaP, and films grown on Si substrates show extensive twinning formation. Both TEM and SEM examinations indicate that smooth epitaxial overgrowth may be easier on (111) Si substrates than on (001) Si.

  2. Gallium-Doped Poly-Si:Ga/SiO 2 Passivated Emitters to n-Cz Wafers With iV oc >730 mV

    DOE PAGES

    Young, David L.; Lee, Benjamin G.; Fogel, Derek; ...

    2017-09-26

    Here, we form gallium-doped poly-Si:Ga/SiO 2 passivated contacts on n-type Czochralski (n-Cz) wafers using ion implantation of Ga and Ga-containing spin-on dopants. After annealing and passivation with Al 2O 3, the contacts exhibit i Voc values of >730 mV with corresponding Joe values of <5 fA/cm 2. These are among the best-reported values for p-type poly-Si/SiO 2 contacts. Secondary ion mass spectroscopic depth profile data show that, in contrast to B, Ga does not pileup at the SiO 2 interface in agreement with its known high diffusivity in SiO 2. This lack of Ga pileup may imply fewer dopant-related defectsmore » in the SiO 2, compared with B dopants, and account for the excellent passivation.« less

  3. Ga:Ge array development

    NASA Technical Reports Server (NTRS)

    Young, Erick T.; Rieke, G. H.; Low, Frank J.; Haller, E. E.; Beeman, J. W.

    1989-01-01

    Work at the University of Arizona and at Lawrence Berkeley Laboratory on the development of a far infrared array camera for the Multiband Imaging Photometer on the Space Infrared Telescope Facility (SIRTF) is discussed. The camera design uses stacked linear arrays of Ge:Ga photoconductors to make a full two-dimensional array. Initial results from a 1 x 16 array using a thermally isolated J-FET readout are presented. Dark currents below 300 electrons s(exp -1) and readout noises of 60 electrons were attained. Operation of these types of detectors in an ionizing radiation environment are discussed. Results of radiation testing using both low energy gamma rays and protons are given. Work on advanced C-MOS cascode readouts that promise lower temperature operation and higher levels of performance than the current J-FET based devices is described.

  4. Mechanism of leakage of ion-implantation isolated AlGaN/GaN MIS-high electron mobility transistors on Si substrate

    NASA Astrophysics Data System (ADS)

    Zhang, Zhili; Song, Liang; Li, Weiyi; Fu, Kai; Yu, Guohao; Zhang, Xiaodong; Fan, Yaming; Deng, Xuguang; Li, Shuiming; Sun, Shichuang; Li, Xiajun; Yuan, Jie; Sun, Qian; Dong, Zhihua; Cai, Yong; Zhang, Baoshun

    2017-08-01

    In this paper, we systematically investigated the leakage mechanism of the ion-implantation isolated AlGaN/GaN metal-insulator-semiconductor high electron mobility transistors (MIS-HEMTs) on Si substrate. By means of combined DC tests at different temperatures and electric field dependence, we demonstrated the following original results: (1) It is proved that gate leakage is the main contribution to OFF-state leakage of ion-implantation isolated AlGaN/GaN MIS-HEMTs, and the gate leakage path is a series connection of the gate dielectric Si3N4 and Si3N4-GaN interface. (2) The dominant mechanisms of the leakage current through LPCVD-Si3N4 gate dielectric and Si3N4-GaN interface are identified to be Frenkel-Poole emission and two-dimensional variable range hopping (2D-VRH), respectively. (3) A certain temperature annealing could reduce the density of the interface state that produced by ion implantation, and consequently suppress the interface leakage transport, which results in a decrease in OFF-state leakage current of ion-implantation isolated AlGaN/GaN MIS-HEMTs.

  5. Auger-electron diffraction in the low kinetic-energy range: The Si(111)7×7 surface reconstruction and Ge/Si interface formation

    NASA Astrophysics Data System (ADS)

    de Crescenzi, M.; Gunnella, R.; Bernardini, R.; de Marco, M.; Davoli, I.

    1995-07-01

    We have investigated the Auger-electron diffraction (AED) of the L2,3VV Auger line of the clean 7×7 reconstructed Si(111) surface and the Ge/Si interface formed after a few monolayers (ML) of Ge deposition. The experimental AED in the low kinetic-energy regime has been interpreted within the framework of a multiple-scattering theory. The comparison of the AED data taken using both the x-ray source and an electron source evidences that the incident beam plays a negligible role when the experimental conditions require the use of an angular detector. The evolution of the Ge/Si(111) interface is studied by monitoring the intensity anisotropy of the Auger peaks of the two elements at room temperature (RT) and at 400 °C annealing temperature of the substrate. The evolution of the growth mechanism underlying the Ge/Si interface formation has been studied by exploiting the very low electron escape depth of this technique (<=5 Å). While at RT two monolayers of Ge deposition appear uniform and amorphous, the successive annealing induces an intermixing and a recrystallization only in the first two layers of the interface without any further interdiffusion. Furthermore, a Stranski-Krastanow growth mode has been deduced after deposition of 4 ML of Ge on a clean Si sample kept at 400 °C.

  6. SiGe BiCMOS manufacturing platform for mmWave applications

    NASA Astrophysics Data System (ADS)

    Kar-Roy, Arjun; Howard, David; Preisler, Edward; Racanelli, Marco; Chaudhry, Samir; Blaschke, Volker

    2010-10-01

    TowerJazz offers high volume manufacturable commercial SiGe BiCMOS technology platforms to address the mmWave market. In this paper, first, the SiGe BiCMOS process technology platforms such as SBC18 and SBC13 are described. These manufacturing platforms integrate 200 GHz fT/fMAX SiGe NPN with deep trench isolation into 0.18μm and 0.13μm node CMOS processes along with high density 5.6fF/μm2 stacked MIM capacitors, high value polysilicon resistors, high-Q metal resistors, lateral PNP transistors, and triple well isolation using deep n-well for mixed-signal integration, and, multiple varactors and compact high-Q inductors for RF needs. Second, design enablement tools that maximize performance and lowers costs and time to market such as scalable PSP and HICUM models, statistical and Xsigma models, reliability modeling tools, process control model tools, inductor toolbox and transmission line models are described. Finally, demonstrations in silicon for mmWave applications in the areas of optical networking, mobile broadband, phased array radar, collision avoidance radar and W-band imaging are listed.

  7. Graphene growth on Ge(100)/Si(100) substrates by CVD method.

    PubMed

    Pasternak, Iwona; Wesolowski, Marek; Jozwik, Iwona; Lukosius, Mindaugas; Lupina, Grzegorz; Dabrowski, Pawel; Baranowski, Jacek M; Strupinski, Wlodek

    2016-02-22

    The successful integration of graphene into microelectronic devices is strongly dependent on the availability of direct deposition processes, which can provide uniform, large area and high quality graphene on nonmetallic substrates. As of today the dominant technology is based on Si and obtaining graphene with Si is treated as the most advantageous solution. However, the formation of carbide during the growth process makes manufacturing graphene on Si wafers extremely challenging. To overcome these difficulties and reach the set goals, we proposed growth of high quality graphene layers by the CVD method on Ge(100)/Si(100) wafers. In addition, a stochastic model was applied in order to describe the graphene growth process on the Ge(100)/Si(100) substrate and to determine the direction of further processes. As a result, high quality graphene was grown, which was proved by Raman spectroscopy results, showing uniform monolayer films with FWHM of the 2D band of 32 cm(-1).

  8. Atomistic simulation of the thermal conductivity in amorphous SiO2 matrix/Ge nanocrystal composites

    NASA Astrophysics Data System (ADS)

    Kuryliuk, Vasyl V.; Korotchenkov, Oleg A.

    2017-04-01

    We use nonequilibrium molecular dynamics computer simulations with the Tersoff potential aiming to provide a comprehensive picture of the thermal conductivity of amorphous SiO2 (a-SiO2) matrix with embedded Ge nanocrystals (nc-Ge). The modelling predicts the a-SiO2 matrix thermal conductivity in a temperature range of 50 < T < 500 K yielding a fair agreement with experiment at around room temperature. It is worth noticing that the predicted room-temperature thermal conductivity in a-SiO2 is in very good agreement with the experimental result, which is in marked contrast with the thermal conductivity calculated employing the widely used van Beest-Kramer-van Santen (BKS) potential. We show that the thermal conductivity of composite nc-Ge/a-SiO2 systems decreases steadily with increasing the volume fraction of Ge inclusions, indicative of enhanced interface scattering of phonons imposed by embedded Ge nanocrystals. We also observe that increasing the volume fractions above a certain threshold value results in a progressively increased thermal conductivity of the nanocomposite, which can be explained by increasing volume fraction of a better thermally conducting Ge. Finally, non-equilibrium molecular dynamics simulations with the Tersoff potential are promising for computing the thermal conductivity of nanocomposites based on amorphous SiO2 and can be readily scaled to more complex composite structures with embedded nanoparticles, which thus help design nanocomposites with desired thermal properties.

  9. Short and medium range structures of 80GeSe2–20Ga2Se3 chalcogenide glasses

    NASA Astrophysics Data System (ADS)

    Petracovschi, Elena; Calvez, Laurent; Cormier, Laurent; Le Coq, David; Du, Jincheng

    2018-05-01

    The short and medium range structures of 80GeSe2–20Ga2Se3 (or Ge23.5Ga11.8Se64.7) chalcogenide glasses have been studied by combining ab initio molecular dynamics (AIMD) simulations and experimental neutron diffraction studies. The structure factor and total correlation function were calculated from glass structures generated from AIMD simulations and compared with neutron diffraction experiments showing reasonable agreement. The atomic structures of ternary chalcogenide glasses were analyzed in detail, and it was found that gallium atoms are four-fold coordinated by selenium (Se) and form [GaSe4] tetrahedra. Germanium atoms on average also have four-fold coordination, among which Se is 3.5 with the remaining being Ge–Ge homo-nuclear bonds. Ga and Ge tetrahedra link together mainly through corner-sharing and some edge-sharing of Se. No homo-nuclear bonds were observed among Ga atoms or between Ge and Ga. In addition, Se–Se homo-nuclear bonds and Se chains with various lengths were observed. A small fraction of Se atom triclusters that bond to three cations of Ge and Ga were also observed, confirming earlier proposals from 77Se solid state nuclear magnetic resonance studies. Furthermore, the electronic structures of ternary chalcogenide glasses were studied in terms of atomic charge and electronic density of states in order to gain insights into the chemical bonding and electronic properties, as well as to provide an explanation of the observed atomic structures in these ternary chalcogenide glasses.

  10. Enhancing elastic stress relaxation in SiGe/Si heterostructures by Si pillar necking

    NASA Astrophysics Data System (ADS)

    Isa, F.; Salvalaglio, M.; Arroyo Rojas Dasilva, Y.; Jung, A.; Isella, G.; Erni, R.; Timotijevic, B.; Niedermann, P.; Gröning, P.; Montalenti, F.; von Känel, H.

    2016-10-01

    We demonstrate that the elastic stress relaxation mechanism in micrometre-sized, highly mismatched heterostructures may be enhanced by employing patterned substrates in the form of necked pillars, resulting in a significant reduction of the dislocation density. Compositionally graded Si1-xGex crystals were grown by low energy plasma enhanced chemical vapour deposition, resulting in tens of micrometres tall, three-dimensional heterostructures. The patterned Si(001) substrates consist of micrometre-sized Si pillars either with the vertical {110} or isotropically under-etched sidewalls resulting in narrow necks. The structural properties of these heterostructures were investigated by defect etching and transmission electron microscopy. We show that the dislocation density, and hence the competition between elastic and plastic stress relaxation, is highly influenced by the shape of the substrate necks and their proximity to the mismatched epitaxial material. The SiGe dislocation density increases monotonically with the crystal width but is significantly reduced by the substrate under-etching. The drop in dislocation density is interpreted as a direct effect of the enhanced compliance of the under-etched Si pillars, as confirmed by the three-dimensional finite element method simulations of the elastic energy distribution.

  11. A high performance pMOSFET with two-step recessed SiGe-S/D structure for 32 nm node and beyond

    NASA Astrophysics Data System (ADS)

    Yasutake, Nobuaki; Azuma, Atsushi; Ishida, Tatsuya; Ohuchi, Kazuya; Aoki, Nobutoshi; Kusunoki, Naoki; Mori, Shinji; Mizushima, Ichiro; Morooka, Tetsu; Kawanaka, Shigeru; Toyoshima, Yoshiaki

    2007-11-01

    A novel SiGe-S/D structure for high performance pMOSFET called two-step recessed SiGe-source/drain (S/D) is developed with careful optimization of recessed SiGe-S/D structure. With this method, hole mobility, short channel effect and S/D resistance in pMOSFET are improved compared with conventional recessed SiGe-S/D structure. To enhance device performance such as drain current drivability, SiGe region has to be closer to channel region. Then, conventional deep SiGe-S/D region with carefully optimized shallow SiGe SDE region showed additional device performance improvement without SCE degradation. As a result, high performance 24 nm gate length pMOSFET was demonstrated with drive current of 451 μA/μm at ∣ Vdd∣ of 0.9 V and Ioff of 100 nA/μm (552 μA/μm at ∣ Vdd∣ of 1.0 V). Furthermore, by combining with Vdd scaling, we indicate the extendability of two-step recessed SiGe-S/D structure down to 15 nm node generation.

  12. Dispersion of folded phonons in {Si}/{Si xGe1- x} superlattices

    NASA Astrophysics Data System (ADS)

    Brugger, H.; Reiner, H.; Abstreiter, G.; Jorke, H.; Herzog, H. J.; Kasper, E.

    Zone folding effects on acoustic phonons in {Si}/{Si xGe1- x} strained layer superlattices are studied by Raman spectroscopy. A quantitative explanation of the measured frequencies is given in terms of the elastic continuum theory. The scattering wavevector q s is varied by use of different laser lines to probe directly the phonon dispersion curve in the superlattices. For large period samples q s can be shifted through the new Brillouin zone boundary. We report on observation of a finite doublet splitting corresponding to the first zone-edge gap.

  13. Defect and interface analyses of non-stoichiometric n-type GaSb thin films grown on Ge(100) substrates by rapid thermal annealing

    NASA Astrophysics Data System (ADS)

    Nishimoto, Naoki; Fujihara, Junko; Yoshino, Katsumi

    2018-05-01

    In this study, Ga0.6Sb0.4 thin films were grown on quartz and Ge(100) 1° off-axis substrates by RF magnetron sputtering at 500 °C. Ga0.6Sb0.4/Ge(100) shows n-type conductivity at room temperature (RT) and p-type conductivity at low temperatures, whereas undoped GaSb thin films exhibit p-type conductivity, irrespective of their growth methods and conditions. Their electrical properties were determined by rapid thermal annealing, which revealed that Ga0.6Sb0.4/Ge(100) contains two types of acceptors and two types of donors. The acceptors are considered to be GaSb and electrically active sites on dislocations originating at the Ga0.6Sb0.4/Ge(100) interface, while donors are believed to be Gai and electrically active sites originating at the Ga0.6Sb0.4/Ge(100) interface. In these acceptors and donors, the shallow donor concentration is higher than the shallow acceptor concentration, and the shallow donor level is deeper than the shallow acceptor level. Thus, we concluded that Ga0.6Sb0.4/Ge(100) shows n-type conductivity at RT due to electrically active sites originating at the Ga0.6Sb0.4/Ge(100) interface and native defects originating from excess Ga.

  14. Growth and relaxation processes in Ge nanocrystals on free-standing Si(001) nanopillars.

    PubMed

    Kozlowski, G; Zaumseil, P; Schubert, M A; Yamamoto, Y; Bauer, J; Schülli, T U; Tillack, B; Schroeder, T

    2012-03-23

    We study the growth and relaxation processes of Ge crystals selectively grown by chemical vapour deposition on free-standing 90 nm wide Si(001) nanopillars. Epi-Ge with thickness ranging from 4 to 80 nm was characterized by synchrotron based x-ray diffraction and transmission electron microscopy. We found that the strain in Ge nanostructures is plastically released by nucleation of misfit dislocations, leading to degrees of relaxation ranging from 50 to 100%. The growth of Ge nanocrystals follows the equilibrium crystal shape terminated by low surface energy (001) and {113} facets. Although the volumes of Ge nanocrystals are homogeneous, their shape is not uniform and the crystal quality is limited by volume defects on {111} planes. This is not the case for the Ge/Si nanostructures subjected to thermal treatment. Here, improved structure quality together with high levels of uniformity of the size and shape is observed.

  15. XPS Study of Oxide/GaAs and SiO2/Si Interfaces

    NASA Technical Reports Server (NTRS)

    Grunthaner, F. J.; Grunthaner, P. J.; Vasquez, R. P.; Lewis, B. F.; Maserjian, J.; Madhukar, A.

    1982-01-01

    Concepts developed in study of SiO2/Si interface applied to analysis of native oxide/GaAs interface. High-resolution X-ray photoelectron spectroscopy (XPS) has been combined with precise chemical-profiling technique and resolution-enhancement methods to study stoichiometry of transitional layer. Results are presented in report now available.

  16. One-step aluminium-assisted crystallization of Ge epitaxy on Si by magnetron sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, Ziheng, E-mail: ziheng.liu@unsw.edu.au; Hao, Xiaojing; Ho-Baillie, Anita

    In this work, one-step aluminium-assisted crystallization of Ge on Si is achieved via magnetron sputtering by applying an in-situ low temperature (50 °C to 150 °C) heat treatment in between Al and Ge depositions. The effect of heat treatment on film properties and the growth mechanism of Ge epitaxy on Si are studied via X-ray diffraction, Raman and transmission electron microscopy analyses. Compared with the conventional two-step process, the one-step aluminium-assisted crystallization requires much lower thermal budget and results in pure Ge epitaxial layer, which may be suitable for use as a virtual substrate for the fabrication of III-V solar cells.

  17. Control of Ga-oxide interlayer growth and Ga diffusion in SiO2/GaN stacks for high-quality GaN-based metal-oxide-semiconductor devices with improved gate dielectric reliability

    NASA Astrophysics Data System (ADS)

    Yamada, Takahiro; Watanabe, Kenta; Nozaki, Mikito; Yamada, Hisashi; Takahashi, Tokio; Shimizu, Mitsuaki; Yoshigoe, Akitaka; Hosoi, Takuji; Shimura, Takayoshi; Watanabe, Heiji

    2018-01-01

    A simple and feasible method for fabricating high-quality and highly reliable GaN-based metal-oxide-semiconductor (MOS) devices was developed. The direct chemical vapor deposition of SiO2 films on GaN substrates forming Ga-oxide interlayers was carried out to fabricate SiO2/GaO x /GaN stacked structures. Although well-behaved hysteresis-free GaN-MOS capacitors with extremely low interface state densities below 1010 cm-2 eV-1 were obtained by postdeposition annealing, Ga diffusion into overlying SiO2 layers severely degraded the dielectric breakdown characteristics. However, this problem was found to be solved by rapid thermal processing, leading to the superior performance of the GaN-MOS devices in terms of interface quality, insulating property, and gate dielectric reliability.

  18. High-quality GaN epitaxially grown on Si substrate with serpentine channels

    NASA Astrophysics Data System (ADS)

    Wei, Tiantian; Zong, Hua; Jiang, Shengxiang; Yang, Yue; Liao, Hui; Xie, Yahong; Wang, Wenjie; Li, Junze; Tang, Jun; Hu, Xiaodong

    2018-06-01

    A novel serpentine-channeled mask was introduced to Si substrate for low-dislocation GaN epitaxial growth and the fully coalesced GaN film on the masked Si substrate was achieved for the first time. Compared with the epitaxial lateral overgrowth (ELOG) growth method, this innovative mask only requires one-step epitaxial growth of GaN which has only one high-dislocation region per mask opening. This new growth method can effectively reduce dislocation density, thus improving the quality of GaN significantly. High-quality GaN with low dislocation density ∼2.4 × 107 cm-2 was obtained, which accounted for about eighty percent of the GaN film in area. This innovative technique is promising for the growth of high-quality GaN templates and the subsequent fabrication of high-performance GaN-based devices like transistors, laser diodes (LDs), and light-emitting diodes (LEDs) on Si substrate.

  19. Very low temperature (450 °C) selective epitaxial growth of heavily in situ boron-doped SiGe layers

    NASA Astrophysics Data System (ADS)

    Aubin, J.; Hartmann, J. M.; Veillerot, M.; Essa, Z.; Sermage, B.

    2015-11-01

    We have investigated the feasibility of selectively growing SiGe:B layers at 450 °C, 20 Torr in a 300 mm industrial reduced pressure chemical vapor deposition tool. A reduced H2 carrier gas mass-flow has been used in order to have acceptable growth rates at such a temperature, which is very low indeed. We have first of all studied on blanket Si wafers the in situ boron doping of SiGe with Si2H6, GeH4 and B2H6. A growth rate increase by a factor close to 7 together with a Ge concentration decrease from 53% down to 32% occurred as the diborane mass-flow increased. Very high B+ ion concentrations were obtained in layers that were single crystalline and smooth. Their concentration increased almost linearly with the B2H6 mass-flow, from 1.8 up to 8.3 × 1020 cm-3. The associated resistivity dropped from 0.43 down to 0.26 mΩ cm. We have then tested whether or not selectivity versus SiO2 could be achieved by adding various amounts of HCl to Si2H6 + GeH4 +B2H6. Single crystalline growth rates of intrinsic SiGe(:B) on Si were very similar to poly-crystalline growth rates on SiO2-covered substrates irrespective of the HCl flow. Straightforward selectivity was thus not feasible with a co-flow approach. As a consequence, a 450 °C deposition/etch (DE) process was evaluated. Growth occurred at 20 Torr with the above-mentioned chemistry, while the selective etch of poly-SiGe:B versus c-SiGe:B was conducted at 740 Torr with a medium HCl mass-flow (F(HCl)/F(H2) = 0.2) and a high H2 flow. A 2.2 etch selectivity was achieved while retaining single crystalline if slightly rough SiGe:B layers.

  20. Photoluminescence Study of N-Type Thermal Conversion in Semi-Insulating GaAs.

    DTIC Science & Technology

    1982-12-01

    free electron to the crystal. For example, in GaAs, a tellurium atom on an arsenic site (TeAs) or a silicon atom on a gallium site (SiGa) are donor atoms...Photoconductivity Photoluminescenc Silicon, SiGa 5.81 6.80 Germanium, GeGa 6.08 Sulfur, SAs 6.10 Selenium, SeAs 5.89 6.10 Tellurium , TeAs When an electron...34 to the neutral donor or acceptor (Ref 16:15). The following excitonic com- plexes have been observed in GaAs: (i) exciton bound to a neutron donor at

  1. MOVPE growth of Ga(PBi) on GaP and GaP on Si with Bi fractions up to 8%

    NASA Astrophysics Data System (ADS)

    Nattermann, L.; Beyer, A.; Ludewig, P.; Hepp, T.; Sterzer, E.; Volz, K.

    2017-04-01

    Dilute bismide containing materials can play an important role in addressing the issue of finding new highly efficient lasers for telecommunications as well as sensing applications. In the last several years a growing body of literature has emerged, particularly on the growth of Ga(AsBi). However, the metal organic vapor phase epitaxy growth of Ga(AsBi) with high amounts of Bi, which are necessary to overcome Auger recombination and reach telecommunications wavelengths, still remains a challenge. Ga(PBi) could be a promising alternative, but has not been deposited with significant amounts of Bi so far. A second argument for Ga(PBi) is that it could be grown on GaP, which was already deposited on Si. A number of researchers have reported theoretical calculations on the band structure of Ga(PBi), but experimental results are still lacking. In this work we present the first Ga(PBi) structures, grown by metal organic vapor phase epitaxy on GaP and on GaP on Si. By careful characterization with high resolution X-ray diffraction, atomic force microscopy, secondary ion mass spectrometry and scanning transmission electron microscopy, we will show that we have realized high quality Ga(PBi) with Bi fractions over 8%.

  2. Towards Resonant-State THz Laser Based on Strained p-Ge and SiGe QW Structures

    DTIC Science & Technology

    2006-07-01

    used. The relaxed compositionally graded Si1-xGex/Si(001) buffer layer with low threading dislocations density have been grown by chemical vapour ...observe in absorption experiments. 5. Intracenter optical transitions between hydrogenic levels in doped silicon, germanium, and gallium arsenid [P...34, b. Critical magnetic field Hc vs valence band splitting Δ. Lines show the calculated Hc(Δ) dependence. 14. The gallium -doped Ge crystals with

  3. Self-ordering of a Ge island single layer induced by Si overgrowth.

    PubMed

    Capellini, G; De Seta, M; Evangelisti, F; Zinovyev, V A; Vastola, G; Montalenti, F; Miglio, Leo

    2006-03-17

    We provide a direct experimental proof and the related modeling of the role played by Si overgrowth in promoting the lateral ordering of Ge islands grown by chemical vapor deposition on Si(001). The deposition of silicon induces a shape transformation, from domes to truncated pyramids with a larger base, generating an array of closely spaced interacting islands. By modeling, we show that the resulting gradient in the chemical potential across the island should be the driving force for a selective flow of both Ge and Si atoms at the surface and, in turn, to a real motion of the dots, favoring the lateral order.

  4. Scattering mechanisms in shallow undoped Si/SiGe quantum wells

    DOE PAGES

    Laroche, Dominique; Huang, S. -H.; Nielsen, Erik; ...

    2015-10-07

    We report the magneto-transport study and scattering mechanism analysis of a series of increasingly shallow Si/SiGe quantum wells with depth ranging from ~ 100 nm to ~ 10 nm away from the heterostructure surface. The peak mobility increases with depth, suggesting that charge centers near the oxide/semiconductor interface are the dominant scattering source. The power-law exponent of the electron mobility versus density curve, μ ∝ n α, is extracted as a function of the depth of the Si quantum well. At intermediate densities, the power-law dependence is characterized by α ~ 2.3. At the highest achievable densities in the quantummore » wells buried at intermediate depth, an exponent α ~ 5 is observed. Lastly, we propose and show by simulations that this increase in the mobility dependence on the density can be explained by a non-equilibrium model where trapped electrons smooth out the potential landscape seen by the two-dimensional electron gas.« less

  5. The 76Ge(n,p)76Ga reaction and its relevance to searches for the neutrino-less double-beta decay of 76Ge

    NASA Astrophysics Data System (ADS)

    Tornow, W.; Bhike, Megha; Fallin, B.; Krishichayan, Fnu

    2015-10-01

    The 76Ge(n,p)76Ga reaction and the subsequent β decay of 76Ga to 76Ge has been used to excite the 3951.9 keV state of 76Ge, which decays by emission of a 2040.7 keV γ ray. Using HPGe detectors, the associated pulse-height signal may be undistinguishable from the potential signal produced in neutrino-less double-beta decay of 76Ge with its Q-value of 2039.0 keV. In the neutron energy range between 10 and 20 MeV the production cross section of the 2040.7 keV γ ray is approximately 0.1 mb. In the same experiment γ rays of energy 2037.9 keV resulting from the 76Ge(n, γ)77Ge reaction were clearly observed. Adding the 76Ge(n,n' γ)76Ge reaction, which also produces the 2040.7 keV γ ray with a cross section value of the order of 0.1 mb clearly shows that great care has to be taken to eliminate neutron-induced backgrounds in searches for neutrino-less double-beta decay of 76Ge. This work was supported by the U.S. DOE under Grant NO. DE-FG02-97ER41033.

  6. Integration of InGaAs MOSFETs and GaAs/ AlGaAs lasers on Si Substrate for advanced opto-electronic integrated circuits (OEICs).

    PubMed

    Kumar, Annie; Lee, Shuh-Ying; Yadav, Sachin; Tan, Kian Hua; Loke, Wan Khai; Dong, Yuan; Lee, Kwang Hong; Wicaksono, Satrio; Liang, Gengchiau; Yoon, Soon-Fatt; Antoniadis, Dimitri; Yeo, Yee-Chia; Gong, Xiao

    2017-12-11

    Lasers monolithically integrated with high speed MOSFETs on the silicon (Si) substrate could be a key to realize low cost, low power, and high speed opto-electronic integrated circuits (OEICs). In this paper, we report the monolithic integration of InGaAs channel transistors with electrically pumped GaAs/AlGaAs lasers on the Si substrate for future advanced OEICs. The laser and transistor layers were grown on the Si substrate by molecular beam epitaxy (MBE) using direct epitaxial growth. InGaAs n-FETs with an I ON /I OFF ratio of more than 10 6 with very low off-state leakage and a low subthreshold swing with a minimum of 82 mV/decade were realized. Electrically pumped GaAs/AlGaAs quantum well (QW) lasers with a lasing wavelength of 795 nm at room temperature were demonstrated. The overall fabrication process has a low thermal budget of no more than 400 °C.

  7. Hafnium silicate and hafnium silicon oxynitride gate dielectrics for strained Si_xGe_1-x: Interface stability

    NASA Astrophysics Data System (ADS)

    Addepalli, Swarna; Sivasubramani, Prasanna; El-Bouanani, Mohamed; Kim, Moon; Gnade, Bruce; Wallace, Robert

    2003-03-01

    Strained Si_xGe_1-x layers have gained considerable attention due to hole mobility enhancement, and ease of integration with Si-based CMOS technology. The deposition of stable high-κ dielectrics [1] such as hafnium silicate and hafnium silicon oxynitride in direct contact with SiGe would simultaneously improve the capacitance of the gate stack and lower the leakage current for high performance SiGe devices. However, the oxidation of the Si_xGe_1-x substrate either during dielectric deposition or post-deposition processing would degrade device performance due to the thermodynamic instability of germanium oxide [2,3]. Results from XPS, HR-TEM, and C-V, and I-V analyses after various annealing treatments will be presented for hafnium silicate and hafnium silicon oxynitride films deposited on strained Si_xGe_1-x(100), and correlated with dielectric-Si_xGe_1-x(100) interface stability. Implications to the introduction of these oxides as viable gate dielectric candidates for SiGe-based CMOS technology will be discussed. This work is supported by DARPA through SPAWAR Grant No. N66001-00-1-8928, and the Texas Advanced Technology Program. References: [1] G. D. Wilk, R. M. Wallace and J. M. Anthony, Journal of Applied Physics, 89, 5243 (2001) [2] W. S. Liu, J .S. Chen, M.-A. Nicolet, V. Arbet-Engels, K. L. Wang, Journal of Applied Physics, 72, 4444 (1992), and, Applied Physics Letters, 62, 3321 (1993) [3] W. S. Liu, M. -A. Nicolet, H. -H. Park, B. -H. Koak, J. -W. Lee, Journal of Applied Physics, 78, 2631 (1995)

  8. Photoactivated processes in optical fibers: generation and conversion mechanisms of twofold coordinated Si and Ge atoms

    NASA Astrophysics Data System (ADS)

    Giacomazzi, Luigi; Martin-Samos, L.; Boukenter, A.; Ouerdane, Y.; Girard, S.; Alessi, A.; de Gironcoli, S.; Richard, N.

    2017-05-01

    In this work we present an extensive investigation of nanoscale physical phenomena related to oxygen-deficient centers (ODCs) in silica and Ge-doped silica by means of first-principles calculations, including nudged-elastic band, electron paramagnetic resonance parameters calculations, and many-body perturbation theory (GW and Bethe-Salpeter equation) techniques. We show that by neutralizing positively charged oxygen monovacancies we can obtain model structures of twofold Si and Ge defects of which the calculated absorption spectra and singlet-to-triplet transitions are in excellent agreement with the experimental optical absorption and photo-luminescence data. In particular we provide an exhaustive analysis of the main exciton peaks related to the presence of twofold defects including long-range correlation effects. By calculating the reaction pathways and energy barriers necessary for the interconversion, we advance a double precursory origin of the {E}α \\prime and Ge(2) centers as due to the ionization of neutral oxygen monovacancies (Si-Si and Ge-Si dimers) and as due to the ionization of twofold Si and Ge defects. Furthermore two distinct structural conversion mechanisms are found to occur between the neutral oxygen monovacancy and the twofold Si (and Ge) atom configurations. Such conversion mechanisms allow to explain the radiation induced generation of the ODC(II) centers, their photobleaching, and also their generation during the drawing of optical fibers.

  9. Fundamental Properties and Devices Applications of Ge(x)Si(1-x)/Si Superlattices.

    DTIC Science & Technology

    1987-01-01

    prediction of resonances of intraband absorption (d) study of B203 as a low temperature p-type dopant. Initial stage of growth of Ge Si, /Si films were...rati, predicted has not been real- is gien by izedexpenmentally Discrepancie, are to be expected. hoss- = [aAi( -t ) bBi( -t )]exp(ik .p). (I ever, as...devices can result in high-frequency ampli- sumed to be the single barrier tunneling current. A time con- fication Tsu and Esaki’ had predicted NDR in

  10. GaN-on-Si blue/white LEDs: epitaxy, chip, and package

    NASA Astrophysics Data System (ADS)

    Qian, Sun; Wei, Yan; Meixin, Feng; Zengcheng, Li; Bo, Feng; Hanmin, Zhao; Hui, Yang

    2016-04-01

    The dream of epitaxially integrating III-nitride semiconductors on large diameter silicon is being fulfilled through the joint R&D efforts of academia and industry, which is driven by the great potential of GaN-on-silicon technology in improving the efficiency yet at a much reduced manufacturing cost for solid state lighting and power electronics. It is very challenging to grow high quality GaN on Si substrates because of the huge mismatch in the coefficient of thermal expansion (CTE) and the large mismatch in lattice constant between GaN and silicon, often causing a micro-crack network and a high density of threading dislocations (TDs) in the GaN film. Al-composition graded AlGaN/AlN buffer layers have been utilized to not only build up a compressive strain during the high temperature growth for compensating the tensile stress generated during the cool down, but also filter out the TDs to achieve crack-free high-quality n-GaN film on Si substrates, with an X-ray rocking curve linewidth below 300 arcsec for both (0002) and (101¯2) diffractions. Upon the GaN-on-Si templates, prior to the deposition of p-AlGaN and p-GaN layers, high quality InGaN/GaN multiple quantum wells (MQWs) are overgrown with well-engineered V-defects intentionally incorporated to shield the TDs as non-radiative recombination centers and to enhance the hole injection into the MQWs through the via-like structures. The as-grown GaN-on-Si LED wafers are processed into vertical structure thin film LED chips with a reflective p-electrode and the N-face surface roughened after the removal of the epitaxial Si(111) substrates, to enhance the light extraction efficiency. We have commercialized GaN-on-Si LEDs with an average efficacy of 150-160 lm/W for 1mm2 LED chips at an injection current of 350 mA, which have passed the 10000-h LM80 reliability test. The as-produced GaN-on-Si LEDs featured with a single-side uniform emission and a nearly Lambertian distribution can adopt the wafer-level phosphor

  11. High Power SiGe X-Band (8-10 GHz) Heterojunction Bipolar Transistors and Amplifiers

    NASA Technical Reports Server (NTRS)

    Ma, Zhenqiang; Jiang, Ningyue; Ponchak, George E.; Alterovitz, Samuel A.

    2005-01-01

    Limited by increased parasitics and thermal effects as the device size becomes large, current commercial SiGe power HBTs are difficult to operate at X-band (8-12 GHz) with adequate power added efficiencies at high power levels. We found that, by changing the heterostructure and doping profile of SiGe HBTs, their power gain can be significantly improved without resorting to substantial lateral scaling. Furthermore, employing a common-base configuration with proper doping profile instead of a common-emitter configuration improves the power gain characteristics of SiGe HBTs, which thus permits these devices to be efficiently operated at X-band. In this paper, we report the results of SiGe power HBTs and MMIC power amplifiers operating at 8-10 GHz. At 10 GHz, 22.5 dBm (178 mW) RF output power with concurrent gain of 7.32 dB is measured at the peak power-added efficiency of 20.0% and the maximum RF output power of 24.0 dBm (250 mW) is achieved from a 20 emitter finger SiGe power HBT. Demonstration of single-stage X-band medium-power linear MMIC power amplifier is also realized at 8 GHz. Employing a 10-emitter finger SiGe HBT and on-chip input and output matching passive components, a linear gain of 9.7 dB, a maximum output power of 23.4 dBm and peak power added efficiency of 16% is achieved from the power amplifier. The MMIC exhibits very low distortion with third order intermodulation (IM) suppression C/I of -13 dBc at output power of 21.2 dBm and over 20dBm third order output intercept point (OIP3).

  12. The formal combination of three singlet biradicaloid entities to a singlet hexaradicaloid metalloid Ge14[Si(SiMe3)3]5[Li(THF)2]3 cluster.

    PubMed

    Schenk, Christian; Kracke, Andreas; Fink, Karin; Kubas, Adam; Klopper, Wim; Neumaier, Marco; Schnöckel, Hansgeorg; Schnepf, Andreas

    2011-03-02

    The reaction of GeBr with LiSi(SiMe(3))(3) leads to the metalloid cluster compound [(THF)(2)Li](3)Ge(14)[Si(SiMe(3))(3)](5) (1). After the introduction of a first cluster of this type, in which 14 germanium atoms form an empty polyhedron, [(THF)(2)Li](3)Ge(14)[Ge(SiMe(3))(3)](5) (2), we present here further investigations on 1 to obtain preliminary insight into its chemical and bonding properties. The molecular structure of 1 is determined via X-ray crystal structure solution using synchrotron radiation. The electronic structure of the Ge(14) polyhedron is further examined by quantum chemical calculations, which indicate that three singlet biradicaloid entities formally combine to yield the singlet hexaradicaloid character of 1. Moreover, the initial reactions of 1 after elimination of the [Li(THF)(2)](+) groups by chelating ligands (e.g., TMEDA or 12-crown-4) are presented. Collision induced dissociation experiments in the gas phase, employing FT-ICR mass spectrometry, lead to the elimination of the singlet biradicaloid Ge(5)H(2)[Si(SiMe(3))(3)](2) cluster. The unique multiradicaloid bonding character of the metalloid cluster 1 might be used as a model for reactions and properties in the field of surface science and nanotechnology.

  13. The structure and stability of Si60 and Ge60 cages: a computational study.

    PubMed

    Chen, Zhongfang; Jiao, Haijun; Seifert, Gotthard; Horn, Anselm H C; Yu, Dengke; Clark, Tim; Thiel, Walter; von Ragué Schleyer, Paul

    2003-06-01

    Structural studies of fullerene-like Si(60) and Ge(60) cages using ab initio methods were augmented by density functional tight-binding molecular dynamics (DFTB-MD) simulations of finite temperature effects. Neither the perfect I(h) symmetry nor the distorted T(h) structures are true minima. The energies of both are high relative to distorted, lower symmetry minima, C(i) and T, respectively, which still preserve C(60)-type connectivity. Both Si(60) and Ge(60) favor C(i) symmetry cages in which Si and Ge vertexes exhibit either near-trigonal or pyramidal geometries. These structural variations imply significant reactivity differences between different positions. The small magnetic shielding effects (NICS) indicate that aromaticity is not important in these systems. The inorganic fullerene cages have lower stabilities compared with their carbon analogs. Si(60) is stable towards spontaneous disintegration up to 700 K according to DFTB-MD simulations, and thus has potential for experimental observation. In contrast, Ge(60) preserves its cage structure only up to 200 K. Copyright 2003 Wiley Periodicals, Inc. J Comput Chem 24: 948-953, 2003

  14. Germanium diffusion with vapor-phase GeAs and oxygen co-incorporation in GaAs

    NASA Astrophysics Data System (ADS)

    Wang, Wei-Fu; Cheng, Kai-Yuan; Hsieh, Kuang-Chien

    2018-01-01

    Vapor-phase germanium diffusion has been demonstrated in Zn-doped and semi-insulating GaAs in sealed ampoules with GeAs powders and excess arsenic. Secondary-ion-mass spectroscopy (SIMS) profiles indicate the presence of unintentional co-incorporation of oxygen in high densities (>1017/cm3) along with diffused germanium donors whose concentration (>>1018/cm3) determined by electro-chemical capacitance-voltage (ECV) profiler shows significant compensation near the surface. The source of oxygen mainly originates from the GeAs powder which contains Ge-O surface oxides. Variable-temperature photoluminescence (PL) shows that in GeAs-diffused samples, a broad peak ranging from 0.86-1.38 eV with the peak position around 1.1 eV predominates at low temperatures while the near band-edge luminescence quenches. The broad band is attributed to the GeGa-VGa self-activated (SA) centers possibly associated with nearby oxygen-related defect complex, and its luminescence persists up to 400 K. The configurational-coordinate modeling finds that the SA defect complex has a thermal activation energy of 150-180 meV and a vibrational energy 26.8 meV. The presence of oxygen does not much affect the SA emission intensity but may have influenced the peak position, vibration frequency and activation energy as compared to other common donor-VGa defects in GaAs.

  15. Ge(14)[Ge(SiMe(3))(3)](5)Li(3)(THF)(6): the largest metalloid cluster compound of germanium: on the way to fullerene-like compounds?

    PubMed

    Schenk, Christian; Schnepf, Andreas

    2008-10-14

    The reaction of GeBr with LiGe(SiMe(3))(3) yields the largest metalloid cluster compound of germanium Ge(14)[Ge(SiMe(3))(3)](5)Li(3)(THF)(6), in which 14 germanium atoms are arranged as a hollow sphere in the cluster core, showing that in the case of germanium also fullerene-like compounds might be present in the borderland between the molecular and solid states.

  16. Thermodynamic analysis of vapor-phase epitaxial growth of GaAsN on Ge

    NASA Astrophysics Data System (ADS)

    Kawano, Jun; Kangawa, Yoshihiro; Ito, Tomonori; Kakimoto, Koichi; Koukitu, Akinori

    2012-03-01

    In this paper, we use thermodynamic analysis to determine how the nitrogen (N) ratio in the source gases affects the solid composition of coherently grown GaAs1-xNx(x˜0.03). The source gases for Ga, As, and N are trimethylgallium ((CH3)3Ga), arsine (AsH3), and ammonia (NH3), respectively. The growth occurs on a Ge substrate, and the analysis includes the stress from the substrate-crystal lattice mismatch. Calculation results indicate that to have just a few percent N incorporation into the grown solid, the V/III ratio in the source gases should be several thousands and the input-gas partial-pressure ratio NH3/(NH3+AsH3) should exceed 0.99. We also find that the lattice mismatch stress from the Ge substrate increases the V/III source-gas ratio required for stable growth, whereas an increase in input Ga partial pressure ratio has the opposite effect.

  17. Nanoengineering of an Si/MnGe quantum dot superlattice for high Curie-temperature ferromagnetism.

    PubMed

    Nie, Tianxiao; Kou, Xufeng; Tang, Jianshi; Fan, Yabin; Lee, Shengwei; He, Qinglin; Chang, Li-Te; Murata, Koichi; Gen, Yin; Wang, Kang L

    2017-03-02

    The realization and application of spintronic devices would be dramatically advanced if room-temperature ferromagnetism could be integrated into semiconductor nanostructures, especially when compatible with mature silicon technology. Herein, we report the observation of such a system - an Si/MnGe superlattice with quantum dots well aligned in the vertical direction successfully grown by molecular beam epitaxy. Such a unique system could take full advantage of the type-II energy band structure of the Si/Ge heterostructure, which could trap the holes inside MnGe QDs, significantly enhancing the hole-mediated ferromagnetism. Magnetic measurements indeed found that the superlattice structure exhibited a Curie temperature of above 400 K. Furthermore, zero-field cooling and field cooling curves could confirm the absence of ferromagnetic compounds, such as Ge 8 Mn 11 (T c ∼ 270 K) and Ge 3 Mn 5 (T c ∼ 296 K) in our system. Magnetotransport measurement revealed a clear magnetoresistance transition from negative to positive and a pronounced anomalous Hall effect. Such a unique Si/MnGe superlattice sets a new stage for strengthening ferromagnetism due to the enhanced hole-mediation by quantum confinement, which can be exploited for realizing the room-temperature Ge-based spin field-effect transistors in the future.

  18. Three-stage nucleation and growth of Ge self-assembled quantum dots grown on partially relaxed SiGe buffer layers

    NASA Astrophysics Data System (ADS)

    Kim, H. J.; Zhao, Z. M.; Xie, Y. H.

    2003-11-01

    Three-stage nucleation and growth of Ge self-assembled quantum dots (SAQDs) on a relaxed SiGe buffer layer has been studied. Plastic relaxation of the SiGe buffer layer is associated with a network of buried 60° dislocations leading to an undulating strain field. As a result, the surface possesses three different types of sites for the nucleation and growth of Ge SAQDs: over the intersection of two perpendicular buried dislocations, over a single dislocation line, and in the region beyond one diffusion length away from any dislocation. Ge SAQDs are observed to nucleate exclusively over the dislocation intersections first, followed by over single dislocation lines, and finally in the region far away from dislocations. By increasing the Ge coverage at a slow rate, the prenucleation stage at the various sites is observed. It appears that the varying strain field has a significant effect on both the diffusion of Ge adatoms before SAQD nucleation, as well as the shape evolution of the SAQDs after they form. Moreover, two distinctly different self-assembly mechanisms are observed at different sites. There exist denuded zones free of Ge SAQDs adjacent to dislocation lines. The width of the denuded zone can be used to make direct determination of the Ge adatom diffusion lengths. The partially relaxed substrate provides a useful experimental vehicle for the in-depth understanding of the formation mechanism of SAQDs grown epitaxially in the Stranski-Krastanov growth mode.

  19. Rational design of monocrystalline (InP)(y)Ge(5-2y)/Ge/Si(100) semiconductors: synthesis and optical properties.

    PubMed

    Sims, Patrick E; Chizmeshya, Andrew V G; Jiang, Liying; Beeler, Richard T; Poweleit, Christian D; Gallagher, James; Smith, David J; Menéndez, José; Kouvetakis, John

    2013-08-21

    In this work, we extend our strategy previously developed to synthesize functional, crystalline Si(5-2y)(AlX)y {X = N,P,As} semiconductors to a new class of Ge-III-V hybrid compounds, leading to the creation of (InP)(y)Ge(5-2y) analogues. The compounds are grown directly on Ge-buffered Si(100) substrates using gas source MBE by tuning the interaction between Ge-based P(GeH3)3 precursors and In atoms to yield nanoscale "In-P-Ge3" building blocks, which then confer their molecular structure and composition to form the target solids via complete elimination of H2. The collateral production of reactive germylene (GeH2), via partial decomposition of P(GeH3)3, is achieved by simple adjustment of the deposition conditions, leading to controlled Ge enrichment of the solid product relative to the stoichiometric InPGe3 composition. High resolution XRD, XTEM, EDX, and RBS indicate that the resultant monocrystalline (InP)(y)Ge(5-2y) alloys with y = 0.3-0.7 are tetragonally strained and fully coherent with the substrate and possess a cubic diamond-like structure. Molecular and solid-state ab initio density functional theory (DFT) simulations support the viability of "In-P-Ge3" building-block assembly of the proposed crystal structures, which consist of a Ge parent crystal in which the P atoms form a third-nearest-neighbor sublattice and "In-P" dimers are oriented to exclude energetically unfavorable In-In bonding. The observed InP concentration dependence of the lattice constant is closely reproduced by DFT simulation of these model structures. Raman spectroscopy and ellipsometry are also consistent with the "In-P-Ge3" building-block interpretation of the crystal structure, while the observation of photoluminescence suggests that (InP)(y)Ge(5-2y) may have important optoelectronic applications.

  20. Anisotropic relaxation behavior of InGaAs/GaAs selectively grown in narrow trenches on (001) Si substrates

    NASA Astrophysics Data System (ADS)

    Guo, W.; Mols, Y.; Belz, J.; Beyer, A.; Volz, K.; Schulze, A.; Langer, R.; Kunert, B.

    2017-07-01

    Selective area growth of InGaAs inside highly confined trenches on a pre-patterned (001) Si substrate has the potential of achieving a high III-V crystal quality due to high aspect ratio trapping for improved device functionalities in Si microelectronics. If the trench width is in the range of the hetero-layer thickness, the relaxation mechanism of the mismatched III-V layer is no longer isotropic, which has a strong impact on the device fabrication and performance if not controlled well. The hetero-epitaxial nucleation of InxGa1-xAs on Si can be simplified by using a binary nucleation buffer such as GaAs. A pronounced anisotropy in strain release was observed for the growth of InxGa1-xAs on a fully relaxed GaAs buffer with a (001) surface inside 20 and 100 nm wide trenches, exploring the full composition range from GaAs to InAs. Perpendicular to the trench orientation (direction of high confinement), the strain release in InxGa1-xAs is very efficiently caused by elastic relaxation without defect formation, although a small compressive force is still induced by the trench side walls. In contrast, the strain release along the trenches is governed by plastic relaxation once the vertical film thickness has clearly exceeded the critical layer thickness. On the other hand, the monolithic deposition of mismatched InxGa1-xAs directly into a V-shaped trench bottom with {111} Si planes leads instantly to a pronounced nucleation of misfit dislocations along the {111} Si/III-V interfaces. In this case, elastic relaxation no longer plays a role as the strain release is ensured by plastic relaxation in both directions. Hence, using a ternary seed layer facilitates the integration of InxGa1-xAs covering the full composition range.

  1. Material gain engineering in GeSn/Ge quantum wells integrated with an Si platform

    NASA Astrophysics Data System (ADS)

    Mączko, H. S.; Kudrawiec, R.; Gladysiewicz, M.

    2016-09-01

    It is shown that compressively strained Ge1-xSnx/Ge quantum wells (QWs) grown on a Ge substrate with 0.1 ≤ x ≤ 0.2 and width of 8 nm ≤ d ≤ 14 nm are a very promising gain medium for lasers integrated with an Si platform. Such QWs are type-I QWs with a direct bandgap and positive transverse electric mode of material gain, i.e. the modal gain. The electronic band structure near the center of Brillouin zone has been calculated for various Ge1-xSnx/Ge QWs with use of the 8-band kp Hamiltonian. To calculate the material gain for these QWs, occupation of the L valley in Ge barriers has been taken into account. It is clearly shown that this occupation has a lot of influence on the material gain in the QWs with low Sn concentrations (Sn < 15%) and is less important for QWs with larger Sn concentration (Sn > 15%). However, for QWs with Sn > 20% the critical thickness of a GeSn layer deposited on a Ge substrate starts to play an important role. Reduction in the QW width shifts up the ground electron subband in the QW and increases occupation of the L valley in the barriers instead of the Γ valley in the QW region.

  2. Detection Of Gas-Phase Polymerization in SiH4 And GeH4

    NASA Technical Reports Server (NTRS)

    Shing, Yuh-Han; Perry, Joseph W.; Allevato, Camillo E.

    1990-01-01

    Inelastic scattering of laser light found to indicate onset of gas-phase polymerization in plasma-enhanced chemical-vapor deposition (PECVD) of photoconductive amorphous hydrogenated silicon/germanium alloy (a-SiGe:H) film. In PECVD process, film deposited from radio-frequency glow-discharge plasma of silane (SiH4) and germane (GeH4) diluted with hydrogen. Gas-phase polymerization undesirable because it causes formation of particulates and defective films.

  3. Wet cleaning and surface characterization of Si 1- xGe x virtual substrates after a CMP step

    NASA Astrophysics Data System (ADS)

    Abbadie, A.; Hartmann, J. M.; Besson, P.; Rouchon, D.; Martinez, E.; Holliger, P.; Di Nardo, C.; Campidelli, Y.; Billon, T.

    2008-08-01

    New reactants such as ozone dissolved in ultra-pure water have been widely used the last few years instead of the original Radio Corporation of America (RCA) cleaning (which is a combination of the Standard Cleaning 1 (SC1) and the Standard Cleaning 2 (SC2)). In a first part of the study (Microelectron. Eng. 83 (2006) 1986), we had quantified the efficiency of a new cleaning sequence (that calls upon HF and H 2O/O 3 solutions) on polished Si 1- xGe x virtual substrates ( x = 0.2-0.5). We are discussing here the surface morphology and wetability together with the oxide thickness and structure typically obtained after this so-called "DDC-SiGe" wet cleaning. Flat surface morphologies are found after cleaning whatever the Ge content (from 20 to 50%). Typical root mean square roughness is around 0.4 nm. We have used X-ray Photoelectron Spectroscopy to determine the characteristics of the surface termination after this "DDC-SiGe" cleaning. An oxide mainly composed of SiO 2 is formed, with a low fraction of Ge sub-oxide and GeO 2. The distribution of chemical species is not that different from the one obtained after the use of a SC1 cleaning. However, the chemical oxide formed is slightly thicker. Such a HF/O 3 cleaning leads, when used on thick Ge layers grown on Si, to the formation of a really thin Ge sub-oxide. Our oxidation model assumes a competition in O 3 solutions between the oxidation rates of Si and Ge atoms (faster for Si) and the dissolution of the Ge oxide formed in solution. This mechanism, which implies the formation of a slightly porous oxide, is different from the one seeming to occur in SC1-based solutions. Indeed, the addition of surfactant in a SC1 solution modifies the oxidation rate compared to standard SC1 or O 3-based solutions, suggesting a diffusion of reactants towards the interface between the SiGe and the oxide in formation, assisted by the reactions of species within the cleaning solutions.

  4. Selective epitaxial growth of Ge1-xSnx on Si by using metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Washizu, Tomoya; Ike, Shinichi; Inuzuka, Yuki; Takeuchi, Wakana; Nakatsuka, Osamu; Zaima, Shigeaki

    2017-06-01

    Selective epitaxial growth of Ge and Ge1-xSnx layers on Si substrates was performed by using metal-organic chemical vapor deposition (MOCVD) with precursors of tertiary-butyl-germane (t-BGe) and tri-butyl-vinyl-tin (TBVSn). We investigated the effects of growth temperature and total pressure during growth on the selectivity and the crystallinity of the Ge and Ge1-xSnx epitaxial layers. Under low total pressure growth conditions, the dominant mechanism of the selective growth of Ge epitaxial layers is the desorption of the Ge precursors. At a high total pressure case, it is needed to control the surface migration of precursors to realize the selectivity because the desorption of Ge precursors was suppressed. The selectivity of Ge growth was improved by diffusion of the Ge precursors on the SiO2 surfaces when patterned substrates were used at a high total pressure. The selective epitaxial growth of Ge1-xSnx layer was also realized using MOCVD. We found that the Sn precursors less likely to desorb from the SiO2 surfaces than the Ge precursors.

  5. Electronic structures of GeSi nanoislands grown on pit-patterned Si(001) substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ye, Han, E-mail: Dabombyh@aliyun.com; Yu, Zhongyuan

    2014-11-15

    Patterning pit on Si(001) substrate prior to Ge deposition is an important approach to achieve GeSi nanoislands with high ordering and size uniformity. In present work, the electronic structures of realistic uncapped pyramid, dome, barn and cupola nanoislands grown in (105) pits are systematically investigated by solving Schrödinger equation for heavy-hole, which resorts to inhomogeneous strain distribution and nonlinear composition-dependent band parameters. Uniform, partitioned and equilibrium composition profile (CP) in nanoisland and inverted pyramid structure are simulated separately. We demonstrate the huge impact of composition profile on localization of heavy-hole: wave function of ground state is confined near pit facetsmore » for uniform CP, at bottom of nanoisland for partitioned CP and at top of nanoisland for equilibrium CP. Moreover, such localization is gradually compromised by the size effect as pit filling ratio or pit size decreases. The results pave the fundamental guideline of designing nanoislands on pit-patterned substrates for desired applications.« less

  6. Physical properties and spin excitations in the lacunar spinels AV4S8(A =Ga, Ge)

    NASA Astrophysics Data System (ADS)

    Pokharel, Ganesh; Christianson, Andrew; Mandrus, David; Liusuo Wu Team; Mark Lumsden Collaboration; Rupam Mukherjee Collaboration; Matthew Stone Collaboration; Georg Ehlers Collaboration

    In the lacunar spinels AV4S8 (A = Ga, Ge), the interplay of spin, charge, and orbital degrees of freedom results in a complex phase diagram which includes: ferroelectric, orbitally ordered, and Néel type skyrmion phases. Below 12.7 K GaV4S8 exhibits cycloidal and ferromagnetic order and the application of a magnetic field results in a Néel type skyrmion spin structure. On the other hand, GeV4S8 orders antiferromagentically below 18 K. To illuminate the underlying physics driving the formation of these novel phases, we have measured the magnetization, resistivity, thermal conductivity, and inelastic neutron scattering spectrum of these spinels. The inelastic neutron scattering data shows broadened spin excitations which extend to 6 meV within the magnetically order phases for both GaV4S8 and GeV4S8. The similarity of the spectra for ferromagnetic GaV4S8 and antiferromagnetic GeV4S8 reflects the close balance of ferromagnetic and antiferromagnetic interactions in these materials. This research is funded by the Gordon and Betty Moore Foundation's EPIQS Initiative through Grant GBMF4416.

  7. Strain-induced formation of fourfold symmetric SiGe quantum dot molecules.

    PubMed

    Zinovyev, V A; Dvurechenskii, A V; Kuchinskaya, P A; Armbrister, V A

    2013-12-27

    The strain field distribution at the surface of a multilayer structure with disklike SiGe nanomounds formed by heteroepitaxy is exploited to arrange the symmetric quantum dot molecules typically consisting of four elongated quantum dots ordered along the [010] and [100] directions. The morphological transition from fourfold quantum dot molecules to continuous fortresslike quantum rings with an increasing amount of deposited Ge is revealed. We examine key mechanisms underlying the formation of lateral quantum dot molecules by using scanning tunneling microscopy and numerical calculations of the strain energy distribution on the top of disklike SiGe nanomounds. Experimental data are well described by a simple thermodynamic model based on the accurate evaluation of the strain dependent part of the surface chemical potential. The spatial arrangement of quantum dots inside molecules is attributed to the effect of elastic property anisotropy.

  8. SiNOI and AlGaAs-on-SOI nonlinear circuits for continuum generation in Si photonics

    NASA Astrophysics Data System (ADS)

    El Dirani, Houssein; Monat, Christelle; Brision, Stéphane; Olivier, Nicolas; Jany, Christophe; Letartre, Xavier; Pu, Minhao; Girouard, Peter D.; Hagedorn Frandsen, Lars; Semenova, Elizaveta; Katsuo Oxenløwe, Leif; Yvind, Kresten; Sciancalepore, Corrado

    2018-02-01

    In this communication, we report on the design, fabrication, and testing of Silicon Nitride on Insulator (SiNOI) and Aluminum-Gallium-Arsenide (AlGaAs) on silicon-on-insulator (SOI) nonlinear photonic circuits for continuum generation in Silicon (Si) photonics. As recently demonstrated, the generation of frequency continua and supercontinua can be used to overcome the intrinsic limitations of nowadays silicon photonics notably concerning the heterogeneous integration of III-V on SOI lasers for datacom and telecom applications. By using the Kerr nonlinearity of monolithic silicon nitride and heterointegrated GaAs-based alloys on SOI, the generation of tens or even hundreds of new optical frequencies can be obtained in dispersion tailored waveguides, thus providing an all-optical alternative to the heterointegration of hundreds of standalone III-V on Si lasers. In our work, we present paths to energy-efficient continua generation on silicon photonics circuits. Notably, we demonstrate spectral broadening covering the full C-band via Kerrbased self-phase modulation in SiNOI nanowires featuring full process compatibility with Si photonic devices. Moreover, AlGaAs waveguides are heterointegrated on SOI in order to dramatically reduce (x1/10) thresholds in optical parametric oscillation and in the power required for supercontinuum generation under pulsed pumping. The manufacturing techniques allowing the monolithic co-integration of nonlinear functionalities on existing CMOS-compatible Si photonics for both active and passive components will be shown. Experimental evidence based on self-phase modulation show SiNOI and AlGaAs nanowires capable of generating wide-spanning frequency continua in the C-Band. This will pave the way for low-threshold power-efficient Kerr-based comb- and continuum- sources featuring compatibility with Si photonic integrated circuits (Si-PICs).

  9. Facet-selective nucleation and conformal epitaxy of Ge shells on Si nanowires

    DOE PAGES

    Nguyen, Binh -Minh; Swartzentruber, Brian; Ro, Yun Goo; ...

    2015-10-08

    Knowledge of nanoscale heteroepitaxy is continually evolving as advances in material synthesis reveal new mechanisms that have not been theoretically predicted and are different than what is known about planar structures. In addition to a wide range of potential applications, core/shell nanowire structures offer a useful template to investigate heteroepitaxy at the atomistic scale. We show that the growth of a Ge shell on a Si core can be tuned from the theoretically predicted island growth mode to a conformal, crystalline, and smooth shell by careful adjustment of growth parameters in a narrow growth window that has not been exploredmore » before. In the latter growth mode, Ge adatoms preferentially nucleate islands on the {113} facets of the Si core, which outgrow over the {220} facets. Islands on the low-energy {111} facets appear to have a nucleation delay compared to the {113} islands; however, they eventually coalesce to form a crystalline conformal shell. As a result, synthesis of epitaxial and conformal Si/Ge/Si core/multishell structures enables us to fabricate unique cylindrical ring nanowire field-effect transistors, which we demonstrate to have steeper on/off characteristics than conventional core/shell nanowire transistors.« less

  10. Ag-NP@Ge-nanotaper/Si-micropillar ordered arrays as ultrasensitive and uniform surface enhanced Raman scattering substrates

    NASA Astrophysics Data System (ADS)

    Liu, Jing; Meng, Guowen; Li, Zhongbo; Huang, Zhulin; Li, Xiangdong

    2015-10-01

    Surface-enhanced Raman scattering (SERS) is considered to be an excellent candidate for analytical detection schemes, because of its molecular specificity, rapid response and high sensitivity. Here, SERS-substrates of Ag-nanoparticle (Ag-NP) decorated Ge-nanotapers grafted on hexagonally ordered Si-micropillar (denoted as Ag-NP@Ge-nanotaper/Si-micropillar) arrays are fabricated via a combinatorial process of two-step etching to achieve hexagonal Si-micropillar arrays, chemical vapor deposition of flocky Ge-nanotapers on each Si-micropillar and decoration of Ag-NPs onto the Ge-nanotapers through galvanic displacement. With high density three-dimensional (3D) ``hot spots'' created from the large quantities of the neighboring Ag-NPs and large-scale uniform morphology, the hierarchical Ag-NP@Ge-nanotaper/Si-micropillar arrays exhibit strong and reproducible SERS activity. Using our hierarchical 3D SERS-substrates, both methyl parathion (a commonly used pesticide) and PCB-2 (one congener of highly toxic polychlorinated biphenyls) with concentrations down to 10-7 M and 10-5 M have been detected respectively, showing great potential in SERS-based rapid trace-level detection of toxic organic pollutants in the environment.Surface-enhanced Raman scattering (SERS) is considered to be an excellent candidate for analytical detection schemes, because of its molecular specificity, rapid response and high sensitivity. Here, SERS-substrates of Ag-nanoparticle (Ag-NP) decorated Ge-nanotapers grafted on hexagonally ordered Si-micropillar (denoted as Ag-NP@Ge-nanotaper/Si-micropillar) arrays are fabricated via a combinatorial process of two-step etching to achieve hexagonal Si-micropillar arrays, chemical vapor deposition of flocky Ge-nanotapers on each Si-micropillar and decoration of Ag-NPs onto the Ge-nanotapers through galvanic displacement. With high density three-dimensional (3D) ``hot spots'' created from the large quantities of the neighboring Ag-NPs and large-scale uniform

  11. Gate fidelity and coherence of an electron spin in an Si/SiGe quantum dot with micromagnet

    DOE PAGES

    Kawakami, Erika; Jullien, Thibaut; Scarlino, Pasquale; ...

    2016-10-03

    The gate fidelity and the coherence time of a quantum bit (qubit) are important benchmarks for quantum computation. We construct a qubit using a single electron spin in an Si/SiGe quantum dot and control it electrically via an artificial spin-orbit field from a micromagnet. We measure an average single-qubit gate fidelity of ~99% using randomized benchmarking, which is consistent with dephasing from the slowly evolving nuclear spins in the substrate. The coherence time measured using dynamical decoupling extends up to ~400 μs for 128 decoupling pulses, with no sign of saturation. We find evidence that the coherence time is limitedmore » by noise in the 10-kHz to 1-MHz range, possibly because charge noise affects the spin via the micromagnet gradient. Furthermore, this work shows that an electron spin in an Si/SiGe quantum dot is a good candidate for quantum information processing as well as for a quantum memory, even without isotopic purification.« less

  12. Gate fidelity and coherence of an electron spin in an Si/SiGe quantum dot with micromagnet

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kawakami, Erika; Jullien, Thibaut; Scarlino, Pasquale

    The gate fidelity and the coherence time of a quantum bit (qubit) are important benchmarks for quantum computation. We construct a qubit using a single electron spin in an Si/SiGe quantum dot and control it electrically via an artificial spin-orbit field from a micromagnet. We measure an average single-qubit gate fidelity of ~99% using randomized benchmarking, which is consistent with dephasing from the slowly evolving nuclear spins in the substrate. The coherence time measured using dynamical decoupling extends up to ~400 μs for 128 decoupling pulses, with no sign of saturation. We find evidence that the coherence time is limitedmore » by noise in the 10-kHz to 1-MHz range, possibly because charge noise affects the spin via the micromagnet gradient. Furthermore, this work shows that an electron spin in an Si/SiGe quantum dot is a good candidate for quantum information processing as well as for a quantum memory, even without isotopic purification.« less

  13. Role of surface energy on the morphology and optical properties of GaP micro & nano structures grown on polar and non-polar substrates

    NASA Astrophysics Data System (ADS)

    Roychowdhury, R.; Kumar, Shailendra; Wadikar, A.; Mukherjee, C.; Rajiv, K.; Sharma, T. K.; Dixit, V. K.

    2017-10-01

    Role of surface energy on the morphology, crystalline quality, electronic structure and optical properties of GaP layer grown on Si (001), Si (111), Ge (111) and GaAs (001) is investigated. GaP layers are grown on four different substrates under identical growth kinetics by metal organic vapour phase epitaxy. The atomic force microscopy images show that GaP layer completely covers the surface of GaAs substrate. On the other hand, the surfaces of Si (001), Si (111), Ge (111) substrates are partially covered with crystallographically morphed GaP island type micro and nano-structures. Origin of these crystallographically morphed GaP island is explained by the theoretical calculation of surface energy of the layer and corresponding substrates respectively. The nature of GaP island type micro and nano-structures and layers are single crystalline with existence of rotational twins on Si and Ge (111) substrates which is confirmed by the phi, omega and omega/2theta scans of high resolution x-ray diffraction. The electronic valence band offsets between the GaP and substrates have been determined from the valence band spectra of ultraviolet photoelectron spectroscopy. The valence electron plasmon of GaP are investigated by studying the energy values of Ga (3d) core level along with loss peaks in the energy dependent photoelectron spectra. The peak observed within the range of 3-6 eV from the Ga (3d) core level in the photoelectron spectra are associated to inter band transitions as their energy values are estimated from the pseudo dielectric function by the spectroscopic ellipsometry.

  14. Structures and stability of metal-doped Ge{sub n}M (n = 9, 10) clusters

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Qin, Wei, E-mail: qinw@qdu.edu.cn; Xia, Lin-Hua; Zhao, Li-Zhen

    The lowest-energy structures of neutral and cationic Ge{sub n}M (n = 9, 10; M = Si, Li, Mg, Al, Fe, Mn, Pb, Au, Ag, Yb, Pm and Dy) clusters were studied by genetic algorithm (GA) and first-principles calculations. The calculation results show that doping of the metal atoms and Si into Ge{sub 9} and Ge{sub 10} clusters is energetically favorable. Most of the metal-doped Ge cluster structures can be viewed as adding or substituting metal atom on the surface of the corresponding ground-state Ge{sub n} clusters. However, the neutral and cationic FeGe{sub 9,10},MnGe{sub 9,10} and Ge{sub 10}Al are cage-like withmore » the metal atom encapsulated inside. Such cage-like transition metal doped Ge{sub n} clusters are shown to have higher adsorption energy and thermal stability. Our calculation results suggest that Ge{sub 9,10}Fe and Ge{sub 9}Si would be used as building blocks in cluster-assembled nanomaterials because of their high stabilities.« less

  15. Modified Stranski-Krastanov growth in Ge/Si heterostructures via nanostenciled pulsed laser deposition.

    PubMed

    MacLeod, J M; Cojocaru, C V; Ratto, F; Harnagea, C; Bernardi, A; Alonso, M I; Rosei, F

    2012-02-17

    The combination of nanostenciling with pulsed laser deposition (PLD) provides a flexible, fast approach for patterning the growth of Ge on Si. Within each stencilled site, the morphological evolution of the Ge structures with deposition follows a modified Stranski-Krastanov (SK) growth mode. By systematically varying the PLD parameters (laser repetition rate and number of pulses) on two different substrate orientations (111 and 100), we have observed corresponding changes in growth morphology, strain and elemental composition using scanning electron microscopy, atomic force microscopy and μ-Raman spectroscopy. The growth behaviour is well predicted within a classical SK scheme, although the Si(100) growth exhibits significant relaxation and ripening with increasing coverage. Other novel aspects of the growth include the increased thickness of the wetting layer and the kinetic control of Si/Ge intermixing via the PLD repetition rate.

  16. Mid-infrared intersubband absorption from p-Ge quantum wells grown on Si substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gallacher, K.; Millar, R. W.; Paul, D. J., E-mail: Douglas.Paul@glasgow.ac.uk

    2016-02-29

    Mid-infrared intersubband absorption from p-Ge quantum wells with Si{sub 0.5}Ge{sub 0.5} barriers grown on a Si substrate is demonstrated from 6 to 9 μm wavelength at room temperature and can be tuned by adjusting the quantum well thickness. Fourier transform infra-red transmission and photoluminescence measurements demonstrate clear absorption peaks corresponding to intersubband transitions among confined hole states. The work indicates an approach that will allow quantum well intersubband photodetectors to be realized on Si substrates in the important atmospheric transmission window of 8–13 μm.

  17. Stressed Ge:Ga photoconductors for space-based astronomy. (Is there life beyond 120 micron)

    NASA Technical Reports Server (NTRS)

    Beeman, J. W.; Haller, E. E.; Hansen, W. L.; Luke, P. N.; Richards, P. L.

    1989-01-01

    Information is given in viewgraph form. Information is given on the characteristics of stressed Ge:Ga, a spring type stress cavity, mounting hardware, materials parameters affecting dark current, and the behavior of low dark current stressed Ge:Ga. It is concluded that detectors exist today for background-limited detection at 200 microns, that researchers are narrowing in on the significant parameters that effect dark current in stressed photoconductors, that these findings may be applied to other photoconductor materials, and that some creative problem solving for an ionizing effect reset mechanism is needed.

  18. Self-limited growth of Si on B atomic-layer formed Ge(1 0 0) by ultraclean low-pressure CVD system

    NASA Astrophysics Data System (ADS)

    Yokogawa, Takashi; Ishibashi, Kiyohisa; Sakuraba, Masao; Murota, Junichi; Inokuchi, Yasuhiro; Kunii, Yasuo; Kurokawa, Harushige

    2008-07-01

    Utilizing BCl 3 reaction on Ge(1 0 0) and subsequent Si epitaxial growth by SiH 4 reaction at 300 °C, B atomic-layer doping in Si/Ge(1 0 0) heterostructure was investigated. Cl atoms on the B atomic-layer formed Ge(1 0 0) scarcely affect upon the SiH 4 reaction. It is also found that Si atom amount deposited by SiH 4 reaction on Ge(1 0 0) is effectively enhanced by the existence of B atomic layer and the deposition rate tends to decrease at around 2-3 atomic layers which is three times larger than that in the case without B. The results of angle-resolved X-ray photoelectron spectroscopy show that most B atoms are incorporated at the heterointerface between the Si and Ge.

  19. Simultaneous ultra-long data retention and low power based on Ge10Sb90/SiO2 multilayer thin films

    NASA Astrophysics Data System (ADS)

    You, Haipeng; Hu, Yifeng; Zhu, Xiaoqin; Zou, Hua; Song, Sannian; Song, Zhitang

    2018-02-01

    In this article, Ge10Sb90/SiO2 multilayer thin films were prepared to improve thermal stability and data retention for phase change memory. Compared with Ge10Sb90 monolayer thin film, Ge10Sb90 (1 nm)/SiO2 (9 nm) multilayer thin film had higher crystallization temperature and resistance contrast between amorphous and crystalline states. Annealed Ge10Sb90 (1 nm)/SiO2 (9 nm) had uniform grain with the size of 15.71 nm. After annealing, the root-mean-square surface roughness for Ge10Sb90 (1 nm)/SiO2 (9 nm) thin film increased slightly from 0.45 to 0.53 nm. The amorphization time for Ge10Sb90 (1 nm)/SiO2 (9 nm) thin film (2.29 ns) is shorter than Ge2Sb2Te5 (3.56 ns). The threshold voltage of a cell based on Ge10Sb90 (1 nm)/SiO2 (9 nm) (3.57 V) was smaller than GST (4.18 V). The results indicated that Ge10Sb90/SiO2 was a promising phase change thin film with high thermal ability and low power consumption for phase change memory application.

  20. Application of high-quality SiO2 grown by multipolar ECR source to Si/SiGe MISFET

    NASA Technical Reports Server (NTRS)

    Sung, K. T.; Li, W. Q.; Li, S. H.; Pang, S. W.; Bhattacharya, P. K.

    1993-01-01

    A 5 nm-thick SiO2 gate was grown on an Si(p+)/Si(0.8)Ge(0.2) modulation-doped heterostructure at 26 C with an oxygen plasma generated by a multipolar electron cyclotron resonance source. The ultrathin oxide has breakdown field above 12 MV/cm and fixed charge density about 3 x 10 exp 10/sq cm. Leakage current as low as 1/micro-A was obtained with the gate biased at 4 V. The MISFET with 0.25 x 25 sq m gate shows maximum drain current of 41.6 mA/mm and peak transconductance of 21 mS/mm.

  1. Si/SiGe quadruple quantum dots with direct barrier gates

    NASA Astrophysics Data System (ADS)

    Ward, Daniel; Gamble, John; Foote, Ryan; Savage, Donald; Lagally, Max; Coppersmith, Susan; Eriksson, Mark

    2014-03-01

    We have fabricated a quadruple quantum dot in a Si/SiGe heterostructure with the aim of demonstrating a two-qubit quantum gate. This device makes use of direct barrier gates, in which individual gates are placed directly over the quantum dots and tunnel barriers. This design enables rational control of both energies and tunnel rates in coupled quantum dots. In this talk we discuss the design, fabrication, and initial characterization of the device. This work was supported in part by ARO (W911NF-12-0607), NSF (DMR-1206915), and the United States Department of Defense. The views and conclusions contained in this document are those of the authors and should not be interpreted as representing the official policies, either expressly or implied, of the US Government.

  2. Stress in (Al, Ga)N heterostructures grown on 6H-SiC and Si substrates byplasma-assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Koshelev, O. A.; Nechaev, D. V.; Sitnikova, A. A.; Ratnikov, V. V.; Ivanov, S. V.; Jmerik, V. N.

    2017-11-01

    The paper describes experimental results on low temperature plasma-assisted molecular beam epitaxy of GaN/AlN heterostructures on both 6H-SiC and Si(111) substrates. We demonstrate that application of migration enhanced epitaxy and metal-modulated epitaxy for growth of AlN nucleation and buffer layers lowers the screw and edge(total)threading dislocation (TD) densities down to 1.7·108 and 2·109 cm-2, respectively, in a 2.8-μm-thick GaN buffer layer grown atop of AlN/6H-SiC. The screw and total TD densities of 1.2·109 and 7.4·109 cm-2, respectively, were achieved in a 1-μm-thickGaN/AlNheterostructure on Si(111). Stress generation and relaxation in GaN/AlN heterostructures were investigated by using multi-beam optical stress sensor (MOSS) to achieve zero substrate curvature at room temperature. It is demonstrated that a 1-μm-thick GaN/AlN buffer layer grown by PA MBE provides planar substrate morphology in the case of growth on Si substrates whereas 5-μm-thick GaN buffer layers have to be used to achieve the same when growing on 6H-SiC substrates.

  3. Si/Ge elatform for lasers, amplifiers, and nonlinear optical devices based on the Raman Effect

    NASA Astrophysics Data System (ADS)

    Claps, Ricardo; Dimitropoulos, Dimitrios; Raghunathan, Varun; Fathpour, Sasan; Jalali, Bahram; Jusserand, Bernard

    2007-02-01

    The use of a silicon-germanium platform for the development of optically active devices will be discussed in this paper, from the perspective of Raman and Brillouin scattering phenomena. Silicon-Germanium is becoming a prevalent technology for the development of high speed CMOS transistors, with advances in several key parameters as high carrier mobility, low cost, and reduced manufacturing logistics. Traditionally, Si-Ge structures have been used in the optoelectronics arena as photodetectors, due to the enhanced absorption of Ge in the telecommunications band. Recent developments in Raman-based nonlinearities for devices based on a silicon-on-insulator platform have shed light on the possibility of using these effects in Si-Ge architectures. Lasing and amplification have been demonstrated using a SiGe alloy structure, and Brillouin/Raman activity from acoustic phonon modes in SiGe superlattices has been predicted. Moreover, new Raman-active branches and inhomogeneously broadened spectra result from optical phonon modes, offering new perspectives for optical device applications. The possibilities for an electrically-pumped Raman laser will be outlined, and the potential for design and development of silicon-based, Tera-Hertz wave emitters and/or receivers.

  4. Effect of strained Ge-based NMOSFETs with Ge0.93Si0.07 stressors on device layout

    NASA Astrophysics Data System (ADS)

    Hsu, Hung-Wen; Lee, Chang-Chun

    2017-12-01

    This research proposes a germanium (Ge)-based n-channel MOSFET with Ge0.93Si0.07 S/D stressor. A simulation technique is utilized to understand the layout effect of shallow trench isolation (STI) length, gate width, dummy active of diffusion (OD) length, and extended poly width on stress distribution in a channel region. Stress distribution in a channel region was simulated by ANSYS software based on finite element analysis. Furthermore, carrier mobility gain was evaluated by a second-order piezoresistance model. The piezoresistance coefficient of Ge nMOSFET varies from that of Si nMOSFET. The piezoresistance coefficient shows that longitudinal and transverse stresses are the dominant factors affecting the change in electron mobility in the channel region. For Ge-based nMOSFET, longitudinal stress tends to be tensile, whereas transverse stress tends to be compressive. Stress along channel length becomes more tensile when STI length decreases. By contrast, stress along the channel width becomes more compressive when gate width or extended poly width decreases. Electron mobility in Ge-based nMOSFET could be enhanced under the aforementioned conditions. The enhanced electron mobility becomes more significant as the device combines with a contact etching stop layer stressor. Moreover, the mobility can be improved by changing the STI length, gate width, dummy OD length, or extended poly width. This investigation systematically analyzed the relationship between layout factor and stress distribution.

  5. Undoped Si/SiGe Depletion-Mode Few-Electron Double Quantum Dots

    NASA Astrophysics Data System (ADS)

    Borselli, Matthew; Huang, Biqin; Ross, Richard; Croke, Edward; Holabird, Kevin; Hazard, Thomas; Watson, Christopher; Kiselev, Andrey; Deelman, Peter; Alvarado-Rodriguez, Ivan; Schmitz, Adele; Sokolich, Marko; Gyure, Mark; Hunter, Andrew

    2011-03-01

    We have successfully formed a double quantum dot in the sSi/SiGe material system without need for intentional dopants. In our design, a two-dimensional electron gas is formed in a strained silicon well by forward biasing a global gate. Lateral definition of quantum dots is established with reverse-biased gates with ~ 40 nm critical dimensions. Low-temperature capacitance and Hall measurements confirm electrons are confined in the Si-well with mobilities > 10 4 cm 2 / V - s . Further characterization identifies practical gate bias limits for this design and will be compared to simulation. Several double dot devices have been brought into the few-electron Coulomb blockade regime as measured by through-dot transport. Honeycomb diagrams and nonlinear through-dot transport measurements are used to quantify dot capacitances and addition energies of several meV. Sponsored by United States Department of Defense. Approved for Public Release, Distribution Unlimited.

  6. Strain Relaxation in Si{sub 1-x}Ge{sub x} Thin Films on Si(100) Substrates: Modeling and Comparisons with Experiments

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kolluri, K; Zepeda-Ruiz, L A; Murthy, C S

    2005-03-22

    Strained semiconductor thin films grown epitaxially on semiconductor substrates of different composition, such as Si{sub 1-x}Ge{sub x}/Si, are becoming increasingly important in modern microelectronic technologies. In this paper, we report a hierarchical computational approach for analysis of dislocation formation, glide motion, multiplication, and annihilation in Si{sub 1-x}Ge{sub x} epitaxial thin films on Si substrates. Specifically, a condition is developed for determining the critical film thickness with respect to misfit dislocation generation as a function of overall film composition, film compositional grading, and (compliant) substrate thickness. In addition, the kinetics of strain relaxation in the epitaxial film during growth or thermalmore » annealing (including post-implantation annealing) is analyzed using a properly parameterized dislocation mean-field theoretical model, which describes plastic deformation dynamics due to threading dislocation propagation. The theoretical results for Si{sub 1-x}Ge{sub x} epitaxial thin films grown on Si (100) substrates are compared with experimental measurements and are used to discuss film growth and thermal processing protocols toward optimizing the mechanical response of the epitaxial film.« less

  7. Enhancement of thermoelectric characteristics in AlGaN/GaN films deposited on inverted pyramidal Si surfaces

    NASA Astrophysics Data System (ADS)

    Yalamarthy, Ananth Saran; So, Hongyun; Senesky, Debbie G.

    2017-07-01

    In this letter, we demonstrate an engineering strategy to boost thermoelectric power factor via geometry-induced properties of the pyramid structure. Aluminum gallium nitride (AlGaN)/GaN heterostructured films grown on inverted pyramidal silicon (Si) demonstrate higher power factor as compared to those grown on conventional flat Si substrates. We found that the magnitude of the Seebeck coefficient at room temperature increased from approximately 297 μVK-1 for the flat film to approximately 849 μVK-1 for the film on inverted pyramidal Si. In addition, the "effective" electrical conductivity of the AlGaN/GaN on the inverted pyramidal structure increased compared to the flat structure, generating an enhancement of thermoelectric power factor. The results demonstrate how manipulation of geometry can be used to achieve better thermoelectric characteristics in a manner that could be scaled to a variety of different material platforms.

  8. Hot Carrier Dynamics in the X Valley in Si and Ge Measured by Pump-IR-Probe Absorption Spectroscopy

    NASA Technical Reports Server (NTRS)

    Wang, W. B.; Cavicchia, M. A.; Alfano, R. R.

    1996-01-01

    Si is the semiconductor of choice for nanoelectronic roadmap into the next century for computer and other nanodevices. With growing interest in Si, Ge, and Si(sub m)Ge(sub n) strained superlattices, knowledge of the carrier relaxation processes in these materials and structures has become increasingly important. The limited time resolution for earlier studies of carrier dynamics in Ge and Si, performed using Nd:glass lasers, was not sufficient to observe the fast cooling processes. In this paper, we present a direct measurement of hot carrier dynamics in the satellite X valley in Si and Ge by time-resolved infrared(IR) absorption spectroscopy, and show the potential of our technique to identify whether the X valley is the lowest conduction valley in semiconductor materials and structures.

  9. Thermal transport in Si and Ge nanostructures in the `confinement' regime

    NASA Astrophysics Data System (ADS)

    Kwon, Soonshin; Wingert, Matthew C.; Zheng, Jianlin; Xiang, Jie; Chen, Renkun

    2016-07-01

    Reducing semiconductor materials to sizes comparable to the characteristic lengths of phonons, such as the mean-free-path (MFP) and wavelength, has unveiled new physical phenomena and engineering capabilities for thermal energy management and conversion systems. These developments have been enabled by the increasing sophistication of chemical synthesis, microfabrication, and atomistic simulation techniques to understand the underlying mechanisms of phonon transport. Modifying thermal properties by scaling physical size is particularly effective for materials which have large phonon MFPs, such as crystalline Si and Ge. Through nanostructuring, materials that are traditionally good thermal conductors can become good candidates for applications requiring thermal insulation such as thermoelectrics. Precise understanding of nanoscale thermal transport in Si and Ge, the leading materials of the modern semiconductor industry, is increasingly important due to more stringent thermal conditions imposed by ever-increasing complexity and miniaturization of devices. Therefore this Minireview focuses on the recent theoretical and experimental developments related to reduced length effects on thermal transport of Si and Ge with varying size from hundreds to sub-10 nm ranges. Three thermal transport regimes - bulk-like, Casimir, and confinement - are emphasized to describe different governing mechanisms at corresponding length scales.

  10. Thermal transport in Si and Ge nanostructures in the 'confinement' regime.

    PubMed

    Kwon, Soonshin; Wingert, Matthew C; Zheng, Jianlin; Xiang, Jie; Chen, Renkun

    2016-07-21

    Reducing semiconductor materials to sizes comparable to the characteristic lengths of phonons, such as the mean-free-path (MFP) and wavelength, has unveiled new physical phenomena and engineering capabilities for thermal energy management and conversion systems. These developments have been enabled by the increasing sophistication of chemical synthesis, microfabrication, and atomistic simulation techniques to understand the underlying mechanisms of phonon transport. Modifying thermal properties by scaling physical size is particularly effective for materials which have large phonon MFPs, such as crystalline Si and Ge. Through nanostructuring, materials that are traditionally good thermal conductors can become good candidates for applications requiring thermal insulation such as thermoelectrics. Precise understanding of nanoscale thermal transport in Si and Ge, the leading materials of the modern semiconductor industry, is increasingly important due to more stringent thermal conditions imposed by ever-increasing complexity and miniaturization of devices. Therefore this Minireview focuses on the recent theoretical and experimental developments related to reduced length effects on thermal transport of Si and Ge with varying size from hundreds to sub-10 nm ranges. Three thermal transport regimes - bulk-like, Casimir, and confinement - are emphasized to describe different governing mechanisms at corresponding length scales.

  11. Numerical study of the defect adamantine compound CuGaGeSe4

    NASA Astrophysics Data System (ADS)

    Shen, Kesheng; Zhang, Xianzhou; Lu, Hai; Jiao, Zhaoyong

    2018-06-01

    The electronic structure, elastic and optical properties of the defect adamantine compound CuGaGeSe4 in ? structure are systematically investigated using first-principles calculations. Through detailed calculation and comparison, we obtain three independent atomic arrangements and predict the most stable atomic arrangement according to the lattice constants and enthalpy formation energies. The elastic constants are calculated, which can be used to predict the axial thermal expansion coefficients accurately. The optical properties of compound CuGaGeSe4, including the dielectric function, refractive index and absorption spectrum, are depicted for a more intuitive understanding. Our calculated zero-frequency limits ɛ1(0) and n(0) are very close to the other theoretical values, which proves that our calculations are reliable.

  12. Critical thickness of transition from 2D to 3D growth and peculiarities of quantum dots formation in GexSi1-x/Sn/Si and Ge1-ySny/Si systems

    NASA Astrophysics Data System (ADS)

    Lozovoy, Kirill A.; Kokhanenko, Andrey P.; Voitsekhovskii, Alexander V.

    2018-03-01

    Nowadays using of tin as one of the deposited materials in GeSi/Sn/Si, GeSn/Si and GeSiSn/Si material systems is one of the most topical problems. These materials are very promising for various applications in nanoelectronics and optoelectronics due to possibility of band gap management and synthesis of direct band semiconductors within these systems. However, there is a lack of theoretical investigations devoted to the peculiarities of germanium on silicon growth in the presence of tin. In this paper a new theoretical approach for modeling growth processes of binary and ternary semiconductor compounds during the molecular beam epitaxy in these systems is presented. The established kinetic model based on the general nucleation theory takes into account the change in physical and mechanical parameters, diffusion coefficient and surface energies in the presence of tin. With the help of the developed model the experimentally observed significant decrease in the 2D-3D transition temperatures for GeSiSn/Si system compared to GeSi/Si system is theoretically explained for the first time in the literature. Besides that, the derived expressions allow one to explain the experimentally observed temperature dependencies of the critical thickness, as well as to predict the average size and surface density of quantum dots for different contents and temperatures in growth experiment, that confirms applicability of the model proposed. Moreover, the established model can be easily applied to other material systems in which the Stranski-Krastanow growth mode occurs.

  13. Existence of a stable intermixing phase for monolayer Ge on Si(001)

    NASA Astrophysics Data System (ADS)

    Yeom, H. W.; Sasaki, M.; Suzuki, S.; Sato, S.; Hosoi, S.; Iwabuchi, M.; Higashiyama, K.; Fukutani, H.; Nakamura, M.; Abukawa, T.; Kono, S.

    1997-06-01

    A monolayer adsorption of Ge on a single-domain Si(001)2 × 1 surface has been investigated by X-ray excited Auger electron diffraction (AED) and scanning tunneling microscopy. Contrary to the common belief, a significant intermixing of Ge down to at least the fourth layer is identified. This intermixing is found to progress to a stable interface alloy phase that develops fully for annealing at 500-600°C. A possible reason for the alloy phase is discussed to be an elastic interaction from the Si(001) surface.

  14. Very thin, high Ge content Si 0.3Ge 0.7 relaxed buffer grown by MBE on SOI(0 0 1) substrate

    NASA Astrophysics Data System (ADS)

    Myronov, M.; Shiraki, Y.

    2007-04-01

    Growth procedure and excellent properties of very thin 240 nm thick, 95% relaxed, high Ge content Si 0.3Ge 0.7 buffer grown on SOI(0 0 1) substrate are demonstrated. All epilayers of the newly developed Si 0.3Ge 0.7/SOI(0 0 1) variable-temperature virtual substrate were grown in a single process by solid-source molecular beam epitaxy. Surface analysis of grown samples revealed smooth, cross-hatch free surface with low root mean square surface roughness of 0.9 nm and low threading dislocations density of 5×10 4 cm -2.

  15. Low-temperature formation of crystalline Si:H/Ge:H heterostructures by plasma-enhanced CVD in combination with Ni-nanodots seeding nucleation

    NASA Astrophysics Data System (ADS)

    Lu, Yimin; Makihara, Katsunori; Takeuchi, Daichi; Ikeda, Mitsuhisa; Ohta, Akio; Miyazaki, Seiichi

    2017-06-01

    Hydrogenated microcrystalline (µc) Si/Ge heterostructures were prepared on quartz substrates by plasma-enhanced chemical vapor deposition (CVD) from VHF inductively coupled plasma of SiH4 just after GeH4 employing Ni nanodots (NDs) as seeds for crystalline nucleation. The crystallinity of the films and the progress of grain growth were characterized by Raman scattering spectroscopy and atomic force microscopy (AFM), respectively. When the Ge films were grown on Ni-NDs at 250 °C, the growth of µc-Ge films with crystallinity as high as 80% was realized without an amorphous phase near the Ge film/quartz substrate interface. After the subsequent Si film deposition at 250 °C, fine grains were formed in the early stages of film growth on µc-Ge films with compositional mixing (µc-Si0.85Ge0.15:H) caused by the release of large lattice mismatch between c-Si and c-Ge. With further increase in Si:H film thickness, the formation of large grain structures accompanied by fine grains was promoted. These results suggest that crystalline Si/Ge heterojunctions can be used for efficient carrier collection in solar cell application.

  16. Electronic and optical properties of Si and Ge nanocrystals: An ab initio study

    NASA Astrophysics Data System (ADS)

    Pulci, Olivia; Degoli, Elena; Iori, Federico; Marsili, Margherita; Palummo, Maurizia; Del Sole, Rodolfo; Ossicini, Stefano

    2010-01-01

    First-principles calculations within density functional theory and many-body perturbation theory have been carried out in order to investigate the structural, electronic and optical properties of undoped and doped silicon nanostructures. We consider Si nanoclusters co-doped with B and P. We find that the electronic band gap is reduced with respect to that of the undoped crystals, suggesting the possibility of impurity based engineering of electronic and optical properties of Si nanocrystals. Finally, motivated by recent suggestions concerning the chance of exploiting Ge dots for photovoltaic nanodevices, we present calculations of the electronic and optical properties of a Ge 35H 36 nanocrystal, and compare the results with those for the corresponding Si 35H 36 nanocrystals and the co-doped Si 33BPH 36.

  17. Structure and properties of the anions MF4-, MCl4- and MBr4- (M = C, Si, Ge)

    NASA Astrophysics Data System (ADS)

    Grein, Friedrich

    2015-04-01

    Density functional theory (DFT), Møller-Plesset (MP2) and coupled cluster with single and double substitutions including non-iterative triple excitations (CCSD(T)) calculations on the anions MX4-, with M = C, Si, Ge and X = F, Cl, Br, show that GeF4-, SiCl4-, GeCl4- and SiBr4- prefer a C2v conformation, but CCl4- is an elongated C3v structure. CBr4- has Td symmetry in MP2, but is slightly more stable in elongated C3v form with DFT and CCSD(T). GeBr4- has Td symmetry. CF4- and SiF4- are unstable with respect to loss of an electron. Vertical electron affinities (EAs) are negative also for CCl4 and SiCl4, and close to zero for GeF4 and SiBr4. Adiabatic EAs range from 0.47 eV for SiCl4 to 1.78 eV for GeBr4. The lowest excited states at Td symmetry are 2T2 resonances with energies of 2.1-3.5 eV, resulting from excitation of the a1 singly occupied molecular orbital to vacant t2 orbitals. Vertical excitation energies (VEEs) and vibrational frequencies are given for the most stable anionic geometries. Comparison with experimental VEEs for CCl4- is made. From dissociation energies of MX4, MX4-, MX3 and MX3-, appearance energies of X-, MX3-, X2- and MX2- were calculated. Most were found to be in reasonable agreement with experimental values. Theoretical spin densities and g-factors have been compared with experimental results available for CCl4-, SiCl4- and GeCl4-.

  18. Dramatically enhanced self-assembly of GeSi quantum dots with superior photoluminescence induced by the substrate misorientation

    NASA Astrophysics Data System (ADS)

    Zhou, Tong; Zhong, Zhenyang

    2014-02-01

    A dramatically enhanced self-assembly of GeSi quantum dots (QDs) is disclosed on slightly miscut Si (001) substrates, leading to extremely dense QDs and even a growth mode transition. The inherent mechanism is addressed in combination of the thermodynamics and the growth kinetics both affected by steps on the vicinal surface. Moreover, temperature-dependent photoluminescence spectra from dense GeSi QDs on the miscut substrate demonstrate a rather strong peak persistent up to 300 K, which is attributed to the well confinement of excitons in the dense GeSi QDs due to the absence of the wetting layer on the miscut substrate.

  19. Enhancement-mode two-channel triple quantum dot from an undoped Si/Si 0.8Ge 0.2 quantum well hetero-structure

    DOE PAGES

    Studenikin, S. A.; Gaudreau, L.; Kataoka, K.; ...

    2018-06-04

    Here, we demonstrate coupled triple dot operation and charge sensing capability for the recently introduced quantum dot technology employing undoped Si/Si 0.8Ge 0.2 hetero-structures which also incorporate a single metal-gate layer to simplify fabrication. Si/SiGe hetero-structures with a Ge concentration of 20% rather than the more usual 30% typically encountered offer higher electron mobility. The devices consist of two in-plane parallel electron channels that host a double dot in one channel and a single dot in the other channel. In a device where the channels are sufficiently close a triple dot in a triangular configuration is induced leading to regionsmore » in the charge stability diagram where three charge-addition lines of different slope approach each other and anti-cross. In a device where the channels are further apart, the single dot charge-senses the double dot with relative change of ~2% in the sensor current.« less

  20. Enhancement-mode two-channel triple quantum dot from an undoped Si/Si 0.8Ge 0.2 quantum well hetero-structure

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Studenikin, S. A.; Gaudreau, L.; Kataoka, K.

    Here, we demonstrate coupled triple dot operation and charge sensing capability for the recently introduced quantum dot technology employing undoped Si/Si 0.8Ge 0.2 hetero-structures which also incorporate a single metal-gate layer to simplify fabrication. Si/SiGe hetero-structures with a Ge concentration of 20% rather than the more usual 30% typically encountered offer higher electron mobility. The devices consist of two in-plane parallel electron channels that host a double dot in one channel and a single dot in the other channel. In a device where the channels are sufficiently close a triple dot in a triangular configuration is induced leading to regionsmore » in the charge stability diagram where three charge-addition lines of different slope approach each other and anti-cross. In a device where the channels are further apart, the single dot charge-senses the double dot with relative change of ~2% in the sensor current.« less