Sample records for gaas atomic layer

  1. Photoelectrochemical Water Oxidation by GaAs Nanowire Arrays Protected with Atomic Layer Deposited NiO x Electrocatalysts

    NASA Astrophysics Data System (ADS)

    Zeng, Joy; Xu, Xiaoqing; Parameshwaran, Vijay; Baker, Jon; Bent, Stacey; Wong, H.-S. Philip; Clemens, Bruce

    2018-02-01

    Photoelectrochemical (PEC) hydrogen production makes possible the direct conversion of solar energy into chemical fuel. In this work, PEC photoanodes consisting of GaAs nanowire (NW) arrays were fabricated, characterized, and then demonstrated for the oxygen evolution reaction (OER). Uniform and periodic GaAs nanowire arrays were grown on a heavily n-doped GaAs substrates by metal-organic chemical vapor deposition selective area growth. The nanowire arrays were characterized using cyclic voltammetry and impedance spectroscopy in a non-aqueous electrochemical system using ferrocene/ferrocenium (Fc/Fc+) as a redox couple, and a maximum oxidation photocurrent of 11.1 mA/cm2 was measured. GaAs NW arrays with a 36 nm layer of nickel oxide (NiO x ) synthesized by atomic layer deposition were then used as photoanodes to drive the OER. In addition to acting as an electrocatalyst, the NiO x layer served to protect the GaAs NWs from oxidative corrosion. Using this strategy, GaAs NW photoanodes were successfully used for the oxygen evolution reaction. This is the first demonstration of GaAs NW arrays for effective OER, and the fabrication and protection strategy developed in this work can be extended to study any other nanostructured semiconductor materials systems for electrochemical solar energy conversion.

  2. HfO2 Gate Dielectric on (NH4)2S Passivated (100) GaAs Grown by Atomic Layer Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, P.T.; /Stanford U., Materials Sci. Dept.; Sun, Y.

    2007-09-28

    The interface between hafnium oxide grown by atomic layer deposition and (100) GaAs treated with HCl cleaning and (NH{sub 4}){sub 2}S passivation has been characterized. Synchrotron radiation photoemission core level spectra indicated successful removal of the native oxides and formation of passivating sulfides on the GaAs surface. Layer-by-layer removal of the hafnia film revealed a small amount of As{sub 2}O{sub 3} formed at the interface during the dielectric deposition. Traces of arsenic and sulfur out-diffusion into the hafnia film were observed after a 450 C post-deposition anneal, and may be the origins for the electrically active defects. Transmission electron microscopymore » cross section images showed thicker HfO{sub 2} films for a given precursor exposure on S-treated GaAs versus the non-treated sample. In addition, the valence-band and the conduction-band offsets at the HfO{sub 2}/GaAs interface were deduced to be 3.18 eV and a range of 0.87-0.97 eV, respectively. It appears that HCl+(NH{sub 4})2{sub S} treatments provide a superior chemical passivation for GaAs and initial surface for ALD deposition.« less

  3. SEMICONDUCTOR TECHNOLOGY: GaAs surface wet cleaning by a novel treatment in revolving ultrasonic atomization solution

    NASA Astrophysics Data System (ADS)

    Zaijin, Li; Liming, Hu; Ye, Wang; Ye, Yang; Hangyu, Peng; Jinlong, Zhang; Li, Qin; Yun, Liu; Lijun, Wang

    2010-03-01

    A novel process for the wet cleaning of GaAs surface is presented. It is designed for technological simplicity and minimum damage generated within the GaAs surface. It combines GaAs cleaning with three conditions consisting of (1) removal of thermodynamically unstable species and (2) surface oxide layers must be completely removed after thermal cleaning, and (3) a smooth surface must be provided. Revolving ultrasonic atomization technology is adopted in the cleaning process. At first impurity removal is achieved by organic solvents; second NH4OH:H2O2:H2O = 1:1:10 solution and HCl: H2O2:H2O = 1:1:20 solution in succession to etch a very thin GaAs layer, the goal of the step is removing metallic contaminants and forming a very thin oxidation layer on the GaAs wafer surface; NH4OH:H2O = 1:5 solution is used as the removed oxide layers in the end. The effectiveness of the process is demonstrated by the operation of the GaAs wafer. Characterization of the oxide composition was carried out by X-ray photoelectron spectroscopy. Metal-contamination and surface morphology was observed by a total reflection X-ray fluorescence spectroscopy and atomic force microscope. The research results show that the cleaned surface is without contamination or metal contamination. Also, the GaAs substrates surface is very smooth for epitaxial growth using the rotary ultrasonic atomization technology.

  4. Structural and electronic properties of isovalent boron atoms in GaAs

    NASA Astrophysics Data System (ADS)

    Krammel, C. M.; Nattermann, L.; Sterzer, E.; Volz, K.; Koenraad, P. M.

    2018-04-01

    Boron containing GaAs, which is grown by metal organic vapour phase epitaxy, is studied at the atomic level by cross-sectional scanning tunneling microscopy (X-STM) and spectroscopy (STS). In topographic X-STM images, three classes of B related features are identified, which are attributed to individual B atoms on substitutional Ga sites down to the second layer below the natural {110} cleavage planes. The X-STM contrast of B atoms below the surface reflects primarily the structural modification of the GaAs matrix by the small B atoms. However, B atoms in the cleavage plane have in contrast to conventional isovalent impurities, such as Al and In, a strong influence on the local electronic structure similar to donors or acceptors. STS measurements show that B in the GaAs {110} surfaces gives rise to a localized state short below the conduction band (CB) edge while in bulk GaAs, the B impurity state is resonant with the CB. The analysis of BxGa1-xAs/GaAs quantum wells reveals a good crystal quality and shows that the incorporation of B atoms in GaAs can be controlled along the [001] growth direction at the atomic level. Surprisingly, the formation of the first and fourth nearest neighbor B pairs, which are oriented along the <110 > directions, is strongly suppressed at a B concentration of 1% while the third nearest neighbor B pairs are found more than twice as often than expected for a completely spatially random pattern.

  5. Dielectric functions, chemical and atomic compositions of the near surface layers of implanted GaAs by In+ ions

    NASA Astrophysics Data System (ADS)

    Kulik, M.; Kołodyńska, D.; Bayramov, A.; Drozdziel, A.; Olejniczak, A.; Żuk, J.

    2018-06-01

    The surfaces of (100) GaAs were irradiated with In+ ions. The implanted samples were isobaric annealed at 800 °C and then of dielectric function, the surface atomic concentrations of atoms and also the chemical composition of the near surface layers in these implanted semiconductor samples were obtained. The following investigation methods were used: spectroscopic ellipsometry (SE), Rutherford backscattering spectrometry analyses (RBSA) and X-ray photoelectron spectroscopy (XPS) in the study of the above mentioned quantities, respectively. The change of the shape spectra of the dielectric functions at about 3.0 eV phonon energy, diffusion of In+ ions as well as chemical composition changes were observed after ion implantation and the thermal treatment. Due to displacement of Ga ions from GaAs by the In+ ions the new chemical compound InAs was formed. The relative amounts Ga2O3 and As2O3 ratio increase in the native oxide layers with the fluences increase after the thermal treatment of the samples. Additionally, it was noticed that the quantities of InO2 increase with the increasing values of the irradiated ions before thermal treatment.

  6. Structural and optical properties of GaAs(100) with a thin surface layer doped with chromium

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Seredin, P. V., E-mail: paul@phys.vsu.ru; Fedyukin, A. V.; Arsentyev, I. N.

    The aim of this study is to explore the structural and optical properties of single-crystal GaAs(100) doped with Cr atoms by burning them into the substrate at high temperatures. The diffusion of chromium into single-crystal GaAs(100) substrates brings about the formation of a thin (~20–40 μm) GaAs:Cr transition layer. In this case, chromium atoms are incorporated into the gallium-arsenide crystal lattice and occupy the regular atomic sites of the metal sublattice. As the chromium diffusion time is increased, such behavior of the dopant impurity yields changes in the energy structure of GaAs, a decrease in the absorption at free chargemore » carriers, and a lowering of the surface recombination rate. As a result, the photoluminescence signal from the sample is significantly enhanced.« less

  7. Nitride passivation reduces interfacial traps in atomic-layer-deposited Al2O3/GaAs (001) metal-oxide-semiconductor capacitors using atmospheric metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Aoki, T.; Fukuhara, N.; Osada, T.; Sazawa, H.; Hata, M.; Inoue, T.

    2014-07-01

    Using an atmospheric metal-organic chemical vapor deposition system, we passivated GaAs with AlN prior to atomic layer deposition of Al2O3. This AlN passivation incorporated nitrogen at the Al2O3/GaAs interface, improving the capacitance-voltage (C-V) characteristics of the resultant metal-oxide-semiconductor capacitors (MOSCAPs). The C-V curves of these devices showed a remarkable reduction in the frequency dispersion of the accumulation capacitance. Using the conductance method at various temperatures, we extracted the interfacial density of states (Dit). The Dit was reduced over the entire GaAs band gap. In particular, these devices exhibited Dit around the midgap of less than 4 × 1012 cm-2eV-1, showing that AlN passivation effectively reduced interfacial traps in the MOS structure.

  8. Electrical properties of GaAs metal–oxide–semiconductor structure comprising Al{sub 2}O{sub 3} gate oxide and AlN passivation layer fabricated in situ using a metal–organic vapor deposition/atomic layer deposition hybrid system

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aoki, Takeshi, E-mail: aokit@sc.sumitomo-chem.co.jp; Fukuhara, Noboru; Osada, Takenori

    2015-08-15

    This paper presents a compressive study on the fabrication and optimization of GaAs metal–oxide–semiconductor (MOS) structures comprising a Al{sub 2}O{sub 3} gate oxide, deposited via atomic layer deposition (ALD), with an AlN interfacial passivation layer prepared in situ via metal–organic chemical vapor deposition (MOCVD). The established protocol afforded self-limiting growth of Al{sub 2}O{sub 3} in the atmospheric MOCVD reactor. Consequently, this enabled successive growth of MOCVD-formed AlN and ALD-formed Al{sub 2}O{sub 3} layers on the GaAs substrate. The effects of AlN thickness, post-deposition anneal (PDA) conditions, and crystal orientation of the GaAs substrate on the electrical properties of the resultingmore » MOS capacitors were investigated. Thin AlN passivation layers afforded incorporation of optimum amounts of nitrogen, leading to good capacitance–voltage (C–V) characteristics with reduced frequency dispersion. In contrast, excessively thick AlN passivation layers degraded the interface, thereby increasing the interfacial density of states (D{sub it}) near the midgap and reducing the conduction band offset. To further improve the interface with the thin AlN passivation layers, the PDA conditions were optimized. Using wet nitrogen at 600 °C was effective to reduce D{sub it} to below 2 × 10{sup 12} cm{sup −2} eV{sup −1}. Using a (111)A substrate was also effective in reducing the frequency dispersion of accumulation capacitance, thus suggesting the suppression of traps in GaAs located near the dielectric/GaAs interface. The current findings suggest that using an atmosphere ALD process with in situ AlN passivation using the current MOCVD system could be an efficient solution to improving GaAs MOS interfaces.« less

  9. Sidewall GaAs tunnel junctions fabricated using molecular layer epitaxy

    PubMed Central

    Ohno, Takeo; Oyama, Yutaka

    2012-01-01

    In this article we review the fundamental properties and applications of sidewall GaAs tunnel junctions. Heavily impurity-doped GaAs epitaxial layers were prepared using molecular layer epitaxy (MLE), in which intermittent injections of precursors in ultrahigh vacuum were applied, and sidewall tunnel junctions were fabricated using a combination of device mesa wet etching of the GaAs MLE layer and low-temperature area-selective regrowth. The fabricated tunnel junctions on the GaAs sidewall with normal mesa orientation showed a record peak current density of 35 000 A cm-2. They can potentially be used as terahertz devices such as a tunnel injection transit time effect diode or an ideal static induction transistor. PMID:27877466

  10. Diffusion and interface evolution during the atomic layer deposition of TiO{sub 2} on GaAs(100) and InAs(100) surfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ye, Liwang; Gougousi, Theodosia, E-mail: gougousi@umbc.edu

    2016-01-15

    Atomic layer deposition is used to form TiO{sub 2} films from tetrakis dimethyl amino titanium and H{sub 2}O on native oxide GaAs(100) and InAs(100) surfaces. The evolution of the film/substrate interface is examined as a function of the deposition temperature (100–325 °C) using ex situ x-ray photoelectron spectroscopy. An increase in the deposition temperature up to 250 °C leads to enhancement of the native oxide removal. For depositions at 300 °C and above, interface reoxidation is observed during the initial deposition cycles but when the films are thicker than 3 nm, the surface oxides are removed steadily. Based on these observations, two distinct filmmore » growth regimes are identified; up to 250 °C, layer-by-layer dominates while at higher temperatures island growth takes over. Angle resolved x-ray photoelectron spectroscopy measurements performed on 3 nm TiO{sub 2} film deposited at 325 °C on both surfaces demonstrates a very important difference between the two substrates: for GaAs the native oxides remaining in the stack are localized at the interface, while for InAs(100), the indium oxides are mixed in the TiO{sub 2} film.« less

  11. Vertically aligned GaAs nanowires on graphite and few-layer graphene: generic model and epitaxial growth.

    PubMed

    Munshi, A Mazid; Dheeraj, Dasa L; Fauske, Vidar T; Kim, Dong-Chul; van Helvoort, Antonius T J; Fimland, Bjørn-Ove; Weman, Helge

    2012-09-12

    By utilizing the reduced contact area of nanowires, we show that epitaxial growth of a broad range of semiconductors on graphene can in principle be achieved. A generic atomic model is presented which describes the epitaxial growth configurations applicable to all conventional semiconductor materials. The model is experimentally verified by demonstrating the growth of vertically aligned GaAs nanowires on graphite and few-layer graphene by the self-catalyzed vapor-liquid-solid technique using molecular beam epitaxy. A two-temperature growth strategy was used to increase the nanowire density. Due to the self-catalyzed growth technique used, the nanowires were found to have a regular hexagonal cross-sectional shape, and are uniform in length and diameter. Electron microscopy studies reveal an epitaxial relationship of the grown nanowires with the underlying graphitic substrates. Two relative orientations of the nanowire side-facets were observed, which is well explained by the proposed atomic model. A prototype of a single GaAs nanowire photodetector demonstrates a high-quality material. With GaAs being a model system, as well as a very useful material for various optoelectronic applications, we anticipate this particular GaAs nanowire/graphene hybrid to be promising for flexible and low-cost solar cells.

  12. Growth and characterization of molecular beam epitaxial GaAs layers on porous silicon

    NASA Technical Reports Server (NTRS)

    Lin, T. L.; Liu, J. K.; Sadwick, L.; Wang, K. L.; Kao, Y. C.

    1987-01-01

    GaAs layers have been grown on porous silicon (PS) substrates with good crystallinity by molecular beam epitaxy. In spite of the surface irregularity of PS substrates, no surface morphology deterioration was observed on epitaxial GaAs overlayers. A 10-percent Rutherford backscattering spectroscopy minimum channeling yield for GaAs-on-PS layers as compared to 16 percent for GaAs-on-Si layers grown under the same condition indicates a possible improvement of crystallinity when GaAs is grown on PS. Transmission electron microscopy reveals that the dominant defects in the GaAs-on-PS layers are microtwins and stacking faults, which originate from the GaAs/PS interface. GaAs is found to penetrate into the PS layers. n-type GaAs/p-type PS heterojunction diodes were fabricated with good rectifying characteristics.

  13. High Ms Fe16N2 thin film with Ag under layer on GaAs substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Allard Jr, Lawrence Frederick

    2016-01-01

    (001) textured Fe16N2 thin film with Ag under layer is successfully grown on GaAs substrate using a facing target sputtering (FTS) system. After post annealing, chemically ordered Fe16N2 phase is formed and detected by X-ray diffraction (XRD). High saturation magnetization (Ms) is measured by a vibrating sample magnetometer (VSM). In comparison with Fe16N2 with Ag under layer on MgO substrate and Fe16N2 with Fe under layer on GaAs substrate, the current layer structure shows a higher Ms value, with a magnetically softer feature in contrast to the above cases. In addition, X-ray photoelectron spectroscopy (XPS) is performed to characterize themore » binding energy of N atoms. To verify the role of strain that the FeN layer experiences in the above three structures, Grazing Incidence X-ray Diffraction (GIXRD) is conducted to reveal a large in-plane lattice constant due to the in-plane biaxial tensile strain. INTRODUCTION« less

  14. Nitride passivation reduces interfacial traps in atomic-layer-deposited Al{sub 2}O{sub 3}/GaAs (001) metal-oxide-semiconductor capacitors using atmospheric metal-organic chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aoki, T., E-mail: aokit@sc.sumitomo-chem.co.jp; Fukuhara, N.; Osada, T.

    2014-07-21

    Using an atmospheric metal-organic chemical vapor deposition system, we passivated GaAs with AlN prior to atomic layer deposition of Al{sub 2}O{sub 3}. This AlN passivation incorporated nitrogen at the Al{sub 2}O{sub 3}/GaAs interface, improving the capacitance-voltage (C–V) characteristics of the resultant metal-oxide-semiconductor capacitors (MOSCAPs). The C–V curves of these devices showed a remarkable reduction in the frequency dispersion of the accumulation capacitance. Using the conductance method at various temperatures, we extracted the interfacial density of states (D{sub it}). The D{sub it} was reduced over the entire GaAs band gap. In particular, these devices exhibited D{sub it} around the midgap ofmore » less than 4 × 10{sup 12} cm{sup −2}eV{sup −1}, showing that AlN passivation effectively reduced interfacial traps in the MOS structure.« less

  15. Core-level photoemission investigation of atomic-fluorine adsorption on GaAs(110)

    NASA Astrophysics Data System (ADS)

    McLean, A. B.; Terminello, L. J.; McFeely, F. R.

    1989-12-01

    The adsorption of atomic F on the cleaved GaAs(110) surface has been studied with use of high-resolution core-level photoelectron spectroscopy by exposing the GaAs(110) surfaces to XeF2, which adsorbs dissociatively, leaving atomic F behind. This surface reaction produces two chemically shifted components in the Ga 3d core-level emission which are attributed to an interfacial monofluoride and a stable trifluoride reaction product, respectively. The As 3d core level develops only one chemically shifted component and from its exposure-dependent behavior it is attributed to an interfacial monofluoride. Least-squares analysis of the core-level line shapes revealed that (i) the F bonds to both the anion and the cation , (ii) the GaF3 component (characteristic of strong interfacial reaction) and the surface core-level shifted component (characteristic of a well ordered, atomically clean surface) are present together over a relatively large range of XeF2 exposures, and (iii) it is the initial disruption of the GaAs(110) surface that is the rate-limiting step in this surface reaction. These results are compared with similar studies of Cl and O adsorption on GaAs(110).

  16. Surface Chemistry and Interface Evolution during the Atomic Layer Deposition of High-k Metal Oxides on InAs(100) and GaAs(100) Surfaces

    NASA Astrophysics Data System (ADS)

    Henegar, Alex J.

    Device scaling has been key for creating faster and more powerful electronic devices. Integral circuit components like the metal-oxide semiconductor field-effect transistor (MOSFET) now rely on material deposition techniques, like atomic layer deposition (ALD), that possess atomic-scale thickness precision. At the heart of the archetypal MOSFET is a SiO2/Si interface which can be formed to near perfection. However when the thickness of the SiO 2 layer is shrunk down to a few nanometers several complications arise like unacceptably high leakage current and power consumption. Replacing Si with III-V semiconductors and SiO2 with high-k dielectric materials is appealing but comes with its own set of challenges. While SiO2 is practically defect-free, the native oxides of III-Vs are poor dielectrics. In this dissertation, the surface chemistry and interface evolution during the ALD of high-k metal oxides on Si(100), GaAs(100) and InAs(100) was studied. In particular, the surface chemistry and crystallization of TiO2 films grown on Si(100) was investigated using transmission Fourier transform infrared spectroscopy (FTIR), X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), scanning electron microscopy (SEM) and atomic force microscopy (AFM). Large, stable, and highly reactive anatase TiO2 grains were found to form during a post-deposition heat treatment after the ALD at 100 °C. The remainder of this work was focused on the evolution of the interfacial oxides during the deposition of TiO2 and Al2O3 on InAs(100) and GaAs(100) and during the deposition of Ta2O 5 on InAs(100). In summary the ALD precursor type, deposited film, and substrate had an influence in the evolution of the native oxides. Alkyl amine precursors fared better at removing the native oxides but the deposited films (TiO2 and Ta2O5) were susceptible to significant native oxide diffusion. The alkyl precursor used for the growth of Al 2O3 was relatively ineffective at removing the oxides but was

  17. Wafer-scale layer transfer of GaAs and Ge onto Si wafers using patterned epitaxial lift-off

    NASA Astrophysics Data System (ADS)

    Mieda, Eiko; Maeda, Tatsuro; Miyata, Noriyuki; Yasuda, Tetsuji; Kurashima, Yuichi; Maeda, Atsuhiko; Takagi, Hideki; Aoki, Takeshi; Yamamoto, Taketsugu; Ichikawa, Osamu; Osada, Takenori; Hata, Masahiko; Ogawa, Arito; Kikuchi, Toshiyuki; Kunii, Yasuo

    2015-03-01

    We have developed a wafer-scale layer-transfer technique for transferring GaAs and Ge onto Si wafers of up to 300 mm in diameter. Lattice-matched GaAs or Ge layers were epitaxially grown on GaAs wafers using an AlAs release layer, which can subsequently be transferred onto a Si handle wafer via direct wafer bonding and patterned epitaxial lift-off (ELO). The crystal properties of the transferred GaAs layers were characterized by X-ray diffraction (XRD), photoluminescence, and the quality of the transferred Ge layers was characterized using Raman spectroscopy. We find that, after bonding and the wet ELO processes, the quality of the transferred GaAs and Ge layers remained the same compared to that of the as-grown epitaxial layers. Furthermore, we realized Ge-on-insulator and GaAs-on-insulator wafers by wafer-scale pattern ELO technique.

  18. Effect of thermal annealing on the photoluminescence of structures with InGaAs/GaAs quantum wells and a low-temperature GaAs layer δ-doped with Mn

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kalentyeva, I. L.; Vikhrova, O. V., E-mail: istery@rambler.ru; Danilov, Yu. A.

    2016-11-15

    The effects of isochronal thermal annealing (at 325–725°C) on the radiative properties of InGaAs/GaAs nanoheterostructures containing a low-temperature GaAs layer δ-doped with Mn grown by laser deposition are studied. A decrease in the photoluminescence intensity and increase in the ground transition energy are observed upon thermal impact for quantum wells located near the low-temperature GaAs layer. The distribution of Mn atoms in the initial and annealed structures is obtained by secondary-ion mass spectrometry. A qualitative model of the observed effects of thermal annealing on the radiative properties of the structures is discussed; this model takes into account two main processes:more » diffusion of point defects (primarily gallium vacancies) from the GaAs coating layer deep into the structure and Mn diffusion in both directions by the dissociation mechanism. Magnetization studies show that, as a result of thermal annealing, an increase in the proportion of the ferromagnetic phase at room temperature (presumably, MnAs clusters) in the low-temperature GaAs coating layer takes place.« less

  19. Attractive interaction between Mn atoms on the GaAs(110) surface observed by scanning tunneling microscopy.

    PubMed

    Taninaka, Atsushi; Yoshida, Shoji; Kanazawa, Ken; Hayaki, Eiko; Takeuchi, Osamu; Shigekawa, Hidemi

    2016-06-16

    Scanning tunneling microscopy/spectroscopy (STM/STS) was carried out to investigate the structures of Mn atoms deposited on a GaAs(110) surface at room temperature to directly observe the characteristics of interactions between Mn atoms in GaAs. Mn atoms were paired with a probability higher than the random distribution, indicating an attractive interaction between them. In fact, re-pairing of unpaired Mn atoms was observed during STS measurement. The pair initially had a new structure, which was transformed during STS measurement into one of those formed by atom manipulation at 4 K. Mn atoms in pairs and trimers were aligned in the <110> direction, which is theoretically predicted to produce a high Curie temperature.

  20. Protective capping and surface passivation of III-V nanowires by atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dhaka, Veer, E-mail: veer.dhaka@aalto.fi; Perros, Alexander; Kakko, Joona-Pekko

    2016-01-15

    Low temperature (∼200 °C) grown atomic layer deposition (ALD) films of AlN, TiN, Al{sub 2}O{sub 3}, GaN, and TiO{sub 2} were tested for protective capping and surface passivation of bottom-up grown III-V (GaAs and InP) nanowires (NWs), and top-down fabricated InP nanopillars. For as-grown GaAs NWs, only the AlN material passivated the GaAs surface as measured by photoluminescence (PL) at low temperatures (15K), and the best passivation was achieved with a few monolayer thick (2Å) film. For InP NWs, the best passivation (∼2x enhancement in room-temperature PL) was achieved with a capping of 2nm thick Al{sub 2}O{sub 3}. All othermore » ALD capping layers resulted in a de-passivation effect and possible damage to the InP surface. Top-down fabricated InP nanopillars show similar passivation effects as InP NWs. In particular, capping with a 2 nm thick Al{sub 2}O{sub 3} layer increased the carrier decay time from 251 ps (as-etched nanopillars) to about 525 ps. Tests after six months ageing reveal that the capped nanostructures retain their optical properties. Overall, capping of GaAs and InP NWs with high-k dielectrics AlN and Al{sub 2}O{sub 3} provides moderate surface passivation as well as long term protection from oxidation and environmental attack.« less

  1. ZnSe Window Layers for GaAs and GaInP2 Solar Cells

    NASA Technical Reports Server (NTRS)

    Olsen, Larry C.

    1997-01-01

    This report concerns studies of the use of n-type ZnSe as a window layer for n/p GaAs and GaInP2 solar cells. Emphasis was placed in this phase of the project on characterizing the interface between n-type ZnSe films grown on epi-GaAs films grown onto single crystal GaAs. Epi-GaAs and heteroepitaxial ZnSe films were grown by MOCVD with a Spire 50OXT Reactor. After growing epitaxial GaAs films on single crystal GaAs wafers, well-oriented crystalline ZnSe films were grown by MOCVD. ZnSe films were grown with substrate temperatures ranging from 250 C to 450 C. Photoluminescence studies carried out by researchers at NASA Lewis determined that the surface recombination velocity at a GaAs surface was significantly reduced after the deposition of a heteroepitaxial layer of ZnSe. The optimum temperature for ZnSe deposition appears to be on the order of 350 C.

  2. Electrical and band structural analyses of Ti1-x Al x O y films grown by atomic layer deposition on p-type GaAs

    NASA Astrophysics Data System (ADS)

    An, Youngseo; Mahata, Chandreswar; Lee, Changmin; Choi, Sungho; Byun, Young-Chul; Kang, Yu-Seon; Lee, Taeyoon; Kim, Jiyoung; Cho, Mann-Ho; Kim, Hyoungsub

    2015-10-01

    Amorphous Ti1-x Al x O y films in the Ti-oxide-rich regime (x  <  0.5) were deposited on p-type GaAs via atomic layer deposition with titanium isopropoxide, trimethylaluminum, and H2O precursor chemistry. The electrical properties and energy band alignments were examined for the resulting materials with their underlying substrates, and significant frequency dispersion was observed in the accumulation region of the Ti-oxide-rich Ti1-x Al x O y films. Although a further reduction in the frequency dispersion and leakage current (under gate electron injection) could be somewhat achieved through a greater addition of Al-oxide in the Ti1-x Al x O y film, the simultaneous decrease in the dielectric constant proved problematic in finding an optimal composition for application as a gate dielectric on GaAs. The spectroscopic band alignment measurements of the Ti-oxide-rich Ti1-x Al x O y films indicated that the band gaps had a rather slow increase with the addition of Al-oxide, which was primarily compensated for by an increase in the valance band offset, while a nearly-constant conduction band offset with a negative electron barrier height was maintained.

  3. Ferromagnetic GaAs structures with single Mn delta-layer fabricated using laser deposition.

    PubMed

    Danilov, Yuri A; Vikhrova, Olga V; Kudrin, Alexey V; Zvonkov, Boris N

    2012-06-01

    The new technique combining metal-organic chemical vapor epitaxy with laser ablation of solid targets was used for fabrication of ferromagnetic GaAs structures with single Mn delta-doped layer. The structures demonstrated anomalous Hall effect, planar Hall effect, negative and anisotropic magnetoresistance in temperature range of 10-35 K. In GaAs structures with only single Mn delta-layer (without additional 2D hole gas channel or quantum well) ferromagnetism was observed for the first time.

  4. Investigation of the fabrication mechanism of self-assembled GaAs quantum rings grown by droplet epitaxy.

    PubMed

    Tong, C Z; Yoon, S F

    2008-09-10

    We have directly imaged the formation of a GaAs quantum ring (QR) using droplet epitaxy followed by annealing in arsenic ambient. Based on the atomic force micrograph measurement and the analysis of surface energy, we determine that the formation of self-assembled GaAs QRs is due to the gallium atom's diffusion and crystallization driven by the gradient of surface energy. The phenomenon that GaAs is etched by the gallium droplets is reported and analyzed. It has been demonstrated that the epitaxy layers, such as AlAs and InGaP, can be used as the etching stop layer and hence can be used to control the shape and height of the QRs.

  5. Effect of a low-temperature-grown GaAs layer on InAs quantum-dot photoluminescence

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kosarev, A. N.; Chaldyshev, V. V., E-mail: chald.gvg@mail.ioffe.ru; Preobrazhenskii, V. V.

    2016-11-15

    The photoluminescence of InAs semiconductor quantum dots overgrown by GaAs in the low-temperature mode (LT-GaAs) using various spacer layers or without them is studied. Spacer layers are thin GaAs or AlAs layers grown at temperatures normal for molecular-beam epitaxy (MBE). Direct overgrowth leads to photoluminescence disappearance. When using a thin GaAs spacer layer, the photoluminescence from InAs quantum dots is partially recovered; however, its intensity appears lower by two orders of magnitude than in the reference sample in which the quantum-dot array is overgrown at normal temperature. The use of wider-gap AlAs as a spacer-layer material leads to the enhancementmore » of photoluminescence from InAs quantum dots, but it is still more than ten times lower than that of reference-sample emission. A model taking into account carrier generation by light, diffusion and tunneling from quantum dots to the LT-GaAs layer is constructed.« less

  6. GaAs droplet quantum dots with nanometer-thin capping layer for plasmonic applications.

    PubMed

    Park, Suk In; Trojak, Oliver Joe; Lee, Eunhye; Song, Jin Dong; Kyhm, Jihoon; Han, Ilki; Kim, Jongsu; Yi, Gyu-Chul; Sapienza, Luca

    2018-05-18

    We report on the growth and optical characterization of droplet GaAs quantum dots (QDs) with extremely-thin (11 nm) capping layers. To achieve such result, an internal thermal heating step is introduced during the growth and its role in the morphological properties of the QDs obtained is investigated via scanning electron and atomic force microscopy. Photoluminescence measurements at cryogenic temperatures show optically stable, sharp and bright emission from single QDs, at visible wavelengths. Given the quality of their optical properties and the proximity to the surface, such emitters are good candidates for the investigation of near field effects, like the coupling to plasmonic modes, in order to strongly control the directionality of the emission and/or the spontaneous emission rate, crucial parameters for quantum photonic applications.

  7. GaAs droplet quantum dots with nanometer-thin capping layer for plasmonic applications

    NASA Astrophysics Data System (ADS)

    In Park, Suk; Trojak, Oliver Joe; Lee, Eunhye; Song, Jin Dong; Kyhm, Jihoon; Han, Ilki; Kim, Jongsu; Yi, Gyu-Chul; Sapienza, Luca

    2018-05-01

    We report on the growth and optical characterization of droplet GaAs quantum dots (QDs) with extremely-thin (11 nm) capping layers. To achieve such result, an internal thermal heating step is introduced during the growth and its role in the morphological properties of the QDs obtained is investigated via scanning electron and atomic force microscopy. Photoluminescence measurements at cryogenic temperatures show optically stable, sharp and bright emission from single QDs, at visible wavelengths. Given the quality of their optical properties and the proximity to the surface, such emitters are good candidates for the investigation of near field effects, like the coupling to plasmonic modes, in order to strongly control the directionality of the emission and/or the spontaneous emission rate, crucial parameters for quantum photonic applications.

  8. Multilayer self-organization of InGaAs quantum wires on GaAs surfaces

    NASA Astrophysics Data System (ADS)

    Wang, Zhiming M.; Kunets, Vasyl P.; Xie, Yanze Z.; Schmidbauer, Martin; Dorogan, Vitaliy G.; Mazur, Yuriy I.; Salamo, Gregory J.

    2010-12-01

    Molecular-Beam Epitaxy growth of multiple In 0.4Ga 0.6As layers on GaAs (311)A and GaAs (331)A has been investigated by Atomic Force Microscopy and Photoluminescence. On GaAs (311)A, uniformly distributed In 0.4Ga 0.6As quantum wires (QWRs) with wider lateral separation were achieved, presenting a significant improvement in comparison with the result on single layer [H. Wen, Z.M. Wang, G.J. Salamo, Appl. Phys. Lett. 84 (2004) 1756]. On GaAs (331)A, In 0.4Ga 0.6As QWRs were revealed to be much straighter than in the previous report on multilayer growth [Z. Gong, Z. Niu, Z. Fang, Nanotechnology 17 (2006) 1140]. These observations are discussed in terms of the strain-field interaction among multilayers, enhancement of surface mobility at high temperature, and surface stability of GaAs (311)A and (331)A surfaces.

  9. Strain relaxation induced surface morphology of heterogeneous GaInNAs layers grown on GaAs substrate

    NASA Astrophysics Data System (ADS)

    Gelczuk, Ł.; Jóźwiak, G.; Moczała, M.; Dłużewski, P.; Dąbrowska-Szata, M.; Gotszalk, T. P.

    2017-07-01

    The partially-relaxed heterogeneous GaInNAs layers grown on GaAs substrate by atmospheric pressure vapor phase epitaxy (AP-MOVPE) were investigated by transmission electron microscopy (TEM) and atomic force microscopy (AFM). The planar-view TEM image shows a regular 2D network of misfit dislocations oriented in two orthogonal 〈1 1 0〉 crystallographic directions at the (0 0 1) layer interface. Moreover, the cross-sectional view TEM image reveals InAs-rich and V-shaped precipitates in the near surface region of the GaInNAs epitaxial layer. The resultant undulating surface morphology, known as a cross-hatch pattern, is formed as observed by AFM. The numerical analysis of the AFM image of the GaInNAs layer surface with the well-defined cross-hatch morphology enabled us to determine a lower bound of actual density of misfit dislocations. However, a close correspondence between the asymmetric distribution of interfacial misfit dislocations and undulating surface morphology is observed.

  10. Atomic-scale structural and electronic properties of SrTiO3/GaAs interfaces: A combined STEM-EELS and first-principles study

    NASA Astrophysics Data System (ADS)

    Hong, Liang; Bhatnagar, Kunal; Droopad, Ravi; Klie, Robert F.; Öǧüt, Serdar

    2017-07-01

    The electronic properties of epitaxial oxide thin films grown on compound semiconductors are largely determined by the interfacial atomic structure, as well as the thermodynamic conditions during synthesis. Ferroelectric polarization and Fermi-level pinning in SrTiO3 films have been attributed to the presence of oxygen vacancies at the oxide/semiconductor interface. Here, we present scanning transmission electron microscopy (STEM) and electron energy-loss spectroscopy analyses of GaAs films grown on SrTiO3 combined with first-principles calculations to determine the atomic and electronic structures of the SrTiO3/GaAs interfaces. An atomically abrupt SrO/As interface is observed and the interfacial SrO layer is found to be O-deficient. First-principles density functional theory (DFT) calculations show SrO/Ga and Sr/As interfaces are favorable under O-rich and O-poor conditions, respectively. The SrO/Ga interface is reconstructed via the formation of Ga-Ga dimers while the Sr/As interface is abrupt and consistent with the experiment. DFT calculations further reveal that intrinsic two-dimensional electron gas (2DEG) forms in both SrO/Ga and Sr/As interfaces, and the Fermi level is pinned to the localized 2DEG states. Interfacial O vacancies can enhance the 2DEG density while it is possible for Ga/As vacancies to unpin the Fermi level from the 2DEG states.

  11. EDITORIAL: Atomic layer deposition Atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Godlewski, Marek

    2012-07-01

    The growth method of atomic layer deposition (ALD) was introduced in Finland by Suntola under the name of atomic layer epitaxy (ALE). The method was originally used for deposition of thin films of sulphides (ZnS, CaS, SrS) activated with manganese or rare-earth ions. Such films were grown for applications in thin-film electroluminescence (TFEL) displays. The ALE mode of growth was also tested in the case of molecular beam epitaxy. Films grown by ALD are commonly polycrystalline or even amorphous. Thus, the name ALE has been replaced by ALD. In the 80s ALD was developed mostly in Finland and neighboring Baltic countries. Deposition of a range of different materials was demonstrated at that time, including II-VI semiconductors (e.g. CdTe, CdS) and III-V (e.g. GaAs, GaN), with possible applications in e.g. photovoltaics. The number of publications on ALD was slowly increasing, approaching about 100 each year. A real boom in interest came with the development of deposition methods of thin films of high-k dielectrics. This research was motivated by a high leakage current in field-effect transistors with SiO2-based gate dielectrics. In 2007 Intel introduced a new generation of integrated circuits (ICs) with thin films of HfO2 used as gate isolating layers. In these and subsequent ICs, films of HfO2 are deposited by the ALD method. This is due to their unique properties. The introduction of ALD to the electronics industry led to a booming interest in the ALD growth method, with the number of publications increasing rapidly to well above 1000 each year. A number of new applications were proposed, as reflected in this special issue of Semiconductor Science and Technology. The included articles cover a wide range of possible applications—in microelectronics, transparent electronics, optoelectronics, photovoltaics and spintronics. Research papers and reviews on the basics of ALD growth are also included, reflecting a growing interest in precursor chemistry and growth

  12. Chemical Composition of Nanoporous Layer Formed by Electrochemical Etching of p-Type GaAs.

    PubMed

    Bioud, Youcef A; Boucherif, Abderraouf; Belarouci, Ali; Paradis, Etienne; Drouin, Dominique; Arès, Richard

    2016-12-01

    We have performed a detailed characterization study of electrochemically etched p-type GaAs in a hydrofluoric acid-based electrolyte. The samples were investigated and characterized through cathodoluminescence (CL), X-ray diffraction (XRD), energy-dispersive X-ray spectroscopy (EDX), and X-ray photoelectron spectroscopy (XPS). It was found that after electrochemical etching, the porous layer showed a major decrease in the CL intensity and a change in chemical composition and in the crystalline phase. Contrary to previous reports on p-GaAs porosification, which stated that the formed layer is composed of porous GaAs, we report evidence that the porous layer is in fact mainly constituted of porous As 2 O 3 . Finally, a qualitative model is proposed to explain the porous As 2 O 3 layer formation on p-GaAs substrate.

  13. Growth of High-Quality GaAs on Ge by Controlling the Thickness and Growth Temperature of Buffer Layer

    NASA Astrophysics Data System (ADS)

    Zhou, Xu-Liang; Pan, Jiao-Qing; Yu, Hong-Yan; Li, Shi-Yan; Wang, Bao-Jun; Bian, Jing; Wang, Wei

    2014-12-01

    High-quality GaAs thin films grown on miscut Ge substrates are crucial for GaAs-based devices on silicon. We investigate the effect of different thicknesses and temperatures of GaAs buffer layers on the crystal quality and surface morphology of GaAs on Ge by metal-organic chemical vapor deposition. Through high resolution x-ray diffraction measurements, it is demonstrated that the full width at half maximum for the GaAs epilayer (Ge substrate) peak could achieve 19.3 (11.0) arcsec. The value of etch pit density could be 4×104 cm-2. At the same time, GaAs surfaces with no pyramid-shaped pits are obtained when the buffer layer growth temperature is lower than 360°C, due to effective inhibition of initial nucleation at terraces of the Ge surface. In addition, it is shown that large island formation at the initial stage of epitaxial growth is a significant factor for the final rough surface and that this initial stage should be carefully controlled when a device quality GaAs surface is desired.

  14. GaAs shallow-homojunction solar cells

    NASA Technical Reports Server (NTRS)

    Fan, J. C. C.

    1981-01-01

    The feasibility of fabricating space resistant, high efficiency, light weight, low cost GaAs shallow homojunction solar cells for space application is investigated. The material preparation of ultrathin GaAs single crystal layers, and the fabrication of efficient GaAs solar cells on bulk GaAs substrates are discussed. Considerable progress was made in both areas, and conversion efficiency about 16% AMO was obtained using anodic oxide as a single layer antireflection coating. A computer design shows that even better cells can be obtained with double layer antireflection coating. Ultrathin, high efficiency solar cells were obtained from GaAs films prepared by the CLEFT process, with conversion efficiency as high as 17% at AMI from a 10 micrometers thick GaAs film. A organometallic CVD was designed and constructed.

  15. Enhancement-mode GaAs metal-oxide-semiconductor high-electron-mobility transistors with atomic layer deposited Al2O3 as gate dielectric

    NASA Astrophysics Data System (ADS)

    Lin, H. C.; Yang, T.; Sharifi, H.; Kim, S. K.; Xuan, Y.; Shen, T.; Mohammadi, S.; Ye, P. D.

    2007-11-01

    Enhancement-mode GaAs metal-oxide-semiconductor high-electron-mobility transistors (MOS-HEMTs) with ex situ atomic-layer-deposited Al2O3 as gate dielectrics are studied. Maximum drain currents of 211 and 263mA/mm are obtained for 1μm gate-length Al2O3 MOS-HEMTs with 3 and 6nm thick gate oxide, respectively. C-V characteristic shows negligible hysteresis and frequency dispersion. The gate leakage current density of the MOS-HEMTs is 3-5 orders of magnitude lower than the conventional HEMTs under similar bias conditions. The drain current on-off ratio of MOS-HEMTs is ˜3×103 with a subthreshold swing of 90mV/decade. A maximum cutoff frequency (fT) of 27.3GHz and maximum oscillation frequency (fmax) of 39.9GHz and an effective channel mobility of 4250cm2/Vs are measured for the 1μm gate-length Al2O3 MOS-HEMT with 6nm gate oxide. Hooge's constant measured by low frequency noise spectral density characterization is 3.7×10-5 for the same device.

  16. Sulfur doping of GaAs with (NH4)2Sx solution

    NASA Astrophysics Data System (ADS)

    Lee, Jong-Lam

    1999-01-01

    A novel technique for sulfur doping to GaAs was demonstrated. The surface of GaAs was treated with (NH4)2Sx solution, subsequent to annealing using either furnace or rapid thermal processing. Sulfur atoms adsorbed at the surface of GaAs during the (NH4)2Sx treatment diffuse into GaAs during the annealing. The diffusion profiles of sulfur in both types of annealing treatments show a concave shape from the GaAs surface. Diffusion constants of sulfur determined using the Boltzmann-Matano technique increase with the decrease of sulfur concentration via the depth from the surface of GaAs. This suggests that immobile sulfur donor SAs+ forms at the near surface interacts with a Ga divacancy, and results in the production of mobile As interstitials, IAs. The IAs moves fast toward the inside of GaAs and kickout the SAs+ donor, producing a fast diffusing species of interstitial S atoms. The diffusion coefficients of sulfur determined are 2.5×10-14 cm2/s at 840 °C and 5×10-12 cm2/s at 900 °C. The sulfur doping technique is applied to the fabrication of metal-semiconductor field-effect transistors (MESFETs). The MESFETs with 1.0 μm gate length exhibit transconductance of 190 mS/mm, demonstrating the applicability of this technique to the formation of active channel layer of MESFETs.

  17. GaAs buffer layer technique for vertical nanowire growth on Si substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xu, Xiaoqing, E-mail: steelxu@stanford.edu; Parizi, Kokab B.; Huo, Yijie

    2014-02-24

    Gold catalyzed vapor-liquid-solid method is widely applied to III–V nanowire (NW) growth on Si substrate. However, the easy oxidation of Si, possible Si contamination in the NWs, high defect density in the NWs, and high sensitivity of the NW morphology to growth conditions largely limit its controllability. In this work, we developed a buffer layer technique by introducing a GaAs thin film with predefined polarity as a template. It is found that samples grown on these buffer layers all have high vertical NW yields in general, due to the single-orientation of the buffer layers. Low temperature buffer with smoother surfacemore » leads to highest yield of vertical NWs, while high temperature (HT) buffer with better crystallinity results in perfect NW quality. The defect-free property we observed here is very promising for optoelectronic device applications based on GaAs NW. Moreover, the buffer layers can eliminate Si contamination by preventing Si-Au alloy formation and by increasing the thickness of the Si diffusion barrier, thus providing more flexibility to vertical NW growth. The buffer layer technique we demonstrated here could be easily extended to other III-V on Si system for electronic and photonic applications.« less

  18. Nanostructured GaAs solar cells via metal-assisted chemical etching of emitter layers.

    PubMed

    Song, Yunwon; Choi, Keorock; Jun, Dong-Hwan; Oh, Jungwoo

    2017-10-02

    GaAs solar cells with nanostructured emitter layers were fabricated via metal-assisted chemical etching. Au nanoparticles produced via thermal treatment of Au thin films were used as etch catalysts to texture an emitter surface with nanohole structures. Epi-wafers with emitter layers 0.5, 1.0, and 1.5 um in thickness were directly textured and a window layer removal process was performed before metal catalyst deposition. A nanohole-textured emitter layer provides effective light trapping capabilities, reducing the surface reflection of a textured solar cell by 11.0%. However, because the nanostructures have high surface area to volume ratios and large numbers of defects, various photovoltaic properties were diminished by high recombination losses. Thus, we have studied the application of nanohole structures to GaAs emitter solar cells and investigated the cells' antireflection and photovoltaic properties as a function of the nanohole structure and emitter thickness. Due to decreased surface reflection and improved shunt resistance, the solar cell efficiency increased from 4.25% for non-textured solar cells to 7.15% for solar cells textured for 5 min.

  19. Planar regions of GaAs (001) prepared by Ga droplet motion

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zheng, Changxi, E-mail: changxi.zheng@monash.edu; Tang, Wen-Xin; Jesson, David E., E-mail: jessonDE@cardiff.ac.uk

    2016-07-15

    The authors describe a simple method for obtaining planar regions of GaAs (001) suitable for surface science studies. The technique, which requires no buffer layer growth, atomic hydrogen source, or the introduction of As flux, employs controllable Ga droplet motion to create planar trail regions during Langmuir evaporation. Low-energy electron microscopy/diffraction techniques are applied to monitor the droplet motion and characterize the morphology and the surface reconstruction. It is found that the planar regions exhibit atomic flatness at the level of a high-quality buffer layer.

  20. Outdiffusion of recombination centers from the substrate into LPE layers - GaAs

    NASA Technical Reports Server (NTRS)

    Jastrzebski, L.; Lagowski, J.; Gatos, H. C.

    1979-01-01

    Experimental results are presented showing that outdiffusion of recombination centers from the GaAs substrate into the epitaxial layer takes place during growth. Such outdiffusion decreases the carrier lifetime in the epitaxial layer to much lower values than the radiative recombination limit. Furthermore, it introduces a lifetime gradient across the epitaxial layer which depends critically on the growth velocity and thermal treatment. High rates of growth (such as those attainable in electroepitaxy) and high cooling rates can minimize the adverse effects of normally available substrates on the epitaxial layers; however, good quality substrates are essential for the consistent growth of device quality layers.

  1. Formation and photoluminescence of GaAs1-xNx dilute nitride achieved by N-implantation and flash lamp annealing

    NASA Astrophysics Data System (ADS)

    Gao, Kun; Prucnal, S.; Skorupa, W.; Helm, M.; Zhou, Shengqiang

    2014-07-01

    In this paper, we present the fabrication of dilute nitride semiconductor GaAs1-xNx by nitrogen-ion-implantation and flash lamp annealing (FLA). N was implanted into the GaAs wafers with atomic concentration of about ximp1 = 0.38% and ximp2 = 0.76%. The GaAs1-xNx layer is regrown on GaAs during FLA treatment in a solid phase epitaxy process. Room temperature near band-edge photoluminescence (PL) has been observed from the FLA treated GaAs1-xNx samples. According to the redshift of the near band-edge PL peak, up to 80% and 44% of the implanted N atoms have been incorporated into the lattice by FLA for ximp1 = 0.38% and ximp2 = 0.76%, respectively. Our investigation shows that ion implantation followed by ultrashort flash lamp treatment, which allows for large scale production, exhibits a promising prospect on bandgap engineering of GaAs based semiconductors.

  2. Modified energetics and growth kinetics on H-terminated GaAs (110)

    NASA Astrophysics Data System (ADS)

    Galiana, B.; Benedicto, M.; Díez-Merino, L.; Lorbek, S.; Hlawacek, G.; Teichert, C.; Tejedor, P.

    2013-10-01

    Atomic hydrogen modification of the surface energy of GaAs (110) epilayers, grown at high temperatures from molecular beams of Ga and As4, has been investigated by friction force microscopy (FFM). The reduction of the friction force observed with longer exposures to the H beam has been correlated with the lowering of the surface energy originated by the progressive de-relaxation of the GaAs (110) surface occurring upon H chemisorption. Our results indicate that the H-terminated GaAs (110) epilayers are more stable than the As-stabilized ones, with the minimum surface energy value of 31 meV/Å2 measured for the fully hydrogenated surface. A significant reduction of the Ga diffusion length on the H-terminated surface irrespective of H coverage has been calculated from the FFM data, consistent with the layer-by-layer growth mode and the greater As incorporation coefficient determined from real-time reflection high-energy electron diffraction studies. Arsenic incorporation through direct dissociative chemisorption of single As4 molecules mediated by H on the GaAs (110) surface has been proposed as the most likely explanation for the changes in surface kinetics observed.

  3. Theoretical and experimental study of highly textured GaAs on silicon using a graphene buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alaskar, Yazeed; Arafin, Shamsul; Lin, Qiyin

    2015-09-01

    A novel heteroepitaxial growth technique, quasi-van der Waals epitaxy, promises the ability to deposit three-dimensional GaAs materials on silicon using two-dimensional graphene as a buffer layer by overcoming the lattice and thermal expansion mismatch. In this study, density functional theory (DFT) simulations were performed to understand the interactions at the GaAs/graphene hetero-interface as well as the growth orientations of GaAs on graphene. To develop a better understanding of the molecular beam epitaxy-grown GaAs films on graphene, samples were characterized by x-ray diffraction (..theta..-2..theta.. scan, ..omega..-scan, grazing incidence XRD and pole figure measurement) and transmission electron microscopy. The realizations of smoothmore » GaAs films with a strong (111) oriented fiber-texture on graphene/silicon using this deposition technique are a milestone towards an eventual demonstration of the epitaxial growth of GaAs on silicon, which is necessary for integrated photonics application.« less

  4. ALD Al2O3 passivation of Lg = 100 nm metamorphic InAlAs/InGaAs HEMTs with Si-doped Schottky layers on GaAs substrates

    NASA Astrophysics Data System (ADS)

    Sun, Bing; Chang, Hudong; Wang, Shengkai; Niu, Jiebin; Liu, Honggang

    2017-12-01

    In0.52Al0.48As/In0.7Ga0.3As metamorphic high-electron-mobility transistors (mHEMTs) on GaAs substrates have been demonstrated. The devices feature an epitaxial structure with Si-doped InP/In0.52Al0.48As Schottky layers, together with an atomic layer deposition (ALD) Al2O3 passivation process. In comparison to the GaAs mHEMTs with plasma enhanced chemical vapor deposition (PECVD) SiN passivation, the devices with ALD Al2O3 passivation exhibit more than one order of magnitude lower gate leakage current (Jg) and much lower contact resistance (RC) and specific contact resistivity (ρC). 100-nm gate length (Lg) In0.52Al0.48As/In0.7Ga0.3As mHEMTs with Si-doped InP/In0.52Al0.48As Schottky layers and ALD Al2O3 passivation exhibit excellent DC and RF characteristics, such as a maximum oscillation frequency (fmax) of 388.2 GHz.

  5. Optical design of ZnO-based antireflective layers for enhanced GaAs solar cell performance.

    PubMed

    Lee, Hye Jin; Lee, Jae Won; Kim, Hee Jun; Jung, Dae-Han; Lee, Ki-Suk; Kim, Sang Hyeon; Geum, Dae-myeong; Kim, Chang Zoo; Choi, Won Jun; Baik, Jeong Min

    2016-01-28

    A series of hierarchical ZnO-based antireflection coatings with different nanostructures (nanowires and nanosheets) is prepared hydrothermally, followed by means of RF sputtering of MgF2 layers for coaxial nanostructures. Structural analysis showed that both ZnO had a highly preferred orientation along the 〈0001〉 direction with a highly crystalline MgF2 shell coated uniformly. However, a small amount of Al was present in nanosheets, originating from Al diffusion from the Al seed layer, resulting in an increase of the optical bandgap. Compared with the nanosheet-based antireflection coatings, the nanowire-based ones exhibited a significantly lower reflectance (∼2%) in ultraviolet and visible light wavelength regions. In particular, they showed perfect light absorption at wavelength less than approximately 400 nm. However, a GaAs single junction solar cell with nanosheet-based antireflection coatings showed the largest enhancement (43.9%) in power conversion efficiency. These results show that the increase of the optical bandgap of the nanosheets by the incorporation of Al atoms allows more photons enter the active region of the solar cell, improving the performance.

  6. STM studies of an atomic-scale gate electrode formed by a single charged vacancy in GaAs

    NASA Astrophysics Data System (ADS)

    Lee, Donghun; Daughton, David; Gupta, Jay

    2009-03-01

    Electric-field control of spin-spin interactions at the atomic level is desirable for the realization of spintronics and spin-based quantum computation. Here we demonstrate the realization of an atomic-scale gate electrode formed by a single charged vacancy on the GaAs(110) surface[1]. We can position these vacancies with atomic precision using the tip of a home-built, low temperature STM. Tunneling spectroscopy of single Mn acceptors is used to quantify the electrostatic field as a function of distance from the vacancy. Single Mn acceptors are formed by substituting Mn adatoms for Ga atoms in the first layer of the p-GaAs(110) surface[2]. Depending on the distance, the in-gap resonance of single Mn acceptors can shift as much as 200meV. Our data indicate that the electrostatic field decays according to a screened Coulomb potential. The charge state of the vacancy can be switched to neutral, as evidenced by the Mn resonance returning to its unperturbed position. Reversible control of the local electric field as well as charged states of defects in semiconductors can open new insights such as realizing an atomic-scale gate control and studying spin-spin interactions in semiconductors. http://www.physics.ohio-state.edu/sim jgupta [1] D. Lee and J.A. Gupta (in preparation) [2] D. Kitchen et al., Nature 442, 436-439 (2006)

  7. Atomic-scale luminescence measurement and theoretical analysis unveiling electron energy dissipation at a p-type GaAs(110) surface.

    PubMed

    Imada, Hiroshi; Miwa, Kuniyuki; Jung, Jaehoon; Shimizu, Tomoko K; Yamamoto, Naoki; Kim, Yousoo

    2015-09-11

    Luminescence of p-type GaAs was induced by electron injection from the tip of a scanning tunnelling microscope into a GaAs(110) surface. Atomically-resolved photon maps revealed a significant reduction in luminescence intensity at surface electronic states localized near Ga atoms. Theoretical analysis based on first principles calculations and a rate equation approach was performed to describe the perspective of electron energy dissipation at the surface. Our study reveals that non-radiative recombination through the surface states (SS) is a dominant process for the electron energy dissipation at the surface, which is suggestive of the fast scattering of injected electrons into the SS.

  8. Overcoming Ehrlich-Schwöbel barrier in (1 1 1)A GaAs molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Ritzmann, Julian; Schott, Rüdiger; Gross, Katherine; Reuter, Dirk; Ludwig, Arne; Wieck, Andreas D.

    2018-01-01

    In this work, we first study the effect of different growth parameters on the molecular beam epitaxy (MBE) growth of GaAs layers on (1 1 1)A oriented substrates. After that we present a method for the MBE growth of atomically smooth layers by sequences of growth and annealing phases. The samples exhibit low surface roughness and good electrical properties shown by atomic force microscopy (AFM), scanning electron microscopy (SEM) and van-der-Pauw Hall measurements.

  9. Design optimization of GaAs betavoltaic batteries

    NASA Astrophysics Data System (ADS)

    Chen, Haiyanag; Jiang, Lan; Chen, Xuyuan

    2011-06-01

    GaAs junctions are designed and fabricated for betavoltaic batteries. The design is optimized according to the characteristics of GaAs interface states and the diffusion length in the depletion region of GaAs carriers. Under an illumination of 10 mCi cm-2 63Ni, the open circuit voltage of the optimized batteries is about ~0.3 V. It is found that the GaAs interface states induce depletion layers on P-type GaAs surfaces. The depletion layer along the P+PN+ junction edge isolates the perimeter surface from the bulk junction, which tends to significantly reduce the battery dark current and leads to a high open circuit voltage. The short circuit current density of the optimized junction is about 28 nA cm-2, which indicates a carrier diffusion length of less than 1 µm. The overall results show that multi-layer P+PN+ junctions are the preferred structures for GaAs betavoltaic battery design.

  10. Mode-locking of an InAs Quantum Dot Based Vertical External Cavity Surface Emitting Laser Using Atomic Layer Graphene

    DTIC Science & Technology

    2015-07-16

    SECURITY CLASSIFICATION OF: The InAs quantum dot (QD) grown on GaAs substrates represents a highly performance active region in the 1 - 1.3 µm...2015 Approved for Public Release; Distribution Unlimited Final Report: Mode-locking of an InAs Quantum Dot Based Vertical External Cavity Surface...ABSTRACT Final Report: Mode-locking of an InAs Quantum Dot Based Vertical External Cavity Surface Emitting Laser Using Atomic Layer Graphene Report

  11. Efficient Ga(As)Sb quantum dot emission in AlGaAs by GaAs intermediate layer

    NASA Astrophysics Data System (ADS)

    Loeber, Thomas Henning; Richter, Johannes; Strassner, Johannes; Heisel, Carina; Kimmle, Christina; Fouckhardt, Henning

    2013-03-01

    Ga(As)Sb quantum dots (QDs) are epitaxially grown in AlGaAs/GaAs in the Stranski-Krastanov mode. In the recent past we achieved Ga(As)Sb QDs in GaAs with an extremely high dot density of 9.8•1010 cm-2 by optimization of growth temperature, Sb/Ga flux pressure ratio, and coverage. Additionally, the QD emission wavelength could be chosen precisely with these growth parameters in the range between 876 and 1035 nm. Here we report a photoluminescence (PL) intensity improvement for the case with AlGaAs barriers. Again growth parameters and layer composition are varied. The aluminium content is varied between 0 and 90%. Reflectance anisotropy spectroscopy (RAS) is used as insitu growth control to determine growth rate, layer thickness, and AlGaAs composition. Ga(As)Sb QDs, directly grown in AlxGa1-xAs emit no PL signal, even with a very low x ≈ 0.1. With additional around 10 nm thin GaAs intermediate layers between the Ga(As)Sb QDs and the AlGaAs barriers PL signals are detected. Samples with 4 QD layers and AlxGa1-xAs/GaAs barriers in between are grown. The thickness and composition of the barriers are changed. Depending on these values PL intensity is more than 4 times as high as in the case with simple GaAs barriers. With these results efficient Ga(As)Sb QD lasers are realized, so far only with pure GaAs barriers. Our index-guided broad area lasers operate continuous-wave (cw) @ 90 K, emit optical powers of more than 2•50 mW and show a differential quantum efficiency of 54% with a threshold current density of 528 A/cm2.

  12. Image quality of a pixellated GaAs X-ray detector

    NASA Astrophysics Data System (ADS)

    Sun, G. C.; Makham, S.; Bourgoin, J. C.; Mauger, A.

    2007-02-01

    X-ray detection requires materials with large atomic numbers Z in order to absorb the radiation efficiently. In case of X-ray imaging, fluorescence is a limiting factor for the spatial resolution and contrast at energies above the kα threshold. Since both the energy and yield of the fluorescence of a given material increase with the atomic number, there is an optimum value of Z. GaAs, which can now be epitaxially grown as self-supported thick layers to fulfil the requirements for imaging (good homogeneity of the electronic properties) corresponds to this optimum. Image performances obtained with this material are evaluated in terms of line spread function and modulation transfer function, and a comparison with CsI is made. We evaluate the image contrast obtained for a given object contrast with GaAs and CsI detectors, in the photon energy range of medical applications. Finally, we discuss the minimum object size, which can be detected by these detectors in of mammography conditions. This demonstrates that an object of a given size can be detected using a GaAs detector with a dose at least 100 times lower than using a CsI detector.

  13. Biexciton emission from single isoelectronic traps formed by nitrogen-nitrogen pairs in GaAs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Takamiya, Kengo; Fukushima, Toshiyuki; Yagi, Shuhei

    2013-12-04

    We have studied photoluminescence (PL) from individual isoelectronic traps formed by nitrogen-nitrogen (NN) pairs in GaAs. Sharp emission lines due to exciton and biexciton were observed from individual isoelectronic traps in nitrogen atomic-layer doped (ALD) GaAs. The binding energy of biexciton bound to individual isoelectronic traps was approximately 8 meV. Both the exciton and biexciton luminescence lines show completely random polarization and no fine-structure splitting. These results are desirable to the application to the quantum cryptography used in the field of quantum information technology.

  14. Acoustic resonator with Al electrodes on an AlN layer and using a GaAs substrate

    DOEpatents

    Kline, Gerald R.; Lakin, Kenneth M.

    1985-12-03

    A method of fabricating an acoustic wave resonator wherein all processing steps are accomplished from a single side of said substrate. The method involves deposition of a multi-layered Al/AlN structure on a GaAs substrate followed by a series of fabrication steps to define a resonator from said composite. The resulting resonator comprises an AlN layer between two Al layers and another layer of AlN on an exterior of one of said Al layers.

  15. Correlation study of sodium-atom chemisorption on the GaAs(110) surface

    NASA Astrophysics Data System (ADS)

    Song, K. M.; Khan, D. C.; Ray, A. K.

    1994-01-01

    Different possible adsorption sites of sodium atoms on a gallium arsenide surface have been investigated using ab initio self-consistent unrestricted Hartree-Fock total-energy cluster calculations with Hay-Wadt effective core potentials. The effects of electron correlation have been included by invoking the concepts of many-body perturbation theory and are found to be highly significant. We find that the Na-atom adsorption at a site modeled with an NaGa5As4H12 cluster is most favored energetically followed by Na adsorption at the site modeled with the NaGa4As5H12 cluster. The effects of charge transfer from Na to the GaAs surface as also possibilities of metallization are also analyzed and discussed.

  16. Field-Dependent Measurement of GaAs Composition by Atom Probe Tomography.

    PubMed

    Di Russo, Enrico; Blum, Ivan; Houard, Jonathan; Da Costa, Gérald; Blavette, Didier; Rigutti, Lorenzo

    2017-12-01

    The composition of GaAs measured by laser-assisted atom probe tomography may be inaccurate depending on the experimental conditions. In this work, we assess the role of the DC field and the impinging laser energy on such compositional bias. The DC field is found to have a major influence, while the laser energy has a weaker one within the range of parameters explored. The atomic fraction of Ga may vary from 0.55 at low-field conditions to 0.35 at high field. These results have been interpreted in terms of preferential evaporation of Ga at high field. The deficit of As is most likely explained by the formation of neutral As complexes either by direct ejection from the tip surface or upon the dissociation of large clusters. The study of multiple detection events supports this interpretation.

  17. Heteroepitaxial growth of GaAs on (100) Ge/Si using migration enhanced epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tanoto, H.; Loke, W. K.; Yoon, S. F.

    In this paper, heteroepitaxial growth of GaAs on nominal (100) Ge/Si substrate was investigated. The root-mean square surface roughness of the sample where the first few monolayers of the GaAs were nucleated by migration enhanced epitaxy (MEE) is four times smaller compared to the sample without such a process, indicating better surface planarity. From the (004) x-ray diffraction rocking curve measurement, the full width at half maximum of the GaAs layer nucleated by MEE is 40% lower compared to that of the GaAs layer without such a process, indicating better crystal quality. Furthermore, it was found that the sample wheremore » the GaAs layer was nucleated by MEE experienced early relaxation. As the MEE process promotes two-dimensional growth, the GaAs layer where nucleation was initiated by such a process has fewer islandlike formations. This leads to a pseudomorphically grown GaAs layer, which experiences higher strain compared to the GaAs layer with more islandlike formations, where most relaxation occurs on the free surface of the islands. Therefore, for the same layer thickness, the GaAs layer on (100) Ge/Si substrate where nucleation was initiated by MEE relaxed first.« less

  18. Demonstrating antiphase domain boundary-free GaAs buffer layer on zero off-cut Si (0 0 1) substrate for interfacial misfit dislocation GaSb film by metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Ha, Minh Thien Huu; Hoang Huynh, Sa; Binh Do, Huy; Nguyen, Tuan Anh; Luc, Quang Ho; Chang, Edward Yi

    2017-08-01

    High quality 40 nm GaSb thin film was grown on the zero off-cut Si (0 0 1)-oriented substrate using metalorganic chemical vapor deposition with the temperature-graded GaAs buffer layer. The growth time of the GaAs nucleation layer, which was deposited at a low temperature of 490 °C, is systematically investigated in this paper. Cross-sections of the high resolution transmission electron microscopy images indicate that the GaAs compound formed 3D-islands first before to quasi-2D islands, and finally formed uniform GaAs layer. The optimum thickness of the 490 °C-GaAs layer was found to be 10 nm to suppress the formation of antiphase domain boundaries (APDs). The thin GaAs nucleation layer had a root-mean-square surface roughness of 0.483 nm. This allows the continued high temperature GaAs buffer layer to be achieved with low threading dislocation density of around 7.1  ×  106 cm-2 and almost invisible APDs. Finally, a fully relaxed GaSb film was grown on the top of the GaAs/Si heterostructure using interfacial misfit dislocation growth mode. These results indicate that the GaSb epitaxial layer can be grown on Si substrate with GaAs buffer layer for future p-channel metal-oxide-semiconductor field effect transistors (MOSFETs) applications.

  19. Structural properties of GaAsN grown on (001) GaAs by metalorganic molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Ok, Young-Woo; Choi, Chel-Jong; Seong, Tae-Yeon; Uesugi, K.; Suemune, I.

    2001-07-01

    Detailed transmission electron microscopy (TEM) and transmission electron diffraction (TED) examination has been made of metalorganic molecular beam epitaxial GaAsN layers grown on (001) GaAs substrates. TEM results show that lateral composition modulation occurs in the GaAs1-xNx layer (x 6.75%). It is shown that increasing N composition and Se (dopant) concentration leads to poor crystallinity. It is also shown that the addition of Se increases N composition. Atomic force microscopy (AFM) results show that the surfaces of the samples experience a morphological change from faceting to islanding, as the N composition and Se concentration increase. Based on the TEM and AFM results, a simple model is given to explain the formation of the lateral composition modulation.

  20. Design and fabrication of GaAs OMIST photodetector

    NASA Astrophysics Data System (ADS)

    Kang, Xuejun; Lin, ShiMing; Liao, Qiwei; Gao, Junhua; Liu, Shi'an; Cheng, Peng; Wang, Hongjie; Zhang, Chunhui; Wang, Qiming

    1998-08-01

    We designed and fabricated GaAs OMIST (Optical-controlled Metal-Insulator-Semiconductor Thyristor) device. Using oxidation of AlAs layer that is grown by MBE forms the Ultra- Thin semi-Insulating layer (UTI) of the GAAS OMIST. The accurate control and formation of high quality semi-insulating layer (AlxOy) are the key processes for fabricating GaAs OMIST. The device exhibits a current-controlled negative resistance region in its I-V characteristics. When illuminated, the major effect of optical excitation is the reduction of the switching voltage. If the GaAs OMIST device is biased at a voltage below its dark switching voltage Vs, sufficient incident light can switch OMIST from high impedance low current 'off' state to low impedance high current 'on' state. The absorbing material of OMIST is GaAS, so if the wavelength of incident light within 600 to approximately 850 nm can be detected effectively. It is suitable to be used as photodetector for digital optical data process. The other attractive features of GaAs OMIST device include suitable conducted current, switching voltage and power levels for OEIC, high switch speed and high sensitivity to light or current injection.

  1. Ultra-thin GaAs single-junction solar cells integrated with a reflective back scattering layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Weiquan; Becker, Jacob; Liu, Shi

    2014-05-28

    This paper reports the proposal, design, and demonstration of ultra-thin GaAs single-junction solar cells integrated with a reflective back scattering layer to optimize light management and minimize non-radiative recombination. According to our recently developed semi-analytical model, this design offers one of the highest potential achievable efficiencies for GaAs solar cells possessing typical non-radiative recombination rates found among commercially available III-V arsenide and phosphide materials. The structure of the demonstrated solar cells consists of an In{sub 0.49}Ga{sub 0.51}P/GaAs/In{sub 0.49}Ga{sub 0.51}P double-heterostructure PN junction with an ultra-thin 300 nm thick GaAs absorber, combined with a 5 μm thick Al{sub 0.52}In{sub 0.48}P layer with amore » textured as-grown surface coated with Au used as a reflective back scattering layer. The final devices were fabricated using a substrate-removal and flip-chip bonding process. Solar cells with a top metal contact coverage of 9.7%, and a MgF{sub 2}/ZnS anti-reflective coating demonstrated open-circuit voltages (V{sub oc}) up to 1.00 V, short-circuit current densities (J{sub sc}) up to 24.5 mA/cm{sup 2}, and power conversion efficiencies up to 19.1%; demonstrating the feasibility of this design approach. If a commonly used 2% metal grid coverage is assumed, the anticipated J{sub sc} and conversion efficiency of these devices are expected to reach 26.6 mA/cm{sup 2} and 20.7%, respectively.« less

  2. Characterization of reclaimed GaAs substrates and investigation of reuse for thin film InGaAlP LED epitaxial growth

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Englhard, M.; Klemp, C.; Behringer, M.

    This study reports a method to reuse GaAs substrates with a batch process for thin film light emitting diode (TF-LED) production. The method is based on an epitaxial lift-off technique. With the developed reclaim process, it is possible to get an epi-ready GaAs surface without additional time-consuming and expensive grinding/polishing processes. The reclaim and regrowth process was investigated with a one layer epitaxial test structure. The GaAs surface was characterized by an atomic force microscope directly after the reclaim process. The crystal structure of the regrown In{sub 0.5}(Ga{sub 0.45}Al{sub 0.55}){sub 0.5}P (Q{sub 55}) layer was investigated by high resolution x-raymore » diffraction and scanning transmission electron microscopy. In addition, a complete TF-LED grown on reclaimed GaAs substrates was electro-optically characterized on wafer level. The crystal structure of the epitaxial layers and the performance of the TF-LED grown on reclaimed substrates are not influenced by the developed reclaim process. This process would result in reducing costs for LEDs and reducing much arsenic waste for the benefit of a green semiconductor production.« less

  3. Observation of linear I-V curves on vertical GaAs nanowires with atomic force microscope

    NASA Astrophysics Data System (ADS)

    Geydt, P.; Alekseev, P. A.; Dunaevskiy, M.; Lähderanta, E.; Haggrén, T.; Kakko, J.-P.; Lipsanen, H.

    2015-12-01

    In this work we demonstrate the possibility of studying the current-voltage characteristics for single vertically standing semiconductor nanowires on standard AFM equipped by current measuring module in PeakForce Tapping mode. On the basis of research of eight different samples of p-doped GaAs nanowires grown on different GaAs substrates, peculiar electrical effects were revealed. It was found how covering of substrate surface by SiOx layer increases the current, as well as phosphorous passivation of the grown nanowires. Elimination of the Schottky barrier between golden cap and the top parts of nanowires was observed. It was additionally studied that charge accumulation on the shell of single nanowires affects its resistivity and causes the hysteresis loops on I-V curves.

  4. Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing.

    PubMed

    Shih, Huan-Yu; Lee, Wei-Hao; Kao, Wei-Chung; Chuang, Yung-Chuan; Lin, Ray-Ming; Lin, Hsin-Chih; Shiojiri, Makoto; Chen, Miin-Jang

    2017-01-03

    Low-temperature epitaxial growth of AlN ultrathin films was realized by atomic layer deposition (ALD) together with the layer-by-layer, in-situ atomic layer annealing (ALA), instead of a high growth temperature which is needed in conventional epitaxial growth techniques. By applying the ALA with the Ar plasma treatment in each ALD cycle, the AlN thin film was converted dramatically from the amorphous phase to a single-crystalline epitaxial layer, at a low deposition temperature of 300 °C. The energy transferred from plasma not only provides the crystallization energy but also enhances the migration of adatoms and the removal of ligands, which significantly improve the crystallinity of the epitaxial layer. The X-ray diffraction reveals that the full width at half-maximum of the AlN (0002) rocking curve is only 144 arcsec in the AlN ultrathin epilayer with a thickness of only a few tens of nm. The high-resolution transmission electron microscopy also indicates the high-quality single-crystal hexagonal phase of the AlN epitaxial layer on the sapphire substrate. The result opens a window for further extension of the ALD applications from amorphous thin films to the high-quality low-temperature atomic layer epitaxy, which can be exploited in a variety of fields and applications in the near future.

  5. Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing

    PubMed Central

    Shih, Huan-Yu; Lee, Wei-Hao; Kao, Wei-Chung; Chuang, Yung-Chuan; Lin, Ray-Ming; Lin, Hsin-Chih; Shiojiri, Makoto; Chen, Miin-Jang

    2017-01-01

    Low-temperature epitaxial growth of AlN ultrathin films was realized by atomic layer deposition (ALD) together with the layer-by-layer, in-situ atomic layer annealing (ALA), instead of a high growth temperature which is needed in conventional epitaxial growth techniques. By applying the ALA with the Ar plasma treatment in each ALD cycle, the AlN thin film was converted dramatically from the amorphous phase to a single-crystalline epitaxial layer, at a low deposition temperature of 300 °C. The energy transferred from plasma not only provides the crystallization energy but also enhances the migration of adatoms and the removal of ligands, which significantly improve the crystallinity of the epitaxial layer. The X-ray diffraction reveals that the full width at half-maximum of the AlN (0002) rocking curve is only 144 arcsec in the AlN ultrathin epilayer with a thickness of only a few tens of nm. The high-resolution transmission electron microscopy also indicates the high-quality single-crystal hexagonal phase of the AlN epitaxial layer on the sapphire substrate. The result opens a window for further extension of the ALD applications from amorphous thin films to the high-quality low-temperature atomic layer epitaxy, which can be exploited in a variety of fields and applications in the near future. PMID:28045075

  6. Structures, Properties and Defects of SrTiO3/GaAs Hetero-interfaces

    NASA Astrophysics Data System (ADS)

    Hong, Liang; Bhatnagar, Kunal; Droopad, Ravi; Öğüt, Serdar; Klie, Robert

    SrTiO3 thin film can be epitaxially grown on GaAs substrate and used as a platform for growing other oxides to create functional metal-oxide-semiconductor devices, where a high-quality SrTiO3/GaAs interface is essential. We studied the structural and electronic properties of SrTiO3/GaAs hetero-interfaces at atomic level using scanning transmission electron microscopy and first-principles calculations. Our results suggest the preferred termination of GaAs (001) is significantly dependent on the oxygen concentration in the first oxide layer. The favorable interface structure is characterized as oxygen-deficient SrO in contact with arsenic and is observed in both experiment and simulation. The electronic properties are calculated and found to be tunable by interfacial defects such as oxygen, gallium and arsenic vacancies. This work was supported by the National Science Foundation (Grant No. DMR-1408427). This work made use of instruments in the Electron Microscopy Service and the High Performance Computing Clusters at University of Illinois at Chicago.

  7. Studies of molecular-beam epitaxy growth of GaAs on porous Si substrates

    NASA Technical Reports Server (NTRS)

    Mii, Y. J.; Kao, Y. C.; Wu, B. J.; Wang, K. L.; Lin, T. L.; Liu, J. K.

    1988-01-01

    GaAs has been grown on porous Si directly and on Si buffer layer-porous Si substrates by molecular-beam epitaxy. In the case of GaAs growth on porous Si, transmission electron microscopy (TEM) reveals that the dominant defects in GaAs layers grown on porous Si are microtwins and stacking faults, which originate from the GaAs/porous Si interface. GaAs is found to penetrate into the porous Si layers. By using a thin Si buffer layer (50 nm), GaAs penetration diminishes and the density of microtwins and stacking faults is largely reduced and localized at the GaAs/Si buffer interface. However, there is a high density of threading dislocations remaining. Both Si (100) aligned and four degree tilted substrates have been examined in this study. TEM results show no observable effect of the tilted substrates on the quality of the GaAs epitaxial layer.

  8. Atomic structure of the GaAs(001)-c(4x4) surface: first-principles evidence for diversity of heterodimer motifs.

    PubMed

    Penev, E; Kratzer, P; Scheffler, M

    2004-10-01

    The GaAs(001)-c(4x4) surface was studied using ab initio atomistic thermodynamics based on density-functional theory calculations. We demonstrate that in a range of stoichiometries, between those of the conventional three As-dimer and the new three Ga-As-dimer models, there exists a diversity of atomic structures featuring Ga-As heterodimers. These results fully explain the experimental scanning tunneling microscopy images and are likely to be relevant also to the c(4x4)-reconstructed (001) surfaces of other III-V semiconductors.

  9. Structure of high-index GaAs surfaces - the discovery of the stable GaAs(2511) surface

    NASA Astrophysics Data System (ADS)

    Jacobi, K.; Geelhaar, L.; Márquez, J.

    We present a brief overview of surface structures of high-index GaAs surfaces, putting emphasis on recent progress in our own laboratory. By adapting a commercial scanning tunneling microscope (STM) to our molecular beam epitaxy and ultra high vacuum analysis chamber system, we have been able to atomically resolve the GaAs( {1} {1} {3})B(8 ×1), (114)Aα2(2×1), (137), (3715), and (2511) surface structures. In cooperation with P. Kratzer and M. Scheffler from the Theory Department of the Fritz-Haber Institute we determined the structure of some of these surfaces by comparing total-energy calculations and STM image simulations with the atomically resolved STM images. We present the results for the {112}, {113}, and {114} surfaces. Then we describe what led us to proceed into the inner parts of the stereographic triangle and to discover the hitherto unknown stable GaAs(2511) surface.

  10. GaAs photoconductive semiconductor switch

    DOEpatents

    Loubriel, Guillermo M.; Baca, Albert G.; Zutavern, Fred J.

    1998-01-01

    A high gain, optically triggered, photoconductive semiconductor switch (PCSS) implemented in GaAs as a reverse-biased pin structure with a passivation layer above the intrinsic GaAs substrate in the gap between the two electrodes of the device. The reverse-biased configuration in combination with the addition of the passivation layer greatly reduces surface current leakage that has been a problem for prior PCSS devices and enables employment of the much less expensive and more reliable DC charging systems instead of the pulsed charging systems that needed to be used with prior PCSS devices.

  11. GaAs photoconductive semiconductor switch

    DOEpatents

    Loubriel, G.M.; Baca, A.G.; Zutavern, F.J.

    1998-09-08

    A high gain, optically triggered, photoconductive semiconductor switch (PCSS) implemented in GaAs as a reverse-biased pin structure with a passivation layer above the intrinsic GaAs substrate in the gap between the two electrodes of the device is disclosed. The reverse-biased configuration in combination with the addition of the passivation layer greatly reduces surface current leakage that has been a problem for prior PCSS devices and enables employment of the much less expensive and more reliable DC charging systems instead of the pulsed charging systems that needed to be used with prior PCSS devices. 5 figs.

  12. New Passivation Methods of GaAs.

    DTIC Science & Technology

    1980-01-01

    Fabrication of Thin Nitride Layers on GaAs 33 - 35 CHAPTER 7 Passivation of InGaAsP 36 - 37 CHAPTER 8 Emulsions on GaAs Surfaces 38 - 42 APPENDIX...not yet given any useful results. The deposition of SiO2 by using emulsions is pursued and first results on the possibility of GaAs doping are...glycol-tartaric acid based aqueous solution was used in order to anodically oxidise the gate notch after the source and drain ohmic contacts were formed

  13. Photoluminescence and capacitance voltage characterization of GaAs surface passivated by an ultrathin GaN interface control layer

    NASA Astrophysics Data System (ADS)

    Anantathanasarn, Sanguan; Hasegawa, Hideki

    2002-05-01

    A novel surface passivation technique for GaAs using an ultrathin GaN interface control layer (GaN ICL) formed by surface nitridation was characterized by ultrahigh vacuum (UHV) photoluminescence (PL) and capacitance-voltage ( C- V) measurements. The PL quantum efficiency was dramatically enhanced after being passivated by the GaN ICL structure, reaching as high as 30 times of the initial clean GaAs surface. Further analysis of PL data was done by the PL surface state spectroscopy (PLS 3) simulation technique. PL and C- V results are in good agreement indicating that ultrathin GaN ICL reduces the gap states and unpins the Fermi level, realizing a wide movement of Fermi level within the midgap region and reduction of the effective surface recombination velocity by a factor of 1/60. GaN layer also introduced a large negative surface fixed charge of about 10 12 cm -2. A further improvement took place by depositing a Si 3N 4 layer on GaN ICL/GaAs structure.

  14. Raman scattering studies of strain effects in (100) and (311)B GaAs1-xBix epitaxial layers

    NASA Astrophysics Data System (ADS)

    Steele, J. A.; Lewis, R. A.; Henini, M.; Lemine, O. M.; Alkaoud, A.

    2013-11-01

    We report room-temperature Raman studies of strained (100) and (311)B GaAs1-xBix epitaxial layers for x ≤ 0.039. The Raman spectra exhibit a two-mode behavior, as well as disorder-activated GaAs-like phonons. The experimental results show that the GaAs-like LO(Γ) mode experiences a strong composition-dependent redshift as a result of alloying. The peak frequency decreases linearly from the value for pure GaAs (˜293 cm-1) with the alloyed Bi fraction x and the introduced in-plane lattice strain ɛ∥, by ΔωLO=Δωalloy-Δωstrain. X-ray diffraction measurements are used to determine x and ɛ∥ allowing Δωalloy to be decoupled and is estimated to be -12(±4) cm-1/x for (100) GaAs1-xBix. ΔωLO is measured to be roughly double for samples grown on (311)B-oriented substrates to that of (100) GaAs. This large difference in redshift is accounted for by examining the Bi induced strain, effects from alloying, and defects formed during high-index (311)B crystal growth.

  15. High-efficiency thin-film GaAs solar cells, phase2

    NASA Technical Reports Server (NTRS)

    Yeh, Y. C. M.

    1981-01-01

    Thin GaAs epi-layers with good crystallographic quality were grown using a (100) Si-substrate on which a thin Ge epi-interlayer was grown by CVD from germane. Both antireflection-coated metal oxide semiconductor (AMOS) and n(+)/p homojunction structures were studied. The AMOS cells were fabricated on undoped-GaAs epi-layers deposited on bulk poly-Ge substrates using organo-metallic CVD film-growth, with the best achieved AM1 conversion efficiency being 9.1%. Both p-type and n(+)-type GaAs growth were optimized using 50 ppm dimethyl zinc and 1% hydrogen sulfide, respectively. A direct GaAs deposition method in fabricating ultra-thin top layer, epitaxial n(+)/p shallow homojunction solar cells on (100) GaAs substrates (without anodic thinning) was developed to produce large area (1 sq/cm) cells, with 19.4% AM1 conversion efficiency achieved. Additionally, an AM1 conversion efficiency of 18.4% (17.5% with 5% grid coverage) was achieved for a single crystal GaAs n(+)/p cell grown by OM-CVD on a Ge wafer.

  16. Reduced interface spin polarization by antiferromagnetically coupled Mn segregated to the C o2MnSi /GaAs (001) interface

    NASA Astrophysics Data System (ADS)

    Rath, Ashutosh; Sivakumar, Chockalingam; Sun, C.; Patel, Sahil J.; Jeong, Jong Seok; Feng, J.; Stecklein, G.; Crowell, Paul A.; Palmstrøm, Chris J.; Butler, William H.; Voyles, Paul M.

    2018-01-01

    We have investigated the interfacial structure and its correlation with the calculated spin polarization in C o2MnSi /GaAs(001) lateral spin valves. C o2MnSi (CMS) films were grown on As-terminated c(4 ×4 ) GaAs(100) by molecular beam epitaxy using different first atomic layers: MnSi, Co, and Mn. Atomically resolved Z -contrast scanning transmission electron microscopy (STEM) imaging and electron energy loss spectroscopy (EELS) were used to develop atomic structural models of the CMS/GaAs interfaces that were used as inputs for first-principles calculations to understand the magnetic and electronic properties of the interface. First-principles structures were relaxed and then validated by comparing experimental and simulated high-resolution STEM images. STEM-EELS results show that all three films have similar six atomic layer thick, Mn- and As-rich multilayer interfaces. However, the Co-initiated interface contains a M n2As -like layer, which is antiferromagnetic, and which is not present in the other two interfaces. Density functional theory calculations show a higher degree of interface spin polarization in the Mn- and MnSi-initiated cases, compared to the Co-initiated case, although none of the interfaces are half-metallic. The loss of half-metallicity is attributed, at least in part, to the segregation of Mn at the interface, which leads to the formation of interface states. The implications for the performance of lateral spin valves based on these interfaces are discussed briefly.

  17. Divacancy complexes induced by Cu diffusion in Zn-doped GaAs

    NASA Astrophysics Data System (ADS)

    Elsayed, M.; Krause-Rehberg, R.; Korff, B.; Ratschinski, I.; Leipner, H. S.

    2013-08-01

    Positron annihilation spectroscopy was applied to investigate the nature and thermal behavior of defects induced by Cu diffusion in Zn-doped p-type GaAs crystals. Cu atoms were intentionally introduced in the GaAs lattice through thermally activated diffusion from a thin Cu capping layer at 1100 °C under defined arsenic vapor pressure. During isochronal annealing of the obtained Cu-diffused GaAs in the temperature range of 450-850 K, vacancy clusters were found to form, grow and finally disappear. We found that annealing at 650 K triggers the formation of divacancies, whereas further increasing in the annealing temperature up to 750 K leads to the formation of divacancy-copper complexes. The observations suggest that the formation of these vacancy-like defects in GaAs is related to the out-diffusion of Cu. Two kinds of acceptors are detected with a concentration of about 1016 - 1017 cm-3, negative ions and arsenic vacancy copper complexes. Transmission electron microscopy showed the presence of voids and Cu precipitates which are not observed by positron measurements. The positron binding energy to shallow traps is estimated using the positron trapping model. Coincidence Doppler broadening spectroscopy showed the presence of Cu in the immediate vicinity of the detected vacancies. Theoretical calculations suggested that the detected defect is VGaVAs-2CuGa.

  18. LEC GaAs for integrated circuit applications

    NASA Technical Reports Server (NTRS)

    Kirkpatrick, C. G.; Chen, R. T.; Homes, D. E.; Asbeck, P. M.; Elliott, K. R.; Fairman, R. D.; Oliver, J. D.

    1984-01-01

    Recent developments in liquid encapsulated Czochralski techniques for the growth of semiinsulating GaAs for integrated circuit applications have resulted in significant improvements in the quality and quantity of GaAs material suitable for device processing. The emergence of high performance GaAs integrated circuit technologies has accelerated the demand for high quality, large diameter semiinsulating GaAs substrates. The new device technologies, including digital integrated circuits, monolithic microwave integrated circuits and charge coupled devices have largely adopted direct ion implantation for the formation of doped layers. Ion implantation lends itself to good uniformity and reproducibility, high yield and low cost; however, this technique also places stringent demands on the quality of the semiinsulating GaAs substrates. Although significant progress was made in developing a viable planar ion implantation technology, the variability and poor quality of GaAs substrates have hindered progress in process development.

  19. An Introduction to Atomic Layer Deposition

    NASA Technical Reports Server (NTRS)

    Dwivedi, Vivek H.

    2017-01-01

    Atomic Layer Deposition has been instrumental in providing a deposition method for multiple space flight applications. It is well known that ALD is a cost effective nanoadditive-manufacturing technique that allows for the conformal coating of substrates with atomic control in a benign temperature and pressure environment. Through the introduction of paired precursor gases, thin films can be deposited on a myriad of substrates from flat surfaces to those with significant topography. By providing atomic layer control, where single layers of atoms can be deposited, the fabrication of metal transparent films, precise nano-laminates, and coatings of nano-channels, pores and particles is achievable. The feasibility of this technology for NASA line of business applications range from thermal systems, optics, sensors, to environmental protection. An overview of this technology will be presented.

  20. Formation of embedded plasmonic Ga nanoparticle arrays and their influence on GaAs photoluminescence

    NASA Astrophysics Data System (ADS)

    Kang, M.; Jeon, S.; Jen, T.; Lee, J.-E.; Sih, V.; Goldman, R. S.

    2017-07-01

    We introduce a novel approach to the seamless integration of plasmonic nanoparticle (NP) arrays into semiconductor layers and demonstrate their enhanced photoluminescence (PL) efficiency. Our approach utilizes focused ion beam-induced self-assembly of close-packed arrays of Ga NPs with tailorable NP diameters, followed by overgrowth of GaAs layers using molecular beam epitaxy. Using a combination of PL spectroscopy and electromagnetic computations, we identify a regime of Ga NP diameter and overgrown GaAs layer thickness where NP-array-enhanced absorption in GaAs leads to enhanced GaAs near-band-edge (NBE) PL efficiency, surpassing that of high-quality epitaxial GaAs layers. As the NP array depth and size are increased, the reduction in spontaneous emission rate overwhelms the NP-array-enhanced absorption, leading to a reduced NBE PL efficiency. This approach provides an opportunity to enhance the PL efficiency of a wide variety of semiconductor heterostructures.

  1. GaAs MOEMS Technology

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    SPAHN, OLGA B.; GROSSETETE, GRANT D.; CICH, MICHAEL J.

    2003-03-01

    Many MEMS-based components require optical monitoring techniques using optoelectronic devices for converting mechanical position information into useful electronic signals. While the constituent piece-parts of such hybrid opto-MEMS components can be separately optimized, the resulting component performance, size, ruggedness and cost are substantially compromised due to assembly and packaging limitations. GaAs MOEMS offers the possibility of monolithically integrating high-performance optoelectronics with simple mechanical structures built in very low-stress epitaxial layers with a resulting component performance determined only by GaAs microfabrication technology limitations. GaAs MOEMS implicitly integrates the capability for radiation-hardened optical communications into the MEMS sensor or actuator component, a vitalmore » step towards rugged integrated autonomous microsystems that sense, act, and communicate. This project establishes a new foundational technology that monolithically combines GaAs optoelectronics with simple mechanics. Critical process issues addressed include selectivity, electrochemical characteristics, and anisotropy of the release chemistry, and post-release drying and coating processes. Several types of devices incorporating this novel technology are demonstrated.« less

  2. Defect characterization of proton irradiated GaAs pn-junction diodes with layers of InAs quantum dots

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sato, Shin-ichiro, E-mail: sato.shinichiro@jaea.go.jp; Optoelectronics and Radiation Effects Branch, U.S. Naval Research Laboratory, Washington, DC 20375; Schmieder, Kenneth J.

    2016-05-14

    In order to expand the technology of III-V semiconductor devices with quantum structures to both terrestrial and space use, radiation induced defects as well as native defects generated in the quantum structures should be clarified. Electrically active defects in GaAs p{sup +}n diodes with embedded ten layers of InAs quantum dots (QDs) are investigated using Deep Level Transient Fourier Spectroscopy. Both majority carrier (electron) and minority carrier (hole) traps are characterized. In the devices of this study, GaP layers are embedded in between the QD layers to offset the compressive stress introduced during growth of InAs QDs. Devices are irradiatedmore » with high energy protons for three different fluences at room temperature in order to characterize radiation induced defects. Seven majority electron traps and one minority hole trap are found after proton irradiation. It is shown that four electron traps induced by proton irradiation increase in proportion to the fluence, whereas the EL2 trap, which appears before irradiation, is not affected by irradiation. These defects correspond to electron traps previously identified in GaAs. In addition, a 0.53 eV electron trap and a 0.14 eV hole trap are found in the QD layers before proton irradiation. It is shown that these native traps are also unaffected by irradiation. The nature of the 0.14 eV hole trap is thought to be Ga-vacancies in the GaP strain balancing layers.« less

  3. Heavily doped GaAs:Te layers grown by MOVPE using diisopropyl telluride as a source

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Daniltsev, V. M.; Demidov, E. V.; Drozdov, M. N.

    2016-11-15

    The capabilities of GaAs epitaxial layers extremely heavily doped with tellurium by metal-organic vapor-phase epitaxy using diisopropyl telluride as a source are studied. It is shown that tellurium incorporation into GaAs occurs to an atomic concentration of 10{sup 21} cm{sup –3} without appreciable diffusion and segregation effects. Good carrier concentrations (2 × 10{sup 19} cm{sup –3}) and specific contact resistances of non-alloyed ohmic contacts (1.7 × 10{sup –6} Ω cm{sup 2}) give grounds to use such layers to create non-alloyed ohmic contacts in electronic devices. A sharp decrease in the electrical activity of Te atoms, a decrease in the electronmore » mobility, and an increase in the contact resistance at atomic concentrations above 2 × 10{sup 20} cm{sup –3} are detected.« less

  4. Nitridation of porous GaAs by an ECR ammonia plasma

    NASA Astrophysics Data System (ADS)

    Naddaf, M.; Hullavarad, S. S.; Ganesan, V.; Bhoraskar, S. V.

    2006-02-01

    The effect of surface porosity of GaAs on the nature of growth of GaN, by use of plasma nitridation of GaAs, has been investigated. Porous GaAs samples were prepared by anodic etching of n-type (110) GaAs wafers in HCl solution. Nitridation of porous GaAs samples were carried out by using an electron-cyclotron resonance-induced ammonia plasma. The formation of mixed phases of GaN was investigated using the grazing angle x-ray diffraction method. A remarkable improvement in the intensity of photoluminescence (PL) compared with that of GaN synthesized by direct nitriding of GaAs surface has been observed. The PL intensity of nitrided porous GaAs at the temperature of 380 °C was found to be about two orders of magnitude higher as compared with the directly nitrided GaAs at the temperature of 500 °C. The changes in the morphology of nitrided porous GaAs have been investigated using both scanning electron microscopy and atomic force microscopy.

  5. Photoluminescence Study of N-Type Thermal Conversion in Semi-Insulating GaAs.

    DTIC Science & Technology

    1982-12-01

    free electron to the crystal. For example, in GaAs, a tellurium atom on an arsenic site (TeAs) or a silicon atom on a gallium site (SiGa) are donor atoms...Photoconductivity Photoluminescenc Silicon, SiGa 5.81 6.80 Germanium, GeGa 6.08 Sulfur, SAs 6.10 Selenium, SeAs 5.89 6.10 Tellurium , TeAs When an electron...34 to the neutral donor or acceptor (Ref 16:15). The following excitonic com- plexes have been observed in GaAs: (i) exciton bound to a neutron donor at

  6. GaAs Photovoltaics on Polycrystalline Ge Substrates

    NASA Technical Reports Server (NTRS)

    Wilt, David M.; Pal, AnnaMaria T.; McNatt, Jeremiah S.; Wolford, David S.; Landis, Geoffrey A.; Smith, Mark A.; Scheiman, David; Jenkins, Phillip P.; McElroy Bruce

    2007-01-01

    High efficiency III-V multijunction solar cells deposited on metal foil or even polymer substrates can provide tremendous advantages in mass and stowage, particularly for planetary missions. As a first step towards that goal, poly-crystalline p/i/n GaAs solar cells are under development on polycrystalline Ge substrates. Organo Metallic Vapor Phase Epitaxy (OMVPE) parameters for pre-growth bake, nucleation and deposition have been examined. Single junction p/i/n GaAs photovoltaic devices, incorporating InGaP front and back window layers, have been grown and processed. Device performance has shown a dependence upon the thickness of a GaAs buffer layer deposited between the Ge substrate and the active device structure. A thick (2 m) GaAs buffer provides for both increased average device performance as well as reduced sensitivity to variations in grain size and orientation. Illumination under IR light (lambda > 1 micron), the cells showed a Voc, demonstrating the presence of an unintended photoactive junction at the GaAs/Ge interface. The presence of this junction limited the efficiency to approx.13% (estimated with an anti-refection coating) due to the current mismatch and lack of tunnel junction interconnect.

  7. Electroless atomic layer deposition

    DOEpatents

    Robinson, David Bruce; Cappillino, Patrick J.; Sheridan, Leah B.; Stickney, John L.; Benson, David M.

    2017-10-31

    A method of electroless atomic layer deposition is described. The method electrolessly generates a layer of sacrificial material on a surface of a first material. The method adds doses of a solution of a second material to the substrate. The method performs a galvanic exchange reaction to oxidize away the layer of the sacrificial material and deposit a layer of the second material on the surface of the first material. The method can be repeated for a plurality of iterations in order to deposit a desired thickness of the second material on the surface of the first material.

  8. Isolating GaSb membranes grown metamorphically on GaAs substrates using highly selective substrate removal etch processes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lavrova, Olga; Balakrishnan, Ganesh

    2017-02-24

    The etch rates of NH 4OH:H 2O 2 and C 6H 8O 7:H 2O 2 for GaAs and GaSb have been investigated to develop a selective etch for GaAs substrates and to isolate GaSb epilayers grown on GaAs. The NH 4OH:H 2O 2 solution has a greater etch rate differential for the GaSb/GaAs material system than C 6H 8O 7:H 2O 2 solution. The selectivity of NH 4OH:H 2O 2 for GaAs/GaSb under optimized etch conditions has been observed to be as high as 11471 ± 1691 whereas that of C 6H 8O 7:H 2O 2 has been measured upmore » to 143 ± 2. The etch contrast has been verified by isolating 2 μm thick GaSb epi-layers that were grown on GaAs substrates. GaSb membranes were tested and characterized with high-resolution X-Ray diffraction (HR-XRD) and atomic force microscopy (AFM).« less

  9. Atomic-scale epitaxial aluminum film on GaAs substrate

    NASA Astrophysics Data System (ADS)

    Fan, Yen-Ting; Lo, Ming-Cheng; Wu, Chu-Chun; Chen, Peng-Yu; Wu, Jenq-Shinn; Liang, Chi-Te; Lin, Sheng-Di

    2017-07-01

    Atomic-scale metal films exhibit intriguing size-dependent film stability, electrical conductivity, superconductivity, and chemical reactivity. With advancing methods for preparing ultra-thin and atomically smooth metal films, clear evidences of the quantum size effect have been experimentally collected in the past two decades. However, with the problems of small-area fabrication, film oxidation in air, and highly-sensitive interfaces between the metal, substrate, and capping layer, the uses of the quantized metallic films for further ex-situ investigations and applications have been seriously limited. To this end, we develop a large-area fabrication method for continuous atomic-scale aluminum film. The self-limited oxidation of aluminum protects and quantizes the metallic film and enables ex-situ characterizations and device processing in air. Structure analysis and electrical measurements on the prepared films imply the quantum size effect in the atomic-scale aluminum film. Our work opens the way for further physics studies and device applications using the quantized electronic states in metals.

  10. Characterization of core/shell structures based on CdTe and GaAs nanocrystalline layers deposited on SnO2 microwires

    NASA Astrophysics Data System (ADS)

    Ghimpu, L.; Ursaki, V. V.; Pantazi, A.; Mesterca, R.; Brâncoveanu, O.; Shree, Sindu; Adelung, R.; Tiginyanu, I. M.; Enachescu, M.

    2018-04-01

    We report the fabrication and characterization of SnO2/CdTe and SnO2/GaAs core/shell microstructures. CdTe or GaAs shell layers were deposited by radio-frequency (RF) magnetron sputtering on core SnO2 microwires synthesized by a flame-based thermal oxidation method. The produced structures were characterized by scanning electron microscopy (SEM), high-resolution scanning transmission electron microscope (HR-STEM), X-ray diffraction (XRD), Raman scattering and FTIR spectroscopy. It was found that the SnO2 core is of the rutile type, while the shells are composed of CdTe or GaAs nanocrystallites of zincblende structure with the dimensions of crystallites in the range of 10-20 nm. The Raman scattering investigations demonstrated that the quality of the porous nanostructured shell is improved by annealing at temperatures of 420-450 °C. The prospects of implementing these microstructures in intrinsic type fiber optic sensors are discussed.

  11. Paths to light trapping in thin film GaAs solar cells.

    PubMed

    Xiao, Jianling; Fang, Hanlin; Su, Rongbin; Li, Kezheng; Song, Jindong; Krauss, Thomas F; Li, Juntao; Martins, Emiliano R

    2018-03-19

    It is now well established that light trapping is an essential element of thin film solar cell design. Numerous light trapping geometries have already been applied to thin film cells, especially to silicon-based devices. Less attention has been paid to light trapping in GaAs thin film cells, mainly because light trapping is considered less attractive due to the material's direct bandgap and the fact that GaAs suffers from strong surface recombination, which particularly affects etched nanostructures. Here, we study light trapping structures that are implemented in a high-bandgap material on the back of the GaAs active layer, thereby not perturbing the integrity of the GaAs active layer. We study photonic crystal and quasi-random nanostructures both by simulation and by experiment and find that the photonic crystal structures are superior because they exhibit fewer but stronger resonances that are better matched to the narrow wavelength range where GaAs benefits from light trapping. In fact, we show that a 1500 nm thick cell with photonic crystals achieves the same short circuit current as an unpatterned 4000 nm thick cell. These findings are significant because they afford a sizeable reduction in active layer thickness, and therefore a reduction in expensive epitaxial growth time and cost, yet without compromising performance.

  12. Epitaxial growth of GaSb on V-grooved Si (001) substrates with an ultrathin GaAs stress relaxing layer

    NASA Astrophysics Data System (ADS)

    Li, Qiang; Lai, Billy; Lau, Kei May

    2017-10-01

    We report epitaxial growth of GaSb nano-ridge structures and planar thin films on V-groove patterned Si (001) substrates by leveraging the aspect ratio trapping technique. GaSb was deposited on {111} Si facets of the V-shaped trenches using metal-organic chemical vapor deposition with a 7 nm GaAs growth initiation layer. Transmission electron microscopy analysis reveals the critical role of the GaAs layer in providing a U-shaped surface for subsequent GaSb epitaxy. A network of misfit dislocations was uncovered at the GaSb/GaAs hetero-interface. We studied the evolution of the lattice relaxation as the growth progresses from closely pitched GaSb ridges to coalesced thin films using x-ray diffraction. The omega rocking curve full-width-at-half-maximum of the resultant GaSb thin film is among the lowest values reported by molecular beam epitaxy, substantiating the effectiveness of the defect necking mechanism. These results thus present promising opportunities for the heterogeneous integration of devices based on 6.1 Å family compound semiconductors.

  13. Single quantum dot emission by nanoscale selective growth of InAs on GaAs: A bottom-up approach

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Patella, F.; Arciprete, F.; Placidi, E.

    2008-12-08

    We report on single dot microphotoluminescence ({mu}PL) emission at low temperature and low power from InAs dots grown by molecular beam epitaxy in nanoscale holes of a SiO{sub 2} mask deposited on GaAs(001). By comparing atomic force microscopy measurements with {mu}PL data, we show that the dot sizes inside the nanoholes are smaller than those of the dots nucleated on the extended GaAs surface. PL of dots spans a wide energy range depending on their size and on the thickness and composition of the InGaAs capping layer. Time-resolved PL experiments demonstrate a negligible loss of radiative recombination efficiency, proving highlymore » effective in the site-controlled dot nucleation.« less

  14. Influence of arsenic flow on the crystal structure of epitaxial GaAs grown at low temperatures on GaAs (100) and (111)A substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Galiev, G. B.; Klimov, E. A.; Vasiliev, A. L.

    The influence of arsenic flow in a growth chamber on the crystal structure of GaAs grown by molecular-beam epitaxy at a temperature of 240°C on GaAs (100) and (111)A substrates has been investigated. The flow ratio γ of arsenic As4 and gallium was varied in the range from 16 to 50. GaAs films were either undoped, or homogeneously doped with silicon, or contained three equidistantly spaced silicon δ-layers. The structural quality of the annealed samples has been investigated by transmission electron microscopy. It is established for the first time that silicon δ-layers in “low-temperature” GaAs serve as formation centers ofmore » arsenic precipitates. Their average size, concentration, and spatial distribution are estimated. The dependence of the film structural quality on γ is analyzed. Regions 100–150 nm in size have been revealed in some samples and identified (by X-ray microanalysis) as pores. It is found that, in the entire range of γ under consideration, GaAs films on (111)A substrates have a poorer structural quality and become polycrystalline beginning with a thickness of 150–200 nm.« less

  15. Comparative research on activation technique for GaAs photocathodes

    NASA Astrophysics Data System (ADS)

    Chen, Liang; Qian, Yunsheng; Chang, Benkang; Chen, Xinlong; Yang, Rui

    2012-03-01

    The properties of GaAs photocathodes mainly depend on the material design and activation technique. In early researches, high-low temperature two-step activation has been proved to get more quantum efficiency than high-temperature single-step activation. But the variations of surface barriers for two activation techniques have not been well studied, thus the best activation temperature, best Cs-O ratio and best activation time for two-step activation technique have not been well found. Because the surface photovoltage spectroscopy (SPS) before activation is only in connection with the body parameters for GaAs photocathode such as electron diffusion length and the spectral response current (SRC) after activation is in connection with not only body parameters but also surface barriers, thus the surface escape probability (SEP) can be well fitted through the comparative research between SPS before activation and SEP after activation. Through deduction for the tunneling process of surface barriers by Schrödinger equation, the width and height for surface barrier I and II can be well fitted through the curves of SEP. The fitting results were well proved and analyzed by quantitative analysis of angle-dependent X-ray photoelectron spectroscopy (ADXPS) which can also study the surface chemical compositions, atomic concentration percentage and layer thickness for GaAs photocathodes. This comparative research method for fitting parameters of surface barriers through SPS before activation and SRC after activation shows a better real-time in system method for the researches of activation techniques.

  16. Photoelectron and Auger electron diffraction studies of a sulfur-terminated GaAs(001)-(2×6) surface

    NASA Astrophysics Data System (ADS)

    Shimoda, M.; Tsukamoto, S.; Koguchi, N.

    1998-01-01

    Core-level X-ray photoelectron diffraction (XPD) and Auger electron diffraction (AED) have been applied to investigate the sulfur-terminated GaAs(001)-(2×6) surface. No forward scattering peaks were found in the XPD pattern of S 2s emission, indicating that adsorbed S atoms form a single layer on the GaAs substrate. In accordance with the zincblende structure of GaAs, the AED patterns of Ga L 3M 45M 45 and As L 3M 45M 45 emission almost coincide with each other, if one of the emissions is rotated by 90° around the [001] direction. This fact suggests that the diffraction patterns mainly reflect the structure of the bulk GaAs crystal. In order to investigate the surface structure, AED patterns in large polar angles were analyzed with single scattering cluster (SSC) calculations. The best result was obtained with a model cluster where the S-S bond length was set at 0.28 nm, 30% shorter than the corresponding length of the ideal (1×1) structure, and the adsorption height was set at 0.12-0.13 nm, 10% shorter than the ideal interlayer distance of GaAs(001) planes. These values are in good agreement with the results of STM measurements. A modulation of the inter-dimer distance was also found, suggesting the existence of missing dimers.

  17. Lithography-Free Fabrication of Core-Shell GaAs Nanowire Tunnel Diodes.

    PubMed

    Darbandi, A; Kavanagh, K L; Watkins, S P

    2015-08-12

    GaAs core-shell p-n junction tunnel diodes were demonstrated by combining vapor-liquid-solid growth with gallium oxide deposition by atomic layer deposition for electrical isolation. The characterization of an ensemble of core-shell structures was enabled by the use of a tungsten probe in a scanning electron microscope without the need for lithographic processing. Radial tunneling transport was observed, exhibiting negative differential resistance behavior with peak-to-valley current ratios of up to 3.1. Peak current densities of up to 2.1 kA/cm(2) point the way to applications in core-shell photovoltaics and tunnel field effect transistors.

  18. Temporal behavior of RHEED intensity oscillations during molecular beam epitaxial growth of GaAs and AlGaAs on (111)B GaAs substrates

    NASA Astrophysics Data System (ADS)

    Yen, Ming Y.; Haas, T. W.

    1990-10-01

    We present the temporal behavior of intensity oscillations in reflection high-energy electron diffraction (RHEED) during molecular beam epitaxial (MBE) growth of GaAs and A1GaAs on (1 1 1)B GaAs substrates. The RHEED intensity oscillations were examined as a function of growth parameters in order to provide the insight into the dynamic characteristics and to identify the optimal condition for the two-dimensional layer-by-layer growth. The most intense RHEED oscillation was found to occur within a very narrow temperature range which seems to optimize the surface migration kinetics of the arriving group III elements and the molecular dissodiative reaction of the group V elements. The appearance of an initial transient of the intensity upon commencement of the growth and its implications are described.

  19. Sn nanothreads in GaAs: experiment and simulation

    NASA Astrophysics Data System (ADS)

    Semenikhin, I.; Vyurkov, V.; Bugaev, A.; Khabibullin, R.; Ponomarev, D.; Yachmenev, A.; Maltsev, P.; Ryzhii, M.; Otsuji, T.; Ryzhii, V.

    2016-12-01

    The gated GaAs structures like the field-effect transistor with the array of the Sn nanothreads was fabricated via delta-doping of vicinal GaAs surface by Sn atoms with a subsequent regrowth. That results in the formation of the chains of Sn atoms at the terrace edges. Two device models were developed. The quantum model accounts for the quantization of the electron energy spectrum in the self-consistent two-dimensional electric potential, herewith the electron density distribution in nanothread arrays for different gate voltages is calculated. The classical model ignores the quantization and electrons are distributed in space according to 3D density of states and Fermi-Dirac statistics. It turned out that qualitatively both models demonstrate similar behavior, nevertheless, the classical one is in better quantitative agreement with experimental data. Plausibly, the quantization could be ignored because Sn atoms are randomly placed along the thread axis. The terahertz hot-electron bolometers (HEBs) could be based on the structure under consideration.

  20. Atomically thin transition metal layers: Atomic layer stabilization and metal-semiconductor transition

    NASA Astrophysics Data System (ADS)

    Hwang, Jeongwoon; Oh, Young Jun; Kim, Jiyoung; Sung, Myung Mo; Cho, Kyeongjae

    2018-04-01

    We have performed first-principle calculations to explore the possibility of synthesizing atomically thin transition metal (TM) layers. Buckled structures as well as planar structures of elemental 2D TM layers result in significantly higher formation energies compared with sp-bonded elemental 2D materials with similar structures, such as silicene and phosphorene. It is shown that the TM layers can be stabilized by surface passivation with HS, C6H5S2, or O, and O passivation is most effective. The surface oxygen passivation can improve stability leading to thermodynamically stable TM monolayers except Au, which is the most non-reactive metal element. Such stabilized TM monolayers also show an electronic structure transition from metallic state of free-standing TM layer to semiconducting O-passivated Mo and W monolayers with band gaps of 0.20-1.38 eV.

  1. Quality-enhanced In{sub 0.3}Ga{sub 0.7}As film grown on GaAs substrate with an ultrathin amorphous In{sub 0.6}Ga{sub 0.4}As buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gao, Fangliang; Li, Guoqiang, E-mail: msgli@scut.edu.cn

    2014-01-27

    Using low-temperature molecular beam epitaxy, amorphous In{sub 0.6}Ga{sub 0.4}As layers have been grown on GaAs substrates to act as buffer layers for the subsequent epitaxial growth of In{sub 0.3}Ga{sub 0.7}As films. It is revealed that the crystallinity of as-grown In{sub 0.3}Ga{sub 0.7}As films is strongly affected by the thickness of the large-mismatched amorphous In{sub 0.6}Ga{sub 0.4}As buffer layer. Given an optimized thickness of 2 nm, this amorphous In{sub 0.6}Ga{sub 0.4}As buffer layer can efficiently release the misfit strain between the In{sub 0.3}Ga{sub 0.7}As epi-layer and the GaAs substrate, trap the threading and misfit dislocations from propagating to the following In{sub 0.3}Ga{submore » 0.7}As epi-layer, and reduce the surface fluctuation of the as-grown In{sub 0.3}Ga{sub 0.7}As, leading to a high-quality In{sub 0.3}Ga{sub 0.7}As film with competitive crystallinity to that grown on GaAs substrate using compositionally graded In{sub x}Ga{sub 1-x}As metamorphic buffer layers. Considering the complexity of the application of the conventional In{sub x}Ga{sub 1-x}As graded buffer layers, this work demonstrates a much simpler approach to achieve high-quality In{sub 0.3}Ga{sub 0.7}As film on GaAs substrate and, therefore, is of huge potential for the InGaAs-based high-efficiency photovoltaic industry.« less

  2. Atomic-Layer-Confined Doping for Atomic-Level Insights into Visible-Light Water Splitting.

    PubMed

    Lei, Fengcai; Zhang, Lei; Sun, Yongfu; Liang, Liang; Liu, Katong; Xu, Jiaqi; Zhang, Qun; Pan, Bicai; Luo, Yi; Xie, Yi

    2015-08-03

    A model of doping confined in atomic layers is proposed for atomic-level insights into the effect of doping on photocatalysis. Co doping confined in three atomic layers of In2S3 was implemented with a lamellar hybrid intermediate strategy. Density functional calculations reveal that the introduction of Co ions brings about several new energy levels and increased density of states at the conduction band minimum, leading to sharply increased visible-light absorption and three times higher carrier concentration. Ultrafast transient absorption spectroscopy reveals that the electron transfer time of about 1.6 ps from the valence band to newly formed localized states is due to Co doping. The 25-fold increase in average recovery lifetime is believed to be responsible for the increased of electron-hole separation. The synthesized Co-doped In2S3 (three atomic layers) yield a photocurrent of 1.17 mA cm(-2) at 1.5 V vs. RHE, nearly 10 and 17 times higher than that of the perfect In2S3 (three atomic layers) and the bulk counterpart, respectively. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. Supernormal hardness increase of dilute Ga(As, N) thin films

    NASA Astrophysics Data System (ADS)

    Berggren, Jonas; Hanke, Michael; Luna, Esperanza; Trampert, Achim

    2017-03-01

    Hardness of epitaxial GaAs1-xNx films on GaAs(001) with different film thicknesses, varying from 80 to 700 nm, and nitrogen compositions x between zero (pure GaAs) and 0.031, were studied by means of nano-indentation. As a result, a disproportionate and monotonic increase by 17% in hardness was proved in the dilute range from GaAs to GaAs0.969N0.031. We are tracing this observation to solid solution strengthening, an extrinsic effect based on dislocation pinning due to interstitial nitrogen. On the other hand, intrinsic effects related to different electronegativities of As and N (i.e., altered bonding conditions) could be ruled out. Furthermore, in tensilely strained GaAs1-xNx layers, the appearance of cracks acts as the main strain relieving mechanism. A correlation between cracking and hardness reduction is investigated and discussed as a further relaxation pathway.

  4. Analysis of twin defects in GaAs(111)B molecular beam epitaxy growth

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Park, Yeonjoon; Cich, Michael J.; Zhao, Rian

    2000-05-01

    The formation of twin is common during GaAs(111) and GaN(0001) molecular beam epitaxy (MBE) metalorganic chemical vapor deposition growth. A stacking fault in the zinc-blende (ZB)(111) direction can be described as an insertion of one monolayer of wurtzite structure, sandwiched between two ZB structures that have been rotated 60 degree sign along the growth direction. GaAs(111)A/B MBE growth within typical growth temperature regimes is complicated by the formation of pyramidal structures and 60 degree sign rotated twins, which are caused by faceting and stacking fault formation. Although previous studies have revealed much about the structure of these twins, a well-establishedmore » simple nondestructive characterization method which allows the measurement of total aerial density of the twins does not exist at present. In this article, the twin density of AlGaAs layers grown on 1 degree sign miscut GaAs(111)B substrates has been measured using high resolution x-ray diffraction, and characterized with a combination of Nomarski microscopy, atomic force microscopy, and transmission electron microscopy. These comparisons permit the relationship between the aerial twin density and the growth condition to be determined quantitatively. (c) 2000 American Vacuum Society.« less

  5. Epitaxial lateral overgrowth of GaAs: effect of doping on LPE growth behaviour

    NASA Astrophysics Data System (ADS)

    Zytkiewicz, Z. R.; Dobosz, D.; Pawlowska, M.

    1999-05-01

    Results of epitaxial lateral overgrowth (ELO) of GaAs on (001) GaAs substrates by liquid phase epitaxy are reported. We show that by introducing Si, Sn or Te impurities to the Ga-As solution the vertical growth rate is reduced while the lateral growth rate is significantly enhanced, which leads to a growth habit modification. Furthermore, the impurity incorporation into the growing layer is different on the upper and side surfaces of the ELO, reflecting the fundamental differences between the lateral and vertical growth modes. This phenomenon can be applied for studying the temporal development of ELO layers.

  6. Fermi level pinning at epitaxial Si on GaAs(100) interfaces

    NASA Astrophysics Data System (ADS)

    Silberman, J. A.; de Lyon, T. J.; Woodall, J. M.

    1991-12-01

    GaAs Schottky barrier contacts and metal-insulator-semiconductor structures that include thin epitaxial Si interfacial layers operate in a manner consistent with an unpinned Fermi level at the GaAs interface. These findings raise the question of whether this effect is an intrinsic property of the epitaxial GaAs(100)-Si interface. We have used x-ray photoemission spectroscopy to monitor the Fermi level position during in situ growth of thin epitaxial Si layers. In particular, films formed on heavily doped n- and p-type substrates were compared so as to use the large depletion layer fields available with high impurity concentration as a field-effect probe of the interface state density. The results demonstrate that epitaxial bonding at the interface alone is insufficient to eliminate Fermi level pinning, indicating that other mechanisms affect the interfacial charge balance in the devices that utilize Si interlayers.

  7. Atomic-scale structure and electronic properties of GaN/GaAs superlattices

    NASA Astrophysics Data System (ADS)

    Goldman, R. S.; Feenstra, R. M.; Briner, B. G.; O'Steen, M. L.; Hauenstein, R. J.

    1996-12-01

    We have investigated the atomic-scale structure and electronic properties of GaN/GaAs superlattices produced by nitridation of a molecular beam epitaxially grown GaAs surface. Using cross-sectional scanning tunneling microscopy (STM) and spectroscopy, we show that the nitrided layers are laterally inhomogeneous, consisting of groups of atomic-scale defects and larger clusters. Analysis of x-ray diffraction data in terms of fractional area of clusters (determined by STM), reveals a cluster lattice constant similar to bulk GaN. In addition, tunneling spectroscopy on the defects indicates a conduction band state associated with an acceptor level of NAs in GaAs. Therefore, we identify the clusters and defects as nearly pure GaN and NAs, respectively. Together, the results reveal phase segregation in these arsenide/nitride structures, in agreement with the large miscibility gap predicted for GaAsN.

  8. Influence of the local environment on Mn acceptors in GaAs

    NASA Astrophysics Data System (ADS)

    Lee, Donghun; Gohlke, David; Benjamin, Anne; Gupta, Jay A.

    2015-04-01

    As transistors continue to shrink toward nanoscale dimensions, their characteristics are increasingly dependent on the statistical variations of impurities in the semiconductor material. The scanning tunneling microscope (STM) can be used to not only study prototype devices with atomically precise placement of impurity atoms, but can also probe how the properties of these impurities depend on the local environment. Tunneling spectroscopy of Mn acceptors in GaAs indicates that surface-layer Mn act as a deep acceptor, with a hole binding energy that can be tuned by positioning charged defects nearby. Band bending induced by the tip or by these defects can also tune the ionization state of the acceptor complex, evident as a ring-like contrast in STM images. The interplay of these effects is explored over a wide range of defect distances, and understood using iterative simulations of tip-induced band bending.

  9. The ZnSe(110) puzzle - Comparison with GaAs(110)

    NASA Technical Reports Server (NTRS)

    Duke, C. B.; Paton, A.; Kahn, A.; Tu, D.-W.

    1984-01-01

    The surface structure of monocrystalline ZnSe(110) and of 4-5-nm-thick ZnSe(110) layers epitaxially grown on GaAs(110) is investigated by means of elastic LEED and AES; the results are analyzed using the computer programs and R-factor methods of Duke et al. (1981 and 1983), presented in graphs and tables, and compared to those for GaAs(110). Significant differences are attributed to bond-length-conserving outward rotation of Se and inward rotation of Zn in the top layer, with an angle of 4 deg between the actual plane of the cation-anion chain and the truncated bulk surface. The R intensities measured for ZnSe(110) and GaAs(110) are given as Rx = 0.22 and RI = 0.21 and Rx = 0.24 and RI = 0.16, respectively.

  10. Atomic-Layer-Deposited Transparent Electrodes for Silicon Heterojunction Solar Cells

    DOE PAGES

    Demaurex, Benedicte; Seif, Johannes P.; Smit, Sjoerd; ...

    2014-11-01

    We examine damage-free transparent-electrode deposition to fabricate high-efficiency amorphous silicon/crystalline silicon heterojunction solar cells. Such solar cells usually feature sputtered transparent electrodes, the deposition of which may damage the layers underneath. Using atomic layer deposition, we insert thin protective films between the amorphous silicon layers and sputtered contacts and investigate their effect on device operation. We find that a 20-nm-thick protective layer suffices to preserve, unchanged, the amorphous silicon layers beneath. Insertion of such protective atomic-layer-deposited layers yields slightly higher internal voltages at low carrier injection levels. However, we identify the presence of a silicon oxide layer, formed during processing,more » between the amorphous silicon and the atomic-layer-deposited transparent electrode that acts as a barrier, impeding hole and electron collection.« less

  11. Terahertz radiation in In{sub 0.38}Ga{sub 0.62}As grown on a GaAs wafer with a metamorphic buffer layer under femtosecond laser excitation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ponomarev, D. S., E-mail: ponomarev-dmitr@mail.ru; Khabibullin, R. A.; Yachmenev, A. E.

    The results of time-domain spectroscopy of the terahertz (THz) generation in a structure with an In{sub 0.38}Ga{sub 0.62}As photoconductive layer are presented. This structure grown by molecular-beam epitaxy on a GaAs substrate using a metamorphic buffer layer allows THz generation with a wide frequency spectrum (to 6 THz). This is due to the additional contribution of the photo-Dember effect to THz generation. The measured optical-to-terahertz conversion efficiency in this structure is 10{sup –5} at a rather low optical fluence of ~40 μJ/cm{sup 2}, which is higher than that in low-temperature grown GaAs by almost two orders of magnitude.

  12. Lateral epitaxial overgowth of GaAs by organometallic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Gale, R. P.; Mcclelland, R. W.; Fan, J. C. C.; Bozler, C. O.

    1982-01-01

    Lateral epitaxial overgrowth of GaAs by organometallic chemical vapor deposition has been demonstrated. Pyrolytic decomposition of trimethylgallium and arsine, without the use of HCl, was used to deposit GaAs on substrates prepared by coating (110) GaAs wafers with SiO2, then using photolithography to open narrow stripes in the oxide. Lateral overgrowth was seeded by epitaxial deposits formed on the GaAs surfaces exposed by the stripe openings. The extent of lateral overgrowth was investigated as a function of stripe orientation and growth temperature. Ratios of lateral to vertical growth rates greater than five have been obtained. The lateral growth is due to surface-kinetic control for the two-dimensional growth geometry studied. A continuous epitaxial GaAs layer 3 microns thick has been grown over a patterned mask on a GaAs substrate and then cleaved from the substrate.

  13. Atomically thin gallium layers from solid-melt exfoliation

    PubMed Central

    Kochat, Vidya; Samanta, Atanu; Zhang, Yuan; Bhowmick, Sanjit; Manimunda, Praveena; Asif, Syed Asif S.; Stender, Anthony S.; Vajtai, Robert; Singh, Abhishek K.; Tiwary, Chandra S.; Ajayan, Pulickel M.

    2018-01-01

    Among the large number of promising two-dimensional (2D) atomic layer crystals, true metallic layers are rare. Using combined theoretical and experimental approaches, we report on the stability and successful exfoliation of atomically thin “gallenene” sheets on a silicon substrate, which has two distinct atomic arrangements along crystallographic twin directions of the parent α-gallium. With a weak interface between solid and molten phases of gallium, a solid-melt interface exfoliation technique is developed to extract these layers. Phonon dispersion calculations show that gallenene can be stabilized with bulk gallium lattice parameters. The electronic band structure of gallenene shows a combination of partially filled Dirac cone and the nonlinear dispersive band near the Fermi level, suggesting that gallenene should behave as a metallic layer. Furthermore, it is observed that the strong interaction of gallenene with other 2D semiconductors induces semiconducting to metallic phase transitions in the latter, paving the way for using gallenene as promising metallic contacts in 2D devices. PMID:29536039

  14. Atomic layer deposition of nanoporous biomaterials.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Narayan, R. J.; Adiga, S. P.; Pellin, M. J.

    2010-03-01

    Due to its chemical stability, uniform pore size, and high pore density, nanoporous alumina is being investigated for use in biosensing, drug delivery, hemodialysis, and other medical applications. In recent work, we have examined the use of atomic layer deposition for coating the surfaces of nanoporous alumina membranes. Zinc oxide coatings were deposited on nanoporous alumina membranes using atomic layer deposition. The zinc oxide-coated nanoporous alumina membranes demonstrated antimicrobial activity against Escherichia coli and Staphylococcus aureus bacteria. These results suggest that atomic layer deposition is an attractive technique for modifying the surfaces of nanoporous alumina membranes and other nanostructured biomaterials.more » Nanoporous alumina, also known as anodic aluminum oxide (AAO), is a nanomaterial that exhibits several unusual properties, including high pore densities, straight pores, small pore sizes, and uniform pore sizes. In 1953, Keller et al. showed that anodizing aluminum in acid electrolytes results in a thick layer of nearly cylindrical pores, which are arranged in a close-packed hexagonal cell structure. More recently, Matsuda & Fukuda demonstrated preparation of highly ordered platinum and gold nanohole arrays using a replication process. In this study, a negative structure of nanoporous alumina was initially fabricated and a positive structure of a nanoporous metal was subsequently fabricated. Over the past fifteen years, nanoporous alumina membranes have been used as templates for growth of a variety of nanostructured materials, including nanotubes, nanowires, nanorods, and nanoporous membranes.« less

  15. Constructing oxide interfaces and heterostructures by atomic layer-by-layer laser molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Lei, Qingyu; Golalikhani, Maryam; Davidson, Bruce A.; Liu, Guozhen; Schlom, Darrell G.; Qiao, Qiao; Zhu, Yimei; Chandrasena, Ravini U.; Yang, Weibing; Gray, Alexander X.; Arenholz, Elke; Farrar, Andrew K.; Tenne, Dmitri A.; Hu, Minhui; Guo, Jiandong; Singh, Rakesh K.; Xi, Xiaoxing

    2017-12-01

    Advancements in nanoscale engineering of oxide interfaces and heterostructures have led to discoveries of emergent phenomena and new artificial materials. Combining the strengths of reactive molecular-beam epitaxy and pulsed-laser deposition, we show here, with examples of Sr1+xTi1-xO3+δ, Ruddlesden-Popper phase Lan+1NinO3n+1 (n = 4), and LaAl1+yO3(1+0.5y)/SrTiO3 interfaces, that atomic layer-by-layer laser molecular-beam epitaxy significantly advances the state of the art in constructing oxide materials with atomic layer precision and control over stoichiometry. With atomic layer-by-layer laser molecular-beam epitaxy we have produced conducting LaAlO3/SrTiO3 interfaces at high oxygen pressures that show no evidence of oxygen vacancies, a capability not accessible by existing techniques. The carrier density of the interfacial two-dimensional electron gas thus obtained agrees quantitatively with the electronic reconstruction mechanism.

  16. Performance Enhancement of a GaAs Detector with a Vertical Field and an Embedded Thin Low-Temperature Grown Layer

    PubMed Central

    Currie, Marc; Dianat, Pouya; Persano, Anna; Martucci, Maria Concetta; Quaranta, Fabio; Cola, Adriano; Nabet, Bahram

    2013-01-01

    Low temperature growth of GaAs (LT-GaAs) near 200 °C results in a recombination lifetime of nearly 1 ps, compared with approximately 1 ns for regular temperature ∼600 °C grown GaAs (RT-GaAs), making it suitable for ultra high speed detection applications. However, LT-GaAs detectors usually suffer from low responsivity due to low carrier mobility. Here we report electro-optic sampling time response measurements of a detector that employs an AlGaAs heterojunction, a thin layer of LT-GaAs, a channel of RT-GaAs, and a vertical electric field that together facilitate collection of optically generated electrons while suppressing collection of lower mobility holes. Consequently, these devices have detection efficiency near that of RT-GaAs yet provide pulse widths nearly an order of magnitude faster—∼6 ps for a cathode-anode separation of 1.3 μm and ∼12 ps for distances more than 3 μm. PMID:23429510

  17. Study of strain boundary conditions and GaAs buffer sizes in InGaAs quantum dots

    NASA Technical Reports Server (NTRS)

    Oyafuso, F.; Klimeck, G.; Boykin, T. B.; Bowen, R. C.; Allmen, P. von

    2003-01-01

    NEMO 3-D has been developed for the simulation of electronic structure in self-assembled InGaAs quantum dots on GaAs substrates. Typical self-assembled quantum dots in that material system contain about 0.5 to 1 million atoms. Effects of strain by the surrounding GaAs buffer modify the electronic structure inside the quantum dot significantly and a large GaAs buffer must be included in the strain and electronic structure.

  18. Microwave GaAs Integrated Circuits On Quartz Substrates

    NASA Technical Reports Server (NTRS)

    Siegel, Peter H.; Mehdi, Imran; Wilson, Barbara

    1994-01-01

    Integrated circuits for use in detecting electromagnetic radiation at millimeter and submillimeter wavelengths constructed by bonding GaAs-based integrated circuits onto quartz-substrate-based stripline circuits. Approach offers combined advantages of high-speed semiconductor active devices made only on epitaxially deposited GaAs substrates with low-dielectric-loss, mechanically rugged quartz substrates. Other potential applications include integration of antenna elements with active devices, using carrier substrates other than quartz to meet particular requirements using lifted-off GaAs layer in membrane configuration with quartz substrate supporting edges only, and using lift-off technique to fabricate ultrathin discrete devices diced separately and inserted into predefined larger circuits. In different device concept, quartz substrate utilized as transparent support for GaAs devices excited from back side by optical radiation.

  19. Carbon reactivation kinetics in GaAs: Its dependence on dopant precursor, doping level, and layer thickness

    NASA Astrophysics Data System (ADS)

    Mimila-Arroyo, J.; Bland, S.; Barbé, M.

    2002-05-01

    The reactivation kinetics of the acceptor behavior of carbon, its dependence on dopant precursors, doping level, layer thickness, and annealing temperature, as well as the behavior of carbon-hydrogen complexes in GaAs grown by metalorganic chemical vapor deposition are studied. Independent of the carbon source, in the "as grown" material, systematically carbon hydrogen complexes are present and the hole concentration is lower than the corresponding carbon concentration. The carbon reactivation kinetics was achieved by ex situ rapid thermal annealing through a series of multistage annealing experiments and assessed at each annealing stage by infrared absorption, hydrogen secondary ion mass spectroscopy profiling, and hole concentration measurements. Carbon reactivation occurs solely by the debonding of hydrogen from the isolated carbon acceptor and its out-diffusion from the sample. The carbon reactivation kinetics can be treated as a first order one with an activation energy, Ea=1.42±0.01 eV, independent of doping precursors, doping level, and layer thickness. The reactivation constant results to decrease as doping level and layer thickness increase. An empirical formula has been obtained that allows one to calculate the reactivation constant as a function of the carbon doping, layer thickness, and annealing temperature, allowing one to determine the optimal carbon reactivation conditions for any C:GaAs layer.

  20. Atomic and molecular layer deposition for surface modification

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vähä-Nissi, Mika, E-mail: mika.vaha-nissi@vtt.fi; Sievänen, Jenni; Salo, Erkki

    2014-06-01

    Atomic and molecular layer deposition (ALD and MLD, respectively) techniques are based on repeated cycles of gas–solid surface reactions. A partial monolayer of atoms or molecules is deposited to the surface during a single deposition cycle, enabling tailored film composition in principle down to molecular resolution on ideal surfaces. Typically ALD/MLD has been used for applications where uniform and pinhole free thin film is a necessity even on 3D surfaces. However, thin – even non-uniform – atomic and molecular deposited layers can also be used to tailor the surface characteristics of different non-ideal substrates. For example, print quality of inkjetmore » printing on polymer films and penetration of water into porous nonwovens can be adjusted with low-temperature deposited metal oxide. In addition, adhesion of extrusion coated biopolymer to inorganic oxides can be improved with a hybrid layer based on lactic acid. - Graphical abstract: Print quality of a polylactide film surface modified with atomic layer deposition prior to inkjet printing (360 dpi) with an aqueous ink. Number of printed dots illustrated as a function of 0, 5, 15 and 25 deposition cycles of trimethylaluminum and water. - Highlights: • ALD/MLD can be used to adjust surface characteristics of films and fiber materials. • Hydrophobicity after few deposition cycles of Al{sub 2}O{sub 3} due to e.g. complex formation. • Same effect on cellulosic fabrics observed with low temperature deposited TiO{sub 2}. • Different film growth and oxidation potential with different precursors. • Hybrid layer on inorganic layer can be used to improve adhesion of polymer melt.« less

  1. Tolerance of GaAs as an original substrate for HVPE growth of free standing GaN

    NASA Astrophysics Data System (ADS)

    Suzuki, Mio; Sato, T.; Suemasu, T.; Hasegawa, F.

    2004-09-01

    In order to investigate possibility of thick GaN growth on a GaAs substrate by halide vapar phase epitaxy (HVPE), GaN was grown on GaAs(111)/Ti wafer with Ti deposited by E-gun. It was found that surface treatment of the GaAs substrate by HF solution deteriorated greatly the tolerence of GaAs and that Ti can protected GaAs from erosion by NH3. By depositing Ti on GaAs(111)A surface, a millor-like GaN layer could be grown at 1000 °C for 1 hour without serious deterioration of the original GaAs substrate. By increasing the growth rate, a thick free standing GaN will be obtained with GaAs as an original substrate in near future.

  2. Double-atomic layer of Tl on Si(111): Atomic arrangement and electronic properties

    NASA Astrophysics Data System (ADS)

    Mihalyuk, Alexey N.; Bondarenko, Leonid V.; Tupchaya, Alexandra Y.; Gruznev, Dimitry V.; Chou, Jyh-Pin; Hsing, Cheng-Rong; Wei, Ching-Ming; Zotov, Andrey V.; Saranin, Alexander A.

    2018-02-01

    Metastable double-atomic layer of Tl on Si(111) has recently been found to display interesting electric properties, namely superconductivity below 0.96 K and magnetic-field-induced transition into an insulating phase intermediated by a quantum metal state. In the present work, using a set of experimental techniques, including low-energy electron diffraction, scanning tunneling microscopy, angle-resolved photoelectron spectroscopy, in a combination with density-functional-theory calculations, we have characterized atomic and electronic properties of the Tl double layer on Si(111). The double Tl layer has been concluded to contain ∼ 2.4 monolayer of Tl. A top Tl layer has a '1 × 1' basic structure and displays 6 × 6 moiré pattern which originates from various residence sites of Tl atoms. Upon cooling below ∼ 140 K, the 6 × 6 moiré pattern changes to that having a 6√{ 3} × 6√{ 3} periodicity. However, the experimentally determined electron band dispersions show a 1 × 1 periodicity. The calculated band structure unfolded into the 1 × 1 surface Brillouin zone reproduces well the main features of the photoelectron spectra.

  3. Molecular beam epitaxial growth and structural characterization of ZnS on (001) GaAs

    NASA Technical Reports Server (NTRS)

    Benz, R. G., II; Huang, P. C.; Stock, S. R.; Summers, C. J.

    1988-01-01

    The effect of surface nucleation processes on the quality of ZnS layers grown on (001) GaAs substrates by molecular beam epitaxy is reported. Reflection high energy electron diffraction indicated that nucleation at high temperatures produced more planar surfaces than nucleation at low temperatures, but the crystalline quality as assessed by X-ray double crystal diffractometry is relatively independent of nucleation temperature. A critical factor in layer quality was the initial roughness of the GaAs surfaces.

  4. Structural and optical characteristics of GaAs films grown on Si/Ge substrates

    NASA Astrophysics Data System (ADS)

    Rykov, A. V.; Dorokhin, M. V.; Vergeles, P. S.; Baidus, N. V.; Kovalskiy, V. A.; Yakimov, E. B.; Soltanovich, O. A.

    2018-03-01

    A GaAs/AlAs heterostructure and a GaAs film grown on Si/Ge substrates have been fabricated and studied. A Ge buffer on a silicon substrate was fabricated using the MBE process. A3B5 films were grown by MOCVD at low pressures. Photoluminescence spectroscopy was used to define the optical quality of A3B5 films. Structural properties were investigated using the electron beam induced current method. It was established that despite a rather high density of dislocations on the epitaxial layers, the detected photoluminescence radiation of layers indicates the acceptable crystalline quality of the top GaAs layer.

  5. Disentangling atomic-layer-specific x-ray absorption spectra by Auger electron diffraction spectroscopy

    NASA Astrophysics Data System (ADS)

    Matsui, Fumihiko; Matsushita, Tomohiro; Kato, Yukako; Hashimoto, Mie; Daimon, Hiroshi

    2009-11-01

    In order to investigate the electronic and magnetic structures of each atomic layer at subsurface, we have proposed a new method, Auger electron diffraction spectroscopy, which is the combination of x-ray absorption spectroscopy (XAS) and Auger electron diffraction (AED) techniques. We have measured a series of Ni LMM AED patterns of the Ni film grown on Cu(001) surface for various thicknesses. Then we deduced a set of atomic-layer-specific AED patterns in a numerical way. Furthermore, we developed an algorithm to disentangle XANES spectra from different atomic layers using these atomic-layer-specific AED patterns. Surface and subsurface core level shift were determined for each atomic layer.

  6. Formation of two-dimensionally confined superparamagnetic (Mn, Ga)As nanocrystals in high-temperature annealed (Ga, Mn)As/GaAs superlattices.

    PubMed

    Sadowski, Janusz; Domagala, Jaroslaw Z; Mathieu, Roland; Kovacs, Andras; Dłużewski, Piotr

    2013-05-15

    The annealing-induced formation of (Mn, Ga)As nanocrystals in (Ga, Mn)As/GaAs superlattices was studied by x-ray diffraction, transmission electron microscopy and magnetometry. The superlattice structures with 50 Å thick (Ga, Mn)As layers separated by 25, 50 and 100 Å thick GaAs spacers were grown by molecular beam epitaxy at low temperature (250 °C), and then annealed at high temperatures of 400, 560 and 630 °C. The high-temperature annealing causes decomposition to a (Ga, Mn)As ternary alloy and the formation of (Mn, Ga)As nanocrystals inside the GaAs matrix. The nanocrystals are confined in the planes that were formerly occupied by (Ga, Mn)As layers for the up to 560 °C annealing and diffuse throughout the GaAs spacer layers at 630 °C annealing. The two-dimensionally confined nanocrystals exhibit a superparamagnetic behavior which becomes high-temperature ferromagnetism (~350 K) upon diffusion.

  7. In situ monitoring of atomic layer epitaxy via optical ellipsometry

    NASA Astrophysics Data System (ADS)

    Lyzwa, F.; Marsik, P.; Roddatis, V.; Bernhard, C.; Jungbauer, M.; Moshnyaga, V.

    2018-03-01

    We report on the use of time-resolved optical ellipsometry to monitor the deposition of single atomic layers with subatomic sensitivity. Ruddlesden-Popper thin films of SrO(SrTiO3) n=4 were grown by means of metalorganic aerosol deposition in the atomic layer epitaxy mode on SrTiO3(1 0 0), LSAT(1 0 0) and DyScO3(1 1 0) substrates. The measured time dependences of ellipsometric angles, Δ(t) and Ψ(t), were described by using a simple optical model, considering the sequence of atomic layers SrO and TiO2 with corresponding bulk refractive indices. As a result, valuable online information on the atomic layer epitaxy process was obtained. Ex situ characterization techniques, i.e. transmission electron microscopy, x-ray diffraction and x-ray reflectometry verify the crystal structure and confirm the predictions of optical ellipsometry.

  8. Single-atom Catalysis Using Pt/Graphene Achieved through Atomic Layer Deposition

    NASA Astrophysics Data System (ADS)

    Sun, Shuhui; Zhang, Gaixia; Gauquelin, Nicolas; Chen, Ning; Zhou, Jigang; Yang, Songlan; Chen, Weifeng; Meng, Xiangbo; Geng, Dongsheng; Banis, Mohammad N.; Li, Ruying; Ye, Siyu; Knights, Shanna; Botton, Gianluigi A.; Sham, Tsun-Kong; Sun, Xueliang

    2013-05-01

    Platinum-nanoparticle-based catalysts are widely used in many important chemical processes and automobile industries. Downsizing catalyst nanoparticles to single atoms is highly desirable to maximize their use efficiency, however, very challenging. Here we report a practical synthesis for isolated single Pt atoms anchored to graphene nanosheet using the atomic layer deposition (ALD) technique. ALD offers the capability of precise control of catalyst size span from single atom, subnanometer cluster to nanoparticle. The single-atom catalysts exhibit significantly improved catalytic activity (up to 10 times) over that of the state-of-the-art commercial Pt/C catalyst. X-ray absorption fine structure (XAFS) analyses reveal that the low-coordination and partially unoccupied densities of states of 5d orbital of Pt atoms are responsible for the excellent performance. This work is anticipated to form the basis for the exploration of a next generation of highly efficient single-atom catalysts for various applications.

  9. Single-atom Catalysis Using Pt/Graphene Achieved through Atomic Layer Deposition

    PubMed Central

    Sun, Shuhui; Zhang, Gaixia; Gauquelin, Nicolas; Chen, Ning; Zhou, Jigang; Yang, Songlan; Chen, Weifeng; Meng, Xiangbo; Geng, Dongsheng; Banis, Mohammad N.; Li, Ruying; Ye, Siyu; Knights, Shanna; Botton, Gianluigi A.; Sham, Tsun-Kong; Sun, Xueliang

    2013-01-01

    Platinum-nanoparticle-based catalysts are widely used in many important chemical processes and automobile industries. Downsizing catalyst nanoparticles to single atoms is highly desirable to maximize their use efficiency, however, very challenging. Here we report a practical synthesis for isolated single Pt atoms anchored to graphene nanosheet using the atomic layer deposition (ALD) technique. ALD offers the capability of precise control of catalyst size span from single atom, subnanometer cluster to nanoparticle. The single-atom catalysts exhibit significantly improved catalytic activity (up to 10 times) over that of the state-of-the-art commercial Pt/C catalyst. X-ray absorption fine structure (XAFS) analyses reveal that the low-coordination and partially unoccupied densities of states of 5d orbital of Pt atoms are responsible for the excellent performance. This work is anticipated to form the basis for the exploration of a next generation of highly efficient single-atom catalysts for various applications.

  10. Nanomanufacturing of silicon surface with a single atomic layer precision via mechanochemical reactions.

    PubMed

    Chen, Lei; Wen, Jialin; Zhang, Peng; Yu, Bingjun; Chen, Cheng; Ma, Tianbao; Lu, Xinchun; Kim, Seong H; Qian, Linmao

    2018-04-18

    Topographic nanomanufacturing with a depth precision down to atomic dimension is of importance for advancement of nanoelectronics with new functionalities. Here we demonstrate a mask-less and chemical-free nanolithography process for regio-specific removal of atomic layers on a single crystalline silicon surface via shear-induced mechanochemical reactions. Since chemical reactions involve only the topmost atomic layer exposed at the interface, the removal of a single atomic layer is possible and the crystalline lattice beneath the processed area remains intact without subsurface structural damages. Molecular dynamics simulations depict the atom-by-atom removal process, where the first atomic layer is removed preferentially through the formation and dissociation of interfacial bridge bonds. Based on the parametric thresholds needed for single atomic layer removal, the critical energy barrier for water-assisted mechanochemical dissociation of Si-Si bonds was determined. The mechanochemical nanolithography method demonstrated here could be extended to nanofabrication of other crystalline materials.

  11. Effects of in-situ UV irradiation on the uniformity and optical properties of GaAsBi epi-layers grown by MBE

    NASA Astrophysics Data System (ADS)

    Beaton, Daniel A.; Steger, M.; Christian, T.; Mascarenhas, A.

    2018-02-01

    In-situ UV illumination influences the incorporation dynamics of bismuth adatom in GaAs. Here we use the inherent variation of the fluence across the sample to explore the role of the incident irradiation. With illumination it is found that steady state growth processes are achieved more quickly resulting in more abrupt interfaces, as well as uniform GaAs1-xBix epi-layers. Comparisons of low temperature photoluminescence spectra show an increasing density of clusters of incorporated bismuth atoms with decreasing incident fluence.

  12. Effects of in-situ UV irradiation on the uniformity and optical properties of GaAsBi epi-layers grown by MBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Beaton, Daniel A.; Steger, M.; Christian, T.

    In-situ UV illumination influences the incorporation dynamics of bismuth adatom in GaAs. Here we use the inherent variation of the fluence across the sample to explore the role of the incident irradiation. With illumination it is found that steady state growth processes are achieved more quickly resulting in more abrupt interfaces, as well as uniform GaAs 1-xBi x epi-layers. Comparisons of low temperature photoluminescence spectra show an increasing density of clusters of incorporated bismuth atoms with decreasing incident fluence.

  13. Effects of in-situ UV irradiation on the uniformity and optical properties of GaAsBi epi-layers grown by MBE

    DOE PAGES

    Beaton, Daniel A.; Steger, M.; Christian, T.; ...

    2017-12-14

    In-situ UV illumination influences the incorporation dynamics of bismuth adatom in GaAs. Here we use the inherent variation of the fluence across the sample to explore the role of the incident irradiation. With illumination it is found that steady state growth processes are achieved more quickly resulting in more abrupt interfaces, as well as uniform GaAs 1-xBi x epi-layers. Comparisons of low temperature photoluminescence spectra show an increasing density of clusters of incorporated bismuth atoms with decreasing incident fluence.

  14. Adding GaAs Monolayers to InAs Quantum-Dot Lasers on (001) InP

    NASA Technical Reports Server (NTRS)

    Qiu, Yueming; Chacon, Rebecca; Uhl, David; Yang, Rui

    2005-01-01

    In a modification of the basic configuration of InAs quantum-dot semiconductor lasers on (001)lnP substrate, a thin layer (typically 1 to 2 monolayer thick) of GaAs is incorporated into the active region. This modification enhances laser performance: In particular, whereas it has been necessary to cool the unmodified devices to temperatures of about 80 K in order to obtain lasing at long wavelengths, the modified devices can lase at wavelengths of about 1.7 microns or more near room temperature. InAs quantum dots self-assemble, as a consequence of the lattice mismatch, during epitaxial deposition of InAs on ln0.53Ga0.47As/lnP. In the unmodified devices, the quantum dots as thus formed are typically nonuniform in size. Strainenergy relaxation in very large quantum dots can lead to poor laser performance, especially at wavelengths near 2 microns, for which large quantum dots are needed. In the modified devices, the thin layers of GaAs added to the active regions constitute potential-energy barriers that electrons can only penetrate by quantum tunneling and thus reduce the hot carrier effects. Also, the insertion of thin GaAs layer is shown to reduce the degree of nonuniformity of sizes of the quantum dots. In the fabrication of a batch of modified InAs quantum-dot lasers, the thin additional layer of GaAs is deposited as an interfacial layer in an InGaAs quantum well on (001) InP substrate. The device as described thus far is sandwiched between InGaAsPy waveguide layers, then further sandwiched between InP cladding layers, then further sandwiched between heavily Zn-doped (p-type) InGaAs contact layer.

  15. A new structure for comparing surface passivation materials of GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Desalvo, Gregory C.; Barnett, Allen M.

    1989-01-01

    The surface recombination velocity (S sub rec) for bare GaAs is typically as high as 10 to the 6th power to 10 to the 7th power cm/sec, which dramatically lowers the efficiency of GaAs solar cells. Early attempts to circumvent this problem by making an ultra thin junction (xj less than .1 micron) proved unsuccessful when compared to lowering S sub rec by surface passivation. Present day GaAs solar cells use an GaAlAs window layer to passivate the top surface. The advantages of GaAlAs in surface passivation are its high bandgap energy and lattice matching to GaAs. Although GaAlAs is successful in reducing the surface recombination velocity, it has other inherent problems of chemical instability (Al readily oxidizes) and ohmic contact formation. The search for new, more stable window layer materials requires a means to compare their surface passivation ability. Therefore, a device structure is needed to easily test the performance of different passivating candidates. Such a test device is described.

  16. Atomic Layer Deposition of Titanium Oxide on Single-Layer Graphene: An Atomic-Scale Study toward Understanding Nucleation and Growth

    PubMed Central

    2017-01-01

    Controlled synthesis of a hybrid nanomaterial based on titanium oxide and single-layer graphene (SLG) using atomic layer deposition (ALD) is reported here. The morphology and crystallinity of the oxide layer on SLG can be tuned mainly with the deposition temperature, achieving either a uniform amorphous layer at 60 °C or ∼2 nm individual nanocrystals on the SLG at 200 °C after only 20 ALD cycles. A continuous and uniform amorphous layer formed on the SLG after 180 cycles at 60 °C can be converted to a polycrystalline layer containing domains of anatase TiO2 after a postdeposition annealing at 400 °C under vacuum. Using aberration-corrected transmission electron microscopy (AC-TEM), characterization of the structure and chemistry was performed on an atomic scale and provided insight into understanding the nucleation and growth. AC-TEM imaging and electron energy loss spectroscopy revealed that rocksalt TiO nanocrystals were occasionally formed at the early stage of nucleation after only 20 ALD cycles. Understanding and controlling nucleation and growth of the hybrid nanomaterial are crucial to achieving novel properties and enhanced performance for a wide range of applications that exploit the synergetic functionalities of the ensemble. PMID:28356613

  17. Displacement damage and predicted non-ionizing energy loss in GaAs

    NASA Astrophysics Data System (ADS)

    Gao, Fei; Chen, Nanjun; Hernandez-Rivera, Efrain; Huang, Danhong; LeVan, Paul D.

    2017-03-01

    Large-scale molecular dynamics (MD) simulations, along with bond-order interatomic potentials, have been applied to study the defect production for lattice atom recoil energies from 500 eV to 20 keV in gallium arsenide (GaAs). At low energies, the most surviving defects are single interstitials and vacancies, and only 20% of the interstitial population is contained in clusters. However, a direct-impact amorphization in GaAs occurs with a high degree of probability during the cascade lifetime for Ga PKAs (primary knock-on atoms) with energies larger than 2 keV. The results reveal a non-linear defect production that increases with the PKA energy. The damage density within a cascade core is evaluated, and used to develop a model that describes a new energy partition function. Based on the MD results, we have developed a model to determine the non-ionizing energy loss (NIEL) in GaAs, which can be used to predict the displacement damage degradation induced by space radiation on electronic components. The calculated NIEL predictions are compared with the available data, thus validating the NIEL model developed in this study.

  18. Heterojunction photovoltaics using GaAs nanowires and conjugated polymers.

    PubMed

    Ren, Shenqiang; Zhao, Ni; Crawford, Samuel C; Tambe, Michael; Bulović, Vladimir; Gradecak, Silvija

    2011-02-09

    We demonstrate an organic/inorganic solar cell architecture based on a blend of poly(3-hexylthiophene) (P3HT) and narrow bandgap GaAs nanowires. The measured increase of device photocurrent with increased nanowire loading is correlated with structural ordering within the active layer that enhances charge transport. Coating the GaAs nanowires with TiO(x) shells passivates nanowire surface states and further improves the photovoltaic performance. We find that the P3HT/nanowire cells yield power conversion efficiencies of 2.36% under white LED illumination for devices containing 50 wt % of TiO(x)-coated GaAs nanowires. Our results constitute important progress for the use of nanowires in large area solution processed hybrid photovoltaic cells and provide insight into the role of structural ordering in the device performance.

  19. N/P GaAs concentrator solar cells with an improved grid and bushbar contact design

    NASA Technical Reports Server (NTRS)

    Desalvo, G. C.; Mueller, E. H.; Barnett, A. M.

    1985-01-01

    The major requirements for a solar cell used in space applications are high efficiency at AMO irradiance and resistance to high energy radiation. Gallium arsenide, with a band gap of 1.43 eV, is one of the most efficient sunlight to electricity converters (25%) when the the simple diode model is used to calculate efficiencies at AMO irradiance, GaAs solar cells are more radiation resistant than silicon solar cells and the N/P GaAs device has been reported to be more radiation resistant than similar P/N solar cells. This higher resistance is probably due to the fact that only 37% of the current is generated in the top N layer of the N/P cell compared to 69% in the top layer of a P/N solar cell. This top layer of the cell is most affected by radiation. It has also been theoretically calculated that the optimized N/P device will prove to have a higher efficiency than a similar P/N device. The use of a GaP window layer on a GaAs solar cell will avoid many of the inherent problems normally associated with a GaAlAs window while still proving good passivation of the GaAs surface. An optimized circular grid design for solar cell concentrators has been shown which incorporates a multi-layer metallization scheme. This multi-layer design allows for a greater current carrying capacity for a unit area of shading, which results in a better output efficiency.

  20. Velocity surface measurements for ZnO films over /001/-cut GaAs

    NASA Technical Reports Server (NTRS)

    Kim, Yoonkee; Hunt, William D.; Liu, Yongsheng; Jen, Cheng-Kuei

    1994-01-01

    A potential application for a piezoelectic film deposited on a GaAs substrate is the monolithic integration of surface acoustic wave (SAW) devices with GaAs electronics. Knowledge of the SAW properties of the filmed structure is critical for the optimum design of such devices. In this article, the measurements of the velocity surface, which directly affects the SAW diffraction, on the bare and metallized ZnO/SiO2 or Si3N4/GaAs /001/-cut samples are reported using two different techniques: (1) knife-edge laser probe, (2) line-focus-beam scanning acoustic microscope. Comparisons, such as measurement accuracy and tradeoffs, between the former (dry) and the latter (wet) method are given. It is found that near the group of zone axes (110) propagation direction the autocollimating SAW property of the bare GaAs changes into a noncollimating one for the layered structure, but a reversed phenomenon exists near the group of zone axes (100) direction. The passivation layer of SiO2 or Si3N4 (less than 0.2 micrometer thick) and the metallization layer change the relative velocity but do not significantly affect the velocity surface. On the other hand, the passivation layer reduces the propagation loss by 0.5-1.3 dB/microseconds at 240 MHz depending upon the ZnO film thickness. Our SAW propagation measurements agree well with theorectical calculations. We have also obtained the anisotropy factors for samples with ZnO films of 1.6, 2.8, and 4.0 micrometer thickness. Comparisons concerning the piezoelectric coupling and acoustic loss between dc triode and rf magnetron sputtered ZnO films are provided.

  1. Nitride surface passivation of GaAs nanowires: impact on surface state density.

    PubMed

    Alekseev, Prokhor A; Dunaevskiy, Mikhail S; Ulin, Vladimir P; Lvova, Tatiana V; Filatov, Dmitriy O; Nezhdanov, Alexey V; Mashin, Aleksander I; Berkovits, Vladimir L

    2015-01-14

    Surface nitridation by hydrazine-sulfide solution, which is known to produce surface passivation of GaAs crystals, was applied to GaAs nanowires (NWs). We studied the effect of nitridation on conductivity and microphotoluminescence (μ-PL) of individual GaAs NWs using conductive atomic force microscopy (CAFM) and confocal luminescent microscopy (CLM), respectively. Nitridation is found to produce an essential increase in the NW conductivity and the μ-PL intensity as well evidence of surface passivation. Estimations show that the nitride passivation reduces the surface state density by a factor of 6, which is of the same order as that found for GaAs/AlGaAs nanowires. The effects of the nitride passivation are also stable under atmospheric ambient conditions for six months.

  2. Wafer-Fused Orientation-Patterned GaAs

    DTIC Science & Technology

    2008-02-13

    frequencies utilizing existing industrial foundries. 15. SUBJECT TERMS Orientation-patterned Gallium Arsenide, hydride vapor phase epitaxy, quasi-phase... Gallium Arsenide, hydride vapor phase epitaxy, quasi-phase-matching, nonlinear frequency conversion 1. INTRODUCTION Quasi-phase-matching (QPM)1...and E. Lallier, “Second harmonic generation of CO2 laser using thick quasi-phase-matched GaAs layer grown by hydride vapour phase epitaxy

  3. Structural and Optical Studies of ZnCdSe/ZnSe/ZnMgSSe Separate Confinement Heterostructures with Different Buffer Layers

    NASA Astrophysics Data System (ADS)

    Tu, Ru-Chin; Su, Yan-Kuin; Huang, Ying-Sheng; Chen, Giin-Sang; Chou, Shu-Tsun

    1998-09-01

    Detailed structural and optical studies of ZnCdSe/ZnSe/ZnMgSSe separate confinementheterostructures (SCH) grown on ZnSe, ZnSe/ZnSSe strained-layer superlattices (SLS),and GaAs buffer layers at the II VI/GaAs interface have been carried out by employingtransmission electron microscopy, variable temperature photoluminescence (PL), andcontactless electroreflectance (CER) measurements. A significant improvement onthe defect reduction and the optical quality has been observed by using either theZnSe/ZnSSe SLS or GaAs as the buffer layers when compared to that of the sample usingonly ZnSe as the buffer layer. However, the sample grown with the SLS buffer layersreveals a room temperature PL intensity higher than that of the sample grown witha GaAs buffer layer, which may still suffer from the great ionic differences betweenthe II V and III V atoms. Using 15 K CER spectra, we have also studied variousexcitonic transitions originating from strained Zn0.80Cd0.20Se/ZnSe single quantumwell in SCH with different buffer layers. An analysis of the CER spectra has ledto the identification of various excitonic transitions, mnH (L), between the mthconduction band state and the nth heavy (light)-hole band state. An excellentagreement between experiments and theoretical calculations based on the envelopefunction approximation model has been achieved.

  4. Science and Emerging Technology of 2D Atomic Layered Materials and Devices

    DTIC Science & Technology

    2017-09-09

    AFRL-AFOSR-JP-TR-2017-0067 Science & Emerging Technology of 2D Atomic Layered Materials and Devices Angel Rubio UNIVERSIDAD DEL PAIS VASCO - EUSKAL...Emerging Technology of 2D Atomic Layered Materials and Devices 5a.  CONTRACT NUMBER 5b.  GRANT NUMBER FA2386-15-1-0006 5c.  PROGRAM ELEMENT NUMBER...reporting documents for AOARD project 144088, “2D Materials and Devices Beyond Graphene Science & Emerging Technology of 2D Atomic Layered Materials and

  5. Surface reflectance anisotropy of indium-terminated GaAs(100) surfaces

    NASA Astrophysics Data System (ADS)

    Springer, C.; Resch-Esser, U.; Goletti, C.; Richter, W.; Fimland, B. O.

    1997-04-01

    The growth of thin indium-layers on the GaAs(100) As-rich {(2 × 4)}/{c(2 × 8) } surface has been investigated by reflectance anisotropy spectroscopy (RAS), LEED and AES. Clean surfaces of the {(2 × 4)}/{c(2 × 8) } reconstruction were prepared in UHV by thermal desorption of a protective arsenic layer deposited on homoepitaxially grown MBE layers. Room temperature deposition of indium on the {(2 × 4)}/{c(2 × 8) } surface and subsequent annealing at 450°C leads to a 90° rotation of symmetry in the LEED pattern at a threshold coverage of 0.5 monolayers, i.e. a change from the {(2 × 4)}/{c(2 × 8) } to the {(4 × 2)}/{c(8 × 2) } reconstruction. The RAS spectra show the evolution of a distinct negative feature at 1.8 eV, that shifts to 2.1 eV after annealing, corresponding to optical transitions attributed to In-dimers orientated along the [011]-direction. AES analysis shows a change in growth mode beyond 0.5 ML indicating saturation of all available adsorption sites at this coverage and RAS spectra show a contribution from additional disordered In. The AES spectra display no evidence of a surface exchange reaction between gallium and arsenic atoms, thus indicating a surface termination by In-dimers adsorbed on a layer of As.

  6. Passivation of oxide traps and interface states in GaAs metal-oxide-semiconductor capacitor by LaTaON passivation layer and fluorine incorporation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, L. N.; Choi, H. W.; Lai, P. T., E-mail: laip@eee.hku.hk

    2015-11-23

    GaAs metal-oxide-semiconductor capacitor with TaYON/LaTaON gate-oxide stack and fluorine-plasma treatment is fabricated and compared with its counterparts without the LaTaON passivation interlayer or the fluorine treatment. Experimental results show that the sample exhibits better characteristics: low interface-state density (8 × 10{sup 11 }cm{sup −2}/eV), small flatband voltage (0.69 V), good capacitance-voltage behavior, small frequency dispersion, and small gate leakage current (6.35 × 10{sup −6} A/cm{sup 2} at V{sub fb} + 1 V). These should be attributed to the suppressed growth of unstable Ga and As oxides on the GaAs surface during gate-oxide annealing by the LaTaON interlayer and fluorine incorporation, and the passivating effects of fluorine atoms on the acceptor-likemore » interface and near-interface traps.« less

  7. Giant and reversible enhancement of the electrical resistance of GaAs1-xNx by hydrogen irradiation

    NASA Astrophysics Data System (ADS)

    Alvarez, J.; Kleider, J.-P.; Trotta, R.; Polimeni, A.; Capizzi, M.; Martelli, F.; Mariucci, L.; Rubini, S.

    2011-08-01

    The electrical properties of untreated and hydrogen-irradiated GaAs1-xNx are investigated by conductive-probe atomic force microscopy (CP-AFM). After hydrogen irradiation, the resistance R of GaAs1-xNx increases by more than three orders of magnitude while that of a N-free GaAs reference slightly decreases. Thermal annealing at 550 °C of H-irradiated GaAs1-xNx restores the pristine electrical properties of the as-grown sample thus demonstrating that this phenomenon is fully reversible. These effects are attributed to the nitrogen-hydrogen complexes that passivate N in GaAs1-xNx (thus restoring the energy gap of N-free GaAs) and, moreover, reduce the carrier scattering time by more than one order of magnitude. This opens up a route to the fabrication of planar conductive/resistive/conductive heterostructures with submicrometer spatial resolution, which is also reported here.

  8. Conductivity and structure of ErAs nanoparticles embedded in GaAs pn junctions analyzed via conductive atomic force microscopy

    NASA Astrophysics Data System (ADS)

    Park, K. W.; Dasika, V. D.; Nair, H. P.; Crook, A. M.; Bank, S. R.; Yu, E. T.

    2012-06-01

    We have used conductive atomic force microscopy to investigate the influence of growth temperature on local current flow in GaAs pn junctions with embedded ErAs nanoparticles grown by molecular beam epitaxy. Three sets of samples, one with 1 ML ErAs deposited at different growth temperatures and two grown at 530 °C and 575 °C with varying ErAs depositions, were characterized. Statistical analysis of local current images suggests that the structures grown at 575 °C have about 3 times thicker ErAs nanoparticles than structures grown at 530 °C, resulting in degradation of conductivity due to reduced ErAs coverage. These findings explain previous studies of macroscopic tunnel junctions.

  9. Surface passivation investigation on ultra-thin atomic layer deposited aluminum oxide layers for their potential application to form tunnel layer passivated contacts

    NASA Astrophysics Data System (ADS)

    Xin, Zheng; Ling, Zhi Peng; Nandakumar, Naomi; Kaur, Gurleen; Ke, Cangming; Liao, Baochen; Aberle, Armin G.; Stangl, Rolf

    2017-08-01

    The surface passivation performance of atomic layer deposited ultra-thin aluminium oxide layers with different thickness in the tunnel layer regime, i.e., ranging from one atomic cycle (∼0.13 nm) to 11 atomic cycles (∼1.5 nm) on n-type silicon wafers is studied. The effect of thickness and thermal activation on passivation performance is investigated with corona-voltage metrology to measure the interface defect density D it(E) and the total interface charge Q tot. Furthermore, the bonding configuration variation of the AlO x films under various post-deposition thermal activation conditions is analyzed by Fourier transform infrared spectroscopy. Additionally, poly(3,4-ethylenedioxythiophene) poly(styrene sulfonate) is used as capping layer on ultra-thin AlO x tunneling layers to further reduce the surface recombination current density to values as low as 42 fA/cm2. This work is a useful reference for using ultra-thin ALD AlO x layers as tunnel layers in order to form hole selective passivated contacts for silicon solar cells.

  10. Application of galvanomagnetic measurements in temperature range 70-300 K to MBE GaAs layers characterization

    NASA Astrophysics Data System (ADS)

    Wolkenberg, Andrzej; Przeslawski, Tomasz

    1996-04-01

    Galvanomagnetic measurements were performed on the square shaped samples after Van der Pauw and on the Hall bar at low electric fields app. 1.5 V/cm and magnetic induction app. 6 kG in order to make a comparison between the theoretical and experimental results of the temperature dependence of mobility and resistivity from 70 K to 300 K. A calculation method was obtained of the drift mobility and the Hall mobility in which the scatterings are applied: on ionized impurities, on polar optical phonons, on acoustic phonons (deformation potential), on acoustic phonons (piezoelectric potential) and on dislocations. The elaborated method transformed to a computer program allows us to fit experimental values of the resistivity and the Hall mobility to those calculated. The fitting procedure makes it possible to characterize the quality of the n-type GaAs MBE layer, i.e. the net electron concentration, whole ionized impurities concentration and dislocation density after Read space charge cylinders model. The calculations together with the measurements allow us to obtain compensation ratio value in the layer, too. The influence of the epitaxial layer thickness on layers measurements accuracy in the case of Van der Pauw square probe was investigated. It was stated that in the layers under 3 micrometer the bulk properties are strongly influenced by both surfaces. The results of measurements of the same layer using the Van der Pauw and the Hall bar structure were compared. It was stated that the Hall bar structure only could be used to obtain proper measurements results.

  11. Germanium- and tellurium-doped GaAs for non-alloyed p-type and n-type ohmic contacts

    NASA Astrophysics Data System (ADS)

    Park, Joongseo; Barnes, Peter A.; Lovejoy, Michael L.

    1995-08-01

    Epitaxial ohmic contacts to GaAs were grown by liquid phase epitaxy. Heavily Ge-doped GaAs was grown to prepare ohmic contacts to p-GaAs while Te was used for the n-type contacts. Hall measurements were carried out for the samples grown from melts in which the mole fraction of Ge was varied between 1.55 atomic % and 52.2 atomic %, while the Te mole fractions varied between 0.03% and 0.5%. Specific contact resistance, rc, as low as rcp=2.9×10-6 ohm-cm 2 for Ge doping of p=(Na-Nd)=6.0×1019 holes/cm3 was measured for p-contacts and rcn=9.6×10-5 ohm-cm2 was measured for Te doping of n=(Nd-Na)=8.9×1018 electrons/cm3 for GaAs metallized with non-alloyed contacts of Ti/Al.

  12. Predicting synergy in atomic layer etching

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kanarik, Keren J.; Tan, Samantha; Yang, Wenbing

    2017-03-27

    Atomic layer etching (ALE) is a multistep process used today in manufacturing for removing ultrathin layers of material. In this article, the authors report on ALE of Si, Ge, C, W, GaN, and SiO 2 using a directional (anisotropic) plasma-enhanced approach. The authors analyze these systems by defining an “ALE synergy” parameter which quantifies the degree to which a process approaches the ideal ALE regime. This parameter is inspired by the ion-neutral synergy concept introduced in the 1979 paper by Coburn and Winters. ALE synergy is related to the energetics of underlying surface interactions and is understood in terms ofmore » energy criteria for the energy barriers involved in the reactions. Synergistic behavior is observed for all of the systems studied, with each exhibiting behavior unique to the reactant–material combination. By systematically studying atomic layer etching of a group of materials, the authors show that ALE synergy scales with the surface binding energy of the bulk material. This insight explains why some materials are more or less amenable to the directional ALE approach. Furthermore, they conclude that ALE is both simpler to understand than conventional plasma etch processing and is applicable to metals, semiconductors, and dielectrics.« less

  13. Heteroepitaxial growth of Cd(1-x)Mn(x)Te on GaAs by metalorganic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Nouhi, Akbar; Stirn, Richard J.

    1987-01-01

    In this letter, preliminary results are reported of heteroepitaxial growth of the dilute magnetic semiconductor alloy Cd(1-x)Mn(x)Te on GaAs by metalorganic chemical vapor deposition. Dimethylcadmium (DMCd), diethyltellurium (DETe), and tricarbonyl (methylcyclopentadienyl) manganese (TCPMn) were used as source materials. The TCPMn had to be heated to as high as 140 C to provide the required vapor pressure. Films with Mn atomic fractions up to 30 percent have been grown over the temperature range 410-450 C. Results of optical absorption/transmission, photoluminescence, and X-ray diffraction measurements are presented along with a scanning electron micrograph showing good surface morphology of the grown layers.

  14. Atomic-layer soft plasma etching of MoS2

    PubMed Central

    Xiao, Shaoqing; Xiao, Peng; Zhang, Xuecheng; Yan, Dawei; Gu, Xiaofeng; Qin, Fang; Ni, Zhenhua; Han, Zhao Jun; Ostrikov, Kostya (Ken)

    2016-01-01

    Transition from multi-layer to monolayer and sub-monolayer thickness leads to the many exotic properties and distinctive applications of two-dimensional (2D) MoS2. This transition requires atomic-layer-precision thinning of bulk MoS2 without damaging the remaining layers, which presently remains elusive. Here we report a soft, selective and high-throughput atomic-layer-precision etching of MoS2 in SF6 + N2 plasmas with low-energy (<0.4 eV) electrons and minimized ion-bombardment-related damage. Equal numbers of MoS2 layers are removed uniformly across domains with vastly different initial thickness, without affecting the underlying SiO2 substrate and the remaining MoS2 layers. The etching rates can be tuned to achieve complete MoS2 removal and any desired number of MoS2 layers including monolayer. Layer-dependent vibrational and photoluminescence spectra of the etched MoS2 are also demonstrated. This soft plasma etching technique is versatile, scalable, compatible with the semiconductor manufacturing processes, and may be applicable for a broader range of 2D materials and intended device applications. PMID:26813335

  15. Effects of ultrathin oxides in conducting MIS structures on GaAs

    NASA Technical Reports Server (NTRS)

    Childs, R. B.; Ruths, J. M.; Sullivan, T. E.; Fonash, S. J.

    1978-01-01

    Schottky barrier-type GaAs baseline devices (semiconductor surface etched and then immediately metalized) and GaAs conducting metal oxide-semiconductor devices are fabricated and characterized. The baseline surfaces (no purposeful oxide) are prepared by a basic or an acidic etch, while the surface for the MIS devices are prepared by oxidizing after the etch step. The metallizations used are thin-film Au, Ag, Pd, and Al. It is shown that the introduction of purposeful oxide into these Schottky barrier-type structures examined on n-type GaAs modifies the barrier formation, and that thin interfacial layers can modify barrier formation through trapping and perhaps chemical reactions. For Au- and Pd-devices, enhanced photovoltaic performance of the MIS configuration is due to increased barrier height.

  16. Atomic layer confined vacancies for atomic-level insights into carbon dioxide electroreduction

    NASA Astrophysics Data System (ADS)

    Gao, Shan; Sun, Zhongti; Liu, Wei; Jiao, Xingchen; Zu, Xiaolong; Hu, Qitao; Sun, Yongfu; Yao, Tao; Zhang, Wenhua; Wei, Shiqiang; Xie, Yi

    2017-02-01

    The role of oxygen vacancies in carbon dioxide electroreduction remains somewhat unclear. Here we construct a model of oxygen vacancies confined in atomic layer, taking the synthetic oxygen-deficient cobalt oxide single-unit-cell layers as an example. Density functional theory calculations demonstrate the main defect is the oxygen(II) vacancy, while X-ray absorption fine structure spectroscopy reveals their distinct oxygen vacancy concentrations. Proton transfer is theoretically/experimentally demonstrated to be a rate-limiting step, while energy calculations unveil that the presence of oxygen(II) vacancies lower the rate-limiting activation barrier from 0.51 to 0.40 eV via stabilizing the formate anion radical intermediate, confirmed by the lowered onset potential from 0.81 to 0.78 V and decreased Tafel slope from 48 to 37 mV dec-1. Hence, vacancy-rich cobalt oxide single-unit-cell layers exhibit current densities of 2.7 mA cm-2 with ca. 85% formate selectivity during 40-h tests. This work establishes a clear atomic-level correlation between oxygen vacancies and carbon dioxide electroreduction.

  17. Reducing interface recombination for Cu(In,Ga)Se 2 by atomic layer deposited buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hultqvist, Adam; Li, Jian V.; Kuciauskas, Darius

    2015-07-20

    Partial CuInGaSe2 (CIGS) solar cell stacks with different atomic layer deposited buffer layers and pretreatments were analyzed by photoluminescence (PL) and capacitance voltage (CV) measurements to investigate the buffer layer/CIGS interface. Atomic layer deposited ZnS, ZnO, and SnOx buffer layers were compared with chemical bath deposited CdS buffer layers. Band bending, charge density, and interface state density were extracted from the CV measurement using an analysis technique new to CIGS. The surface recombination velocity calculated from the density of interface traps for a ZnS/CIGS stack shows a remarkably low value of 810 cm/s, approaching the range of single crystalline II-VImore » systems. Both the PL spectra and its lifetime depend on the buffer layer; thus, these measurements are not only sensitive to the absorber but also to the absorber/buffer layer system. Pretreatment of the CIGS prior to the buffer layer deposition plays a significant role on the electrical properties for the same buffer layer/CIGS stack, further illuminating the importance of good interface formation. Finally, ZnS is found to be the best performing buffer layer in this study, especially if the CIGS surface is pretreated with potassium cyanide.« less

  18. Reducing interface recombination for Cu(In,Ga)Se 2 by atomic layer deposited buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hultqvist, Adam; Li, Jian V.; Kuciauskas, Darius

    2015-07-20

    Partial CuInGaSe2 (CIGS) solar cell stacks with different atomic layer deposited buffer layers and pretreatments were analyzed by photoluminescence (PL) and capacitance voltage (CV) measurements to investigate the buffer layer/CIGS interface. Atomic layer deposited ZnS, ZnO, and SnOx buffer layers were compared with chemical bath deposited CdS buffer layers. Band bending, charge density, and interface state density were extracted from the CV measurement using an analysis technique new to CIGS. The surface recombination velocity calculated from the density of interface traps for a ZnS/CIGS stack shows a remarkably low value of 810 cm/s, approaching the range of single crystalline II–VImore » systems. Both the PL spectra and its lifetime depend on the buffer layer; thus, these measurements are not only sensitive to the absorber but also to the absorber/buffer layer system. Pretreatment of the CIGS prior to the buffer layer deposition plays a significant role on the electrical properties for the same buffer layer/CIGS stack, further illuminating the importance of good interface formation. Finally, ZnS is found to be the best performing buffer layer in this study, especially if the CIGS surface is pretreated with potassium cyanide.« less

  19. Properties of epitaxial BaTiO{sub 3} deposited on GaAs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Contreras-Guerrero, R.; Droopad, R.; Veazey, J. P.

    2013-01-07

    Single crystal BaTiO{sub 3} (BTO) has been grown epitaxially on GaAs using molecular beam epitaxy with a 2 unit cell SrTiO{sub 3} nucleation layer. The oxide film is lattice-matched to GaAs through an in-plane rotation of 45 Degree-Sign relative to the (100) surface leading to c-axis orientation of the BaTiO{sub 3}. X-ray diffraction confirmed the crystallinity and orientation of the oxide film with a full width half maximum of 0.58 Degree-Sign for a 7.5 nm thick layer. Piezoresponse force microscopy was used to characterize the ferroelectric domains in the BaTiO{sub 3} layer, and a coercive voltage of 1-2 V andmore » piezoresponse amplitude {approx}5 pm/V was measured.« less

  20. Versatile buffer layer architectures based on Ge1-xSnx alloys

    NASA Astrophysics Data System (ADS)

    Roucka, R.; Tolle, J.; Cook, C.; Chizmeshya, A. V. G.; Kouvetakis, J.; D'Costa, V.; Menendez, J.; Chen, Zhihao D.; Zollner, S.

    2005-05-01

    We describe methodologies for integration of compound semiconductors with Si via buffer layers and templates based on the GeSn system. These layers exhibit atomically flat surface morphologies, low defect densities, tunable thermal expansion coefficients, and unique ductile properties, which enable them to readily absorb differential stresses produced by mismatched overlayers. They also provide a continuous selection of lattice parameters higher than that of Ge, which allows lattice matching with technologically useful III-V compounds. Using this approach we have demonstrated growth of GaAs, GeSiSn, and pure Ge layers at low temperatures on Si(100). These materials display extremely high-quality structural, morphological, and optical properties opening the possibility of versatile integration schemes directly on silicon.

  1. Thermally activated decomposition of (Ga,Mn)As thin layer at medium temperature post growth annealing

    NASA Astrophysics Data System (ADS)

    Melikhov, Y.; Konstantynov, P.; Domagala, J.; Sadowski, J.; Chernyshova, M.; Wojciechowski, T.; Syryanyy, Y.; Demchenko, I. N.

    2016-05-01

    The redistribution of Mn atoms in Ga1-xMnxAs layer during medium-temperature annealing, 250-450 oC, by Mn K-edge X-ray absorption fine structure (XAFS) recorded at ALBA facility, was studied. For this purpose Ga1-xMnxAs thin layer with x=0.01 was grown on AlAs buffer layer deposited on GaAs(100) substrate by molecular beam epitaxy (MBE) followed by annealing. The examined layer was detached from the substrate using a “lift-off” procedure in order to eliminate elastic scattering in XAFS spectra. Fourier transform analysis of experimentally obtained EXAFS spectra allowed to propose a model which describes a redistribution/diffusion of Mn atoms in the host matrix. Theoretical XANES spectra, simulated using multiple scattering formalism (FEFF code) with the support of density functional theory (WIEN2k code), qualitatively describe the features observed in the experimental fine structure.

  2. Scanning microwave microscopy applied to semiconducting GaAs structures

    NASA Astrophysics Data System (ADS)

    Buchter, Arne; Hoffmann, Johannes; Delvallée, Alexandra; Brinciotti, Enrico; Hapiuk, Dimitri; Licitra, Christophe; Louarn, Kevin; Arnoult, Alexandre; Almuneau, Guilhem; Piquemal, François; Zeier, Markus; Kienberger, Ferry

    2018-02-01

    A calibration algorithm based on one-port vector network analyzer (VNA) calibration for scanning microwave microscopes (SMMs) is presented and used to extract quantitative carrier densities from a semiconducting n-doped GaAs multilayer sample. This robust and versatile algorithm is instrument and frequency independent, as we demonstrate by analyzing experimental data from two different, cantilever- and tuning fork-based, microscope setups operating in a wide frequency range up to 27.5 GHz. To benchmark the SMM results, comparison with secondary ion mass spectrometry is undertaken. Furthermore, we show SMM data on a GaAs p-n junction distinguishing p- and n-doped layers.

  3. Nano-soldering to single atomic layer

    DOEpatents

    Girit, Caglar O [Berkeley, CA; Zettl, Alexander K [Kensington, CA

    2011-10-11

    A simple technique to solder submicron sized, ohmic contacts to nanostructures has been disclosed. The technique has several advantages over standard electron beam lithography methods, which are complex, costly, and can contaminate samples. To demonstrate the soldering technique graphene, a single atomic layer of carbon, has been contacted, and low- and high-field electronic transport properties have been measured.

  4. Blueish green photoluminescence from nitrided GaAs(100) surfaces

    NASA Astrophysics Data System (ADS)

    Shimaoka, Goro; Udagawa, Takashi

    1999-04-01

    Optical and structural studies were made on the Si-doped (100)GaAs surfaces nitrided at a temperature between 650° and 750°C for 15 min in the flowing NH 3 gas. The wavelength of photoluminescence (PL) spectra were observed to be shortened from 820 nm of the GaAs nitrided at 650°C with increasing nitridation temperature. Blueish green PL with wavelengths of approx. 490 nm and 470 nm were emitted from the nitrided surfaces at 700° and 750°C, respectively. Results of AES and SIMS indicated that the surfaces are nitrided as GaAs 1- xN x, (0< x≤1) alloy layer, and the nitrided region also tended to increase as the temperature raised. High-resolution transmission electron microscopic (HRTEM), transmission electron diffraction (TED) and energy dispersive X-ray (EDX) results showed that films peeled off from the nitrided surfaces consisted mainly of hexagonal, wurtzite-type gallium nitride (GaN) with stacking faults and microtwins.

  5. Surface segregation and the Al problem in GaAs quantum wells

    NASA Astrophysics Data System (ADS)

    Chung, Yoon Jang; Baldwin, K. W.; West, K. W.; Shayegan, M.; Pfeiffer, L. N.

    2018-03-01

    Low-defect two-dimensional electron systems (2DESs) are essential for studies of fragile many-body interactions that only emerge in nearly-ideal systems. As a result, numerous efforts have been made to improve the quality of modulation-doped AlxGa1 -xAs /GaAs quantum wells (QWs), with an emphasis on purifying the source material of the QW itself or achieving better vacuum in the deposition chamber. However, this approach overlooks another crucial component that comprises such QWs, the AlxGa1 -xAs barrier. Here we show that having a clean Al source and hence a clean barrier is instrumental to obtain a high-quality GaAs 2DES in a QW. We observe that the mobility of the 2DES in GaAs QWs declines as the thickness or Al content of the AlxGa1 -xAs barrier beneath the QW is increased, which we attribute to the surface segregation of oxygen atoms that originate from the Al source. This conjecture is supported by the improved mobility in the GaAs QWs as the Al cell is cleaned out by baking.

  6. In Situ Infrared Spectroscopic Studies of Molecular Layer Deposition and Atomic Layer Etching Processes

    NASA Astrophysics Data System (ADS)

    DuMont, Jaime Willadean

    In this thesis, in situ Fourier transform infrared (FTIR) spectroscopy was used to study: i) the growth and pyrolysis of molecular layer deposition (MLD) films. ii) the surface chemistry of atomic layer etching (ALE) processes. Atomic layer processes such as molecular layer deposition (MLD) and atomic layer etching (ALE) are techniques that can add or remove material with atomic level precision using sequential, self-limiting surface reactions. Deposition and removal processes at the atomic scale are powerful tools for many industrial and research applications such as energy storage and semiconductor nanofabrication. The first section of this thesis describes the chemistry of reactions leading to the MLD of aluminum and tin alkoxide polymer films known as "alucone" and "tincone", respectively. The subsequent pyrolysis of these films to produce metal oxide/carbon composites was also investigated. In situ FTIR spectroscopy was conducted to monitor surface species during MLD film growth and to monitor the films background infrared absorbance versus pyrolysis temperature. Ex situ techniques such as transmission electron microscopy (TEM), four-point probe and X-ray diffraction (XRD) were utilized to study the properties of the films post-pyrolysis. TEM confirmed that the pyrolyzed films maintained conformality during post-processing. Four-point probe monitored film resistivity versus pyrolysis temperature and XRD determined the film crystallinity. The second section of this thesis focuses on the surface chemistry of Al2O3 and SiO2 ALE processes, respectively. Thermal ALE processes have been recently developed which utilize sequential fluorination and ligand exchange reactions. An intimate knowledge of the surface chemistry is important in understanding the ALE process. In this section, the competition between the Al2O3 etching and AlF 3 growth that occur during sequential HF (fluorinating agent) and TMA (ligand exchange) exposures is investigated using in situ FTIR

  7. Comparison of the reactivity of alkyl and alkyl amine precursors with native oxide GaAs(100) and InAs(100) surfaces

    NASA Astrophysics Data System (ADS)

    Henegar, A. J., , Dr.; Gougousi, T., , Prof.

    2016-12-01

    In this manuscript we compare the interaction of alkyl (trimethyl aluminum) and alkyl amine (tetrakis dimethylamino titanium) precursors during thermal atomic layer deposition with III-V native oxides. For that purpose we deposit Al2O3 and TiO2, using H2O as the oxidizer, on GaAs(100) and InAs(100) native oxide surfaces. We find that there are distinct differences in the behavior of the two films. For the Al2O3 ALD very little native oxide removal happens after the first few ALD cycles while the interaction of the alkyl amine precursor for TiO2 and the native oxides continues well after the surface has been covered with 2 nm of TiO2. This difference is traced to the superior properties of Al2O3 as a diffusion barrier. Differences are also found in the behavior of the arsenic oxides of the InAs and GaAs substrates. The arsenic oxides from the InAs surface are found to mix more efficiently in the growing dielectric film than those from the GaAs surface. This difference is attributed to lower native oxide stability as well as an initial diffusion path formation by the indium oxides.

  8. Nucleation and growth of dielectric films on III-V semiconductors during atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Granados Alpizar, Bernal

    In order to continue with metal-oxide-semiconductors (CMOS) transistor scaling and to reduce the power density, the channel should be replaced with a material having a higher electron mobility, such as a III-V semiconductor. However, the integration of III-V's is a challenge because these materials oxidize rapidly when exposed to air and the native oxide produced is characterized by a high density of defects. Deposition of high-k materials on III-V semiconductors using Atomic Layer Deposition (ALD) reduces the thickness of these oxides, improving the semiconductor/oxide interface quality and the transistor electrical characteristics. In this work, ALD is used to deposit two dielectrics, Al 2O3 and TiO2, on two III-V materials, GaAs and InGaAs, and in-situ X-ray photoelectron spectroscopy (XPS) and in-situ thermal programmed desorption (TPD) are used for interface characterization. Hydrofluoric acid (HF) etching of GaAs(100) and brief reoxidation in air produces a 9.0 ±1.6 Å-thick oxide overlayer containing 86% As oxides. The oxides are removed by 1 s pulses of trimethylaluminum (TMA) or TiCl4. TMA removes the oxide overlayer while depositing a 7.5 ± 1.6 Å thick aluminum oxide. The reaction follows a ligand exchange mechanism producing nonvolatile Al-O species that remain on the surface. TiCl4 exposure removes the oxide overlayer in the temperature range 89°C to 300°C, depositing approximately 0.04 monolayer of titanium oxide for deposition temperatures from 89°C to 135°C, but no titanium oxide is present from 170 °C to 230 °C. TiCl4 forms a volatile oxychloride product and removes O from the surface while leaving Cl atoms adsorbed to an elemental As layer, chemically passivating the surface. The native oxide of In0.53Ga0.47As(100) is removed using liquid HF and gas phase HF before deposition of Al2O3 using TMA and H2O at 170 °C. An aluminium oxide film with a thickness of 7.2 ± 1.2 Å and 7.3 ± 1.2 Å is deposited during the first pulse of TMA on

  9. LEED and AES characterization of the GaAs(110)-ZnSe interface

    NASA Technical Reports Server (NTRS)

    Tu, D.-W.; Kahn, A.

    1984-01-01

    In this paper, a study is conducted of the composition and structure of epitaxial ZnSe films grown by congruent evaporation on GaAs(110) at a rate of 2 A/min. It is found that the films grown on 300 C GaAs are nearly stoichiometric and form an abrupt interface with the substrate. Films grown at higher temperature (T greater than 350-400 C) are Se rich. The crystallinity of films grown at 300 C is good and their surface atomic geometry is identical to that of a ZnSe crystal. The GaAs-ZnSe interface geometry seems to be dominated by the Se-substrate bonds. The adsorption of Se, during the formation of very thin ZnSe films (2-3 A), produces a (1 x 2) LEED pattern and modifications of the LEED I-V profiles, which probably indicate a change in the substrate atomic relaxation.

  10. Dopant Distribution in Atomic Layer Deposited ZnO:Al Films Visualized by Transmission Electron Microscopy and Atom Probe Tomography.

    PubMed

    Wu, Yizhi; Giddings, A Devin; Verheijen, Marcel A; Macco, Bart; Prosa, Ty J; Larson, David J; Roozeboom, Fred; Kessels, Wilhelmus M M

    2018-02-27

    The maximum conductivity achievable in Al-doped ZnO thin films prepared by atomic layer deposition (ALD) is limited by the low doping efficiency of Al. To better understand the limiting factors for the doping efficiency, the three-dimensional distribution of Al atoms in the ZnO host material matrix has been examined on the atomic scale using a combination of high-resolution transmission electron microscopy (TEM) and atom probe tomography (APT). Although the Al distribution in ZnO films prepared by so-called "ALD supercycles" is often presented as atomically flat δ-doped layers, in reality a broadening of the Al-dopant layers is observed with a full-width-half-maximum of ∼2 nm. In addition, an enrichment of the Al at grain boundaries is observed. The low doping efficiency for local Al densities > ∼1 nm -3 can be ascribed to the Al solubility limit in ZnO and to the suppression of the ionization of Al dopants from adjacent Al donors.

  11. A combined scanning tunneling microscope-atomic layer deposition tool.

    PubMed

    Mack, James F; Van Stockum, Philip B; Iwadate, Hitoshi; Prinz, Fritz B

    2011-12-01

    We have built a combined scanning tunneling microscope-atomic layer deposition (STM-ALD) tool that performs in situ imaging of deposition. It operates from room temperature up to 200 °C, and at pressures from 1 × 10(-6) Torr to 1 × 10(-2) Torr. The STM-ALD system has a complete passive vibration isolation system that counteracts both seismic and acoustic excitations. The instrument can be used as an observation tool to monitor the initial growth phases of ALD in situ, as well as a nanofabrication tool by applying an electric field with the tip to laterally pattern deposition. In this paper, we describe the design of the tool and demonstrate its capability for atomic resolution STM imaging, atomic layer deposition, and the combination of the two techniques for in situ characterization of deposition.

  12. Relevance of GaAs(001) surface electronic structure for high frequency dispersion on n-type accumulation capacitance

    NASA Astrophysics Data System (ADS)

    Pi, T. W.; Chen, W. S.; Lin, Y. H.; Cheng, Y. T.; Wei, G. J.; Lin, K. Y.; Cheng, C.-P.; Kwo, J.; Hong, M.

    2017-01-01

    This study investigates the origin of long-puzzled high frequency dispersion on the accumulation region of capacitance-voltage characteristics in an n-type GaAs-based metal-oxide-semiconductor. Probed adatoms with a high Pauling electronegativity, Ag and Au, unexpectedly donate charge to the contacted As/Ga atoms of as-grown α2 GaAs(001)-2 × 4 surfaces. The GaAs surface atoms behave as charge acceptors, and if not properly passivated, they would trap those electrons accumulated at the oxide and semiconductor interface under a positive bias. The exemplified core-level spectra of the Al2O3/n-GaAs(001)-2 × 4 and the Al2O3/n-GaAs(001)-4 × 6 interfaces exhibit remnant of pristine surface As emission, thereby causing high frequency dispersion in the accumulation region. For the p-type GaAs, electrons under a negatively biased condition are expelled from the interface, thereby avoiding becoming trapped.

  13. Reducing interface recombination for Cu(In,Ga)Se{sub 2} by atomic layer deposited buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hultqvist, Adam; Bent, Stacey F.; Li, Jian V.

    2015-07-20

    Partial CuInGaSe{sub 2} (CIGS) solar cell stacks with different atomic layer deposited buffer layers and pretreatments were analyzed by photoluminescence (PL) and capacitance voltage (CV) measurements to investigate the buffer layer/CIGS interface. Atomic layer deposited ZnS, ZnO, and SnO{sub x} buffer layers were compared with chemical bath deposited CdS buffer layers. Band bending, charge density, and interface state density were extracted from the CV measurement using an analysis technique new to CIGS. The surface recombination velocity calculated from the density of interface traps for a ZnS/CIGS stack shows a remarkably low value of 810 cm/s, approaching the range of single crystallinemore » II–VI systems. Both the PL spectra and its lifetime depend on the buffer layer; thus, these measurements are not only sensitive to the absorber but also to the absorber/buffer layer system. Pretreatment of the CIGS prior to the buffer layer deposition plays a significant role on the electrical properties for the same buffer layer/CIGS stack, further illuminating the importance of good interface formation. Finally, ZnS is found to be the best performing buffer layer in this study, especially if the CIGS surface is pretreated with potassium cyanide.« less

  14. Atomic Structures of Silicene Layers Grown on Ag(111): Scanning Tunneling Microscopy and Noncontact Atomic Force Microscopy Observations

    PubMed Central

    Resta, Andrea; Leoni, Thomas; Barth, Clemens; Ranguis, Alain; Becker, Conrad; Bruhn, Thomas; Vogt, Patrick; Le Lay, Guy

    2013-01-01

    Silicene, the considered equivalent of graphene for silicon, has been recently synthesized on Ag(111) surfaces. Following the tremendous success of graphene, silicene might further widen the horizon of two-dimensional materials with new allotropes artificially created. Due to stronger spin-orbit coupling, lower group symmetry and different chemistry compared to graphene, silicene presents many new interesting features. Here, we focus on very important aspects of silicene layers on Ag(111): First, we present scanning tunneling microscopy (STM) and non-contact Atomic Force Microscopy (nc-AFM) observations of the major structures of single layer and bi-layer silicene in epitaxy with Ag(111). For the (3 × 3) reconstructed first silicene layer nc-AFM represents the same lateral arrangement of silicene atoms as STM and therefore provides a timely experimental confirmation of the current picture of the atomic silicene structure. Furthermore, both nc-AFM and STM give a unifying interpretation of the second layer (√3 × √3)R ± 30° structure. Finally, we give support to the conjectured possible existence of less stable, ~2% stressed, (√7 × √7)R ± 19.1° rotated silicene domains in the first layer. PMID:23928998

  15. Hybrid inorganic–organic superlattice structures with atomic layer deposition/molecular layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tynell, Tommi; Yamauchi, Hisao; Karppinen, Maarit, E-mail: maarit.karppinen@aalto.fi

    2014-01-15

    A combination of the atomic layer deposition (ALD) and molecular layer deposition (MLD) techniques is successfully employed to fabricate thin films incorporating superlattice structures that consist of single layers of organic molecules between thicker layers of ZnO. Diethyl zinc and water are used as precursors for the deposition of ZnO by ALD, while three different organic precursors are investigated for the MLD part: hydroquinone, 4-aminophenol and 4,4′-oxydianiline. The successful superlattice formation with all the organic precursors is verified through x-ray reflectivity studies. The effects of the interspersed organic layers/superlattice structure on the electrical and thermoelectric properties of ZnO are investigatedmore » through resistivity and Seebeck coefficient measurements at room temperature. The results suggest an increase in carrier concentration for small concentrations of organic layers, while higher concentrations seem to lead to rather large reductions in carrier concentration.« less

  16. Effects of surface passivation on twin-free GaAs nanosheets.

    PubMed

    Arab, Shermin; Chi, Chun-Yung; Shi, Teng; Wang, Yuda; Dapkus, Daniel P; Jackson, Howard E; Smith, Leigh M; Cronin, Stephen B

    2015-02-24

    Unlike nanowires, GaAs nanosheets exhibit no twin defects, stacking faults, or dislocations even when grown on lattice mismatched substrates. As such, they are excellent candidates for optoelectronic applications, including LEDs and solar cells. We report substantial enhancements in the photoluminescence efficiency and the lifetime of passivated GaAs nanosheets produced using the selected area growth (SAG) method with metal organic chemical vapor deposition (MOCVD). Measurements are performed on individual GaAs nanosheets with and without an AlGaAs passivation layer. Both steady-state photoluminescence and time-resolved photoluminescence spectroscopy are performed to study the optoelectronic performance of these nanostructures. Our results show that AlGaAs passivation of GaAs nanosheets leads to a 30- to 40-fold enhancement in the photoluminescence intensity. The photoluminescence lifetime increases from less than 30 to 300 ps with passivation, indicating an order of magnitude improvement in the minority carrier lifetime. We attribute these enhancements to the reduction of nonradiative recombination due to the compensation of surface states after passivation. The surface recombination velocity decreases from an initial value of 2.5 × 10(5) to 2.7 × 10(4) cm/s with passivation.

  17. Fabrication and characterization of multi-layer InAs/InGaAs quantum dot p-i-n GaAs solar cells grown on silicon substrates

    NASA Astrophysics Data System (ADS)

    Omri, M.; Sayari, A.; Sfaxi, L.

    2018-01-01

    This paper reports on InAs/InGaAs quantum dot solar cells (QDSCs) deposited by molecular beam epitaxy (MBE) on (001) n-type silicon ( n-Si) substrates. In-situ RHEED measurements show that InAs/InGaAs QDs SC has a high crystalline structure. The dislocation density in the active layer of the InAs/InGaAs QDSC and the lattice mismatch in the GaAs layer can be reduced by using an Si rough surface buffer layer (RSi). To show the effect of the QD layers, a reference SC with the same p-i-n structure as the InAs/InGaAs QDSC, but without InAs QDs, is also grown. The two SCs were studied by sepectroscopic ellipsometry (SE), in the 1-6 eV photon energy range, photoluminescence and photocurrent measurements. The optical constants of the two devices are determined in the photon energy range 1-6 eV from the SE data. The dominant features in the dielectric function spectra at 3 and 4.5 eV are attributed, respectively, to the E 1 and E 2 critical point structures of GaAs and InAs. The low-temperature photoluminescence spectrum of the InAs/InGaAs QDSC shows ground-state emissions, respectively, from the relatively small QDs near 1081 nm and from the large QDs near 1126 nm. Photocurrent measurements confirm the improved absorption performance (up to 1200 nm) of the InAs QDs SC which is ascribed to the optical absorption from the InAs/InGaAs QDs and the Si substrate as demonstrated by SE and photoluminescence measurements.

  18. Selective Area Growth of GaAs on Si Patterned Using Nanoimprint Lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Warren, Emily L.; Makoutz, Emily A.; Horowitz, Kelsey A. W.

    Heteroepitaxial selective area growth (SAG) of GaAs on patterned Si substrates is a potential low-cost approach to integrate III-V and Si materials for tandem or multijunction solar cells. The use of nanoscale openings in a dielectric material can minimize nucleation-related defects and allow thinner buffer layers to be used to accommodate lattice mismatch between Si and an epitaxial III-V layer. For photovoltaic applications, the cost of patterning and growth, as well as the impact on the performance of the Si bottom cell must be considered. We present preliminary results on the use of soft nanoimprint lithography (SNIL) to create patternedmore » nucleation templates for the heteroepitaxial SAG of GaAs on Si. We demonstrate that SNIL patterning of passivating layers on the Si substrate improves measured minority carrier properties relative to unprotected Si. Cost modeling of the SNIL process shows that adding a patterning step only adds a minor contribution to the overall cost of a tandem III-V/Si solar cell, and can enable significant savings if it enables thinner buffer layers.« less

  19. Covalent attachment of TAT peptides and thiolated alkyl molecules on GaAs surfaces.

    PubMed

    Cho, Youngnam; Ivanisevic, Albena

    2005-07-07

    Four TAT peptide fragments were used to functionalize GaAs surfaces by adsorption from solution. In addition, two well-studied alkylthiols, mercaptohexadecanoic acid (MHA) and 1-octadecanethiol (ODT) were utilized as references to understand the structure of the TAT peptide monolayer on GaAs. The different sequences of TAT peptides were employed in recognition experiments where a synthetic RNA sequence was tested to verify the specific interaction with the TAT peptide. The modified GaAs surfaces were characterized by atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS), and Fourier transform infrared reflection absorption spectroscopy (FT-IRRAS). AFM studies were used to compare the surface roughness before and after functionalization. XPS allowed us to characterize the chemical composition of the GaAs surface and conclude that the monolayers composed of different sequences of peptides have similar surface chemistries. Finally, FT-IRRAS experiments enabled us to deduce that the TAT peptide monolayers have a fairly ordered and densely packed alkyl chain structure. The recognition experiments showed preferred interaction of the RNA sequence toward peptides with high arginine content.

  20. Investigation of ZnSe-coated silicon substrates for GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Huber, Daniel A.; Olsen, Larry C.; Dunham, Glen; Addis, F. William

    1993-01-01

    Studies are being carried out to determine the feasibility of using ZnSe as a buffer layer for GaAs solar cells grown on silicon. This study was motivated by reports in the literature indicating ZnSe films had been grown by metallorganic chemical vapor deposition (MOCVD) onto silicon with EPD values of 2 x 10(exp 5) cm(sup -2), even though the lattice mismatch between silicon and ZnSe is 4.16 percent. These results combined with the fact that ZnSe and GaAs are lattice matched to within 0.24 percent suggest that the prospects for growing high efficiency GaAs solar cells onto ZnSe-coated silicon are very good. Work to date has emphasized development of procedures for MOCVD growth of (100) ZnSe onto (100) silicon wafers, and subsequent growth of GaAs films on ZnSe/Si substrates. In order to grow high quality single crystal GaAs with a (100) orientation, which is desirable for solar cells, one must grow single crystal (100) ZnSe onto silicon substrates. A process for growth of (100) ZnSe was developed involving a two-step growth procedure at 450 C. Single crystal, (100) GaAs films were grown onto the (100) ZnSe/Si substrates at 610 C that are adherent and specular. Minority carrier diffusion lengths for the GaAs films grown on ZnSe/Si substrates were determined from photoresponse properties of Al/GaAs Schottky barriers. Diffusion lengths for n-type GaAs films are currently on the order of 0.3 microns compared to 2.0 microns for films grown simultaneously by homoepitaxy.

  1. Chemical beam epitaxy of GaAs1-xNx using MMHy and DMHy precursors, modeled by ab initio study of GaAs(100) surfaces stability over As2, H2 and N2

    NASA Astrophysics Data System (ADS)

    Valencia, Hubert; Kangawa, Yoshihiro; Kakimoto, Koichi

    2017-06-01

    Using ab initio calculations, a simple model for GaAs1-xNx vapor-phase epitaxy on (100) surface of GaAs was created. By studying As2 and H2 molecules adsorptions and As/N atom substitutions on (100) GaAs surfaces, we obtain a relative stability diagram of all stable surfaces under varying As2, H2, and N2 conditions. We previously proved that this model could describe the vapor-phase epitaxy of GaAs1-x Nx with simple, fully decomposed, precursors. In this paper, we show that in more complex reaction conditions using monomethylhydrazine (MMHy), and dimethylhydrazine (DMHy), it is still possible to use our model to obtain an accurate description of the temperature and pressure stability domains for each surfaces, linked to chemical beam epitaxy (CBE) growth conditions. Moreover, the different N-incorporation regimes observed experimentally at different temperature can be explain and predict by our model. The use of MMHy and DMHy precursors can also be rationalized. Our model should then help to better understand the conditions needed to obtain an high quality GaAs1-xNx using vapor-phase epitaxy.

  2. Room Temperature Sensing Achieved by GaAs Nanowires and oCVD Polymer Coating.

    PubMed

    Wang, Xiaoxue; Ermez, Sema; Goktas, Hilal; Gradečak, Silvija; Gleason, Karen

    2017-06-01

    Novel structures comprised of GaAs nanowire arrays conformally coated with conducting polymers (poly(3,4-ethylenedioxythiophene) (PEDOT) or poly(3,4-ethylenedioxythiophene-co-3-thiophene acetic acid) display both sensitivity and selectivity to a variety of volatile organic chemicals. A key feature is room temperature operation, so that neither a heater nor the power it would consume, is required. It is a distinct difference from traditional metal oxide sensors, which typically require elevated operational temperature. The GaAs nanowires are prepared directly via self-seeded metal-organic chemical deposition, and conducting polymers are deposited on GaAs nanowires using oxidative chemical vapor deposition (oCVD). The range of thickness for the oCVD layer is between 100 and 200 nm, which is controlled by changing the deposition time. X-ray diffraction analysis indicates an edge-on alignment of the crystalline structure of the PEDOT coating layer on GaAs nanowires. In addition, the positive correlation between the improvement of sensitivity and the increasing nanowire density is demonstrated. Furthermore, the effect of different oCVD coating materials is studied. The sensing mechanism is also discussed with studies considering both nanowire density and polymer types. Overall, the novel structure exhibits good sensitivity and selectivity in gas sensing, and provides a promising platform for future sensor design. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. Atomic layer epitaxy of YBaCuO for optoelectronic applications

    NASA Technical Reports Server (NTRS)

    Skogman, R. A.; Khan, M. A.; Van Hove, J. M.; Bhattarai, A.; Boord, W. T.

    1992-01-01

    An MOCVD-based atomic-layer epitaxy process is being developed as a potential solution to the problems of film-thickness and interface-abruptness control which are encountered when fabricating superconductor-insulator-superconductor devices using YBa2Cu3O(7-x). In initial studies, the atomic-layer MOCVD process yields superconducting YBa2Cu3O(7-x) films with substrate temperatures of 605 C during film growth, and no postdeposition anneal. The low temperature process yields a smooth film surface and can reduce interface degradation due to diffusion.

  4. Interface dynamics and crystal phase switching in GaAs nanowires

    NASA Astrophysics Data System (ADS)

    Jacobsson, Daniel; Panciera, Federico; Tersoff, Jerry; Reuter, Mark C.; Lehmann, Sebastian; Hofmann, Stephan; Dick, Kimberly A.; Ross, Frances M.

    2016-03-01

    Controlled formation of non-equilibrium crystal structures is one of the most important challenges in crystal growth. Catalytically grown nanowires are ideal systems for studying the fundamental physics of phase selection, and could lead to new electronic applications based on the engineering of crystal phases. Here we image gallium arsenide (GaAs) nanowires during growth as they switch between phases as a result of varying growth conditions. We find clear differences between the growth dynamics of the phases, including differences in interface morphology, step flow and catalyst geometry. We explain these differences, and the phase selection, using a model that relates the catalyst volume, the contact angle at the trijunction (the point at which solid, liquid and vapour meet) and the nucleation site of each new layer of GaAs. This model allows us to predict the conditions under which each phase should be observed, and use these predictions to design GaAs heterostructures. These results could apply to phase selection in other nanowire systems.

  5. Interface dynamics and crystal phase switching in GaAs nanowires.

    PubMed

    Jacobsson, Daniel; Panciera, Federico; Tersoff, Jerry; Reuter, Mark C; Lehmann, Sebastian; Hofmann, Stephan; Dick, Kimberly A; Ross, Frances M

    2016-03-17

    Controlled formation of non-equilibrium crystal structures is one of the most important challenges in crystal growth. Catalytically grown nanowires are ideal systems for studying the fundamental physics of phase selection, and could lead to new electronic applications based on the engineering of crystal phases. Here we image gallium arsenide (GaAs) nanowires during growth as they switch between phases as a result of varying growth conditions. We find clear differences between the growth dynamics of the phases, including differences in interface morphology, step flow and catalyst geometry. We explain these differences, and the phase selection, using a model that relates the catalyst volume, the contact angle at the trijunction (the point at which solid, liquid and vapour meet) and the nucleation site of each new layer of GaAs. This model allows us to predict the conditions under which each phase should be observed, and use these predictions to design GaAs heterostructures. These results could apply to phase selection in other nanowire systems.

  6. Dopant Distribution in Atomic Layer Deposited ZnO:Al Films Visualized by Transmission Electron Microscopy and Atom Probe Tomography

    PubMed Central

    2018-01-01

    The maximum conductivity achievable in Al-doped ZnO thin films prepared by atomic layer deposition (ALD) is limited by the low doping efficiency of Al. To better understand the limiting factors for the doping efficiency, the three-dimensional distribution of Al atoms in the ZnO host material matrix has been examined on the atomic scale using a combination of high-resolution transmission electron microscopy (TEM) and atom probe tomography (APT). Although the Al distribution in ZnO films prepared by so-called “ALD supercycles” is often presented as atomically flat δ-doped layers, in reality a broadening of the Al-dopant layers is observed with a full-width–half-maximum of ∼2 nm. In addition, an enrichment of the Al at grain boundaries is observed. The low doping efficiency for local Al densities > ∼1 nm–3 can be ascribed to the Al solubility limit in ZnO and to the suppression of the ionization of Al dopants from adjacent Al donors. PMID:29515290

  7. Low temperature growth and electrical characterization of insulators for GaAs MISFETS

    NASA Technical Reports Server (NTRS)

    Borrego, J. M.; Ghandhi, S. K.

    1981-01-01

    Progress in the low temperature growth of oxides and layers on GaAs and the detailed electrical characterization of these oxides is reported. A plasma anodization system was designed, assembled, and put into operation. A measurement system was assembled for determining capacitance and conductance as a function of gate voltage for frequencies in the range from 1 Hz to 1 MHz. Initial measurements were carried out in Si-SiO2 capacitors in order to test the system and in GaAs MIS capacitors abricated using liquid anodization.

  8. Transparent Oxide TFTs Fabricated by Atomic Layer Deposition

    DTIC Science & Technology

    2014-04-17

    Transparent Oxide TFTs Fabricated by Atomic Layer Deposition(FA2386-11-1-114052) Yukiharu Uraoka, Nara Institute of Science and Technology Term...2011.5.1-2012.4.30 Purpose and Background: In recent years, the application of zinc oxide (ZnO) thin films as an active channel layer in TFTs has...or other flexible substrates. Higher field-effect mobility of ZnO TFTs than a-Si:H TFTs has been recently demonstrated. However, reliability for

  9. Microprocessor design for GaAs technology

    NASA Astrophysics Data System (ADS)

    Milutinovic, Veljko M.

    Recent advances in the design of GaAs microprocessor chips are examined in chapters contributed by leading experts; the work is intended as reading material for a graduate engineering course or as a practical R&D reference. Topics addressed include the methodology used for the architecture, organization, and design of GaAs processors; GaAs device physics and circuit design; design concepts for microprocessor-based GaAs systems; a 32-bit GaAs microprocessor; a 32-bit processor implemented in GaAs JFET; and a direct coupled-FET-logic E/D-MESFET experimental RISC machine. Drawings, micrographs, and extensive circuit diagrams are provided.

  10. Structural Study of GaAs(001):In 4×2 Surface

    NASA Astrophysics Data System (ADS)

    Lee, T.-L.; Zegenhagen, J.; Lyman, P. F.; Bedzyk, M. J.

    1997-03-01

    In a STM and LEED investigation (U. Resch-Esser et al., JVST B 13, 1672 (1995)), the indium-terminated GaAs(001) surface exhibited a (4×2) reconstruction. Based on this study, a dimer model, similar to that proposed by Biegelsen et al. (PRB 41, 5701(1990)) for the (4×2) clean surface, was proposed. However, the detailed local structure of the In ad-atoms was not resolvable from the STM image. In this work, we applied in situ x-ray standing wave (XSW) measurements to determine the surface structure of the GaAs(001) upon the adsorption of In at low coverages. The (4×2)/c(8×2) In-terminated GaAs(001) surface (Θ_In = 0.2 ML) was prepared by MBE. The (004) XSW measurement showed that the In ad-atoms were located 1.61 Åabove the (004) diffraction planes. At higher In coverages (up to 0.6 ML) the In (004) coherent fraction was small. This is consistent with the ladder-type pattern observed by STM at Θ_In > 0.5 ML, which indicated that there were two coexisting surface structures. For Θ_In = 0.2 ML, we found that our off-normal XSW measurements did not agree with the model proposed by Resch-Esser et al.. This work is sponsored by DOE-BES No. W-31-109-ENG-38 and by NSF No. DMR-9632472.

  11. Partially Oxidized SnS2 Atomic Layers Achieving Efficient Visible-Light-Driven CO2 Reduction.

    PubMed

    Jiao, Xingchen; Li, Xiaodong; Jin, Xiuyu; Sun, Yongfu; Xu, Jiaqi; Liang, Liang; Ju, Huanxin; Zhu, Junfa; Pan, Yang; Yan, Wensheng; Lin, Yue; Xie, Yi

    2017-12-13

    Unraveling the role of surface oxide on affecting its native metal disulfide's CO 2 photoreduction remains a grand challenge. Herein, we initially construct metal disulfide atomic layers and hence deliberately create oxidized domains on their surfaces. As an example, SnS 2 atomic layers with different oxidation degrees are successfully synthesized. In situ Fourier transform infrared spectroscopy spectra disclose the COOH* radical is the main intermediate, whereas density-functional-theory calculations reveal the COOH* formation is the rate-limiting step. The locally oxidized domains could serve as the highly catalytically active sites, which not only benefit for charge-carrier separation kinetics, verified by surface photovoltage spectra, but also result in electron localization on Sn atoms near the O atoms, thus lowering the activation energy barrier through stabilizing the COOH* intermediates. As a result, the mildly oxidized SnS 2 atomic layers exhibit the carbon monoxide formation rate of 12.28 μmol g -1 h -1 , roughly 2.3 and 2.6 times higher than those of the poorly oxidized SnS 2 atomic layers and the SnS 2 atomic layers under visible-light illumination. This work uncovers atomic-level insights into the correlation between oxidized sulfides and CO 2 reduction property, paving a new way for obtaining high-efficiency CO 2 photoreduction performances.

  12. Oxide-based materials by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Godlewski, Marek; Pietruszka, Rafał; Kaszewski, Jarosław; Witkowski, Bartłomiej S.; Gierałtowska, Sylwia; Wachnicki, Łukasz; Godlewski, Michał M.; Slonska, Anna; Gajewski, Zdzisław

    2017-02-01

    Thin films of wide band-gap oxides grown by Atomic Layer Deposition (ALD) are suitable for a range of applications. Some of these applications will be presented. First of all, ALD-grown high-k HfO2 is used as a gate oxide in the electronic devices. Moreover, ALD-grown oxides can be used in memory devices, in transparent transistors, or as elements of solar cells. Regarding photovoltaics (PV), ALD-grown thin films of Al2O3 are already used as anti-reflection layers. In addition, thin films of ZnO are tested as replacement of ITO in PV devices. New applications in organic photovoltaics, electronics and optoelectronics are also demonstrated Considering new applications, the same layers, as used in electronics, can also find applications in biology, medicine and in a food industry. This is because layers of high-k oxides show antibacterial activity, as discussed in this work.

  13. Ab initio study of GaAs(100) surface stability over As2, H2 and N2 as a model for vapor-phase epitaxy of GaAs1-xNx

    NASA Astrophysics Data System (ADS)

    Valencia, Hubert; Kangawa, Yoshihiro; Kakimoto, Koichi

    2015-12-01

    GaAs(100) c(4×4) surfaces were examined by ab initio calculations, under As2, H2 and N2 gas mixed conditions as a model for GaAs1-xNx vapor-phase epitaxy (VPE) on GaAs(100). Using a simple model consisting of As2 and H2 molecules adsorptions and As/N atom substitutions, it was shown to be possible to examine the crystal growth behavior considering the relative stability of the resulting surfaces against the chemical potential of As2, H2 and N2 gases. Such simple model allows us to draw a picture of the temperature and pressure stability domains for each surfaces that can be linked to specific growth conditions, directly. We found that, using this simple model, it is possible to explain the different N-incorporation regimes observed experimentally at different temperatures, and to predict the transition temperature between these regimes. Additionally, a rational explanation of N-incorporation ratio for each of these regimes is provided. Our model should then lead to a better comprehension and control of the experimental conditions needed to realize a high quality VPE of GaAs1-xNx.

  14. Implementation of atomic layer etching of silicon: Scaling parameters, feasibility, and profile control

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ranjan, Alok, E-mail: alok.ranjan@us.tel.com; Wang, Mingmei; Sherpa, Sonam D.

    2016-05-15

    Atomic or layer by layer etching of silicon exploits temporally segregated self-limiting adsorption and material removal steps to mitigate the problems associated with continuous or quasicontinuous (pulsed) plasma processes: selectivity loss, damage, and profile control. Successful implementation of atomic layer etching requires careful choice of the plasma parameters for adsorption and desorption steps. This paper illustrates how process parameters can be arrived at through basic scaling exercises, modeling and simulation, and fundamental experimental tests of their predictions. Using chlorine and argon plasma in a radial line slot antenna plasma source as a platform, the authors illustrate how cycle time, ionmore » energy, and radical to ion ratio can be manipulated to manage the deviation from ideality when cycle times are shortened or purges are incomplete. Cell based Monte Carlo feature scale modeling is used to illustrate profile outcomes. Experimental results of atomic layer etching processes are illustrated on silicon line and space structures such that iso-dense bias and aspect ratio dependent free profiles are produced. Experimental results also illustrate the profile control margin as processes move from atomic layer to multilayer by layer etching. The consequence of not controlling contamination (e.g., oxygen) is shown to result in deposition and roughness generation.« less

  15. Reflection high energy electron diffraction study of nitrogen plasma interactions with a GaAs (100) surface

    NASA Astrophysics Data System (ADS)

    Hauenstein, R. J.; Collins, D. A.; Cai, X. P.; O'Steen, M. L.; McGill, T. C.

    1995-05-01

    Effect of a nitrogen electron-cyclotron-resonance (ECR) microwave plasma on near-surface composition, crystal structure, and morphology of the As-stabilized GaAs (100) surface is investigated with the use of digitally image-processed in situ reflection high energy electron diffraction. Nitridation is performed on molecular beam epitaxially (MBE) grown GaAs surfaces near 600 °C under typical conditions for ECR microwave plasma-assisted MBE growth of GaN films on GaAs. Brief plasma exposures (≊3-5 s) are shown to result in a specular, coherently strained, relatively stable, GaN film approximately one monolayer in thickness, which can be commensurately overgrown with GaAs while longer exposures (up to 1 min) result in incommensurate zincblende epitaxial GaN island structures. Specular and nonspecular film formations are explained in terms of N-for-As surface and subsurface anion exchange reactions, respectively. Commensurate growth of ultrathin buried GaN layers in GaAs is achieved.

  16. Fabrication, testing and reliability modeling of copper/titanium-metallized GaAs MESFETs and HEMTs for low-noise applications

    NASA Astrophysics Data System (ADS)

    Feng, Ting

    Today, GaAs based field effect transistors (FETs) have been used in a broad range of high-speed electronic military and commercial applications. However, their reliability still needs to be improved. Particularly the hydrogen induced degradation is a large remaining issue in the reliability of GaAs FETs, because hydrogen can easily be incorporated into devices during the crystal growth and virtually every device processing step. The main objective of this research work is to develop a new gate metallization system in order to reduce the hydrogen induced degradation from the gate region for GaAs based MESFETs and HEMTs. Cu/Ti gate metallization has been introduced into the GaAs MESFETs and HEMTs in our work in order to solve the hydrogen problem. The purpose of the use of copper is to tie up the hydrogen atoms and prevent hydrogen penetration into the device active region as well as to keep a low gate resistance for low noise applications. In this work, the fabrication technology of GaAs MESFETs and AlGaAs/GaAs HEMTs with Cu/Ti metallized gates have been successfully developed and the fabricated Cu/Ti FETs have shown comparable DC performance with similar Au-based GaAs FETs. The Cu/Ti FETs were subjected to temperature accelerated testing at NOT under 5% hydrogen forming gas and the experimental results show the hydrogen induced degradation has been reduced for the Cu/Ti FETs compared to commonly used AuPtTi based GaAs FETs. A long-term reliability testing for Cu/Ti FETs has also been carried out at 200°C and up to 1000hours and testing results show Cu/Ti FETs performed with adequate reliability. The failure modes were found to consist of a decrease in drain saturation current and pinch-off voltage and an increase in source ohmic contact resistance. Material characterization tools including Rutherford backscattering spectroscopy and a back etching technique were used in Cu/Ti GaAs FETs, and pronounced gate metal copper in-diffusion and intermixing compounds at the

  17. Cost-Effective Systems for Atomic Layer Deposition

    ERIC Educational Resources Information Center

    Lubitz, Michael; Medina, Phillip A., IV; Antic, Aleks; Rosin, Joseph T.; Fahlman, Bradley D.

    2014-01-01

    Herein, we describe the design and testing of two different home-built atomic layer deposition (ALD) systems for the growth of thin films with sub-monolayer control over film thickness. The first reactor is a horizontally aligned hot-walled reactor with a vacuum purging system. The second reactor is a vertically aligned cold-walled reactor with a…

  18. Quantitative Raman spectrum and reliable thickness identification for atomic layers on insulating substrates.

    PubMed

    Li, Song-Lin; Miyazaki, Hisao; Song, Haisheng; Kuramochi, Hiromi; Nakaharai, Shu; Tsukagoshi, Kazuhito

    2012-08-28

    We demonstrate the possibility in quantifying the Raman intensities for both specimen and substrate layers in a common stacked experimental configuration and, consequently, propose a general and rapid thickness identification technique for atomic-scale layers on dielectric substrates. Unprecedentedly wide-range Raman data for atomically flat MoS(2) flakes are collected to compare with theoretical models. We reveal that all intensity features can be accurately captured when including optical interference effect. Surprisingly, we find that even freely suspended chalcogenide few-layer flakes have a stronger Raman response than that from the bulk phase. Importantly, despite the oscillating intensity of specimen spectrum versus thickness, the substrate weighted spectral intensity becomes monotonic. Combined with its sensitivity to specimen thickness, we suggest this quantity can be used to rapidly determine the accurate thickness for atomic layers.

  19. Molecular beam epitaxial growth of high-quality InSb on InP and GaAs substrates

    NASA Technical Reports Server (NTRS)

    Oh, J. E.; Bhattacharya, P. K.; Chen, Y. C.; Tsukamoto, S.

    1989-01-01

    Epitaxial layers of InSb were grown on InP and GaAs substrates by molecular beam epitaxy. The dependence of the epilayer quality on flux ratio, J sub Sb4/J sub In, was studied. Deviation from an optimum value of J sub Sb4/J sub In (approx. 2) during growth led to deterioration in the surface morphology and the electrical and crystalline qualities of the films. Room temperature electron mobilities as high as 70,000 and 53,000 sq cm /V-s were measured in InSb layers grown on InP and GaAs substrates, respectively. Unlike the previous results, the conductivity in these films is n-type even at T = 13 K, and no degradation of the electron mobility due to the high density of dislocations was observed. The measured electron mobilities (and carrier concentrations) at 77 K in InSb layers grown on InP and GaAs substrates are 110,000 sq cm/V-s (3 x 10(15) cm(-3)) and 55,000 sq cm/V-s (4.95 x 10(15) cm(-3)), respectively, suggesting their application to electronic devices at cryogenic temperatures.

  20. Hydrogenation of GaAs covered by GaAlAs and subgrain boundary passivation

    NASA Astrophysics Data System (ADS)

    Djemel, A.; Castaing, J.; Chevallier, J.; Henoc, P.

    1992-12-01

    Cathodoluminescence (CL) has been performed to study the influence of hydrogen on electronic properties of GaAs with and without a GaAlAs layer. Recombination at sub-boundaries has been examined. These extended defects have been introduced by high temperature plastic deformation. The results show that they are passivated by hydrogen. The penetration of hydrogen is slowed down by the GaAlAs layer. La cathodoluminescence (CL) a été utilisée pour étudier l'influence de l'hydrogène sur les propriétés électroniques de GaAs nu et recouvert d'une couche de GaAlAs. Le caractère recombinant des sous-joints de grains a été examiné. Ces défauts étendus ont été introduits par déformation plastique à chaud. Les résultats montrent que l'hydrogène passive ces défauts. La pénétration de l'hydrogène à l'intérieur de GaAs est retardée par la présence de la couche de GaAlAs.

  1. An Introduction to Atomic Layer Deposition with Thermal Applications

    NASA Technical Reports Server (NTRS)

    Dwivedi, Vivek H.

    2015-01-01

    Atomic Layer Deposition (ALD) is a cost effective nano-manufacturing technique that allows for the conformal coating of substrates with atomic control in a benign temperature and pressure environment. Through the introduction of paired precursor gases thin films can be deposited on a myriad of substrates ranging from glass, polymers, aerogels, and metals to high aspect ratio geometries. This talk will focus on the utilization of ALD for engineering applications.

  2. Study on the high-power semi-insulating GaAs PCSS with quantum well structure

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Luan, Chongbiao; Wang, Bo; Huang, Yupeng

    A high-power semi-insulating GaAs photoconductive semiconductor switch (PCSS) with quantum well structure was fabricated. The AlGaAs layer was deposited on the surface of the GaAs material, and the reflecting film and the antireflection film have been made on the surface of the GaAs and AlGaAs, respectively. When the prepared PCSS worked at a bias voltage of 9.8 kV and triggered by a laser pulse with an incident optical energy of 5.4 mJ, a wavelength of 1064 nm and an optical pulse width of 25 ns, the on-state resistance of the AlGaAs/GaAs PCSS was only 0.45 Ω, and the longevity ofmore » the AlGaAs/GaAs PCSS was larger than 10{sup 6} shots. The results show that this structure reduces the on-state resistance and extends the longevity of the GaAs PCSS.« less

  3. Interface doping of conjugated organic films by means of diffusion of atomic components from the surfaces of semiconductors and of metal oxides.

    PubMed

    Komolov, A S; Akhremtchik, S N; Lazneva, E F

    2011-08-15

    The paper reports the results on the interface formation of 5-10 nm thick conjugated layers of Cu-phthalocyanine (CuPc) with a number of solid surfaces: polycrystalline Au, (SiO(2))n-Si, ZnO(0 0 0 1), Si(1 0 0), Ge(1 1 1), CdS(0 0 0 1) and GaAs(1 0 0). The results were obtained using Auger electron spectroscopy (AES) and low-energy target current electron spectroscopy (TCS). The organic overlayers were thermally deposited in situ in UHV onto substrate surfaces. The island-like organic deposits were excluded from the analysis so that only uniform organic deposits were considered. In the cases of polycrystalline Au, Si(1 0 0) and Ge(1 1 1) substrates the AES peaks of the substrate material attenuated down to the zero noise level upon the increase of the CuPc film thickness of 8-10 nm. The peaks corresponding to oxygen atoms in the case of SiO(2) substrate, and to atoms from the ZnO, GaAs and CdS substrates were clearly registered in the AES spectra of the 8-10 nm thick CuPc deposits. The relative concentration of the substrate atomic components diffused into the film was different from their relative concentration at the pure substrate surface. The concentration of the substrate dopant atoms in the CuPc film was estimated as one atom per one CuPc molecule. Using the target current electron spectroscopy, it was shown that the substrate atoms admixed in the CuPc film account for the appearance of a new peak in the density of unoccupied electronic states. Formation of intermediate TCS spectra until the CuPc deposit reaches 2-3 nm was observed in the cases of GaAs(1 0 0), ZnO(0 0 0 1), Ge(1 1 1) surfaces. The intermediate spectra show a less pronounced peak structure different from the one typical for the CuPc films. It was suggested that the intermediate layer was formed by the CuPc molecules fully or partially decomposed due to the interaction with the relatively reactive semiconductor surfaces. Copyright © 2010 Elsevier B.V. All rights reserved.

  4. Atomic layer deposited oxide films as protective interface layers for integrated graphene transfer

    NASA Astrophysics Data System (ADS)

    Cabrero-Vilatela, A.; Alexander-Webber, J. A.; Sagade, A. A.; Aria, A. I.; Braeuninger-Weimer, P.; Martin, M.-B.; Weatherup, R. S.; Hofmann, S.

    2017-12-01

    The transfer of chemical vapour deposited graphene from its parent growth catalyst has become a bottleneck for many of its emerging applications. The sacrificial polymer layers that are typically deposited onto graphene for mechanical support during transfer are challenging to remove completely and hence leave graphene and subsequent device interfaces contaminated. Here, we report on the use of atomic layer deposited (ALD) oxide films as protective interface and support layers during graphene transfer. The method avoids any direct contact of the graphene with polymers and through the use of thicker ALD layers (≥100 nm), polymers can be eliminated from the transfer-process altogether. The ALD film can be kept as a functional device layer, facilitating integrated device manufacturing. We demonstrate back-gated field effect devices based on single-layer graphene transferred with a protective Al2O3 film onto SiO2 that show significantly reduced charge trap and residual carrier densities. We critically discuss the advantages and challenges of processing graphene/ALD bilayer structures.

  5. Overview of atomic layer etching in the semiconductor industry

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kanarik, Keren J., E-mail: keren.kanarik@lamresearch.com; Lill, Thorsten; Hudson, Eric A.

    2015-03-15

    Atomic layer etching (ALE) is a technique for removing thin layers of material using sequential reaction steps that are self-limiting. ALE has been studied in the laboratory for more than 25 years. Today, it is being driven by the semiconductor industry as an alternative to continuous etching and is viewed as an essential counterpart to atomic layer deposition. As we enter the era of atomic-scale dimensions, there is need to unify the ALE field through increased effectiveness of collaboration between academia and industry, and to help enable the transition from lab to fab. With this in mind, this article providesmore » defining criteria for ALE, along with clarification of some of the terminology and assumptions of this field. To increase understanding of the process, the mechanistic understanding is described for the silicon ALE case study, including the advantages of plasma-assisted processing. A historical overview spanning more than 25 years is provided for silicon, as well as ALE studies on oxides, III–V compounds, and other materials. Together, these processes encompass a variety of implementations, all following the same ALE principles. While the focus is on directional etching, isotropic ALE is also included. As part of this review, the authors also address the role of power pulsing as a predecessor to ALE and examine the outlook of ALE in the manufacturing of advanced semiconductor devices.« less

  6. Surface Phenomena During Plasma-Assisted Atomic Layer Etching of SiO2.

    PubMed

    Gasvoda, Ryan J; van de Steeg, Alex W; Bhowmick, Ranadeep; Hudson, Eric A; Agarwal, Sumit

    2017-09-13

    Surface phenomena during atomic layer etching (ALE) of SiO 2 were studied during sequential half-cycles of plasma-assisted fluorocarbon (CF x ) film deposition and Ar plasma activation of the CF x film using in situ surface infrared spectroscopy and ellipsometry. Infrared spectra of the surface after the CF x deposition half-cycle from a C 4 F 8 /Ar plasma show that an atomically thin mixing layer is formed between the deposited CF x layer and the underlying SiO 2 film. Etching during the Ar plasma cycle is activated by Ar + bombardment of the CF x layer, which results in the simultaneous removal of surface CF x and the underlying SiO 2 film. The interfacial mixing layer in ALE is atomically thin due to the low ion energy during CF x deposition, which combined with an ultrathin CF x layer ensures an etch rate of a few monolayers per cycle. In situ ellipsometry shows that for a ∼4 Å thick CF x film, ∼3-4 Å of SiO 2 was etched per cycle. However, during the Ar plasma half-cycle, etching proceeds beyond complete removal of the surface CF x layer as F-containing radicals are slowly released into the plasma from the reactor walls. Buildup of CF x on reactor walls leads to a gradual increase in the etch per cycle.

  7. Excitation and De-Excitation Mechanisms of Er-Doped GaAs and A1GaAs.

    DTIC Science & Technology

    1992-12-01

    AD-A258 814 EXCITATION AND DE -EXCITATION MECHANISMS OF Er-DOPED GaAs AND A1GaAs DISSERTATION David W. Elsaesser, Captain, USAF DTICY. ft £ICTE’’ )AN...0 8 1993U -o Wo- .%Approved for public release; Distribution unlimited 93 1 04 022 AFIT/DS/ENP/92-5 EXCITATION AND DE -EXCITATION MECHANISMS OF Er...public release; Distribution unlimited AFIT/DS/ENP/92D-005 EXCITATION AND DE -EXCITATION MECHANISMS OF Er-DOPED GaAs AND A1GaAs 4 toFlor -- David W

  8. Formation and reconstruction of Se nanoislands at the surface of thin epitaxial ZnSe layers grown on GaAs substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kozlovskiy, V. I.; Krivobok, V. S., E-mail: krivobok@lebedev.ru; Kuznetsov, P. I.

    2016-05-15

    Strained epitaxial ZnSe layers are grown on GaAs substrates by the method of vapor-phase epitaxy from metal-organic compounds. It is found that Se nanoislands with a density of 10{sup 8} to 10{sup 9} cm{sup –2} are formed at the surface of such layers. It is established that an increase in the size of Se islands and a decrease in their density take place after completion of growth. Annealing in a H{sub 2} atmosphere at a temperature higher than 260°C leads to the disappearance of Se islands and to a decrease in the surface roughness. It is shown that annealing doesmore » not lead to deterioration of the structural perfection of the epitaxial ZnSe films; rather, annealing gives rise to a decrease in the intensity of impurity–defect luminescence and to an increase in the intensity of intrinsic radiation near the bottom of the exciton band.« less

  9. GaAs Computer Technology

    DTIC Science & Technology

    1992-01-07

    AD-A259 259 FASTC-ID FOREIGN AEROSPACE SCIENCE AND TECHNOLOGY CENTER GaAs COMPUTER TECHNOLOGY (1) by Wang Qiao-yu 93-00999 Distrir bution t,,,Nm ted...FASTC- ID(RS)T-0310-92 HUMAN TRANSLATION FASTC-ID(RS)T-0310-92 7 January 1993 GaAs COMPUTER TECHNOLOGY (1) By: Wang Qiao-yu English pages: 6 Source...the best quality copy available. j C] " ------ GaAs Computer Technology (1) Wang Qiao-yu (Li-Shan Microelectronics Institute) Abstract: The paper

  10. Growth rate dependence of boron incorporation into BxGa1-xAs layers

    NASA Astrophysics Data System (ADS)

    Detz, H.; MacFarland, D.; Zederbauer, T.; Lancaster, S.; Andrews, A. M.; Schrenk, W.; Strasser, G.

    2017-11-01

    This work provides a comprehensive study of the incorporation behavior of B in growing GaAs under molecular beam epitaxy conditions. Structural characterization of superlattices revealed a strong dependence of the BAs growth rate on the GaAs growth rate used. In general, higher GaAs growth rates lead to a higher apparent BAs growth rate, although lower B cell temperatures showed saturation behavior. Each B cell temperature requires a minimum GaAs growth rate for producing smooth films. The B incorporation into single thick layers was found to be reduced to 75-80% compared to superlattice structures. The p-type carrier densities in 1000 nm thick layers were found to be indirectly proportional to the B content. Furthermore, 500 nm thick BxGa1-xAs layers showed significantly lower carrier concentrations, indicating B segregation on the surface during growth of thicker layers.

  11. Patterned growth of p-type MoS 2 atomic layers using sol-gel as precursor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zheng, Wei; Lin, Junhao; Feng, Wei

    2D layered MoS 2 has drawn intense attention for its applications in flexible electronic, optoelectronic, and spintronic devices. Most of the MoS 2 atomic layers grown by conventional chemical vapor deposition techniques are n-type due to the abundant sulfur vacancies. Facile production of MoS 2 atomic layers with p-type behavior, however, remains challenging. Here, a novel one-step growth has been developed to attain p-type MoS 2 layers in large scale by using Mo-containing sol–gel, including 1% tungsten (W). Atomic-resolution electron microscopy characterization reveals that small tungsten oxide clusters are commonly present on the as-grown MoS 2 film due to themore » incomplete reduction of W precursor at the reaction temperature. These omnipresent small tungsten oxide clusters contribute to the p-type behavior, as verified by density functional theory calculations, while preserving the crystallinity of the MoS 2 atomic layers. The Mo containing sol–gel precursor is compatible with the soft-lithography techniques, which enables patterned growth of p-type MoS 2 atomic layers into regular arrays with different shapes, holding great promise for highly integrated device applications. Lastly, an atomically thin p–n junction is fabricated by the as-prepared MoS 2, which shows strong rectifying behavior.« less

  12. Patterned growth of p-type MoS 2 atomic layers using sol-gel as precursor

    DOE PAGES

    Zheng, Wei; Lin, Junhao; Feng, Wei; ...

    2016-07-19

    2D layered MoS 2 has drawn intense attention for its applications in flexible electronic, optoelectronic, and spintronic devices. Most of the MoS 2 atomic layers grown by conventional chemical vapor deposition techniques are n-type due to the abundant sulfur vacancies. Facile production of MoS 2 atomic layers with p-type behavior, however, remains challenging. Here, a novel one-step growth has been developed to attain p-type MoS 2 layers in large scale by using Mo-containing sol–gel, including 1% tungsten (W). Atomic-resolution electron microscopy characterization reveals that small tungsten oxide clusters are commonly present on the as-grown MoS 2 film due to themore » incomplete reduction of W precursor at the reaction temperature. These omnipresent small tungsten oxide clusters contribute to the p-type behavior, as verified by density functional theory calculations, while preserving the crystallinity of the MoS 2 atomic layers. The Mo containing sol–gel precursor is compatible with the soft-lithography techniques, which enables patterned growth of p-type MoS 2 atomic layers into regular arrays with different shapes, holding great promise for highly integrated device applications. Lastly, an atomically thin p–n junction is fabricated by the as-prepared MoS 2, which shows strong rectifying behavior.« less

  13. Electrochemical Atomic Layer Epitaxy of Thin Film CdSe

    NASA Astrophysics Data System (ADS)

    Pham, L.; Kaleida, K.; Happek, U.; Mathe, M. K.; Vaidyanathan, R.; Stickney, J. L.; Radevic, M.

    2002-10-01

    Electrochemical atomic layer epitaxy (EC-ALE) is a current developmental technique for the fabrication of compound semiconductor thin films. The deposition of elements making up the compound utilizes surface limited reactions where the potential is less than that required for bulk growth. This growth method offers mono-atomic layer control, allowing the deposition of superlattices with sharp interfaces. Here we report on the EC-ALE formation of CdSe thin films on Au and Cu substrates using an automated flow cell system. The band gap was measured using IR absorption and photoconductivity and found to be consistent with the literature value of 1.74 eV at 300K and 1.85 eV at 20K. The stoichiometry of the thin film was confirmed with electron microprobe analysis and x-ray diffraction.

  14. Electrophilic surface sites as precondition for the chemisorption of pyrrole on GaAs(001) surfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bruhn, Thomas; Leibniz-Institut für Analytische Wissenschaften - ISAS - e.V., Albert-Einstein-Str.9, 12489 Berlin; Fimland, Bjørn-Ove

    We report how the presence of electrophilic surface sites influences the adsorption mechanism of pyrrole on GaAs(001) surfaces. For this purpose, we have investigated the adsorption behavior of pyrrole on different GaAs(001) reconstructions with different stoichiometries and thus different surface chemistries. The interfaces were characterized by x-ray photoelectron spectroscopy, scanning tunneling microscopy, and by reflectance anisotropy spectroscopy in a spectral range between 1.5 and 5 eV. On the As-rich c(4 × 4) reconstruction that exhibits only nucleophilic surface sites, pyrrole was found to physisorb on the surface without any significant modification of the structural and electronic properties of the surface. Onmore » the Ga-rich GaAs(001)-(4 × 2)/(6 × 6) reconstructions which exhibit nucleophilic as well as electrophilic surface sites, pyrrole was found to form stable covalent bonds mainly to the electrophilic (charge deficient) Ga atoms of the surface. These results clearly demonstrate that the existence of electrophilic surface sites is a crucial precondition for the chemisorption of pyrrole on GaAs(001) surfaces.« less

  15. Protection of Polymers from the Space Environment by Atomic Layer Deposition

    NASA Astrophysics Data System (ADS)

    Lindholm, Ned F.; Zhang, Jianming; Minton, Timothy K.; O'Patchen, Jennifer; George, Steven M.; Groner, Markus D.

    2009-01-01

    Polymers in space may be subjected to a barrage of incident atoms, photons, and/or ions. For example, oxygen atoms can etch and oxidize these materials. Photons may act either alone or in combination with oxygen atoms to degrade polymers and paints and thus limit their usefulness. Colors fade under the intense vacuum ultraviolet (VUV) solar radiation. Ions can lead to the build-up of static charge on polymers. Atomic layer deposition (ALD) techniques can provide coatings that could mitigate many challenges for polymers in space. ALD is a gas-phase technique based on two sequential, self-limiting surface reactions, and it can deposit very uniform, conformal, and pinhole-free films with atomic layer control. We have studied the efficacy of various ALD coatings to protect Kapton® polyimide, FEP Teflon®, and poly(methyl methacrylate) films from atomic-oxygen and VUV attack. Atomic-oxygen and VUV studies were conducted with the use of a laser-breakdown source for hyperthermal O atoms and a D2 lamp as a source of VUV light. These studies used a quartz crystal microbalance (QCM) to monitor mass loss in situ, as well as surface profilometry and scanning electron microscopy to study the surface recession and morphology changes ex situ. Al2O3 ALD coatings applied to polyimide and FEP Teflon® films protected the underlying substrates from O-atom attack, and ZnO coatings protected the poly(methyl methacrylate) substrate from VUV-induced damage.

  16. Catalyst design with atomic layer deposition

    DOE PAGES

    O'Neill, Brandon J.; Jackson, David H. K.; Lee, Jechan; ...

    2015-02-06

    Atomic layer deposition (ALD) has emerged as an interesting tool for the atomically precise design and synthesis of catalytic materials. Herein, we discuss examples in which the atomic precision has been used to elucidate reaction mechanisms and catalyst structure-property relationships by creating materials with a controlled distribution of size, composition, and active site. We highlight ways ALD has been utilized to design catalysts with improved activity, selectivity, and stability under a variety of conditions (e.g., high temperature, gas and liquid phase, and corrosive environments). In addition, due to the flexibility and control of structure and composition, ALD can create myriadmore » catalytic structures (e.g., high surface area oxides, metal nanoparticles, bimetallic nanoparticles, bifunctional catalysts, controlled microenvironments, etc.) that consequently possess applicability for a wide range of chemical reactions (e.g., CO 2 conversion, electrocatalysis, photocatalytic and thermal water splitting, methane conversion, ethane and propane dehydrogenation, and biomass conversion). Lastly, the outlook for ALD-derived catalytic materials is discussed, with emphasis on the pending challenges as well as areas of significant potential for building scientific insight and achieving practical impacts.« less

  17. Catalyst design with atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    O'Neill, Brandon J.; Jackson, David H. K.; Lee, Jechan

    Atomic layer deposition (ALD) has emerged as an interesting tool for the atomically precise design and synthesis of catalytic materials. Herein, we discuss examples in which the atomic precision has been used to elucidate reaction mechanisms and catalyst structure-property relationships by creating materials with a controlled distribution of size, composition, and active site. We highlight ways ALD has been utilized to design catalysts with improved activity, selectivity, and stability under a variety of conditions (e.g., high temperature, gas and liquid phase, and corrosive environments). In addition, due to the flexibility and control of structure and composition, ALD can create myriadmore » catalytic structures (e.g., high surface area oxides, metal nanoparticles, bimetallic nanoparticles, bifunctional catalysts, controlled microenvironments, etc.) that consequently possess applicability for a wide range of chemical reactions (e.g., CO 2 conversion, electrocatalysis, photocatalytic and thermal water splitting, methane conversion, ethane and propane dehydrogenation, and biomass conversion). Lastly, the outlook for ALD-derived catalytic materials is discussed, with emphasis on the pending challenges as well as areas of significant potential for building scientific insight and achieving practical impacts.« less

  18. Atomic Layer Engineering of High-κ Ferroelectricity in 2D Perovskites.

    PubMed

    Li, Bao-Wen; Osada, Minoru; Kim, Yoon-Hyun; Ebina, Yasuo; Akatsuka, Kosho; Sasaki, Takayoshi

    2017-08-09

    Complex perovskite oxides offer tremendous potential for controlling their rich variety of electronic properties, including high-T C superconductivity, high-κ ferroelectricity, and quantum magnetism. Atomic-scale control of these intriguing properties in ultrathin perovskites is an important challenge for exploring new physics and device functionality at atomic dimensions. Here, we demonstrate atomic-scale engineering of dielectric responses using two-dimensional (2D) homologous perovskite nanosheets (Ca 2 Na m-3 Nb m O 3m+1 ; m = 3-6). In this homologous 2D material, the thickness of the perovskite layers can be incrementally controlled by changing m, and such atomic layer engineering enhances the high-κ dielectric response and local ferroelectric instability. The end member (m = 6) attains a high dielectric constant of ∼470, which is the highest among all known dielectrics in the ultrathin region (<10 nm). These results provide a new strategy for achieving high-κ ferroelectrics for use in ultrascaled high-density capacitors and post-graphene technology.

  19. Disruption of Higher Order DNA Structures in Friedreich’s Ataxia (GAA)n Repeats by PNA or LNA Targeting

    PubMed Central

    Bergquist, Helen; Rocha, Cristina S. J.; Álvarez-Asencio, Rubén; Nguyen, Chi-Hung; Rutland, Mark. W.; Smith, C. I. Edvard; Good, Liam; Nielsen, Peter E.; Zain, Rula

    2016-01-01

    Expansion of (GAA)n repeats in the first intron of the Frataxin gene is associated with reduced mRNA and protein levels and the development of Friedreich’s ataxia. (GAA)n expansions form non-canonical structures, including intramolecular triplex (H-DNA), and R-loops and are associated with epigenetic modifications. With the aim of interfering with higher order H-DNA (like) DNA structures within pathological (GAA)n expansions, we examined sequence-specific interaction of peptide nucleic acid (PNA) with (GAA)n repeats of different lengths (short: n=9, medium: n=75 or long: n=115) by chemical probing of triple helical and single stranded regions. We found that a triplex structure (H-DNA) forms at GAA repeats of different lengths; however, single stranded regions were not detected within the medium size pathological repeat, suggesting the presence of a more complex structure. Furthermore, (GAA)4-PNA binding of the repeat abolished all detectable triplex DNA structures, whereas (CTT)5-PNA did not. We present evidence that (GAA)4-PNA can invade the DNA at the repeat region by binding the DNA CTT strand, thereby preventing non-canonical-DNA formation, and that triplex invasion complexes by (CTT)5-PNA form at the GAA repeats. Locked nucleic acid (LNA) oligonucleotides also inhibited triplex formation at GAA repeat expansions, and atomic force microscopy analysis showed significant relaxation of plasmid morphology in the presence of GAA-LNA. Thus, by inhibiting disease related higher order DNA structures in the Frataxin gene, such PNA and LNA oligomers may have potential for discovery of drugs aiming at recovering Frataxin expression. PMID:27846236

  20. Triangular Black Phosphorus Atomic Layers by Liquid Exfoliation.

    PubMed

    Seo, Soonjoo; Lee, Hyun Uk; Lee, Soon Chang; Kim, Yooseok; Kim, Hyeran; Bang, Junhyeok; Won, Jonghan; Kim, Youngjun; Park, Byoungnam; Lee, Jouhahn

    2016-03-30

    Few-layer black phosphorus (BP) is the most promising material among the two-dimensional materials due to its layered structure and the excellent semiconductor properties. Currently, thin BP atomic layers are obtained mostly by mechanical exfoliation of bulk BP, which limits applications in thin-film based electronics due to a scaling process. Here we report highly crystalline few-layer black phosphorus thin films produced by liquid exfoliation. We demonstrate that the liquid-exfoliated BP forms a triangular crystalline structure on SiO2/Si (001) and amorphous carbon. The highly crystalline BP layers are faceted with a preferred orientation of the (010) plane on the sharp edge, which is an energetically most favorable facet according to the density functional theory calculations. Our results can be useful in understanding the triangular BP structure for large-area applications in electronic devices using two-dimensional materials. The sensitivity and selectivity of liquid-exfoliated BP to gas vapor demonstrate great potential for practical applications as sensors.

  1. Triangular Black Phosphorus Atomic Layers by Liquid Exfoliation

    PubMed Central

    Seo, Soonjoo; Lee, Hyun Uk; Lee, Soon Chang; Kim, Yooseok; Kim, Hyeran; Bang, Junhyeok; Won, Jonghan; Kim, Youngjun; Park, Byoungnam; Lee, Jouhahn

    2016-01-01

    Few-layer black phosphorus (BP) is the most promising material among the two-dimensional materials due to its layered structure and the excellent semiconductor properties. Currently, thin BP atomic layers are obtained mostly by mechanical exfoliation of bulk BP, which limits applications in thin-film based electronics due to a scaling process. Here we report highly crystalline few-layer black phosphorus thin films produced by liquid exfoliation. We demonstrate that the liquid-exfoliated BP forms a triangular crystalline structure on SiO2/Si (001) and amorphous carbon. The highly crystalline BP layers are faceted with a preferred orientation of the (010) plane on the sharp edge, which is an energetically most favorable facet according to the density functional theory calculations. Our results can be useful in understanding the triangular BP structure for large-area applications in electronic devices using two-dimensional materials. The sensitivity and selectivity of liquid-exfoliated BP to gas vapor demonstrate great potential for practical applications as sensors. PMID:27026070

  2. Modeling charge collection efficiency degradation in partially depleted GaAs photodiodes using the 1- and 2-carrier Hecht equations

    DOE PAGES

    Auden, E. C.; Vizkelethy, G.; Serkland, D. K.; ...

    2017-03-24

    Here, the Hecht equation can be used to model the nonlinear degradation of charge collection efficiency (CCE) in response to radiation-induced displacement damage in both fully and partially depleted GaAs photodiodes. CCE degradation is measured for laser-generated photocurrent as a function of fluence and bias in Al 0.3Ga 0.7As/GaAs/Al 0.25Ga 0.75As p-i-n photodiodes which have been irradiated with 12 MeV C and 7.5 MeV Si ions. CCE is observed to degrade more rapidly with fluence in partially depleted photodiodes than in fully depleted photodiodes. When the intrinsic GaAs layer is fully depleted, the 2-carrier Hecht equation describes CCE degradation asmore » photogenerated electrons and holes recombine at defect sites created by radiation damage in the depletion region. If the GaAs layer is partially depleted, CCE degradation is more appropriately modeled as the sum of the 2-carrier Hecht equation applied to electrons and holes generated within the depletion region and the 1-carrier Hecht equation applied to minority carriers that diffuse from the field-free (non-depleted) region into the depletion region. Enhanced CCE degradation is attributed to holes that recombine within the field-free region of the partially depleted intrinsic GaAs layer before they can diffuse into the depletion region.« less

  3. Modeling charge collection efficiency degradation in partially depleted GaAs photodiodes using the 1- and 2-carrier Hecht equations

    NASA Astrophysics Data System (ADS)

    Auden, E. C.; Vizkelethy, G.; Serkland, D. K.; Bossert, D. J.; Doyle, B. L.

    2017-05-01

    The Hecht equation can be used to model the nonlinear degradation of charge collection efficiency (CCE) in response to radiation-induced displacement damage in both fully and partially depleted GaAs photodiodes. CCE degradation is measured for laser-generated photocurrent as a function of fluence and bias in Al0.3Ga0.7As/GaAs/Al0.25Ga0.75As p-i-n photodiodes which have been irradiated with 12 MeV C and 7.5 MeV Si ions. CCE is observed to degrade more rapidly with fluence in partially depleted photodiodes than in fully depleted photodiodes. When the intrinsic GaAs layer is fully depleted, the 2-carrier Hecht equation describes CCE degradation as photogenerated electrons and holes recombine at defect sites created by radiation damage in the depletion region. If the GaAs layer is partially depleted, CCE degradation is more appropriately modeled as the sum of the 2-carrier Hecht equation applied to electrons and holes generated within the depletion region and the 1-carrier Hecht equation applied to minority carriers that diffuse from the field-free (non-depleted) region into the depletion region. Enhanced CCE degradation is attributed to holes that recombine within the field-free region of the partially depleted intrinsic GaAs layer before they can diffuse into the depletion region.

  4. Modeling charge collection efficiency degradation in partially depleted GaAs photodiodes using the 1- and 2-carrier Hecht equations

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Auden, E. C.; Vizkelethy, G.; Serkland, D. K.

    Here, the Hecht equation can be used to model the nonlinear degradation of charge collection efficiency (CCE) in response to radiation-induced displacement damage in both fully and partially depleted GaAs photodiodes. CCE degradation is measured for laser-generated photocurrent as a function of fluence and bias in Al 0.3Ga 0.7As/GaAs/Al 0.25Ga 0.75As p-i-n photodiodes which have been irradiated with 12 MeV C and 7.5 MeV Si ions. CCE is observed to degrade more rapidly with fluence in partially depleted photodiodes than in fully depleted photodiodes. When the intrinsic GaAs layer is fully depleted, the 2-carrier Hecht equation describes CCE degradation asmore » photogenerated electrons and holes recombine at defect sites created by radiation damage in the depletion region. If the GaAs layer is partially depleted, CCE degradation is more appropriately modeled as the sum of the 2-carrier Hecht equation applied to electrons and holes generated within the depletion region and the 1-carrier Hecht equation applied to minority carriers that diffuse from the field-free (non-depleted) region into the depletion region. Enhanced CCE degradation is attributed to holes that recombine within the field-free region of the partially depleted intrinsic GaAs layer before they can diffuse into the depletion region.« less

  5. Modeling Bi-induced changes in the electronic structure of GaAs1-xBix alloys

    NASA Astrophysics Data System (ADS)

    Virkkala, Ville; Havu, Ville; Tuomisto, Filip; Puska, Martti J.

    2013-12-01

    We suggested recently [V. Virkkala , Phys. Rev. BPRBMDO1098-012110.1103/PhysRevB.88.035204 88, 035204 (2013)] that the band-gap narrowing in dilute GaAs1-xNx alloys can be explained to result from the broadening of the localized N states due to the N-N interaction along the zigzag chains in the <110> directions. In that study our tight-binding modeling based on first-principles density-functional calculations took into account the random distribution of N atoms in a natural way. In this work we extend our modeling to GaAs1-xBix alloys. Our results indicate that Bi states mix with host material states. However, the states near the valence-band edge agglomerate along the zigzag chains originating from individual Bi atoms. This leads to Bi-Bi interactions in a random alloy broadening these states in energy and causing the band-gap narrowing.

  6. Soldering to a single atomic layer

    NASA Astrophysics Data System (ADS)

    Girit, ćaǧlar Ö.; Zettl, A.

    2007-11-01

    The standard technique to make electrical contact to nanostructures is electron beam lithography. This method has several drawbacks including complexity, cost, and sample contamination. We present a simple technique to cleanly solder submicron sized, Ohmic contacts to nanostructures. To demonstrate, we contact graphene, a single atomic layer of carbon, and investigate low- and high-bias electronic transport. We set lower bounds on the current carrying capacity of graphene. A simple model allows us to obtain device characteristics such as mobility, minimum conductance, and contact resistance.

  7. Soldering to a single atomic layer

    NASA Astrophysics Data System (ADS)

    Girit, Caglar; Zettl, Alex

    2008-03-01

    The standard technique to make electrical contact to nanostructures is electron beam lithography. This method has several drawbacks including complexity, cost, and sample contamination. We present a simple technique to cleanly solder submicron sized, Ohmic contacts to nanostructures. To demonstrate, we contact graphene, a single atomic layer of carbon, and investigate low- and high-bias electronic transport. We set lower bounds on the current carrying capacity of graphene. A simple model allows us to obtain device characteristics such as mobility, minimum conductance, and contact resistance.

  8. Triangular lattice atomic layer of Sn(1 × 1) at graphene/SiC(0001) interface

    NASA Astrophysics Data System (ADS)

    Hayashi, Shingo; Visikovskiy, Anton; Kajiwara, Takashi; Iimori, Takushi; Shirasawa, Tetsuroh; Nakastuji, Kan; Miyamachi, Toshio; Nakashima, Shuhei; Yaji, Koichiro; Mase, Kazuhiko; Komori, Fumio; Tanaka, Satoru

    2018-01-01

    Sn atomic layers attract considerable interest owing to their spin-related physical properties caused by their strong spin-orbit interactions. We performed Sn intercalation into the graphene/SiC(0001) interface and found a new type of Sn atomic layer. Sn atoms occupy on-top sites of Si-terminated SiC(0001) with in-plane Sn-Sn bondings, resulting in a triangular lattice. Angle-resolved photoemission spectroscopy revealed characteristic dispersions at \\bar{\\text{K}} and \\bar{\\text{M}} points, which agreed well with density functional theory calculations. The Sn triangular lattice atomic layer at the interface showed no oxidation upon exposure to air, which is useful for characterization and device fabrication ex situ.

  9. Nanostructure templating using low temperature atomic layer deposition

    DOEpatents

    Grubbs, Robert K [Albuquerque, NM; Bogart, Gregory R [Corrales, NM; Rogers, John A [Champaign, IL

    2011-12-20

    Methods are described for making nanostructures that are mechanically, chemically and thermally stable at desired elevated temperatures, from nanostructure templates having a stability temperature that is less than the desired elevated temperature. The methods comprise depositing by atomic layer deposition (ALD) structural layers that are stable at the desired elevated temperatures, onto a template employing a graded temperature deposition scheme. At least one structural layer is deposited at an initial temperature that is less than or equal to the stability temperature of the template, and subsequent depositions made at incrementally increased deposition temperatures until the desired elevated temperature stability is achieved. Nanostructure templates include three dimensional (3D) polymeric templates having features on the order of 100 nm fabricated by proximity field nanopatterning (PnP) methods.

  10. Heavily Sn-doped GaAs with abrupt doping profiles grown by migration-enhanced epitaxy at low temperatures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chavanapranee, Tosaporn; Horikoshi, Yoshiji

    The characteristics of heavily Sn-doped GaAs samples grown at 300 deg. C by a migration-enhanced epitaxy (MEE) technique are investigated in comparison with those of the samples grown by a conventional molecular-beam epitaxy (MBE) at 580 deg. C. While no discernible difference is observed in the low doping regime, the difference in doping characteristics between the MBE- and MEE-grown samples becomes apparent when the doping concentration exceeds 1x10{sup 19} cm{sup -3}. Sn atoms as high as 4x10{sup 21} cm{sup -3} can be incorporated into MEE-grown GaAs films, unlike the MBE-grown samples that have a maximum doping level limited around 1x10{supmore » 19} cm{sup -3}. Due to an effective suppression of Sn segregation in the MEE growth case, high quality GaAs films with abrupt high-concentration Sn-doping profiles are achieved with the doping concentrations of up to 2x10{sup 21} cm{sup -3}. It has been shown that even though a high concentration of Sn atoms is incorporated into the GaAs film, the electron concentration saturates at 6x10{sup 19} cm{sup -3} and then gradually decreases with Sn concentration. The uniform doping limitation, as well as the electron concentration saturation, is discussed by means of Hall-effect measurement, x-ray diffraction, and Raman scattering spectroscopy.« less

  11. Bi-layer Channel AZO/ZnO Thin Film Transistors Fabricated by Atomic Layer Deposition Technique

    NASA Astrophysics Data System (ADS)

    Li, Huijin; Han, Dedong; Liu, Liqiao; Dong, Junchen; Cui, Guodong; Zhang, Shengdong; Zhang, Xing; Wang, Yi

    2017-03-01

    This letter demonstrates bi-layer channel Al-doped ZnO/ZnO thin film transistors (AZO/ZnO TFTs) via atomic layer deposition process at a relatively low temperature. The effects of annealing in oxygen atmosphere at different temperatures have also been investigated. The ALD bi-layer channel AZO/ZnO TFTs annealed in dry O2 at 300 °C exhibit a low leakage current of 2.5 × 10-13A, I on/ I off ratio of 1.4 × 107, subthreshold swing (SS) of 0.23 V/decade, and high transmittance. The enhanced performance obtained from the bi-layer channel AZO/ZnO TFT devices is explained by the inserted AZO front channel layer playing the role of the mobility booster.

  12. Room temperature operation of mid-infrared InAs0.81Sb0.19 based photovoltaic detectors with an In0.2Al0.8Sb barrier layer grown on GaAs substrates.

    PubMed

    Geum, Dae-Myeong; Kim, SangHyeon; Kang, SooSeok; Kim, Hosung; Park, Hwanyeol; Rho, Il Pyo; Ahn, Seung Yeop; Song, Jindong; Choi, Won Jun; Yoon, Euijoon

    2018-03-05

    In this paper, InAs 0.81 Sb 0.19 -based hetero-junction photovoltaic detector (HJPD) with an In 0.2 Al 0.8 Sb barrier layer was grown on GaAs substrates. By using technology computer aided design (TCAD), a design of a barrier layer that can achieve nearly zero valance band offsets was accomplished. A high quality InAs 0.81 Sb 0.19 epitaxial layer was obtained with relatively low threading dislocation density (TDD), calculated from a high-resolution X-ray diffraction (XRD) measurement. This layer showed a Hall mobility of 15,000 cm 2 /V⋅s, which is the highest mobility among InAsSb layers with an Sb composition of around 20% grown on GaAs substrates. Temperature dependence of dark current, photocurrent response and responsivity were measured and analyzed for fabricated HJPD. HJPD showed the clear photocurrent response having a long cutoff wavelength of 5.35 μm at room temperature. It was observed that the dark current of HJPDs is dominated by the diffusion limited current at temperatures ranging from 200K to room temperature from the dark current analysis. Peak responsivity of HJPDs exhibited the 1.18 A/W and 15 mA/W for 83K and a room temperature under zero bias condition even without anti-reflection coating (ARC). From these results, we believe that HJPDs could be an appropriate PD device for future compact and low power dissipation mid-infrared on-chip sensors and imaging devices.

  13. Atomically thick bismuth selenide freestanding single layers achieving enhanced thermoelectric energy harvesting.

    PubMed

    Sun, Yongfu; Cheng, Hao; Gao, Shan; Liu, Qinghua; Sun, Zhihu; Xiao, Chong; Wu, Changzheng; Wei, Shiqiang; Xie, Yi

    2012-12-19

    Thermoelectric materials can realize significant energy savings by generating electricity from untapped waste heat. However, the coupling of the thermoelectric parameters unfortunately limits their efficiency and practical applications. Here, a single-layer-based (SLB) composite fabricated from atomically thick single layers was proposed to optimize the thermoelectric parameters fully. Freestanding five-atom-thick Bi(2)Se(3) single layers were first synthesized via a scalable interaction/exfoliation strategy. As revealed by X-ray absorption fine structure spectroscopy and first-principles calculations, surface distortion gives them excellent structural stability and a much increased density of states, resulting in a 2-fold higher electrical conductivity relative to the bulk material. Also, the surface disorder and numerous interfaces in the Bi(2)Se(3) SLB composite allow for effective phonon scattering and decreased thermal conductivity, while the 2D electron gas and energy filtering effect increase the Seebeck coefficient, resulting in an 8-fold higher figure of merit (ZT) relative to the bulk material. This work develops a facile strategy for synthesizing atomically thick single layers and demonstrates their superior ability to optimize the thermoelectric energy harvesting.

  14. Two-dimensional ferroelectric topological insulators in functionalized atomically thin bismuth layers

    NASA Astrophysics Data System (ADS)

    Kou, Liangzhi; Fu, Huixia; Ma, Yandong; Yan, Binghai; Liao, Ting; Du, Aijun; Chen, Changfeng

    2018-02-01

    We introduce a class of two-dimensional (2D) materials that possess coexisting ferroelectric and topologically insulating orders. Such ferroelectric topological insulators (FETIs) occur in noncentrosymmetric atomic layer structures with strong spin-orbit coupling (SOC). We showcase a prototype 2D FETI in an atomically thin bismuth layer functionalized by C H2OH , which exhibits a large ferroelectric polarization that is switchable by a ligand molecule rotation mechanism and a strong SOC that drives a band inversion leading to the topologically insulating state. An external electric field that switches the ferroelectric polarization also tunes the spin texture in the underlying atomic lattice. Moreover, the functionalized bismuth layer exhibits an additional quantum order driven by the valley splitting at the K and K' points in the Brillouin zone stemming from the symmetry breaking and strong SOC in the system, resulting in a remarkable state of matter with the simultaneous presence of the quantum spin Hall and quantum valley Hall effect. These phenomena are predicted to exist in other similarly constructed 2D FETIs, thereby offering a unique quantum material platform for discovering novel physics and exploring innovative applications.

  15. Atomic layer deposition-based functionalization of materials for medical and environmental health applications

    PubMed Central

    Narayan, Roger J.; Adiga, Shashishekar P.; Pellin, Michael J.; Curtiss, Larry A.; Hryn, Alexander J.; Stafslien, Shane; Chisholm, Bret; Shih, Chun-Che; Shih, Chun-Ming; Lin, Shing-Jong; Su, Yea-Yang; Jin, Chunming; Zhang, Junping; Monteiro-Riviere, Nancy A.; Elam, Jeffrey W.

    2010-01-01

    Nanoporous alumina membranes exhibit high pore densities, well-controlled and uniform pore sizes, as well as straight pores. Owing to these unusual properties, nanoporous alumina membranes are currently being considered for use in implantable sensor membranes and water purification membranes. Atomic layer deposition is a thin-film growth process that may be used to modify the pore size in a nanoporous alumina membrane while retaining a narrow pore distribution. In addition, films deposited by means of atomic layer deposition may impart improved biological functionality to nanoporous alumina membranes. In this study, zinc oxide coatings and platinum coatings were deposited on nanoporous alumina membranes by means of atomic layer deposition. PEGylated nanoporous alumina membranes were prepared by self-assembly of 1-mercaptoundec-11-yl hexa(ethylene glycol) on platinum-coated nanoporous alumina membranes. The pores of the PEGylated nanoporous alumina membranes remained free of fouling after exposure to human platelet-rich plasma; protein adsorption, fibrin networks and platelet aggregation were not observed on the coated membrane surface. Zinc oxide-coated nanoporous alumina membranes demonstrated activity against two waterborne pathogens, Escherichia coli and Staphylococcus aureus. The results of this work indicate that nanoporous alumina membranes may be modified using atomic layer deposition for use in a variety of medical and environmental health applications. PMID:20308114

  16. MIM capacitors with various Al2O3 thicknesses for GaAs RFIC application

    NASA Astrophysics Data System (ADS)

    Jiahui, Zhou; Hudong, Chang; Honggang, Liu; Guiming, Liu; Wenjun, Xu; Qi, Li; Simin, Li; Zhiyi, He; Haiou, Li

    2015-05-01

    The impact of various thicknesses of Al2O3 metal—insulator—metal (MIM) capacitors on direct current and radio frequency (RF) characteristics is investigated. For 20 nm Al2O3, the fabricated capacitor exhibits a high capacitance density of 3850 pF/mm2 and acceptable voltage coefficients of capacitance of 681 ppm/V2 at 1 MHz. An outstanding VCC-α of 74 ppm/V2 at 1 MHz, resonance frequency of 8.2 GHz and Q factor of 41 at 2 GHz are obtained by 100 nm Al2O3 MIM capacitors. High-performance MIM capacitors using GaAs process and atomic layer deposition Al2O3 could be very promising candidates for GaAs RFIC applications. Project supported by the National Natural Science Foundation of China (Nos. 61274077, 61474031), the Guangxi Natural Science Foundation (No. 2013GXNSFGA019003), the Guangxi Department of Education Project (No. 201202ZD041), the Guilin City Technology Bureau (Nos. 20120104-8, 20130107-4), the China Postdoctoral Science Foundation Funded Project (Nos. 2012M521127, 2013T60566), the National Basic Research Program of China (Nos. 2011CBA00605, 2010CB327501), the Innovation Project of GUET Graduate Education (Nos. GDYCSZ201448, GDYCSZ201449), the State Key Laboratory of Electronic Thin Films and Integrated Devices, UESTC (No. KFJJ201205), and the Guilin City Science and Technology Development Project (Nos. 20130107-4, 20120104-8).

  17. Silicon incorporation in GaAs: From delta-doping to monolayer insertion

    NASA Astrophysics Data System (ADS)

    Wagner, J.; Newman, R. C.; Roberts, C.

    1995-08-01

    Raman spectroscopy was used to study the incorporation of Si into doping layers in GaAs, grown by molecular beam epitaxy at a temperature of 400 °C, for Si concentrations ranging from the δ-doping level to a ML coverage. The strength of the scattering by local vibrational modes of substitutional Si was almost constant for Si areal concentration [Si]A in the range 5×1012<[Si]A<5×1013 cm-2 but then decreased, dropping below the detection limit for [Si]A≳3×1014 cm-2. At these concentrations a new vibrational band emerged at a frequency close to 470 cm-1 and developed into the optic zone center phonon of a coherently strained epitaxial layer of Si embedded in GaAs when a coverage of ≊1.5 ML (9.3×1014 cm-2) was reached. These findings strongly indicate that the observed saturation and the eventual decrease of the concentration of substitutional silicon is caused by an increasing incorporation of deposited Si into two-dimensional islands of covalently bonded Si.

  18. Bi-layer Channel AZO/ZnO Thin Film Transistors Fabricated by Atomic Layer Deposition Technique.

    PubMed

    Li, Huijin; Han, Dedong; Liu, Liqiao; Dong, Junchen; Cui, Guodong; Zhang, Shengdong; Zhang, Xing; Wang, Yi

    2017-12-01

    This letter demonstrates bi-layer channel Al-doped ZnO/ZnO thin film transistors (AZO/ZnO TFTs) via atomic layer deposition process at a relatively low temperature. The effects of annealing in oxygen atmosphere at different temperatures have also been investigated. The ALD bi-layer channel AZO/ZnO TFTs annealed in dry O 2 at 300 °C exhibit a low leakage current of 2.5 × 10 -13 A, I on /I off ratio of 1.4 × 10 7 , subthreshold swing (SS) of 0.23 V/decade, and high transmittance. The enhanced performance obtained from the bi-layer channel AZO/ZnO TFT devices is explained by the inserted AZO front channel layer playing the role of the mobility booster.

  19. Spatial structure of single and interacting Mn acceptors in GaAs

    NASA Astrophysics Data System (ADS)

    Koenraad, Paul

    2005-03-01

    Ferromagnetic semiconductors such as Ga1-xMnxAs are receiving a lot of attention at the moment because of their application in spintronic devices. However, despite intense study of deep acceptors in III-V semiconductors such as MnGa, little information has been obtained on their electronic properties at the atomic scale. Yet the spatial shape of the Mn acceptor state will influence the hole-mediated Mn-Mn coupling and thus all of the magnetic properties of ferromagnetic semiconductors such as Ga1-xMnxAs. This study presents an experimental and theoretical description of the spatial symmetry of the Mn acceptor wave-function in GaAs. We present measurements of the spatial mapping of the anisotropic wavefunction of a hole localized at a Mn acceptor. To achieve this, we have used the STM tip not only to image the Mn acceptor but also to manipulate its charge state A^0/A^- at room temperature. Within an envelope function effective mass model (EFM) the anisotropy in the acceptor wave-function can be traced to the influence of the cubic symmetry of the GaAs crystal which selects specific d-states that mix into the ground state due to the spin-orbit interaction in the valence band. Comparison with calculations based on a tight-binding model (TBM) for the Mn acceptor structure supports this conclusion. Using the same experimental and theoretical approach we furthermore explored the interaction between Mn acceptors directly by analyzing close Mn-Mn pairs, which were separated by less than 2 nm. We will discuss some implications of these results for Mn delta-doped layers grown on differently oriented growth surfaces.

  20. Atomic layer deposition of alternative glass microchannel plates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    O'Mahony, Aileen, E-mail: aom@incomusa.com; Craven, Christopher A.; Minot, Michael J.

    The technique of atomic layer deposition (ALD) has enabled the development of alternative glass microchannel plates (MCPs) with independently tunable resistive and emissive layers, resulting in excellent thickness uniformity across the large area (20 × 20 cm), high aspect ratio (60:1 L/d) glass substrates. Furthermore, the use of ALD to deposit functional layers allows the optimal substrate material to be selected, such as borosilicate glass, which has many benefits compared to the lead-oxide glass used in conventional MCPs, including increased stability and lifetime, low background noise, mechanical robustness, and larger area (at present up to 400 cm{sup 2}). Resistively stable, high gain MCPs are demonstratedmore » due to the deposition of uniform ALD resistive and emissive layers on alternative glass microcapillary substrates. The MCP performance characteristics reported include increased stability and lifetime, low background noise (0.04 events cm{sup −2} s{sup −1}), and low gain variation (±5%)« less

  1. Stacking InAs quantum dots over ErAs semimetal nanoparticles on GaAs (0 0 1) using molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Zhang, Yuanchang; Eyink, Kurt G.; Grazulis, Lawrence; Hill, Madelyn; Peoples, Joseph; Mahalingam, Krishnamurthy

    2017-11-01

    Hybrid nanostructures are known to elicit an enhanced optical response. We study the directed alignment of ErAs metal nanoparticle (NP) and InAs quantum dot (QD) using molecular beam eptaxy (MBE) in a GaAs matrix. Due to high surface free energy caused by the crystal structure difference, overgrowth of an ErAs NP with GaAs forms a depression that condenses subsequent InAs adatoms to form an inverted QD self-aligned to the underlying ErAs NP. The ErAs NP growth, GaAs overgrowth, and InAs QD deposition were carefully controlled and studied with transmission electron microscopy (TEM) and atomic force microscopy (AFM) to investigate their effects on the QD-NP alignment.

  2. Extracting elastic properties of an atomically thin interfacial layer by time-domain analysis of femtosecond acoustics

    NASA Astrophysics Data System (ADS)

    Chen, H.-Y.; Huang, Y.-R.; Shih, H.-Y.; Chen, M.-J.; Sheu, J.-K.; Sun, C.-K.

    2017-11-01

    Modern devices adopting denser designs and complex 3D structures have created much more interfaces than before, where atomically thin interfacial layers could form. However, fundamental information such as the elastic property of the interfacial layers is hard to measure. The elastic property of the interfacial layer is of great importance in both thermal management and nano-engineering of modern devices. Appropriate techniques to probe the elastic properties of interfacial layers as thin as only several atoms are thus critically needed. In this work, we demonstrated the feasibility of utilizing the time-resolved femtosecond acoustics technique to extract the elastic properties and mass density of a 1.85-nm-thick interfacial layer, with the aid of transmission electron microscopy. We believe that this femtosecond acoustics approach will provide a strategy to measure the absolute elastic properties of atomically thin interfacial layers.

  3. Interactions between C and Cu atoms in single-layer graphene: direct observation and modelling.

    PubMed

    Kano, Emi; Hashimoto, Ayako; Kaneko, Tomoaki; Tajima, Nobuo; Ohno, Takahisa; Takeguchi, Masaki

    2016-01-07

    Metal doping into the graphene lattice has been studied recently to develop novel nanoelectronic devices and to gain an understanding of the catalytic activities of metals in nanocarbon structures. Here we report the direct observation of interactions between Cu atoms and single-layer graphene by transmission electron microscopy. We document stable configurations of Cu atoms in the graphene sheet and unique transformations of graphene promoted by Cu atoms. First-principles calculations based on density functional theory reveal a reduction of energy barrier that caused rotation of C-C bonds near Cu atoms. We discuss two driving forces, electron irradiation and in situ heating, and conclude that the observed transformations were mainly promoted by electron irradiation. Our results suggest that individual Cu atoms can promote reconstruction of single-layer graphene.

  4. Properties of Surface-Modification Layer Generated by Atomic Hydrogen Annealing on Poly(ethylene naphthalate) Substrate

    NASA Astrophysics Data System (ADS)

    Heya, Akira; Matsuo, Naoto

    2008-01-01

    The surface of a poly(ethylene naphthalate) (PEN) substrate was modified by atomic hydrogen annealing (AHA). In this method, a PEN substrate was exposed to atomic hydrogen generated by cracking hydrogen molecules on heated tungsten wire. The properties of the surface-modification layer by AHA were evaluated by spectroscopic ellipsometry. It is found that the thickness of the modified layer was 5 nm and that the modification layer has a low refractive index compared with the PEN substrate. The modification layer relates to the reduction reaction of the PEN substrate by AHA.

  5. Growth features and spectroscopic structure investigations of nanoprofiled AlN films formed on misoriented GaAs substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Seredin, P. V., E-mail: paul@phys.vsu.ru; Goloshchapov, D. L.; Lenshin, A. S.

    Nanostructured aluminum-nitride films are formed by reactive ion-plasma sputtering onto GaAs substrates with different orientations. The properties of the films are studied via structural analysis, atomic force microscopy, and infrared and visible–ultraviolet spectroscopy. The aluminum-nitride films can have a refractive index in the range of 1.6–4.0 at a wavelength of ~250 nm and an optical band gap of ~5 eV. It is shown that the morphology, surface composition, and optical characteristics of AlN/GaAs heterophase systems can be controlled using misoriented GaAs substrates.

  6. Optimization of the interfacial misfit array growth mode of GaSb epilayers on GaAs substrate

    NASA Astrophysics Data System (ADS)

    Benyahia, D.; Kubiszyn, Ł.; Michalczewski, K.; Kębłowski, A.; Martyniuk, P.; Piotrowski, J.; Rogalski, A.

    2018-02-01

    The growth of undoped GaSb epilayers on GaAs (0 0 1) substrates with 2° offcut towards 〈1 1 0〉, by molecular beam epitaxy system (MBE) at low growth temperature is reported. The strain due to the lattice mismatch of 7.78% is relieved spontaneously at the interface by using interfacial misfit array (IMF) growth mode. Three approaches of this technique are investigated. The difference consists in the steps after the growth of GaAs buffer layer. These steps are the desorption of arsenic from the GaAs surface, and the cooling down to the growth temperature, under or without antimony flux. The X-ray analysis and the transmission electron microscopy point out that desorption of arsenic followed by the substrate temperature decreasing under no group V flux leads to the best structural and crystallographic properties in the GaSb layer. It is found that the 2 μm-thick GaSb is 99.8% relaxed, and that the strain is relieved by the formation of a periodic array of 90° pure-edge dislocations along the [1 1 0] direction with a periodicity of 5.6 nm.

  7. High Growth Rate Metal-Organic Molecular Beam Epitaxy for the Fabrication of GaAs Space Solar Cells

    NASA Technical Reports Server (NTRS)

    Freundlich, A.; Newman, F.; Monier, C.; Street, S.; Dargan, P.; Levy, M.

    2005-01-01

    In this work it is shown that high quality GaAs photovoltaic devices can be produced by Molecular Beam Epitaxy (MBE) with growth rates comparable to metal-organic chemical vapor deposition (MOCVD) through the subsitution of group III solid sources by metal-organic compounds. The influence the III/V flux-ratio and growth temperatures in maintaining a two dimensional layer by layer growth mode and achieving high growth rates with low residual background impurities is investigated. Finally subsequent to the study of the optimization of n- and p doping of such high growth rate epilayers, results from a preliminary attempt in the fabrication of GaAs photovoltaic devices such as tunnel diodes and solar cells using the proposed high growth rate approach are reported.

  8. Atomic layer deposition of ultrathin blocking layer for low-temperature solid oxide fuel cell on nanoporous substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yu, Wonjong; Cho, Gu Young; Noh, Seungtak

    2015-01-15

    An ultrathin yttria-stabilized zirconia (YSZ) blocking layer deposited by atomic layer deposition (ALD) was utilized for improving the performance and reliability of low-temperature solid oxide fuel cells (SOFCs) supported by an anodic aluminum oxide substrate. Physical vapor-deposited YSZ and gadolinia-doped ceria (GDC) electrolyte layers were deposited by a sputtering method. The ultrathin ALD YSZ blocking layer was inserted between the YSZ and GDC sputtered layers. To investigate the effects of an inserted ultrathin ALD blocking layer, SOFCs with and without an ultrathin ALD blocking layer were electrochemically characterized. The open circuit voltage (1.14 V) of the ALD blocking-layered SOFC was visiblymore » higher than that (1.05 V) of the other cell. Furthermore, the ALD blocking layer augmented the power density and improved the reproducibility.« less

  9. Removal of GaAs growth substrates from II-VI semiconductor heterostructures

    NASA Astrophysics Data System (ADS)

    Bieker, S.; Hartmann, P. R.; Kießling, T.; Rüth, M.; Schumacher, C.; Gould, C.; Ossau, W.; Molenkamp, L. W.

    2014-04-01

    We report on a process that enables the removal of II-VI semiconductor epilayers from their GaAs growth substrate and their subsequent transfer to arbitrary host environments. The technique combines mechanical lapping and layer selective chemical wet etching and is generally applicable to any II-VI layer stack. We demonstrate the non-invasiveness of the method by transferring an all-II-VI magnetic resonant tunneling diode. High resolution x-ray diffraction proves that the crystal integrity of the heterostructure is preserved. Transport characterization confirms that the functionality of the device is maintained and even improved, which is ascribed to completely elastic strain relaxation of the tunnel barrier layer.

  10. The effect of V/III ratio on the morphology and structure of GaAs nanowires by MOCVD

    NASA Astrophysics Data System (ADS)

    Liu, Yan; Peng, Yan; Guo, Jingwei; La, Dongsheng; Xu, Zhaopeng

    2018-05-01

    In this paper, GaAs nanowires with different V/III ratios (70, 140, 280 and 560) were vertically grown from bottom to top on GaAs substrates by using metal organic chemical vapor deposition based on gold assisted vapor-liquid-solid mechanism. It is found that the growth rate of nanowires is inversely proportional to their V/III ratio. And the V/III ratio can also change nanowire growth type. For the nanowire with small V/III ratios (≤280), the reactants are most from those atoms merged in the catalyst. But, for the nanowire with V/III ratio 560, the contribution mainly comes from the diffusions of atoms pyrolyzed on the surface of the nanowire and the substrate. A shrunken neck under the catalyst is observed in TEM characterizations. These results will provide a theoretical basis for potential practical applications of nanowire-based devices.

  11. New frontiers of atomic layer etching

    NASA Astrophysics Data System (ADS)

    Sherpa, Sonam D.; Ranjan, Alok

    2018-03-01

    Interest in atomic layer etching (ALE) has surged recently because it offers several advantages over continuous or quasicontinuous plasma etching. These benefits include (1) independent control of ion energy, ion flux, and radical flux, (2) flux-independent etch rate that mitigates the iso-dense loading effects, and (3) ability to control the etch rate with atomic or nanoscale precision. In addition to these benefits, we demonstrate an area-selective etching for maskless lithography as a new frontier of ALE. In this paper, area-selective etching refers to the confinement of etching into the specific areas of the substrate. The concept of area-selective etching originated during our studies on quasi-ALE of silicon nitride which consists of sequential exposure of silicon nitride to hydrogen and fluorinated plasma. The findings of our studies reported in this paper suggest that it may be possible to confine the etching into specific areas of silicon nitride without using any mask by replacing conventional hydrogen plasma with a localized source of hydrogen ions.

  12. Atomic Layer Deposition of L-Alanine Polypeptide

    DOE PAGES

    Fu, Yaqin; Li, Binsong; Jiang, Ying-Bing; ...

    2014-10-30

    L-Alanine polypeptide thin films were synthesized via atomic layer deposition (ALD). Rather, instead of using an amino acid monomer as the precursor, an L-alanine amino acid derivatized with a protecting group was used to prevent self-polymerization, increase the vapor pressure, and allow linear cycle-by-cycle growth emblematic of ALD. Moreover, the successful deposition of a conformal polypeptide film has been confirmed by FTIR, TEM, and Mass Spectrometry, and the ALD process has been extended to polyvaline.

  13. Atomistics of Ge deposition on Si(100) by atomic layer epitaxy.

    PubMed

    Lin, D S; Wu, J L; Pan, S Y; Chiang, T C

    2003-01-31

    Chlorine termination of mixed Ge/Si(100) surfaces substantially enhances the contrast between Ge and Si sites in scanning tunneling microscopy observations. This finding enables a detailed investigation of the spatial distribution of Ge atoms deposited on Si(100) by atomic layer epitaxy. The results are corroborated by photoemission measurements aided by an unusually large chemical shift between Cl adsorbed on Si and Ge. Adsorbate-substrate atomic exchange during growth is shown to be important. The resulting interface is thus graded, but characterized by a very short length scale of about one monolayer.

  14. Method and system for continuous atomic layer deposition

    DOEpatents

    Elam, Jeffrey W.; Yanguas-Gil, Angel; Libera, Joseph A.

    2017-03-21

    A system and method for continuous atomic layer deposition. The system and method includes a housing, a moving bed which passes through the housing, a plurality of precursor gases and associated input ports and the amount of precursor gases, position of the input ports, and relative velocity of the moving bed and carrier gases enabling exhaustion of the precursor gases at available reaction sites.

  15. First principle calculation in FeCo overlayer on GaAs substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jain, Vishal, E-mail: vjain045@gmail.com; Lakshmi, N.; Jain, Vivek Kumar

    In this work the first principle electronic structure calculation is reported for FeCo/GaAs thin film system to investigate the effect of orientation on the electronic structural properties. A unit cell describing FeCo layers and GaAs layers is constructed for (100), (110), (111) orientation with vacuum of 30Å to reduce dimensions. It is found that although the (110) orientation is energetically more favorable than others, the magnetic moment is quite large in (100) and (111) system compared to the (110) and is due to the total DOS variation with orientation.

  16. Fermi level de-pinning of aluminium contacts to n-type germanium using thin atomic layer deposited layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gajula, D. R., E-mail: dgajula01@qub.ac.uk; Baine, P.; Armstrong, B. M.

    Fermi-level pinning of aluminium on n-type germanium (n-Ge) was reduced by insertion of a thin interfacial dielectric by atomic layer deposition. The barrier height for aluminium contacts on n-Ge was reduced from 0.7 eV to a value of 0.28 eV for a thin Al{sub 2}O{sub 3} interfacial layer (∼2.8 nm). For diodes with an Al{sub 2}O{sub 3} interfacial layer, the contact resistance started to increase for layer thicknesses above 2.8 nm. For diodes with a HfO{sub 2} interfacial layer, the barrier height was also reduced but the contact resistance increased dramatically for layer thicknesses above 1.5 nm.

  17. Fabrication of low-temperature solid oxide fuel cells with a nanothin protective layer by atomic layer deposition

    PubMed Central

    2013-01-01

    Anode aluminum oxide-supported thin-film fuel cells having a sub-500-nm-thick bilayered electrolyte comprising a gadolinium-doped ceria (GDC) layer and an yttria-stabilized zirconia (YSZ) layer were fabricated and electrochemically characterized in order to investigate the effect of the YSZ protective layer. The highly dense and thin YSZ layer acted as a blockage against electron and oxygen permeation between the anode and GDC electrolyte. Dense GDC and YSZ thin films were fabricated using radio frequency sputtering and atomic layer deposition techniques, respectively. The resulting bilayered thin-film fuel cell generated a significantly higher open circuit voltage of approximately 1.07 V compared with a thin-film fuel cell with a single-layered GDC electrolyte (approximately 0.3 V). PMID:23342963

  18. Elastomeric nanoparticle composites covalently bound to Al2O3/GaAs surfaces.

    PubMed

    Song, Hyon Min; Ye, Peide D; Ivanisevic, Albena

    2007-08-28

    This article reports the modification of Al2O3/GaAs surfaces with multifunctional soft materials. Siloxane elastomers were covalently bound to dopamine-modified Al2O3/GaAs semiconductor surfaces using MPt (M = Fe, Ni) nanoparticles. The sizes of the monodisperse FePt and NiPt nanoparticles were less than 5 nm. The surfaces of the nanoparticles as well as the Al2O3/GaAs substrates were modified with allyl-functionalized dopamine that utilized a dihydroxy group as a strong ligand. The immobilization of the elastomers was performed via a hydrosilation reaction of the allyl-functionalized dopamines with the siloxane backbones. X-ray photoelectron spectroscopy (XPS) experiments confirmed the covalent bonding of the siloxane elastomers to the oxide layer on the semiconductor surface. Fourier transform-infrared reflection absorption spectroscopy (FT-IRRAS) measurements revealed that the allyl functional groups are bonded to the siloxane backbones. The FT-IRRAS data also showed that the density of the allyl groups on the surface was lower than that of the siloxane backbones. The mechanical properties of the surface-bound nanocomposites were tested using nanoindentation experiments. The nanoindentation data showed that the soft matrix composed of the elastomeric coating on the surfaces behaves differently from the inner, hard Al2O3/GaAs substrate.

  19. Growth of semimetallic ErAs films epitaxially embedded in GaAs

    NASA Astrophysics Data System (ADS)

    Crook, Adam M.; Nair, Hari P.; Lee, Jong H.; Ferrer, Domingo A.; Akinwande, Deji; Bank, Seth R.

    2011-10-01

    We present models for the growth and electrical conductivity of ErAs films grown with the nanoparticle-seeded film growth technique. This growth mode overcomes the mismatch in rotational symmetry between the rocksalt ErAs crystal structure and the zincblende GaAs crystal structure. This results in films of ErAs grown through a thin film of GaAs that preserves the symmetry of the substrate. The conductivity of the films, as a function of film thickness, are investigated and a surface roughness model is used to explain observed trends. Transmission electron micrographs confirm the suppression of anti-phase domains. A simple diffusion model is developed to describe the diffusion and incorporation of surface erbium into subsurface ErAs layers and predict potential failure mechanisms of the growth method.

  20. Electrodeposition of CdSe on GaAs and InP substrates

    NASA Astrophysics Data System (ADS)

    Etcheberry, A.; Cachet, H.; Cortes, R.; Froment, M.

    2001-06-01

    Epitaxial CdSe layers have been electrodeposited on the (1 0 0) and ( 1¯ 1¯ 1¯) faces of GaAs and InP single crystals. Chemical composition and crystalline quality of CdSe have been studied by X-photoelectron spectroscopy, reflection high energy electron diffraction and X-ray diffraction. Influence of the substrate has been pointed out.

  1. Growth mechanism of Al2O3 film on an organic layer in plasma-enhanced atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Lee, J. Y.; Kim, D. W.; Kang, W. S.; Lee, J. O.; Hur, M.; Han, S. H.

    2018-01-01

    Differences in the physical and chemical properties of Al2O3 films on a Si wafer and a C x H y layer were investigated in the case of plasma-enhanced atomic layer deposition. The Al2O3 film on the Si had a sharper interface and lower thickness than the Al2O3 film on the C x H y . The amount of carbon-impurity near the interface was larger for Al2O3 on the C x H y than for Al2O3 on the Si. In order to understand these differences, the concentrations of Al, O, C, and Si atoms through the Al2O3 films were evaluated by using x-ray photoelectron spectroscopy (XPS) depth profiling. The emission intensities of CO molecule were analyzed for different numbers of deposition cycles, by using time-resolved optical emission spectroscopy (OES). Finally, a growth mechanism for Al2O3 on an organic layer was proposed, based on the XPS and OES results for the Si wafer and the C x H y layer.

  2. CMUTs with high-K atomic layer deposition dielectric material insulation layer.

    PubMed

    Xu, Toby; Tekes, Coskun; Degertekin, F

    2014-12-01

    Use of high-κ dielectric, atomic layer deposition (ALD) materials as an insulation layer material for capacitive micromachined ultrasonic transducers (CMUTs) is investigated. The effect of insulation layer material and thickness on CMUT performance is evaluated using a simple parallel plate model. The model shows that both high dielectric constant and the electrical breakdown strength are important for the dielectric material, and significant performance improvement can be achieved, especially as the vacuum gap thickness is reduced. In particular, ALD hafnium oxide (HfO2) is evaluated and used as an improvement over plasma-enhanced chemical vapor deposition (PECVD) silicon nitride (Six)Ny)) for CMUTs fabricated by a low-temperature, complementary metal oxide semiconductor transistor-compatible, sacrificial release method. Relevant properties of ALD HfO2) such as dielectric constant and breakdown strength are characterized to further guide CMUT design. Experiments are performed on parallel fabricated test CMUTs with 50-nm gap and 16.5-MHz center frequency to measure and compare pressure output and receive sensitivity for 200-nm PECVD Six)Ny) and 100-nm HfO2) insulation layers. Results for this particular design show a 6-dB improvement in receiver output with the collapse voltage reduced by one-half; while in transmit mode, half the input voltage is needed to achieve the same maximum output pressure.

  3. CMUTs with High-K Atomic Layer Deposition Dielectric Material Insulation Layer

    PubMed Central

    Xu, Toby; Tekes, Coskun; Degertekin, F. Levent

    2014-01-01

    Use of high-κ dielectric, atomic layer deposition (ALD) materials as an insulation layer material for capacitive micromachined ultrasonic transducers (CMUTs) is investigated. The effect of insulation layer material and thickness on CMUT performance is evaluated using a simple parallel plate model. The model shows that both high dielectric constant and the electrical breakdown strength are important for the dielectric material, and significant performance improvement can be achieved, especially as the vacuum gap thickness is reduced. In particular, ALD hafnium oxide (HfO2) is evaluated and used as an improvement over plasma-enhanced chemical vapor deposition (PECVD) silicon nitride (SixNy) for CMUTs fabricated by a low-temperature, complementary metal oxide semiconductor transistor-compatible, sacrificial release method. Relevant properties of ALD HfO2 such as dielectric constant and breakdown strength are characterized to further guide CMUT design. Experiments are performed on parallel fabricated test CMUTs with 50-nm gap and 16.5-MHz center frequency to measure and compare pressure output and receive sensitivity for 200-nm PECVD SixNy and 100-nm HfO2 insulation layers. Results for this particular design show a 6-dB improvement in receiver output with the collapse voltage reduced by one-half; while in transmit mode, half the input voltage is needed to achieve the same maximum output pressure. PMID:25474786

  4. Surface science analysis of GaAs photocathodes following sustained electron beam delivery

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Carlos Hernandez-Garcia, Fay Hannon, Marcy Stutzman, V. Shutthanandan, Z. Zhu, M. Nandasri, S. V. Kuchibhatla, S. Thevuthasan, W. P. Hess

    2012-06-01

    Degradation of the photocathode materials employed in photoinjectors represents a challenge for sustained operation of nuclear physics accelerators and high power Free Electron Lasers (FEL). Photocathode quantum efficiency (QE) degradation is due to residual gasses in the electron source vacuum system being ionized and accelerated back to the photocathode. These investigations are a first attempt to characterize the nature of the photocathode degradation, and employ multiple surface and bulk analysis techniques to investigate damage mechanisms including sputtering of the Cs-oxidant surface monolayer, other surface chemistry effects, and ion implantation. Surface and bulk analysis studies were conducted on two GaAs photocathodes,more » which were removed from the JLab FEL DC photoemission gun after delivering electron beam, and two control samples. The analysis techniques include Helium Ion Microscopy (HIM), Rutherford Backscattering Spectrometry (RBS), Atomic Force Microscopy (AFM) and Secondary Ion Mass Spectrometry (SIMS). In addition, two high-polarization strained superlattice GaAs photocathode samples, one removed from the Continuous Electron Beam Accelerator Facility (CEBAF) photoinjector and one unused, were also analyzed using Transmission Electron Microscopy (TEM) and SIMS. It was found that heat cleaning the FEL GaAs wafer introduces surface roughness, which seems to be reduced by prolonged use. The bulk GaAs samples retained a fairly well organized crystalline structure after delivering beam but shows evidence of Cs depletion on the surface. Within the precision of the SIMS and RBS measurements the data showed no indication of hydrogen implantation or lattice damage from ion back bombardment in the bulk GaAs wafers. In contrast, SIMS and TEM measurements of the strained superlattice photocathode show clear crystal damage in the wafer from ion back bombardment.« less

  5. Arsine flow requirement for the flow modulation growth of high purity GaAs using adduct-grade triethylgallium

    NASA Astrophysics Data System (ADS)

    Pitts, B. L.; Emerson, D. T.; Shealy, J. R.

    1992-10-01

    Using arsine and triethylgallium with flow modulation, organometallic vapor phase epitaxy can produce high purity GaAs layers with V/III molar ratios near unity. We have estimated that under appropriate growth conditions the arsine incorporation efficiency into epitaxial GaAs can exceed 30%. The arsine flow requirement for obtaining good morphology has been identified over a range of substrate temperatures using adduct-grade triethylgallium. The process described reduces the environmental impact and life safety risk of the hydride based organometallic vapor phase epitaxial method.

  6. Surface ordering of (In,Ga)As quantum dots controlled by GaAs substrate indexes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Zh.M.; Seydmohamadi, Sh.; Lee, J.H.

    Self-organized surface ordering of (In,Ga)As quantum dots in a GaAs matrix was investigated using stacked multiple quantum dot layers prepared by molecular-beam epitaxy. While one-dimensional chain-like ordering is formed on singular and slightly misorientated GaAs(100) surfaces, we report on two-dimensional square-like ordering that appears on GaAs(n11)B, where n is 7, 5, 4, and 3. Using a technique to control surface diffusion, the different ordering patterns are found to result from the competition between anisotropic surface diffusion and anisotropic elastic matrix, a similar mechanism suggested before by Solomon [Appl. Phys. Lett. 84, 2073 (2004)].

  7. Atomic layer deposition and etching methods for far ultraviolet aluminum mirrors

    NASA Astrophysics Data System (ADS)

    Hennessy, John; Moore, Christopher S.; Balasubramanian, Kunjithapatham; Jewell, April D.; Carter, Christian; France, Kevin; Nikzad, Shouleh

    2017-09-01

    High-performance aluminum mirrors at far ultraviolet wavelengths require transparent dielectric materials as protective coatings to prevent oxidation. Reducing the thickness of this protective layer can result in additional performance gains by minimizing absorption losses, and provides a path toward high Al reflectance in the challenging wavelength range of 90 to 110 nm. We have pursued the development of new atomic layer deposition processes (ALD) for the metal fluoride materials of MgF2, AlF3 and LiF. Using anhydrous hydrogen fluoride as a reactant, these films can be deposited at the low temperatures required for large-area surface-finished optics and polymeric diffraction gratings. We also report on the development and application of an atomic layer etching (ALE) procedure to controllably etch native aluminum oxide. Our ALE process utilizes the same chemistry used in the ALD of AlF3 thin films, allowing for a combination of high-performance evaporated Al layers and ultrathin ALD encapsulation without requiring vacuum transfer. Progress in demonstrating the scalability of this approach, as well as the environmental stability of ALD/ALE Al mirrors are discussed in the context of possible future applications for NASA LUVOIR and HabEx mission concepts.

  8. GaAs Monolithic Microwave Subsystem Technology Base

    DTIC Science & Technology

    1980-01-01

    To provide a captive source of reliable, high-quality GaAs substrates, a new crystal growth and substrate preparation facility which utilizes a high...Symp. GaAs and Related Compounds, Inst. Phys. Conf. Ser. 24, 6. 20. Wood, Woodcock and Harris (1978) GaAs and Related Compounds, Inst. Phys. Conf

  9. I-V curve hysteresis induced by gate-free charging of GaAs nanowires' surface oxide

    NASA Astrophysics Data System (ADS)

    Alekseev, P. A.; Geydt, P.; Dunaevskiy, M. S.; Lähderanta, E.; Haggrén, T.; Kakko, J.-P.; Lipsanen, H.

    2017-09-01

    The control of nanowire-based device performance requires knowledge about the transport of charge carriers and its limiting factors. We present the experimental and modeled results of a study of electrical properties of GaAs nanowires (NWs), considering their native oxide cover. Measurements of individual vertical NWs were performed by conductive atomic force microscopy (C-AFM). Experimental C-AFM observations with numerical simulations revealed the complex resistive behavior of NWs. A hysteresis of current-voltage characteristics of the p-doped NWs as-grown on substrates with different types of doping was registered. The emergence of hysteresis was explained by the trapping of majority carriers in the surface oxide layer near the reverse-biased barriers under the source-drain current. It was found that the accumulation of charge increases the current for highly doped p+-NWs on n+-substrates, while for moderately doped p-NWs on p+-substrates, charge accumulation decreases the current due to blocking of the conductive channel of NWs.

  10. Deep levels in H-irradiated GaAs1-xNx (x < 0.01) grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Shafi, M.; Mari, R. H.; Khatab, A.; Henini, M.; Polimeni, A.; Capizzi, M.; Hopkinson, M.

    2011-12-01

    Dilute nitride GaAs1-xNx layers have been grown by molecular beam epitaxy with nitrogen concentration ranging from 0.2% to 0.8%. These samples have been studied before and after hydrogen irradiation by using standard deep level transient spectroscopy (DLTS) and high resolution Laplace DLTS techniques. The activation energy, capture cross section and density of the electron traps have been estimated and compared with results obtained in N-free as-grown and H-irradiated bulk GaAs.

  11. Reliably counting atomic planes of few-layer graphene (n > 4).

    PubMed

    Koh, Yee Kan; Bae, Myung-Ho; Cahill, David G; Pop, Eric

    2011-01-25

    We demonstrate a reliable technique for counting atomic planes (n) of few-layer graphene (FLG) on SiO(2)/Si substrates by Raman spectroscopy. Our approach is based on measuring the ratio of the integrated intensity of the G graphene peak and the optical phonon peak of Si, I(G)/I(Si), and is particularly useful in the range n > 4 where few methods exist. We compare our results with atomic force microscopy (AFM) measurements and Fresnel equation calculations. Then, we apply our method to unambiguously identify n of FLG devices on SiO(2) and find that the mobility (μ ≈ 2000 cm(2) V(-1) s(-1)) is independent of layer thickness for n > 4. Our findings suggest that electrical transport in gated FLG devices is dominated by carriers near the FLG/SiO(2) interface and is thus limited by the environment, even for n > 4.

  12. Low temperature plasma enhanced CVD epitaxial growth of silicon on GaAs: a new paradigm for III-V/Si integration

    NASA Astrophysics Data System (ADS)

    Cariou, Romain; Chen, Wanghua; Maurice, Jean-Luc; Yu, Jingwen; Patriarche, Gilles; Mauguin, Olivia; Largeau, Ludovic; Decobert, Jean; Roca I Cabarrocas, Pere

    2016-05-01

    The integration of III-V semiconductors with silicon is a key issue for photonics, microelectronics and photovoltaics. With the standard approach, namely the epitaxial growth of III-V on silicon, thick and complex buffer layers are required to limit the crystalline defects caused by the interface polarity issues, the thermal expansion, and lattice mismatches. To overcome these problems, we have developed a reverse and innovative approach to combine III-V and silicon: the straightforward epitaxial growth of silicon on GaAs at low temperature by plasma enhanced CVD (PECVD). Indeed we show that both GaAs surface cleaning by SiF4 plasma and subsequent epitaxial growth from SiH4/H2 precursors can be achieved at 175 °C. The GaAs native oxide etching is monitored with in-situ spectroscopic ellipsometry and Raman spectroscopy is used to assess the epitaxial silicon quality. We found that SiH4 dilution in hydrogen during deposition controls the layer structure: the epitaxial growth happens for deposition conditions at the transition between the microcrystalline and amorphous growth regimes. SIMS and STEM-HAADF bring evidences for the interface chemical sharpness. Together, TEM and XRD analysis demonstrate that PECVD enables the growth of high quality relaxed single crystal silicon on GaAs.

  13. High-efficiency GaAs and GaInP solar cells grown by all solid-state molecular-beam-epitaxy

    PubMed Central

    2011-01-01

    We report the initial results of GaAs and GaInP solar cells grown by all solid-state molecular-beam-epitaxy (MBE) technique. For GaAs single-junction solar cell, with the application of AlInP as the window layer and GaInP as the back surface field layer, the photovoltaic conversion efficiency of 26% at one sun concentration and air mass 1.5 global (AM1.5G) is realized. The efficiency of 16.4% is also reached for GaInP solar cell. Our results demonstrate that the MBE-grown phosphide-contained III-V compound semiconductor solar cell can be quite comparable to the metal-organic-chemical-vapor-deposition-grown high-efficiency solar cell. PMID:22040124

  14. Electrical and Optical Characterization of Sputtered Silicon Dioxide, Indium Tin Oxide, and Silicon Dioxide/Indium Tin Oxide Antireflection Coating on Single-Junction GaAs Solar Cells

    PubMed Central

    Ho, Wen-Jeng; Lin, Jian-Cheng; Liu, Jheng-Jie; Bai, Wen-Bin; Shiao, Hung-Pin

    2017-01-01

    This study characterized the electrical and optical properties of single-junction GaAs solar cells coated with antireflective layers of silicon dioxide (SiO2), indium tin oxide (ITO), and a hybrid layer of SiO2/ITO applied using Radio frequency (RF) sputtering. The conductivity and transparency of the ITO film were characterized prior to application on GaAs cells. Reverse saturation-current and ideality factor were used to evaluate the passivation performance of the various coatings on GaAs solar cells. Optical reflectance and external quantum efficiency response were used to evaluate the antireflective performance of the coatings. Photovoltaic current-voltage measurements were used to confirm the efficiency enhancement obtained by the presence of the anti-reflective coatings. The conversion efficiency of the GaAs cells with an ITO antireflective coating (23.52%) exceeded that of cells with a SiO2 antireflective coating (21.92%). Due to lower series resistance and higher short-circuit current-density, the carrier collection of the GaAs cell with ITO coating exceeded that of the cell with a SiO2/ITO coating. PMID:28773063

  15. Atomic Layer-by-Layer Deposition of Pt on Pd Nanocubes for Catalysts with Enhanced Activity and Durability toward Oxygen Reduction

    DOE PAGES

    Xie, Shuifen; Choi, Sang -Il; Lu, Ning; ...

    2014-05-05

    Here, an effective strategy for reducing the Pt content while retaining the activity of a Pt-based catalyst is to deposit the Pt atoms as ultrathin skins of only a few atomic layers thick on nanoscale substrates made of another metal. During deposition, however, the Pt atoms often take an island growth mode because of a strong bonding between Pt atoms. Here we report a versatile route to the conformal deposition of Pt as uniform, ultrathin shells on Pd nanocubes in a solution phase. The introduction of the Pt precursor at a relatively slow rate and high temperature allowed the depositedmore » Pt atoms to spread across the entire surface of a Pd nanocube to generate a uniform shell. The thickness of the Pt shell could be controlled from one to six atomic layers by varying the amount of Pt precursor added into the system. Compared to a commercial Pt/C catalyst, the Pd@Pt nL (n = 1–6) core–shell nanocubes showed enhancements in specific activity and durability toward the oxygen reduction reaction (ORR). Density functional theory (DFT) calculations on model (100) surfaces suggest that the enhancement in specific activity can be attributed to the weakening of OH binding through ligand and strain effects, which, in turn, increases the rate of OH hydrogenation. A volcano-type relationship between the ORR specific activity and the number of Pt atomic layers was derived, in good agreement with the experimental results. Both theoretical and experimental studies indicate that the ORR specific activity was maximized for the catalysts based on Pd@Pt 2–3L nanocubes. Because of the reduction in Pt content used and the enhancement in specific activity, the Pd@Pt 1L nanocubes showed a Pt mass activity with almost three-fold enhancement relative to the Pt/C catalyst.« less

  16. Self-limited growth of Si on B atomic-layer formed Ge(1 0 0) by ultraclean low-pressure CVD system

    NASA Astrophysics Data System (ADS)

    Yokogawa, Takashi; Ishibashi, Kiyohisa; Sakuraba, Masao; Murota, Junichi; Inokuchi, Yasuhiro; Kunii, Yasuo; Kurokawa, Harushige

    2008-07-01

    Utilizing BCl 3 reaction on Ge(1 0 0) and subsequent Si epitaxial growth by SiH 4 reaction at 300 °C, B atomic-layer doping in Si/Ge(1 0 0) heterostructure was investigated. Cl atoms on the B atomic-layer formed Ge(1 0 0) scarcely affect upon the SiH 4 reaction. It is also found that Si atom amount deposited by SiH 4 reaction on Ge(1 0 0) is effectively enhanced by the existence of B atomic layer and the deposition rate tends to decrease at around 2-3 atomic layers which is three times larger than that in the case without B. The results of angle-resolved X-ray photoelectron spectroscopy show that most B atoms are incorporated at the heterointerface between the Si and Ge.

  17. Determination of atomic vacancies in InAs/GaSb strained-layer superlattices by atomic strain

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, Honggyu; Meng, Yifei; Kwon, Ji-Hwan

    Determining vacancy in complex crystals or nanostructures represents an outstanding crystallographic problem that has a large impact on technology, especially for semiconductors, where vacancies introduce defect levels and modify the electronic structure. However, vacancy is hard to locate and its structure is difficult to probe experimentally. Reported here are atomic vacancies in the InAs/GaSb strained-layer superlattice (SLS) determined by atomic-resolution strain mapping at picometre precision. It is shown that cation and anion vacancies in the InAs/GaSb SLS give rise to local lattice relaxations, especially the nearest atoms, which can be detected using a statistical method and confirmed by simulation. Themore » ability to map vacancy defect-induced strain and identify its location represents significant progress in the study of vacancy defects in compound semiconductors.« less

  18. Determination of atomic vacancies in InAs/GaSb strained-layer superlattices by atomic strain

    DOE PAGES

    Kim, Honggyu; Meng, Yifei; Kwon, Ji-Hwan; ...

    2018-01-01

    Determining vacancy in complex crystals or nanostructures represents an outstanding crystallographic problem that has a large impact on technology, especially for semiconductors, where vacancies introduce defect levels and modify the electronic structure. However, vacancy is hard to locate and its structure is difficult to probe experimentally. Reported here are atomic vacancies in the InAs/GaSb strained-layer superlattice (SLS) determined by atomic-resolution strain mapping at picometre precision. It is shown that cation and anion vacancies in the InAs/GaSb SLS give rise to local lattice relaxations, especially the nearest atoms, which can be detected using a statistical method and confirmed by simulation. Themore » ability to map vacancy defect-induced strain and identify its location represents significant progress in the study of vacancy defects in compound semiconductors.« less

  19. GaSb and GaSb/AlSb Superlattice Buffer Layers for High-Quality Photodiodes Grown on Commercial GaAs and Si Substrates

    NASA Astrophysics Data System (ADS)

    Gutiérrez, M.; Lloret, F.; Jurczak, P.; Wu, J.; Liu, H. Y.; Araújo, D.

    2018-05-01

    The objective of this work is the integration of InGaAs/GaSb/GaAs heterostructures, with high indium content, on GaAs and Si commercial wafers. The design of an interfacial misfit dislocation array, either on GaAs or Si substrates, allowed growth of strain-free devices. The growth of purposely designed superlattices with their active region free of extended defects on both GaAs and Si substrates is demonstrated. Transmission electron microscopy technique is used for the structural characterization and plastic relaxation study. In the first case, on GaAs substrates, the presence of dopants was demonstrated to reduce several times the threading dislocation density through a strain-hardening mechanism avoiding dislocation interactions, while in the second case, on Si substrates, similar reduction of dislocation interactions is obtained using an AlSb/GaSb superlattice. The latter is shown to redistribute spatially the interfacial misfit dislocation array to reduce dislocation interactions.

  20. Space qualification of IR-reflecting coverslides for GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Meulenberg, Andrew

    1995-01-01

    Improvements to GaAs solar array performance, from the use on solar cell coverslides of several reflecting coatings that reject unusable portions of the solar spectrum, are quantified. Blue-red-rejection (BRR) coverslides provide both infrared reflection (IRR) and ultraviolet rejection (UVR). BRR coverslides were compared to conventional antireflection (AR) and ultraviolet (UV) coated coverslides. A 2% improvement in peak-power output, relative to that from Ar-coated coverslides, is seen for cells utilizing BRR coverslides with the widest bandpass. Coverslide BRR-filter bandpass width and covered-solar-cell short-circuit current is a function of incident light angle and the observed narrower-bandpass filters are more sensitive to change in angle from the normal than are wide-bandpass filters. The first long-term (3000 hours) UV testing of unirradiated and 1 MeV electron-irradiated GaAs solar cells, with multilayer-coated coverslides to reduce solar array operating temperature, has indicated that all multilayer coatings on coverslides and solar cells will experience degradation from the space environment (UV and/or electrons). Five types of coverslide coatings, designed for GaAs solar cells, were tested as part of a NASA-sponsored space-flight qualification for BRR, multi-layer-coated, coverslides. The reponse to the different radiations varied with the coatings. The extent of degradation and its consequences on the solar cell electrical characteristics depend upon the coatings and the radiation. In some cases, an improved optical coupling was observed during long-term UV exposure to the optical stack. The benefits of multi-layered solar cell optics may depend upon both the duration and the radiation environment of a mission.

  1. ZnO Films on {001}-Cut <110>-Propagating GaAs Substrates for Surface Acoustic Wave Device Applications

    NASA Technical Reports Server (NTRS)

    Kim, Yoonkee; Hunt, William D.; Hickernell, Frederick S.; Higgins, Robert J.; Jen, Cheng-Kuei

    1995-01-01

    A potential application for piezoelectric films on GaAs substrates is the monolithic integration of surface acoustic wave (SAW) devices with GaAs electronics. Knowledge of the SAW properties of the layered structure is critical for the optimum and accurate design of such devices. The acoustic properties of ZnO films sputtered on {001}-cut <110> -propagating GaAs substrates are investigated in this article, including SAW Velocity effective piezoelectric coupling constant, propagation loss. diffraction, velocity surface, and reflectivity of shorted and open metallic gratings. The measurements of these essential SAW properties for the frequency range between 180 and 360 MHz have been performed using a knife-edge laser probe for film thicknesses over the range of 1.6-4 micron and with films or different grain sizes. The high quality of dc triode sputtered films was observed as evidenced by high K(exp 2) and low attenuation. The measurements of the velocity surface, which directly affects the SAW diffraction, on the bare and metalized ZnO on SiO2, or Si3N4 on {001}-cut GaAs samples are reported using two different techniques: 1) knife-edge laser probe, 2) line-focus-beam scanning acoustic microscope. It was found that near the <110> propagation direction, the focusing SAW property of the bare GaAs changes into a nonfocusing one for the layered structure, but a reversed phenomenon exists near the <100> direction. Furthermore, to some extent the diffraction of the substrate can be controlled with the film thickness. The reflectivity of shorted and open gratings are also analyzed and measured. Zero reflectivity is observed for a shorted grating. There is good agreement between the measured data and theoretical values.

  2. Low-temperature photoluminescence study of thin epitaxial GaAs films on Ge substrates

    NASA Astrophysics Data System (ADS)

    Brammertz, Guy; Mols, Yves; Degroote, Stefan; Motsnyi, Vasyl; Leys, Maarten; Borghs, Gustaaf; Caymax, Matty

    2006-05-01

    Thin epitaxial GaAs films, with thickness varying from 140 to 1000 nm and different Si doping levels, were grown at 650 °C by organometallic vapor phase epitaxy on Ge substrates and analyzed by low-temperature photoluminescence (PL) spectroscopy. All spectra of thin GaAs on Ge show two different structures, one narrow band-to-band (B2B) structure at an energy of ~1.5 eV and a broad inner-band-gap (IB) structure at an energy of ~1.1 eV. Small strain in the thin GaAs films causes the B2B structure to be separated into a light-hole and a heavy-hole peak. At 2.5 K the good structural quality of the thin GaAs films on Ge can be observed from the narrow excitonic peaks. Peak widths of less than 1 meV are measured. GaAs films with thickness smaller than 200 nm show B2B PL spectra with characteristics of an n-type doping level of approximately 1018 at./cm3. This is caused by heavy Ge diffusion from the substrate into the GaAs at the heterointerface between the two materials. The IB structure observed in all films consists of two Gaussian peaks with energies of 1.04 and 1.17 eV. These deep trapping states arise from Ge-based complexes formed within the GaAs at the Ge-GaAs heterointerface, due to strong diffusion of Ge atoms into the GaAs. Because of similarities with Si-based complexes, the peak at 1.04 eV was identified to be due to a GeGa-GeAs complex, whereas the peak at 1.17 eV was attributed to the GeGa-VGa complex. The intensity of the IB structure decreases strongly as the GaAs film thickness is increased. PL intensity of undoped GaAs films containing antiphase domains (APDs) is four orders of magnitude lower than for similar films without APDs. This reduction in intensity is due to the electrically active Ga-Ga and As-As bonds at the boundaries between the different APDs. When the Si doping level is increased, the PL intensity of the APD-containing films is increased again as well. A film containing APDs with a Si doping level of ~1018 at./cm3 has only a factor 10

  3. Effect of Elastic Strain Fluctuation on Atomic Layer Growth of Epitaxial Silicide in Si Nanowires by Point Contact Reactions.

    PubMed

    Chou, Yi-Chia; Tang, Wei; Chiou, Chien-Jyun; Chen, Kai; Minor, Andrew M; Tu, K N

    2015-06-10

    Effects of strain impact a range of applications involving mobility change in field-effect-transistors. We report the effect of strain fluctuation on epitaxial growth of NiSi2 in a Si nanowire via point contact and atomic layer reactions, and we discuss the thermodynamic, kinetic, and mechanical implications. The generation and relaxation of strain shown by in situ TEM is periodic and in synchronization with the atomic layer reaction. The Si lattice at the epitaxial interface is under tensile strain, which enables a high solubility of supersaturated interstitial Ni atoms for homogeneous nucleation of an epitaxial atomic layer of the disilicide phase. The tensile strain is reduced locally during the incubation period of nucleation by the dissolution of supersaturated Ni atoms in the Si lattice but the strained-Si state returns once the atomic layer epitaxial growth of NiSi2 occurs by consuming the supersaturated Ni.

  4. Insertion of Ag atoms into layered MoO{sub 3} via a template route

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shao, Ke, E-mail: shaoke@szu.edu.cn; Wang, Hao

    2012-11-15

    Graphical abstract: PVP–Ag{sup +} complex self-assembled with inorganic (Mo{sub x}O{sub y}){sub ∞}{sup n−} chains into a layered hybrid, in which the PVP–Ag complex was intercalated between the (Mo{sub x}O{sub y}){sub ∞}{sup n−} layers. Calcinations of this hybrid at 500 °C lead to formation of Ag/MoO{sub 3} nanohybrid. By this method we have successfully inserted Ag atoms into the semiconductor MoO{sub 3} lattice. Display Omitted Highlights: ► We fabricated a PVP–Ag/polyoxomolybdate layered hybrid via in situ self-assembly. ► The PVP–Ag complex has been inserted between the molybdenum oxide layers. ► This layered hybrid transformed into Ag/MoO{sub 3} nanocomposite after calcinations. ►more » HR-TEM images show that Ag atoms of about 1 nm have been inserted in the MoO{sub 3} layers. -- Abstract: We report insertion of Ag atoms into layered MoO{sub 3} via an in situ template route. PVP–Ag{sup +} complex self-assembled with inorganic (Mo{sub x}O{sub y}){sub ∞}{sup n−} chains into a layered hybrid, in which the PVP–Ag complex was intercalated between the (Mo{sub x}O{sub y}){sub ∞}{sup n−} layers. Calcinations of this hybrid at 500 °C lead to formation of Ag/MoO{sub 3} hybrid, in which Ag nanoparticles of about 1 nm have been inserted between the MoO{sub 3} layers. By this method pillared MoO{sub 3} has been obtained very easily. We believe that this research opens new routes to fabricate novel intercalation compounds and metal/semiconductor nanohybrids via an efficient and green route.« less

  5. NREL's Advanced Atomic Layer Deposition Enables Lithium-Ion Battery

    Science.gov Websites

    Battery Technology News Release: NREL's Advanced Atomic Layer Deposition Enables Lithium-Ion Battery increasingly demanding needs of any battery application. These lithium-ion batteries feature a hybrid solid further customized lithium-ion battery materials for high performance devices by utilizing our patented

  6. Compositional modulated atomic layer stacking and uniaxial magnetocrystalline anisotropy of CoPt alloy sputtered films with close-packed plane orientation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Saito, Shin, E-mail: ssaito@ecei.tohoku.ac.jp; Nozawa, Naoki; Hinata, Shintaro

    An atomic layer stacking structure in hexagonal close packed (hcp) Co{sub 100−x}Pt{sub x} alloy films with c-plane sheet texture was directly observed by a high-angle annular dark-field imaging scanning transmission electron microscopy. The analysis of sequential and/or compositional atomic layer stacking structure and uniaxial magnetocrystalline anisotropy (K{sub u} = K{sub u1} + K{sub u2}) revealed that (1) integrated intensity of the superlattice diffraction takes the maximum at x = 20 at. % and shows broadening feature against x for the film fabricated under the substrate temperature (T{sub sub}) of 400 °C. (2) Compositional separation structure in atomic layers is formed for the films fabricated under T{sub sub} = 400 °C.more » A sequential alternative stacking of atomic layers with different compositions is hardly formed in the film with x = 50 at. %, whereas easily formed in the film with x = 20 at. %. This peculiar atomic layer stacking structure consists of in-plane-disordered Pt-rich and Pt-poor layers, which is completely different from the so-called atomic site ordered structure. (3) A face centered cubic atomic layer stacking as faults appeared in the host hcp atomic layer stacking exists in accompanies with irregularities for the periodicity of the compositional modulation atomic layers. (4) K{sub u1} takes the maximum of 1.4 × 10{sup 7 }erg/cm{sup 3} at around x = 20 at. %, whereas K{sub u2} takes the maximum of 0.7 × 10{sup 7 }erg/cm{sup 3} at around x = 40 at. %, which results in the maximum of 1.8 × 10{sup 7 }erg/cm{sup 3} of K{sub u} at x = 30 at. % and a shoulder in compositional dependence of K{sub u} in the range of x = 30–60 at. %. Not only compositional separation of atomic layers but also sequential alternative stacking of different compositional layers is quite important to improve essential uniaxial magnetocrystalline anisotropy.« less

  7. Atomic scale imaging of competing polar states in a Ruddlesden-Popper layered oxide.

    PubMed

    Stone, Greg; Ophus, Colin; Birol, Turan; Ciston, Jim; Lee, Che-Hui; Wang, Ke; Fennie, Craig J; Schlom, Darrell G; Alem, Nasim; Gopalan, Venkatraman

    2016-08-31

    Layered complex oxides offer an unusually rich materials platform for emergent phenomena through many built-in design knobs such as varied topologies, chemical ordering schemes and geometric tuning of the structure. A multitude of polar phases are predicted to compete in Ruddlesden-Popper (RP), An+1BnO3n+1, thin films by tuning layer dimension (n) and strain; however, direct atomic-scale evidence for such competing states is currently absent. Using aberration-corrected scanning transmission electron microscopy with sub-Ångstrom resolution in Srn+1TinO3n+1 thin films, we demonstrate the coexistence of antiferroelectric, ferroelectric and new ordered and low-symmetry phases. We also directly image the atomic rumpling of the rock salt layer, a critical feature in RP structures that is responsible for the competing phases; exceptional quantitative agreement between electron microscopy and density functional theory is demonstrated. The study shows that layered topologies can enable multifunctionality through highly competitive phases exhibiting diverse phenomena in a single structure.

  8. Atomic scale imaging of competing polar states in a Ruddlesden–Popper layered oxide

    PubMed Central

    Stone, Greg; Ophus, Colin; Birol, Turan; Ciston, Jim; Lee, Che-Hui; Wang, Ke; Fennie, Craig J.; Schlom, Darrell G.; Alem, Nasim; Gopalan, Venkatraman

    2016-01-01

    Layered complex oxides offer an unusually rich materials platform for emergent phenomena through many built-in design knobs such as varied topologies, chemical ordering schemes and geometric tuning of the structure. A multitude of polar phases are predicted to compete in Ruddlesden–Popper (RP), An+1BnO3n+1, thin films by tuning layer dimension (n) and strain; however, direct atomic-scale evidence for such competing states is currently absent. Using aberration-corrected scanning transmission electron microscopy with sub-Ångstrom resolution in Srn+1TinO3n+1 thin films, we demonstrate the coexistence of antiferroelectric, ferroelectric and new ordered and low-symmetry phases. We also directly image the atomic rumpling of the rock salt layer, a critical feature in RP structures that is responsible for the competing phases; exceptional quantitative agreement between electron microscopy and density functional theory is demonstrated. The study shows that layered topologies can enable multifunctionality through highly competitive phases exhibiting diverse phenomena in a single structure. PMID:27578622

  9. Atomic scale imaging of competing polar states in a Ruddlesden-Popper layered oxide

    NASA Astrophysics Data System (ADS)

    Stone, Greg; Ophus, Colin; Birol, Turan; Ciston, Jim; Lee, Che-Hui; Wang, Ke; Fennie, Craig J.; Schlom, Darrell G.; Alem, Nasim; Gopalan, Venkatraman

    2016-08-01

    Layered complex oxides offer an unusually rich materials platform for emergent phenomena through many built-in design knobs such as varied topologies, chemical ordering schemes and geometric tuning of the structure. A multitude of polar phases are predicted to compete in Ruddlesden-Popper (RP), An+1BnO3n+1, thin films by tuning layer dimension (n) and strain; however, direct atomic-scale evidence for such competing states is currently absent. Using aberration-corrected scanning transmission electron microscopy with sub-Ångstrom resolution in Srn+1TinO3n+1 thin films, we demonstrate the coexistence of antiferroelectric, ferroelectric and new ordered and low-symmetry phases. We also directly image the atomic rumpling of the rock salt layer, a critical feature in RP structures that is responsible for the competing phases; exceptional quantitative agreement between electron microscopy and density functional theory is demonstrated. The study shows that layered topologies can enable multifunctionality through highly competitive phases exhibiting diverse phenomena in a single structure.

  10. Temperature effect on the coupling between coherent longitudinal phonons and plasmons in n -type and p -type GaAs

    NASA Astrophysics Data System (ADS)

    Hu, Jianbo; Zhang, Hang; Sun, Yi; Misochko, Oleg V.; Nakamura, Kazutaka G.

    2018-04-01

    The coupling between longitudinal optical (LO) phonons and plasmons plays a fundamental role in determining the performance of doped semiconductor devices. In this work, we report a comparative investigation into the dependence of the coupling on temperature and doping in n - and p -type GaAs by using ultrafast coherent phonon spectroscopy. A suppression of coherent oscillations has been observed in p -type GaAs at lower temperature, strikingly different from n -type GaAs and other materials in which coherent oscillations are strongly enhanced by cooling. We attribute this unexpected observation to a cooling-induced elongation of the depth of the depletion layer which effectively increases the screening time of the surface field due to a slow diffusion of photoexcited carriers in p -type GaAs. Such an increase breaks the requirement for the generation of coherent LO phonons and, in turn, LO phonon-plasmon coupled modes because of their delayed formation in time.

  11. Preface: Special Topic on Atomic and Molecular Layer Processing: Deposition, Patterning, and Etching

    NASA Astrophysics Data System (ADS)

    Engstrom, James R.; Kummel, Andrew C.

    2017-02-01

    Thin film processing technologies that promise atomic and molecular scale control have received increasing interest in the past several years, as traditional methods for fabrication begin to reach their fundamental limits. Many of these technologies involve at their heart phenomena occurring at or near surfaces, including adsorption, gas-surface reactions, diffusion, desorption, and re-organization of near-surface layers. Moreover many of these phenomena involve not just reactions occurring under conditions of local thermodynamic equilibrium but also the action of energetic species including electrons, ions, and hyperthermal neutrals. There is a rich landscape of atomic and molecular scale interactions occurring in these systems that is still not well understood. In this Special Topic Issue of The Journal of Chemical Physics, we have collected recent representative examples of work that is directed at unraveling the mechanistic details concerning atomic and molecular layer processing, which will provide an important framework from which these fields can continue to develop. These studies range from the application of theory and computation to these systems to the use of powerful experimental probes, such as X-ray synchrotron radiation, probe microscopies, and photoelectron and infrared spectroscopies. The work presented here helps in identifying some of the major challenges and direct future activities in this exciting area of research involving atomic and molecular layer manipulation and fabrication.

  12. Preface: Special Topic on Atomic and Molecular Layer Processing: Deposition, Patterning, and Etching.

    PubMed

    Engstrom, James R; Kummel, Andrew C

    2017-02-07

    Thin film processing technologies that promise atomic and molecular scale control have received increasing interest in the past several years, as traditional methods for fabrication begin to reach their fundamental limits. Many of these technologies involve at their heart phenomena occurring at or near surfaces, including adsorption, gas-surface reactions, diffusion, desorption, and re-organization of near-surface layers. Moreover many of these phenomena involve not just reactions occurring under conditions of local thermodynamic equilibrium but also the action of energetic species including electrons, ions, and hyperthermal neutrals. There is a rich landscape of atomic and molecular scale interactions occurring in these systems that is still not well understood. In this Special Topic Issue of The Journal of Chemical Physics, we have collected recent representative examples of work that is directed at unraveling the mechanistic details concerning atomic and molecular layer processing, which will provide an important framework from which these fields can continue to develop. These studies range from the application of theory and computation to these systems to the use of powerful experimental probes, such as X-ray synchrotron radiation, probe microscopies, and photoelectron and infrared spectroscopies. The work presented here helps in identifying some of the major challenges and direct future activities in this exciting area of research involving atomic and molecular layer manipulation and fabrication.

  13. Atomic layer MoS2-graphene van der Waals heterostructure nanomechanical resonators.

    PubMed

    Ye, Fan; Lee, Jaesung; Feng, Philip X-L

    2017-11-30

    Heterostructures play significant roles in modern semiconductor devices and micro/nanosystems in a plethora of applications in electronics, optoelectronics, and transducers. While state-of-the-art heterostructures often involve stacks of crystalline epi-layers each down to a few nanometers thick, the intriguing limit would be hetero-atomic-layer structures. Here we report the first experimental demonstration of freestanding van der Waals heterostructures and their functional nanomechanical devices. By stacking single-layer (1L) MoS 2 on top of suspended single-, bi-, tri- and four-layer (1L to 4L) graphene sheets, we realize an array of MoS 2 -graphene heterostructures with varying thickness and size. These heterostructures all exhibit robust nanomechanical resonances in the very high frequency (VHF) band (up to ∼100 MHz). We observe that fundamental-mode resonance frequencies of the heterostructure devices fall between the values of graphene and MoS 2 devices. Quality (Q) factors of heterostructure resonators are lower than those of graphene but comparable to those of MoS 2 devices, suggesting interface damping related to interlayer interactions in the van der Waals heterostructures. This study validates suspended atomic layer heterostructures as an effective device platform and provides opportunities for exploiting mechanically coupled effects and interlayer interactions in such devices.

  14. Partially oxidized atomic cobalt layers for carbon dioxide electroreduction to liquid fuel.

    PubMed

    Gao, Shan; Lin, Yue; Jiao, Xingchen; Sun, Yongfu; Luo, Qiquan; Zhang, Wenhua; Li, Dianqi; Yang, Jinlong; Xie, Yi

    2016-01-07

    Electroreduction of CO2 into useful fuels, especially if driven by renewable energy, represents a potentially 'clean' strategy for replacing fossil feedstocks and dealing with increasing CO2 emissions and their adverse effects on climate. The critical bottleneck lies in activating CO2 into the CO2(•-) radical anion or other intermediates that can be converted further, as the activation usually requires impractically high overpotentials. Recently, electrocatalysts based on oxide-derived metal nanostructures have been shown to enable CO2 reduction at low overpotentials. However, it remains unclear how the electrocatalytic activity of these metals is influenced by their native oxides, mainly because microstructural features such as interfaces and defects influence CO2 reduction activity yet are difficult to control. To evaluate the role of the two different catalytic sites, here we fabricate two kinds of four-atom-thick layers: pure cobalt metal, and co-existing domains of cobalt metal and cobalt oxide. Cobalt mainly produces formate (HCOO(-)) during CO2 electroreduction; we find that surface cobalt atoms of the atomically thin layers have higher intrinsic activity and selectivity towards formate production, at lower overpotentials, than do surface cobalt atoms on bulk samples. Partial oxidation of the atomic layers further increases their intrinsic activity, allowing us to realize stable current densities of about 10 milliamperes per square centimetre over 40 hours, with approximately 90 per cent formate selectivity at an overpotential of only 0.24 volts, which outperforms previously reported metal or metal oxide electrodes evaluated under comparable conditions. The correct morphology and oxidation state can thus transform a material from one considered nearly non-catalytic for the CO2 electroreduction reaction into an active catalyst. These findings point to new opportunities for manipulating and improving the CO2 electroreduction properties of metal systems

  15. A graphene/single GaAs nanowire Schottky junction photovoltaic device.

    PubMed

    Luo, Yanbin; Yan, Xin; Zhang, Jinnan; Li, Bang; Wu, Yao; Lu, Qichao; Jin, Chenxiaoshuai; Zhang, Xia; Ren, Xiaomin

    2018-05-17

    A graphene/nanowire Schottky junction is a promising structure for low-cost high-performance optoelectronic devices. Here we demonstrate a graphene/single GaAs nanowire Schottky junction photovoltaic device. The Schottky junction is fabricated by covering a single layer graphene onto an n-doped GaAs nanowire. Under 532 nm laser excitation, the device exhibits a high responsivity of 231 mA W-1 and a short response/recover time of 85/118 μs at zero bias. Under AM 1.5 G solar illumination, the device has an open-circuit voltage of 75.0 mV and a short-circuit current density of 425 mA cm-2, yielding a remarkable conversion efficiency of 8.8%. The excellent photovoltaic performance of the device is attributed to the strong built-in electric field in the Schottky junction as well as the transparent property of graphene. The device is promising for self-powered high-speed photodetectors and low-cost high-efficiency solar cells.

  16. High-reliability GaAs image intensifier with unfilmed microchannel plate

    NASA Astrophysics Data System (ADS)

    Bender, Edward J.; Estrera, Joseph P.; Ford, C. E.; Giordana, A.; Glesener, John W.; Lin, P. P.; Nico, A. J.; Sinor, Timothy W.; Smithson, R. H.

    1999-07-01

    Current GaAs image intensifier technology requires that the microchannel plate (MCP) have a thin dielectric film on the side facing the photocathode. This protective coating substantially reduces the amount of outgassing of ions and neutral species from the microchannels. The prevention of MCP outgassing is necessary in order to prevent the `poisoning' of the Cs:O surface on the GaAs photocathode. Many authors have experimented with omitting the MCP coating. The results of such experiments invariably lead to an intensifier with a reported useful life of less than 100 hours, due to contamination of the Cs:O layer on the photocathode. Unfortunately, the MCP film is also a barrier to electron transport within the intensifier. Substantial enhancement of the image intensifier operating parameters is the motivation for the removal of the MCP film. This paper presents results showing for the first time that it is possible to fabricate a long lifetime image intensifier with a single uncoated MCP.

  17. Enhanced electrical properties of dual-layer channel ZnO thin film transistors prepared by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Li, Huijin; Han, Dedong; Dong, Junchen; Yu, Wen; Liang, Yi; Luo, Zhen; Zhang, Shengdong; Zhang, Xing; Wang, Yi

    2018-05-01

    The thin film transistors (TFTs) with a dual-layer channel structure combing ZnO thin layer grown at 200 °C and ZnO film grown at 120 °C by atomic layer deposition are fabricated. The dual-layer channel TFT exhibits a low leakage current of 2.8 × 10-13 A, Ion/Ioff ratio of 3.4 × 109, saturation mobility μsat of 12 cm2 V-1 s-1, subthreshold swing (SS) of 0.25 V/decade. The SS value decreases to 0.18 V/decade after the annealing treatment in O2 due to the reduction of the trap states at the channel/dielectric interface and in the bulk channel layer. The enhanced performance obtained from the dual-layer channel TFTs is due to the ability of maintaining high mobility and suppressing the increase in the off-current at the same time.

  18. Phosphine Functionalization GaAs(111)A Surfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Traub, M.; Biteen, J; Michalak, D

    Phosphorus-functionalized GaAs surfaces have been prepared by exposure of Cl-terminated GaAs(111)A surfaces to triethylphosphine (PEt3) or trichlorophosphine (PCl3), or by the direct functionalization of the native-oxide terminated GaAs(111)A surface with PCl3. The presence of phosphorus on each functionalized surface was confirmed by X-ray photoelectron spectroscopy. High-resolution, soft X-ray photoelectron spectroscopy was used to evaluate the As and Ga 3d regions of such surfaces. On PEt3 treated surfaces, the Ga 3d spectra exhibited a bulk Ga peak as well as peaks that were shifted to 0.35, 0.92 and 1.86 eV higher binding energy. These peaks were assigned to residual Cl-terminated Gamore » surface sites, surficial Ga2O and surficial Ga2O3, respectively. For PCl3-treated surfaces, the Ga 3d spectra displayed peaks ascribable to bulk Ga(As), Ga2O, and Ga2O3, as well as a peak shifted 0.30 eV to higher binding energy relative to the bulk signal. A peak corresponding to Ga(OH)3, observed on the Cl-terminated surface, was absent from all of the phosphine-functionalized surfaces. After reaction of the Cl-terminated GaAs(111)A surface with PCl3 or PEt3, the As 3d spectral region was free of As oxides and As0. Although native oxide-terminated GaAs surfaces were free of As oxides after reaction with PCl3, such surfaces contained detectable amounts of As0. Photoluminescence measurements indicted that phosphine-functionalized surfaces prepared from Cl-terminated GaAs(111)A surfaces had better electrical properties than the native-oxide capped GaAs(111)A surface, while the native-oxide covered surface treated with PCl3 showed no enhancement in PL intensity.« less

  19. Quantum Dots obtained by LPE from under-saturated In-As liquid phases on GaAs substrates

    NASA Astrophysics Data System (ADS)

    Ortiz, F. E.; Mishurnyi, V.; Gorbatchev, A.; De Anda, F.; Prutskij, T.

    2011-01-01

    In this work we inform about quantum dots (QD) obtained by Liquid Phase Epitaxy (LPE) on GaAs substrates from under-saturated In-As liquid phases. In our processes, we have prepared saturated In-rich liquid phases by dissolving an InAs wafer at one of the temperatures interval from 450 to 414 C for 60 minutes. The contact between In-As liquid phase and the GaAs substrate was always done at a constant temperature of 444 C for 5 seconds. Thus, the growth temperature for most of the samples was higher than the liquidus temperature. We think that the growth driving force is related to a transient process that occurs when the system is trying to reach equilibrium. Under the atom force microscope (AFM) we have observed nano-islands on the surfaces of the samples obtained from under-saturated liquid phases prepared at 438, 432 and 426 C. The 25 K photoluminescence spectrum shows a peak at a 1.33 eV, in addition to the GaAs related line.

  20. GaAs VLSI technology and circuit elements for DSP

    NASA Astrophysics Data System (ADS)

    Mikkelson, James M.

    1990-10-01

    Recent progress in digital GaAs circuit performance and complexity is presented to demonstrate the current capabilities of GaAs components. High density GaAs process technology and circuit design techniques are described and critical issues for achieving favorable complexity speed power and cost tradeoffs are reviewed. Some DSP building blocks are described to provide examples of what types of DSP systems could be implemented with present GaAs technology. DIGITAL GaAs CIRCUIT CAPABILITIES In the past few years the capabilities of digital GaAs circuits have dramatically increased to the VLSI level. Major gains in circuit complexity and power-delay products have been achieved by the use of silicon-like process technologies and simple circuit topologies. The very high speed and low power consumption of digital GaAs VLSI circuits have made GaAs a desirable alternative to high performance silicon in hardware intensive high speed system applications. An example of the performance and integration complexity available with GaAs VLSI circuits is the 64x64 crosspoint switch shown in figure 1. This switch which is the most complex GaAs circuit currently available is designed on a 30 gate GaAs gate array. It operates at 200 MHz and dissipates only 8 watts of power. The reasons for increasing the level of integration of GaAs circuits are similar to the reasons for the continued increase of silicon circuit complexity. The market factors driving GaAs VLSI are system design methodology system cost power and reliability. System designers are hesitant or unwilling to go backwards to previous design techniques and lower levels of integration. A more highly integrated system in a lower performance technology can often approach the performance of a system in a higher performance technology at a lower level of integration. Higher levels of integration also lower the system component count which reduces the system cost size and power consumption while improving the system reliability

  1. An observation of direct-gap electroluminescence in GaAs structures with Ge quantum wells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aleshkin, V. Ya.; Dikareva, N. V.; Dubinov, A. A., E-mail: sanya@ipm.sci-nnov.ru

    2015-02-15

    A light-emitting diode structure based on GaAs with eight narrow Ge quantum wells is grown by laser sputtering. An electroluminescence line polarized predominately in the plane parallel to the constituent layers of the structure is revealed. The line corresponds to the direct optical transitions in momentum space in the Ge quantum wells.

  2. Effect of intermediate layers on atomic layer deposition-aluminum oxide protected silver mirrors

    NASA Astrophysics Data System (ADS)

    Fryauf, David M.; Diaz Leon, Juan J.; Phillips, Andrew C.; Kobayashi, Nobuhiko P.

    2017-07-01

    This work investigates intermediate materials deposited between silver (Ag) thin-film mirrors and an aluminum oxide (AlOx) barrier overlayer and compares the effects on mirror durability to environmental stresses. Physical vapor deposition of various fluorides, oxides, and nitrides in combination with AlOx by atomic layer deposition (ALD) is used to develop several coating recipes. Ag-AlOx samples with different intermediate materials undergo aggressive high-temperature (80°C), high-humidity (80%) (HTHH) testing for 10 days. Reflectivity of mirror samples is measured before and after HTHH testing, and image processing techniques are used to analyze the specular surface of the samples after HTHH testing. Among the seven intermediate materials used in this work, TiN, MgAl2O4, NiO, and Al2O3 intermediate layers offer more robust protection against chemical corrosion and moisture when compared with samples with no intermediate layer. In addition, results show that the performance of the ALD-AlOx barrier overlayer depends significantly on the ALD-growth process temperature. Because higher durability is observed in samples with less transparent TiN and NiO layers, we propose a figure of merit based on post-HTHH testing reflectivity change and specular reflective mirror surface area remaining after HTHH testing to judge overall barrier performance.

  3. Precision calibration of the silicon doping level in gallium arsenide epitaxial layers

    NASA Astrophysics Data System (ADS)

    Mokhov, D. V.; Berezovskaya, T. N.; Kuzmenkov, A. G.; Maleev, N. A.; Timoshnev, S. N.; Ustinov, V. M.

    2017-10-01

    An approach to precision calibration of the silicon doping level in gallium arsenide epitaxial layers is discussed that is based on studying the dependence of the carrier density in the test GaAs layer on the silicon- source temperature using the Hall-effect and CV profiling techniques. The parameters are measured by standard or certified measuring techniques and approved measuring instruments. It is demonstrated that the use of CV profiling for controlling the carrier density in the test GaAs layer at the thorough optimization of the measuring procedure ensures the highest accuracy and reliability of doping level calibration in the epitaxial layers with a relative error of no larger than 2.5%.

  4. Atomic-scale friction modulated by potential corrugation in multi-layered graphene materials

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhuang, Chunqiang, E-mail: chunqiang.zhuang@bjut.edu.cn; Liu, Lei

    2015-03-21

    Friction is an important issue that has to be carefully treated for the fabrication of graphene-based nano-scale devices. So far, the friction mechanism of graphene materials on the atomic scale has not yet been clearly presented. Here, first-principles calculations were employed to unveil the friction behaviors and their atomic-scale mechanism. We found that potential corrugations on sliding surfaces dominate the friction force and the friction anisotropy of graphene materials. Higher friction forces correspond to larger corrugations of potential energy, which are tuned by the number of graphene layers. The friction anisotropy is determined by the regular distributions of potential energy.more » The sliding along a fold-line path (hollow-atop-hollow) has a relatively small potential energy barrier. Thus, the linear sliding observed in macroscopic friction experiments may probably be attributed to the fold-line sliding mode on the atomic scale. These findings can also be extended to other layer-structure materials, such as molybdenum disulfide (MoS{sub 2}) and graphene-like BN sheets.« less

  5. Three-dimensional lattice rotation in GaAs nanowire growth on hydrogen-silsesquioxane covered GaAs (001) using molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Tran, Dat Q.; Pham, Huyen T.; Higashimine, Koichi; Oshima, Yoshifumi; Akabori, Masashi

    2018-05-01

    We report on crystallographic behaviors of inclined GaAs nanowires (NWs) self-crystallized on GaAs (001) substrate. The NWs were grown on hydrogen-silsesquioxane (HSQ) covered substrates using molecular beam epitaxy (MBE). Commonly, the epitaxial growth of GaAs < 111>B (B-polar) NWs is prominently observed on GaAs (001); however, we yielded a remarkable number of epitaxially grown GaAs < 111>A (A-polar) NWs in addition to the majorly obtained B-polar NWs. Such NW orientations are always accompanied by a typical inclined angle of 35° from (001) plane. NWs with another inclined angle of 74° were additionally observed and attributed to be < 111>-oriented, not in direct epitaxial relation with the substrate. Such 74° NWs' existence is related to first-order three-dimensional (3D) lattice rotation taking place at the very beginning of the growth. It turns out that spatially 60° lattice rotation around < 111> directions at GaAs seeds is essentially in charge of A- and B-polar 74° NWs. Transmission electron microscope observations reveal a high density of twinning in the B-polar NWs and twin-free characteristic in the A-polar NWs.

  6. Atomic scale imaging of competing polar states in a Ruddlesden–Popper layered oxide

    DOE PAGES

    Stone, Greg; Ophus, Colin; Birol, Turan; ...

    2016-08-31

    Layered complex oxides offer an unusually rich materials platform for emergent phenomena through many built-in design knobs such as varied topologies, chemical ordering schemes and geometric tuning of the structure. A multitude of polar phases are predicted to compete in Ruddlesden-Popper (RP), A n+1 B n O 3n+1 , thin films by tuning layer dimension (n) and strain; however, direct atomic-scale evidence for such competing states is currently absent. Using aberration-corrected scanning transmission electron microscopy with sub-Ångstrom resolution in Sr n+1 Ti n O 3n+1 thin films, we demonstrate the coexistence of antiferroelectric, ferroelectric and new ordered and low-symmetry phases.more » We also directly image the atomic rumpling of the rock salt layer, a critical feature in RP structures that is responsible for the competing phases; exceptional quantitative agreement between electron microscopy and density functional theory is demonstrated. The study shows that layered topologies can enable multifunctionality through highly competitive phases exhibiting diverse phenomena in a single structure.« less

  7. Dopant diffusion and segregation in semiconductor heterostructures: Part III, diffusion of Si into GaAs

    NASA Astrophysics Data System (ADS)

    Chen, C.-H.; Gösele, U. M.; Tan, T. Y.

    We have mentioned previously that in the third part of the present series of papers, a variety of n-doping associated phenomena will be treated. Instead, we have decided that this paper, in which the subject treated is diffusion of Si into GaAs, shall be the third paper of the series. This choice is arrived at because this subject is a most relevent heterostructure problem, and also because of space and timing considerations. The main n-type dopant Si in GaAs is amphoteric which may be incorporated as shallow donor species SiGa+ and as shallow acceptor species SiAs-. The solubility of SiAs- is much lower than that of SiGa+ except at very high Si concentration levels. Hence, a severe electrical self-compensation occurs at very high Si concentrations. In this study we have modeled the Si distribution process in GaAs by assuming that the diffusing species is SiGa+ which will convert into SiAs- in accordance with their solubilities and that the point defect species governing the diffusion of SiGa+ are triply-negatively-charged Ga vacancies VGa3-. The outstanding features of the Si indiffusion profiles near the Si/GaAs interface have been quantitatively explained for the first time. Deposited on the GaAs crystal surface, the Si source material is a polycrystalline Si layer which may be undoped or n+-doped using As or P. Without the use of an As vapor phase in the ambient, the As- and P-doped source materials effectively render the GaAs crystals into an As-rich composition, which leads to a much more efficient Si indiffusion process than for the case of using undoped source materials which maintains the GaAs crystals in a relatively As-poor condition. The source material and the GaAs crystal together form a heterostructure with its junction influencing the electron distribution in the region, which, in turn, affects the Si indiffusion process prominently.

  8. Impact of stress relaxation in GaAsSb cladding layers on quantum dot creation in InAs/GaAsSb structures grown on GaAs (001)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bremner, S. P.; Ban, K.-Y.; Faleev, N. N.

    2013-09-14

    We describe InAs quantum dot creation in InAs/GaAsSb barrier structures grown on GaAs (001) wafers by molecular beam epitaxy. The structures consist of 20-nm-thick GaAsSb barrier layers with Sb content of 8%, 13%, 15%, 16%, and 37% enclosing 2 monolayers of self-assembled InAs quantum dots. Transmission electron microscopy and X-ray diffraction results indicate the onset of relaxation of the GaAsSb layers at around 15% Sb content with intersected 60° dislocation semi-loops, and edge segments created within the volume of the epitaxial structures. 38% relaxation of initial elastic stress is seen for 37% Sb content, accompanied by the creation of amore » dense net of dislocations. The degradation of In surface migration by these dislocation trenches is so severe that quantum dot formation is completely suppressed. The results highlight the importance of understanding defect formation during stress relaxation for quantum dot structures particularly those with larger numbers of InAs quantum-dot layers, such as those proposed for realizing an intermediate band material.« less

  9. Characterization of Ar/N2/H2 middle-pressure RF discharge and application of the afterglow region for nitridation of GaAs

    NASA Astrophysics Data System (ADS)

    Raud, J.; Jõgi, I.; Matisen, L.; Navrátil, Z.; Talviste, R.; Trunec, D.; Aarik, J.

    2017-12-01

    This work characterizes the production and destruction of nitrogen and hydrogen atoms in RF capacitively coupled middle-pressure discharge in argon/nitrogen/hydrogen mixtures. Input power, electron concentration, electric field strength and mean electron energy were determined on the basis of electrical measurements. Gas temperature and concentration of Ar atoms in 1s states were determined from spectral measurements. On the basis of experimentally determined plasma characteristics, main production and loss mechanisms of H and N atoms were discussed. The plasma produced radicals were applied for the nitridation and oxide reduction of gallium arsenide in the afterglow region of discharge. After plasma treatment the GaAs samples were analyzed using x-ray photoelectron spectroscopy (XPS) technique. Successful nitridation of GaAs sample was obtained in the case of Ar/5% N2 discharge. In this gas mixture the N atoms were generated via dissociative recombination of N2+ created by charge transfer from Ar+. The treatment in Ar/5% N2/1% H2 mixture resulted in the reduction of oxide signals in the XPS spectra. Negligible formation of GaN in the latter mixture was connected with reduced concentration of N atoms, which was, in turn, due to less efficient mechanism of N atom production (electron impact dissociation of N2 molecules) and additional loss channel in reaction with H2.

  10. High Performance 0.1 μm GaAs Pseudomorphic High Electron Mobility Transistors with Si Pulse-Doped Cap Layer for 77 GHz Car Radar Applications

    NASA Astrophysics Data System (ADS)

    Kim, Sungwon; Noh, Hunhee; Jang, Kyoungchul; Lee, JaeHak; Seo, Kwangseok

    2005-04-01

    In this study, 0.1 μm double-recessed T-gate GaAs pseudomorphic high electron mobility transistors (PHEMT’s), in which an InGaAs layer and a Si pulse-doped layer in the cap structure are inserted, have been successfully fabricated. This cap structure improves ohmic contact. The ohmic contact resistance is as small as 0.07 Ωmm, consequently the source resistance is reduced by about 20% compared to that of a conventional cap structure. This device shows good DC and microwave performance such as an extrinsic transconductance of 620 mS/mm, a maximum saturated drain current of 780 mA/mm, a cut-off frequency fT of 140 GHz and a maximum oscillation frequency of 260 GHz. The reverse breakdown is 5.7 V at a gate current density of 1 mA/mm. The maximum available gain is about 7 dB at 77 GHz. It is well suited for car radar monolithic microwave integrated circuits (MMICs).

  11. Targeted Single-Site MOF Node Modification: Trivalent Metal Loading via Atomic Layer Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, In Soo; Borycz, Joshua; Platero-Prats, Ana E.

    Postsynthetic functionalization of metal organic frameworks (MOFs) enables the controlled, high-density incorporation of new atoms on a crystallographically precise framework. Leveraging the broad palette of known atomic layer deposition (ALD) chemistries, ALD in MOFs (AIM) is one such targeted approach to construct diverse, highly functional, few-atom clusters. We here demonstrate the saturating reaction of trimethylindium (InMe3) with the node hydroxyls and ligated water of NU-1000, which takes place without significant loss of MOF crystallinity or internal surface area. We computationally identify the elementary steps by which trimethylated trivalent metal compounds (ALD precursors) react with this Zr-based MOF node to generatemore » a uniform and well characterized new surface layer on the node itself, and we predict a final structure that is fully consistent with experimental X-ray pair distribution function (PDF) analysis. We further demonstrate tunable metal loading through controlled number density of the reactive handles (-OH and -OH2) achieved through node dehydration at elevated temperatures.« less

  12. Ion-beam doping of GaAs with low-energy (100 eV) C + using combined ion-beam and molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Iida, Tsutomu; Makita, Yunosuke; Kimura, Shinji; Winter, Stefan; Yamada, Akimasa; Fons, Paul; Uekusa, Shin-ichiro

    1995-01-01

    A combined ion-beam and molecular-beam-epitaxy (CIBMBE) system has been developed. This system consists of an ion implanter capable of producing ions in the energy range of 30 eV-30 keV and conventional solid-source MBE. As a successful application of CIBMBE, low-energy (100 eV) carbon ion (C+) irradiation during MBE growth of GaAs was carried out at substrate temperatures Tg between 500 and 590 °C. C+-doped layers were characterized by low-temperature (2 K) photoluminescence (PL), Raman scattering, and van der Pauw measurements. PL spectra of undoped GaAs grown by CIBMBE revealed that unintentional impurity incorporation into the epilayer is extremely small and precise doping effects are observable. CAs acceptor-related emissions such as ``g,'' [g-g], and [g-g]β are observed and their spectra are significantly changed with increasing C+ beam current density Ic. PL measurements showed that C atoms were efficiently incorporated during MBE growth by CIBMBE and were optically well activated as an acceptor in the as-grown condition even for Tg as low as 500 °C. Raman measurement showed negligible lattice damage of the epilayer bombarded with 100 eV C+ with no subsequent heat treatment. These results indicate that contamination- and damage-free impurity doping without postgrowth annealing can be achieved by the CIBMBE method.

  13. Ion-beam doping of GaAs with low-energy (100 eV) C(+) using combined ion-beam and molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Lida, Tsutomu; Makita, Yunosuke; Kimura, Shinji; Winter, Stefan; Yamada, Akimasa; Fons, Paul; Uekusa, Shin-Ichiro

    1995-01-01

    A combined ion-beam and molecular-beam-epitaxy (CIBMBE) system has been developed. This system consists of an ion implanter capable of producing ions in the energy range of 30 eV - 30 keV and conventional solid-source MBE. As a successful application of CIBMBE, low-energy (100 eV) carbon ion (C(+)) irradiation during MBE growth of GaAs was carried out at substrate temperatures T(sub g) between 500 and 590 C. C(+)-doped layers were characterized by low-temperature (2 K) photoluminescence (PL), Raman scattering, and van der Pauw measurements. PL spectra of undoped GaAs grown by CIBMBE revealed that unintentional impurity incorporation into the epilayer is extremely small and precise doping effects are observable. C(sub As) acceptor-related emissions such as 'g', (g-g), and (g-g)(sub beta) are observed and their spectra are significantly changed with increasing C(+) beam current density I(sub c). PL measurements showed that C atoms were efficiently incorporated during MBE growth by CIBMBE and were optically well activated as an acceptor in the as-grown condition even for T(sub g) as low as 500 C. Raman measurement showed negligible lattice damage of the epilayer bombarded with 100 eV C(+) with no subsequent heat treatment. These results indicate that contamination- and damage-free impurity doping without postgrowth annealing can be achieved by the CIBMBE method.

  14. The electronic and optical properties of quaternary GaAs1-x-y N x Bi y alloy lattice-matched to GaAs: a first-principles study.

    PubMed

    Ma, Xiaoyang; Li, Dechun; Zhao, Shengzhi; Li, Guiqiu; Yang, Kejian

    2014-01-01

    First-principles calculations based on density functional theory have been performed for the quaternary GaAs1-x-y N x Bi y alloy lattice-matched to GaAs. Using the state-of-the-art computational method with the Heyd-Scuseria-Ernzerhof (HSE) hybrid functional, electronic, and optical properties were obtained, including band structures, density of states (DOSs), dielectric function, absorption coefficient, refractive index, energy loss function, and reflectivity. It is found that the lattice constant of GaAs1-x-y N x Bi y alloy with y/x =1.718 can match to GaAs. With the incorporation of N and Bi into GaAs, the band gap of GaAs1-x-y N x Bi y becomes small and remains direct. The calculated optical properties indicate that GaAs1-x-y N x Bi y has higher optical efficiency as it has less energy loss than GaAs. In addition, it is also found that the electronic and optical properties of GaAs1-x-y N x Bi y alloy can be further controlled by tuning the N and Bi compositions in this alloy. These results suggest promising applications of GaAs1-x-y N x Bi y quaternary alloys in optoelectronic devices.

  15. MBE growth of Topological Isolators based on strained semi-metallic HgCdTe layers

    NASA Astrophysics Data System (ADS)

    Grendysa, J.; Tomaka, G.; Sliz, P.; Becker, C. R.; Trzyna, M.; Wojnarowska-Nowak, R.; Bobko, E.; Sheregii, E. M.

    2017-12-01

    Particularities of Molecular Beam Epitaxial (MBE) technology for the growth of Topological Insulators (TI) based on the semi-metal Hg1-xCdx Te are presented. A series of strained layers grown on GaAs substrates with a composition close to the 3D Dirac point were studied. The composition of the layers was verified by means of the position of the E1 maximum in optical reflectivity in the visible region. The surface morphology was determined via atomic force and electron microscopy. Magneto-transport measurements show quantized Hall resistance curves and Shubnikov de Hass oscillations (up to 50 K). It has been demonstrated that a well-developed MBE technology enables one to grow strained Hg1-xCdx Te layers on GaAs/CdTe substrates with a well-defined composition near the 3D Dirac point and consequently allows one to produce a 3D topological Dirac semimetal - 3D analogy of graphene - for future applications.

  16. Atomic layer deposition of a MoS₂ film.

    PubMed

    Tan, Lee Kheng; Liu, Bo; Teng, Jing Hua; Guo, Shifeng; Low, Hong Yee; Tan, Hui Ru; Chong, Christy Yuen Tung; Yang, Ren Bin; Loh, Kian Ping

    2014-09-21

    A mono- to multilayer thick MoS₂ film has been grown by using the atomic layer deposition (ALD) technique at 300 °C on a sapphire wafer. ALD provides precise control of the MoS₂ film thickness due to pulsed introduction of the reactants and self-limiting reactions of MoCl₅ and H₂S. A post-deposition annealing of the ALD-deposited monolayer film improves the crystallinity of the film, which is evident from the presence of triangle-shaped crystals that exhibit strong photoluminescence in the visible range.

  17. Characteristics of GaAs with inverted thermal conversion

    NASA Technical Reports Server (NTRS)

    Kang, C. H.; Lagowski, J.; Gatos, H. C.

    1987-01-01

    GaAs crystals exhibiting inverted thermal conversion (ITC) of resistivity were investigated in conjunction with standard semiinsulating (SI) GaAs regarding characteristics important in device processing. It was established that dislocation density and Si implant activation are unaffected by transformation to the ITC state. However, in ITC GaAs the controlled increase of the EL2 (native midgap donor) concentration during annealing makes it possible to attain resistivities one order of magnitude greater (e.g., about 10 to the 9th ohm cm of 300 K) than those attained in standard SI GaAs (e.g., 10 to the 7th-10 to the 8th ohm cm).

  18. Fabrication of GaAs/Al0.3Ga0.7As multiple quantum well nanostructures on (100) si substrate using a 1-nm InAs relief layer.

    PubMed

    Oh, H J; Park, S J; Lim, J Y; Cho, N K; Song, J D; Lee, W; Lee, Y J; Myoung, J M; Choi, W J

    2014-04-01

    Nanometer scale thin InAs layer has been incorporated between Si (100) substrate and GaAs/Al0.3Ga0.7As multiple quantum well (MQW) nanostructure in order to reduce the defects generation during the growth of GaAs buffer layer on Si substrate. Observations based on atomic force microscopy (AFM) and transmission electron microscopy (TEM) suggest that initiation and propagation of defect at the Si/GaAs interface could be suppressed by incorporating thin (1 nm in thickness) InAs layer. Consequently, the microstructure and resulting optical properties improved as compared to the MQW structure formed directly on Si substrate without the InAs layer. It was also observed that there exists some limit to the desirable thickness of the InAs layer since the MQW structure having thicker InAs layer (4 nm-thick) showed deteriorated properties.

  19. Superconducting ferecrystals: turbostratically disordered atomic-scale layered (PbSe)1.14(NbSe2)n thin films.

    PubMed

    Grosse, Corinna; Alemayehu, Matti B; Falmbigl, Matthias; Mogilatenko, Anna; Chiatti, Olivio; Johnson, David C; Fischer, Saskia F

    2016-09-16

    Hybrid electronic heterostructure films of semi- and superconducting layers possess very different properties from their bulk counterparts. Here, we demonstrate superconductivity in ferecrystals: turbostratically disordered atomic-scale layered structures of single-, bi- and trilayers of NbSe2 separated by PbSe layers. The turbostratic (orientation) disorder between individual layers does not destroy superconductivity. Our method of fabricating artificial sequences of atomic-scale 2D layers, structurally independent of their neighbours in the growth direction, opens up new possibilities of stacking arbitrary numbers of hybrid layers which are not available otherwise, because epitaxial strain is avoided. The observation of superconductivity and systematic Tc changes with nanostructure make this synthesis approach of particular interest for realizing hybrid systems in the search of 2D superconductivity and the design of novel electronic heterostructures.

  20. Atomic Layer Deposition of Rhenium Disulfide.

    PubMed

    Hämäläinen, Jani; Mattinen, Miika; Mizohata, Kenichiro; Meinander, Kristoffer; Vehkamäki, Marko; Räisänen, Jyrki; Ritala, Mikko; Leskelä, Markku

    2018-06-01

    2D materials research is advancing rapidly as various new "beyond graphene" materials are fabricated, their properties studied, and materials tested in various applications. Rhenium disulfide is one of the 2D transition metal dichalcogenides that has recently shown to possess extraordinary properties such as that it is not limited by the strict monolayer thickness requirements. The unique inherent decoupling of monolayers in ReS 2 combined with a direct bandgap and highly anisotropic properties makes ReS 2 one of the most interesting 2D materials for a plethora of applications. Here, a highly controllable and precise atomic layer deposition (ALD) technique is applied to deposit ReS 2 thin films. Film growth is demonstrated on large area (5 cm × 5 cm) substrates at moderate deposition temperatures between 120 and 500 °C, and the films are extensively characterized using field emission scanning electron microscopy/energy-dispersive X-ray spectroscopy, X-ray diffractometry using grazing incidence, atomic force microscopy, focused ion beam/transmission electron microscopy, X-ray photoelectron spectroscopy, and time-of-flight elastic recoil detection analysis techniques. The developed ReS 2 ALD process highlights the potential of the material for applications beyond planar structure architectures. The ALD process also offers a route to an upgrade to an industrial scale. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  1. Luminescence properties of ZnxMg1-xSe layers

    NASA Astrophysics Data System (ADS)

    Bala, Waclaw; Firszt, Franciszek; Dzik, Janusz; Gapinski, Adam; Glowacki, Grzegorz

    1995-10-01

    This work deals with the study of luminescence properties of ZnxMg1-xSe layers prepared by different methods. ZnxMg1-xSe mixed crystal layers were obtained by: (a) thermal diffusion of Mg metal in the temperature range 1050 K - 1200 K into ZnSe single crystal grown by Bridgman method, and (b) epitaxial growth on (001) GaAs and (111) ZnTe substrates by MBE using elemental Zn, Se and Mg sources. The luminescence spectra of ZnxMg1-xSe layers grown on (001) GaAs and (111) ZnTe substrates are dominated by narrow blue and violet emission bands with maxima positioned at about 3.05 - 3.28 eV, 2.88 - 3.04 eV, and 2.81 - 2.705 eV.

  2. Quantum-size-induced phase transitions in quantum dots: Indirect-band gap GaAs nanostructures

    NASA Astrophysics Data System (ADS)

    Zunger, Alex; Luo, Jun-Wei; Franceschetti, Alberto

    2008-03-01

    Quantum nanostructures are often advertised as having stronger absorption than the bulk material from which they are made, to the potential benefit of nanotechnology. However, nanostructures made of direct gap materials such as GaAs can convert to indirect-gap, weakly-aborbing systems when the quantum size becomes small. This is the case for spherical GaAs dots of radius 15 å or less (about 1000 atoms) embedded in a wide-gap matrix. The nature of the transition: γ-to-X or γ-to-L is however, controversial. The distinction can not be made on the basis of electronic structure techniques that misrepresent the magnitude of the various competing effective mass tensors (e.g, LDA or GGA) or wavefunction coupling (e.g, tight-binding). Using a carefully fit screened pseudopotential method we show that the transition occurs from γ to X, and, more importantly, that the transition involves a finite V (γ-X) interband coupling, manifested as an ``anti-crossing'' between the confined electron states of GaAs as the dot size crosses 15 å. The physics of this reciprocal-space γ-X transition, as well as the real-space (type II) transition in GaAs/AlGaAs will be briefly discussed.

  3. The growth of low band-gap InAs on (111)B GaAs substrates

    NASA Technical Reports Server (NTRS)

    Welser, R. E.; Guido, L. J.

    1995-01-01

    The use of low band-gap materials is of interest for a number of photovoltaic and optoelectronic applications, such as bottom cells of optimized multijunction solar cell designs, long wavelength light sources, detectors, and thermophotovoltaics. However, low band-gap materials are generally mismatched with respect to lattice constant, thermal expansion coefficient, and chemical bonding to the most appropriate commercially available substrates (Si, Ge, and GaAs). For the specific case of III-V semiconductor heteroepitaxy, one must contend with the strain induced by both lattice constant mismatch at the growth temperature and differences in the rates of mechanical deformation during the cool down cycle. Several experimental techniques have been developed to minimize the impact of these phenomena (i.e., compositional grading, strained layer superlattices, and high-temperature annealing). However, in highly strained systems such as InAs-on-GaAs, three-dimensional island formation and large defect densities (greater than or equal to 10(exp 8)/ cm(exp -2)) tend to limit their applicability. In these particular cases, the surface morphology and defect density must be controlled during the initial stages of nucleation and growth. At the last SPRAT conference, we reported on a study of the evolution of InAs islands on (100) and (111)B GaAs substrates. Growth on the (111)B orientation exhibits a number of advantageous properties as compared to the (100) during these early stages of strained-layer epitaxy. In accordance with a developing model of nucleation and growth, we have deposited thin (60 A - 2500 A), fully relaxed InAs films on (111)B GaAs substrates. Although thicker InAs films are subject to the formation of twin defects common to epitaxy on the (111)B orientation, appropriate control of the growth parameters can greatly minimize their density. Using this knowledge base, InAs films up to 2 microns in thickness with improved morphology and structural quality have

  4. Atomic migration of carbon in hard turned layers of carburized bearing steel

    DOE PAGES

    Bedekar, Vikram; Poplawsky, Jonathan D.; Guo, Wei; ...

    2016-01-01

    In grain finement and non-equilibrium there is carbon segregation within grain boundaries alters the mechanical performance of hard turning layers in carburized bearing steel. Moreover, an atom probe tomography (APT) study on the nanostructured hard turning layers reveals carbon migration to grain boundaries as a result of carbide decomposition during severe plastic deformation. In addition, samples exposed to different cutting speeds show that the carbon migration rate increases with the cutting speed. For these two effects lead to an ultrafine carbon network structure resulting in increased hardness and thermal stability in the severely deformed surface layer.

  5. Enhanced Kinetics of Electrochemical Hydrogen Uptake and Release by Palladium Powders Modified by Electrochemical Atomic Layer Deposition

    DOE PAGES

    Benson, David M.; Tsang, Chu F.; Sugar, Joshua Daniel; ...

    2017-04-28

    One method for the formation of nanofilms of materials, is Electrochemical atomic layer deposition (E-ALD), one atomic layer at a time. It uses the galvanic exchange of a less noble metal, deposited using underpotential deposition (UPD), to produce an atomic layer of a more noble element by reduction of its ions. This process is referred to as surface limited redox replacement and can be repeated in a cycle to grow thicker deposits. Previously, we performed it on nanoparticles and planar substrates. In the present report, E-ALD is applied for coating a submicron-sized powder substrate, making use of a new flowmore » cell design. E-ALD is used to coat a Pd powder substrate with different thicknesses of Rh by exchanging it for Cu UPD. Furthermore, cyclic voltammetry and X-ray photoelectron spectroscopy indicate an increasing Rh coverage with increasing numbers of deposition cycles performed, in a manner consistent with the atomic layer deposition (ALD) mechanism. Cyclic voltammetry also indicated increased kinetics of H sorption and desorption in and out of the Pd powder with Rh present, relative to unmodified Pd.« less

  6. Metallic atomically-thin layered silicon epitaxially grown on silicene/ZrB 2

    DOE PAGES

    Gill, Tobias G.; Fleurence, Antoine; Warner, Ben; ...

    2017-02-17

    We observe a new two-dimensional (2D) silicon crystal, using low energy electron diffraction (LEED) and scanning tunnelling microscopy (STM) and it's formed by depositing additional Si atoms onto spontaneously-formed epitaxial silicene on a ZrB 2 thin film. From scanning tunnelling spectroscopy (STS) studies, we find that this atomically-thin layered silicon has distinctly different electronic properties. Angle resolved photoelectron spectroscopy (ARPES) reveals that, in sharp contrast to epitaxial silicene, the layered silicon exhibits significantly enhanced density of states at the Fermi level resulting from newly formed metallic bands. Furthermore, the 2D growth of this material could allow for direct contacting tomore » the silicene surface and demonstrates the dramatic changes in electronic structure that can occur by the addition of even a single monolayer amount of material in 2D systems.« less

  7. Electrodeposition of Metal on GaAs Nanowires

    NASA Astrophysics Data System (ADS)

    Liu, Chao; Einabad, Omid; Watkins, Simon; Kavanagh, Karen

    2010-10-01

    Copper (Cu) electrical contacts to freestanding gallium arsenide (GaAs) nanowires have been fabricated via electrodeposition. The nanowires are zincblende (111) oriented grown epitaxially on n-type Si-doped GaAs (111)B substrates by gold-catalyzed Vapor Liquid Solid (VLS) growth in a metal organic vapour phase epitaxy (MOVPE) reactor. The epitaxial electrodeposition process, based on previous work with bulk GaAs substrates, consists of a substrate oxide pre-etch in dilute ammonium-hydroxide carried out prior to galvanostatic electrodeposition in a pure Cu sulphate aqueous electrolyte at 20-60^oC. For GaAs nanowires, we find that Cu or Fe has a preference for growth on the gold catalyst avoiding the sidewalls. After removing gold, both metals still prefer to grow only on top of the nanowire, which has the largest potential field.

  8. The initial stages of ZnO atomic layer deposition on atomically flat In0.53Ga0.47As substrates.

    PubMed

    Skopin, Evgeniy V; Rapenne, Laetitia; Roussel, Hervé; Deschanvres, Jean-Luc; Blanquet, Elisabeth; Ciatto, Gianluca; Fong, Dillon D; Richard, Marie-Ingrid; Renevier, Hubert

    2018-06-21

    InGaAs is one of the III-V active semiconductors used in modern high-electron-mobility transistors or high-speed electronics. ZnO is a good candidate material to be inserted as a tunneling insulator layer at the metal-semiconductor junction. A key consideration in many modern devices is the atomic structure of the hetero-interface, which often ultimately governs the electronic or chemical process of interest. Here, a complementary suite of in situ synchrotron X-ray techniques (fluorescence, reflectivity and absorption) as well as modeling is used to investigate both structural and chemical evolution during the initial growth of ZnO by atomic layer deposition (ALD) on In0.53Ga0.47As substrates. Prior to steady-state growth behavior, we discover a transient regime characterized by two stages. First, substrate-inhibited ZnO growth takes place on InGaAs terraces. This leads eventually to the formation of a 1 nm-thick, two-dimensional (2D) amorphous layer. Second, the growth behavior and its modeling suggest the occurrence of dense island formation, with an aspect ratio and surface roughness that depends sensitively on the growth condition. Finally, ZnO ALD on In0.53Ga0.47As is characterized by 2D steady-state growth with a linear growth rate of 0.21 nm cy-1, as expected for layer-by-layer ZnO ALD.

  9. GaAs Solar Cell Radiation Handbook

    NASA Technical Reports Server (NTRS)

    Anspaugh, B. E.

    1996-01-01

    The handbook discusses the history of GaAs solar cell development, presents equations useful for working with GaAs solar cells, describes commonly used instrumentation techniques for assessing radiation effects in solar cells and fundamental processes occurring in solar cells exposed to ionizing radiation, and explains why radiation decreases the electrical performance of solar cells. Three basic elements required to perform solar array degradation calculations: degradation data for GaAs solar cells after irradiation with 1 MeV electrons at normal incidence; relative damage coefficients for omnidirectional electron and proton exposure; and the definition of the space radiation environment for the orbit of interest, are developed and used to perform a solar array degradation calculation.

  10. Modeling of phase velocity and frequency spectrum of guided Lamb waves in piezoelectric-semiconductor multilayered structures made of AlAs and GaAs

    NASA Astrophysics Data System (ADS)

    Othmani, Cherif; Takali, Farid; Njeh, Anouar

    2017-11-01

    Modeling of guided Lamb waves propagation in piezoelectric-semiconductor multilayered structures made of AlAs and GaAs is evaluated in this paper. Here, the Legendre polynomial method is used to calculate dispersion curves, frequency spectrum and field distributions of guided Lamb waves propagation modes in AlAs, GaAs, AlAs/GaAs and AlAs/GaAs/AlAs-1/2/1 structures. In fact, formulations are given for open-circuit surface. Consequently, the polynomial method is numerically stable according to the total number of layers and the frequency range. This analysis is meaningful for the applications of the piezoelectric-semiconductor multilayered structures made of AlAs and GaAs such as in novel acoustic devices.

  11. Crystal growth of device quality GaAs in space

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.; Lagowski, J.

    1979-01-01

    The optimization of space processing of GaAs is described. The detailed compositional, structural, and electronic characterization of GaAs on a macro- and microscale and the relationships between growth parameters and the properties of GaAs are among the factors discussed. The key parameters limiting device performance are assessed.

  12. Metastable bcc phase formation in 3d ferromagnetic transition metal thin films sputter-deposited on GaAs(100) substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Minakawa, Shigeyuki, E-mail: s-minakawa@futamoto.elect.chuo-u.ac.jp; Ohtake, Mitsuru; Futamoto, Masaaki

    2015-05-07

    Co{sub 100−x}Fe{sub x} and Ni{sub 100−y}Fe{sub y} (at. %, x = 0–30, y = 0–60) films of 10 nm thickness are prepared on GaAs(100) substrates at room temperature by using a radio-frequency magnetron sputtering system. The detailed growth behavior is investigated by in-situ reflection high-energy electron diffraction. (100)-oriented Co and Ni single-crystals with metastable bcc structure are formed in the early stage of film growth, where the metastable structure is stabilized through hetero-epitaxial growth. With increasing the thickness up to 2 nm, the Co and the Ni films start to transform into more stable hcp and fcc structures through atomic displacements parallel to bcc(110) slide planes,more » respectively. The stability of bcc phase is improved by adding a small volume of Fe atoms into a Co film. The critical thickness of bcc phase formation is thicker than 10 nm for Co{sub 100−x}Fe{sub x} films with x ≥ 10. On the contrary, the stability of bcc phase for Ni-Fe system is less than that for Co-Fe system. The critical thicknesses for Ni{sub 100−y}Fe{sub y} films with y = 20, 40, and 60 are 1, 3, and 5 nm, respectively. The Co{sub 100−x}Fe{sub x} single-crystal films with metastable bcc structure formed on GaAs(100) substrates show in-plane uniaxial magnetic anisotropies with the easy direction along GaAs[011], similar to the case of Fe film epitaxially grown on GaAs(100) substrate. A Co{sub 100−x}Fe{sub x} film with higher Fe content shows a higher saturation magnetization and a lower coercivity.« less

  13. Optimization of GaAs Nanowire Pin Junction Array Solar Cells by Using AlGaAs/GaAs Heterojunctions

    NASA Astrophysics Data System (ADS)

    Wu, Yao; Yan, Xin; Wei, Wei; Zhang, Jinnan; Zhang, Xia; Ren, Xiaomin

    2018-04-01

    We optimized the performance of GaAs nanowire pin junction array solar cells by introducing AlGaAs/GaAs heterejunctions. AlGaAs is used for the p type top segment for axial junctions and the p type outer shell for radial junctions. The AlGaAs not only serves as passivation layers for GaAs nanowires but also confines the optical generation in the active regions, reducing the recombination loss in heavily doped regions and the minority carrier recombination at the top contact. The results show that the conversion efficiency of GaAs nanowires can be greatly enhanced by using AlGaAs for the p segment instead of GaAs. A maximum efficiency enhancement of 8.42% has been achieved in this study. And for axial nanowire, by using AlGaAs for the top p segment, a relatively long top segment can be employed without degenerating device performance, which could facilitate the fabrication and contacting of nanowire array solar cells. While for radial nanowires, AlGaAs/GaAs nanowires show better tolerance to p-shell thickness and surface condition.

  14. Optimization of GaAs Nanowire Pin Junction Array Solar Cells by Using AlGaAs/GaAs Heterojunctions.

    PubMed

    Wu, Yao; Yan, Xin; Wei, Wei; Zhang, Jinnan; Zhang, Xia; Ren, Xiaomin

    2018-04-25

    We optimized the performance of GaAs nanowire pin junction array solar cells by introducing AlGaAs/GaAs heterejunctions. AlGaAs is used for the p type top segment for axial junctions and the p type outer shell for radial junctions. The AlGaAs not only serves as passivation layers for GaAs nanowires but also confines the optical generation in the active regions, reducing the recombination loss in heavily doped regions and the minority carrier recombination at the top contact. The results show that the conversion efficiency of GaAs nanowires can be greatly enhanced by using AlGaAs for the p segment instead of GaAs. A maximum efficiency enhancement of 8.42% has been achieved in this study. And for axial nanowire, by using AlGaAs for the top p segment, a relatively long top segment can be employed without degenerating device performance, which could facilitate the fabrication and contacting of nanowire array solar cells. While for radial nanowires, AlGaAs/GaAs nanowires show better tolerance to p-shell thickness and surface condition.

  15. Titanium dioxide thin films by atomic layer deposition: a review

    NASA Astrophysics Data System (ADS)

    Niemelä, Janne-Petteri; Marin, Giovanni; Karppinen, Maarit

    2017-09-01

    Within its rich phase diagram titanium dioxide is a truly multifunctional material with a property palette that has been shown to span from dielectric to transparent-conducting characteristics, in addition to the well-known catalytic properties. At the same time down-scaling of microelectronic devices has led to an explosive growth in research on atomic layer deposition (ALD) of a wide variety of frontier thin-film materials, among which TiO2 is one of the most popular ones. In this topical review we summarize the advances in research of ALD of titanium dioxide starting from the chemistries of the over 50 different deposition routes developed for TiO2 and the resultant structural characteristics of the films. We then continue with the doped ALD-TiO2 thin films from the perspective of dielectric, transparent-conductor and photocatalytic applications. Moreover, in order to cover the latest trends in the research field, both the variously constructed TiO2 nanostructures enabled by ALD and the Ti-based hybrid inorganic-organic films grown by the emerging ALD/MLD (combined atomic/molecular layer deposition) technique are discussed.

  16. Atomic layer deposition of a high-k dielectric on MoS2 using trimethylaluminum and ozone.

    PubMed

    Cheng, Lanxia; Qin, Xiaoye; Lucero, Antonio T; Azcatl, Angelica; Huang, Jie; Wallace, Robert M; Cho, Kyeongjae; Kim, Jiyoung

    2014-08-13

    We present an Al2O3 dielectric layer on molybdenum disulfide (MoS2), deposited using atomic layer deposition (ALD) with ozone/trimethylaluminum (TMA) and water/TMA as precursors. The results of atomic force microscopy and low-energy ion scattering spectroscopy show that using TMA and ozone as precursors leads to the formation of uniform Al2O3 layers, in contrast to the incomplete coverage we observe when using TMA/H2O as precursors. Our Raman and X-ray photoelectron spectroscopy measurements indicate minimal variations in the MoS2 structure after ozone treatment at 200 °C, suggesting its excellent chemical resistance to ozone.

  17. Analysis of layer-by-layer thin-film oxide growth using RHEED and Atomic Force Microscopy

    NASA Astrophysics Data System (ADS)

    Adler, Eli; Sullivan, M. C.; Gutierrez-Llorente, Araceli; Joress, H.; Woll, A.; Brock, J. D.

    2015-03-01

    Reflection high energy electron diffraction (RHEED) is commonly used as an in situ analysis tool for layer-by-layer thin-film growth. Atomic force microscopy is an equally common ex situ tool for analysis of the film surface, providing visual evidence of the surface morphology. During growth, the RHEED intensity oscillates as the film surface changes in roughness. It is often assumed that the maxima of the RHEED oscillations signify a complete layer, however, the oscillations in oxide systems can be misleading. Thus, using only the RHEED maxima is insufficient. X-ray reflectivity can also be used to analyze growth, as the intensity oscillates in phase with the smoothness of the surface. Using x-ray reflectivity to determine the thin film layer deposition, we grew three films where the x-ray and RHEED oscillations were nearly exactly out of phase and halted deposition at different points in the growth. Pre-growth and post-growth AFM images emphasize the fact that the maxima in RHEED are not a justification for determining layer completion. Work conducted at the Cornell High Energy Synchrotron Source (CHESS) supported by NSF Awards DMR-1332208 and DMR-0936384 and the Cornell Center for Materials Research Shared Facilities are supported through DMR-1120296.

  18. Photoluminescence of phosphorus atomic layer doped Ge grown on Si

    NASA Astrophysics Data System (ADS)

    Yamamoto, Yuji; Nien, Li-Wei; Capellini, Giovanni; Virgilio, Michele; Costina, Ioan; Schubert, Markus Andreas; Seifert, Winfried; Srinivasan, Ashwyn; Loo, Roger; Scappucci, Giordano; Sabbagh, Diego; Hesse, Anne; Murota, Junichi; Schroeder, Thomas; Tillack, Bernd

    2017-10-01

    Improvement of the photoluminescence (PL) of Phosphorus (P) doped Ge by P atomic layer doping (ALD) is investigated. Fifty P delta layers of 8 × 1013 cm-2 separated by 4 nm Ge spacer are selectively deposited at 300 °C on a 700 nm thick P-doped Ge buffer layer of 1.4 × 1019 cm-3 on SiO2 structured Si (100) substrate. A high P concentration region of 1.6 × 1020 cm-3 with abrupt P delta profiles is formed by the P-ALD process. Compared to the P-doped Ge buffer layer, a reduced PL intensity is observed, which might be caused by a higher density of point defects in the P delta doped Ge layer. The peak position is shifted by ˜0.1 eV towards lower energy, indicating an increased active carrier concentration in the P-delta doped Ge layer. By introducing annealing at 400 °C to 500 °C after each Ge spacer deposition, P desorption and diffusion is observed resulting in relatively uniform P profiles of ˜2 × 1019 cm-3. Increased PL intensity and red shift of the PL peak are observed due to improved crystallinity and higher active P concentration.

  19. The fabrication of a double-layer atom chip with through silicon vias for an ultra-high-vacuum cell

    NASA Astrophysics Data System (ADS)

    Chuang, Ho-Chiao; Lin, Yun-Siang; Lin, Yu-Hsin; Huang, Chi-Sheng

    2014-04-01

    This study presents a double-layer atom chip that provides users with increased diversity in the design of the wire patterns and flexibility in the design of the magnetic field. It is more convenient for use in atomic physics experiments. A negative photoresist, SU-8, was used as the insulating layer between the upper and bottom copper wires. The electrical measurement results show that the upper and bottom wires with a width of 100 µm can sustain a 6 A current without burnout. Another focus of this study is the double-layer atom chips integrated with the through silicon via (TSV) technique, and anodically bonded to a Pyrex glass cell, which makes it a desired vacuum chamber for atomic physics experiments. Thus, the bonded glass cell not only significantly reduces the overall size of the ultra-high-vacuum (UHV) chamber but also conducts the high current from the backside to the front side of the atom chip via the TSV under UHV (9.5 × 10-10 Torr). The TSVs with a diameter of 70 µm were etched through by the inductively coupled plasma ion etching and filled by the bottom-up copper electroplating method. During the anodic bonding process, the electroplated copper wires and TSVs on atom chips also need to pass the examination of the required bonding temperature of 250 °C, under an applied voltage of 1000 V. Finally, the UHV test of the double-layer atom chips with TSVs at room temperature can be reached at 9.5 × 10-10 Torr, thus satisfying the requirements of atomic physics experiments under an UHV environment.

  20. Atomic layer deposition and post-growth thermal annealing of ultrathin MoO3 layers on silicon substrates: Formation of surface nanostructures

    NASA Astrophysics Data System (ADS)

    Liu, Hongfei; Yang, Ren Bin; Yang, Weifeng; Jin, Yunjiang; Lee, Coryl J. J.

    2018-05-01

    Ultrathin MoO3 layers have been grown on Si substrates at 120 °C by atomic layer deposition (ALD) using molybdenum hexacarbonyl [Mo(CO)6] and ozone (O3) as the Mo- and O-source precursors, respectively. The ultrathin films were further annealed in air at Tann = 550-750 °C for 15 min. Scanning-electron microscopy, energy-dispersive X-ray spectroscopy, and X-ray photoelectron spectroscopy have been employed to evaluate the morphological and elemental properties as well as their evolutions upon annealing of the thin films. They revealed an interfacial SiOx layer in between the MoO3 layer and the Si substrate; this SiOx layer converted into SiO2 during the annealing; and the equivalent thickness of the MoO3 (SiO2) layer decreased (increased) with the increase in Tann. Particles with diameters smaller than 50 nm emerged at Tann = 550 °C and their sizes (density) were reduced (increased) by increasing Tann to 650 °C. A further increase of Tann to 750 °C resulted in telephone-cord-like MoO3 structures, initiated from isolated particles on the surface. These observations have been discussed and interpreted based on temperature-dependent atomic interdiffusions, surface evaporations, and/or melting of MoO3, which shed new light on ALD MoO3 towards its electronic applications.

  1. Atom Probe Tomography Analysis of Ag Doping in 2D Layered Material (PbSe) 5(Bi 2Se 3) 3

    DOE PAGES

    Ren, Xiaochen; Singh, Arunima K.; Fang, Lei; ...

    2016-09-07

    Impurity doping in two-dimensional (2D) materials can provide a route to tuning electronic properties, so it is important to be able to determine the distribution of dopant atoms within and between layers. Here we report the totnographic mapping of dopants in layered 2D materials with atomic sensitivity and subnanometer spatial resolution using atom, probe tomography (APT). Also, APT analysis shows that Ag dopes both Bi 2Se 3 and PbSe layers in (PbSe) 5(Bi 2Se 3) 3, and correlations :in the position of Ag atoms suggest a pairing across neighboring Bi 2Se 3 and PbSe layers. Finally, density functional theory (DFT)more » calculations confirm the favorability of substitutional-doping for both Pb and Bi and provide insights into the,observed spatial correlations in dopant locations.« less

  2. Characterisation of semi-insulating GaAs

    NASA Technical Reports Server (NTRS)

    Walukiewicz, W.; Pawlowicz, L.; Lagowski, J.; Gatos, H. C.

    1982-01-01

    Hole and electron mobilities as functions of temperature and ionised impurity concentration are calculated for GaAs. It is shown that these calculations, when used to analyse electrical properties of semi-insulating GaAs, enable an assessment of the Fermi energy position and ionised impurity concentration to be made. In contrast to previous work, the analysis does not require any phenomenological assumptions.

  3. Targeted Single-Site MOF Node Modification: Trivalent Metal Loading via Atomic Layer Deposition

    DOE PAGES

    Kim, In Soo; Borycz, Joshua; Platero-Prats, Ana E.; ...

    2015-07-02

    Postsynthetic functionalization of metal organic frameworks (MOFs) enables the controlled, high-density incorporation of new atoms on a crystallographically precise framework. Leveraging the broad palette of known atomic layer deposition (ALD) chemistries, ALD in MOFs (AIM) is one such targeted approach to construct diverse, highly functional, few-atom clusters. In this paper, we demonstrate the saturating reaction of trimethylindium (InMe 3) with the node hydroxyls and ligated water of NU-1000, which takes place without significant loss of MOF crystallinity or internal surface area. We computationally identify the elementary steps by which trimethylated trivalent metal compounds (ALD precursors) react with this Zr-based MOFmore » node to generate a uniform and well characterized new surface layer on the node itself, and we predict a final structure that is fully consistent with experimental X-ray pair distribution function (PDF) analysis. Finally, we further demonstrate tunable metal loading through controlled number density of the reactive handles (–OH and –OH 2) achieved through node dehydration at elevated temperatures.« less

  4. Targeted Single-Site MOF Node Modification: Trivalent Metal Loading via Atomic Layer Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, In Soo; Borycz, Joshua; Platero-Prats, Ana E.

    Postsynthetic functionalization of metal organic frameworks (MOFs) enables the controlled, high-density incorporation of new atoms on a crystallographically precise framework. Leveraging the broad palette of known atomic layer deposition (ALD) chemistries, ALD in MOFs (AIM) is one such targeted approach to construct diverse, highly functional, few-atom clusters. In this paper, we demonstrate the saturating reaction of trimethylindium (InMe 3) with the node hydroxyls and ligated water of NU-1000, which takes place without significant loss of MOF crystallinity or internal surface area. We computationally identify the elementary steps by which trimethylated trivalent metal compounds (ALD precursors) react with this Zr-based MOFmore » node to generate a uniform and well characterized new surface layer on the node itself, and we predict a final structure that is fully consistent with experimental X-ray pair distribution function (PDF) analysis. Finally, we further demonstrate tunable metal loading through controlled number density of the reactive handles (–OH and –OH 2) achieved through node dehydration at elevated temperatures.« less

  5. p-type zinc-blende GaN on GaAs substrates

    NASA Astrophysics Data System (ADS)

    Lin, M. E.; Xue, G.; Zhou, G. L.; Greene, J. E.; Morkoç, H.

    1993-08-01

    We report p-type cubic GaN. The Mg-doped layers were grown on vicinal (100) GaAs substrates by plasma-enhanced molecular beam epitaxy. Thermally sublimed Mg was, with N2 carrier gas, fed into an electron-cyclotron resonance source. p-type zinc-blende-structure GaN films were achieved with hole mobilities as high as 39 cm2/V s at room temperature. The cubic nature of the films were confirmed by x-ray diffractometry. The depth profile of Mg was investigated by secondary ions mass spectroscopy.

  6. Technology requirements for GaAs photovoltaic arrays

    NASA Technical Reports Server (NTRS)

    Scott-Monck, J.; Rockey, D.

    1981-01-01

    An analysis based on percent GaAs solar cell weight and cost is performed to assess the utility of this cell for future space missions. It is shown that the GaAs substrate cost and the end-of-life (EOL) advantage the cell can provide over the space qualified silicon solar cell are the dominant factors determining potential use. Examples are presented to show that system level advantages resulting from reduction in solar panel area may warrant the use of GaAs at its current weight and projected initial cost provided the EOL advantage over silicon is at least 20 percent.

  7. Crystal Growth of Device Quality Gaas in Space

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.

    1985-01-01

    The GaAs research evolves about these key thrust areas. The overall program combines: (1) studies of crystal growth on novel approaches to engineering of semiconductor material (i.e., GaAs and related compounds); (2) investigation and correlation of materials properties and electronic characteristics on a macro- and microscale; and (3) investigation of electronic properties and phenomena controlling device applications and device performance. This effort is aimed at the essential ground-based program which would insure successful experimentation with and eventually processing of GaAs in near zero gravity environment. It is believed that this program addresses in a unique way materials engineering aspects which bear directly on the future exploitation of the potential of GaAs and related materials in device and systems applications.

  8. ZnO films on /001/-cut (110)-propagating GaAs substrates for surface acoustic wave device applications

    NASA Technical Reports Server (NTRS)

    Hickernell, Frederick S.; Higgins, Robert J.; Jen, Cheng-Kuei; Kim, Yoonkee; Hunt, William D.

    1995-01-01

    A potential application for piezoelectric films substrates is the monolithic integration of surface acoustic wave (SAW) devices with GaAs electronics. Knowledge of the SAW properties of the layered structure is critical for the optimum and accurate design of such devices. The acoustic properties of ZnO films sputtered on /001/-cut group of (110) zone axes-propagating GaAs substrates are investigated in this article, including SAW velocity, effective piezoelectric coupling constant, propagation loss, diffraction, velocity surface, and reflectivity of shorted and open metallic gratings. The measurements of these essential SAW properties for the frequency range between 180 and 360 MHz have been performed using a knife-edge laser probe for film thicknesses over the range of 1.6-4 micron and with films of different grain sizes. The high quality of dc triode sputtered films was observed as evidenced by high K(sup 2) and low attenuation. The measurements of the velocity surface, which directly affects the SAW diffraction, on the bare and metalized ZnO on SiO2 or Si3N4 on /001/-cut GaAs samples are reported using two different techniques: (1) knife-edge laser probe, (2) line-focus-beam scanning acoustic microscope. It was found that near the group of (110) zone axes propagation direction, the focusing SAW property of the bare GaAs changes into a nonfocusing one for the layered structure, but a reversed phenomenon exists near the (100) direction. Furthermore, to some extent the diffraction of the substrate can be controlled with the film thickness. The reflectivity of shorted and open gratings are also analyzed and measured. Zero reflectivity is observed for a shorted grating. There is good agreement between the measured data and theoretical values.

  9. Crystal growth of device quality GaAs in space

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.; Lagowski, J.

    1984-01-01

    The crystal growth, device processing and device related properties and phenomena of GaAs are investigated. Our GaAs research evolves about these key thrust areas. The overall program combines: (1) studies of crystal growth on novel approaches to engineering of semiconductor materials (i.e., GaAs and related compounds); (2) investigation and correlation of materials properties and electronic characteristics on a macro- and microscale; (3) investigation of electronic properties and phenomena controlling device applications and device performance. The ground based program is developed which would insure successful experimentation with and eventually processing of GaAs in a near zero gravity environment.

  10. Impacts of Thermal Atomic Layer-Deposited AlN Passivation Layer on GaN-on-Si High Electron Mobility Transistors.

    PubMed

    Zhao, Sheng-Xun; Liu, Xiao-Yong; Zhang, Lin-Qing; Huang, Hong-Fan; Shi, Jin-Shan; Wang, Peng-Fei

    2016-12-01

    Thermal atomic layer deposition (ALD)-grown AlN passivation layer is applied on AlGaN/GaN-on-Si HEMT, and the impacts on drive current and leakage current are investigated. The thermal ALD-grown 30-nm amorphous AlN results in a suppressed off-state leakage; however, its drive current is unchanged. It was also observed by nano-beam diffraction method that thermal ALD-amorphous AlN layer barely enhanced the polarization. On the other hand, the plasma-enhanced chemical vapor deposition (PECVD)-deposited SiN layer enhanced the polarization and resulted in an improved drive current. The capacitance-voltage (C-V) measurement also indicates that thermal ALD passivation results in a better interface quality compared with the SiN passivation.

  11. A two layer hermetic-like coating process for on-wafer encapsulation of GaAs MMIC`s

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kaleta, T.; Varmazis, C.; Carney, J.P.

    1995-12-31

    The authors have developed a low-cost, manufacturable, 2-layer coating process for on-wafer encapsulation of GaAs MMICs. This packaging approach takes advantage of the low dielectric permittivity of polymers such as Benzocyclobutene (BCB) and the sealing properties of ceramics such as SiC to provide both mechanical protection to MMICs during handling and also hermetic-like equivalence to moisture with predictable changes in the electrical performance of the coated MMICs. The effects of coatings on FET parameters, spiral inductors and a two stage X-Band LNA have been investigated. Results on FETs indicate that the internode capacitances Cgs and Cgd exhibited the same incrementalmore » change of 0.035 pF/mm (3 and 25 % increase respectively), while Cds changed by 0.051 pF/mm (27% increase) with very minimal changes in the other FET parameters. The only observed change in spiral inductors was a 112% increase in Cp from 0.006 pF to 0.013 pF. The LNA exhibited a 1 GHz shift in frequency response from 7 to 11 GHz to 6 to 11 GHz with no substantial changes in gain and noise figure. Preliminary reliability investigations on coated devices did not show any failures after 150 hours in autoclave (120C, 100% humidity).« less

  12. Ion implantation disorder in strained-layer superlattices

    NASA Astrophysics Data System (ADS)

    Arnold, G. W.; Picraux, S. T.; Peercy, P. S.; Myers, D. R.; Biefeld, R. M.; Dawson, L. R.

    Cantilever beam bending and RBS channeling measurements have been used to examine implantation induced disorder and stress buildup in InO 2GaO 8As/GaAs SLS structures. The critical fluence for saturation of compressive stress occurs prior to amorphous layer formation and is followed by stress relief. For all the ions the maximum ion induced stress scales with energy density into atomic processes and stress relief occurs above approximately 1x10 to the 20th keV/1 cubic cm. Stress relief is more pronounced for the SLSs than for bulk GaAs. Stress relief may lead to slip or other forms of inelastic material flow in SLSs, which would be undesirable for active regions in device applications. Such material flow may be avoided by limiting maximum fluences or by multiple step or simultaneous implantation and annealing for high fluences.

  13. Optimization Methods on Synthesis of Atomically Thin Layered Materials and Heterostructures

    NASA Astrophysics Data System (ADS)

    Temiz, Selcuk

    Two dimensional (2D) materials have emerged as a new class of materials that only a few atoms thick. Owing to their low dimensionality, 2D materials bear rather unusual properties that do not exist in traditional three dimensional (3D) materials. Graphene, a single layer of carbon atoms arrange in a 2D hexagonal lattice, has started the revolutionary progress in materials science and condensed matter physics, and motivated intense research in other 2D materials such as h-BN, and layered metal dichalcogenides. Chemical vapor deposition (CVD) is the most studied bottom-up graphene production method for building the prototypes of next-generation electronic devices due to its scalability; however, there is still not an ultimate consensus of growth mechanisms on control the size and morphology of synthesized-crystals. In order to have better understanding the growth mechanisms, the role of oxygen exposure in the graphene growth has been comprehensively studied. The oxygen gas is introduced into the CVD reactor before and during the growth, and its effects on the morphology, crystallinity, and nucleation density of graphene are systematically studied. It is found that introducing oxygen during growth significantly improves the graphene crystallinity while pre-dosing oxygen before growth reduces the graphene nucleation density. The stacking of graphene and other layered materials in the lateral or vertical geometries can offer extended functionality by exploiting interfacial phenomena, quantum confinement and tunneling, which requires the interface between the layered materials be free of contaminates. The vertical heterostructures of CVD-grown graphene and h-BN single crystals are deeply investigated by analytical scanning transmission electron microscopy (STEM) and electron energy loss spectroscopy (EELS). It is shown that graphene contamination, undetectable using optical microscopy, is prevalent at the nanoscale, and the interfacial contamination between the layers

  14. Ultrafast atomic layer-by-layer oxygen vacancy-exchange diffusion in double-perovskite LnBaCo2O5.5+δ thin films.

    PubMed

    Bao, Shanyong; Ma, Chunrui; Chen, Garry; Xu, Xing; Enriquez, Erik; Chen, Chonglin; Zhang, Yamei; Bettis, Jerry L; Whangbo, Myung-Hwan; Dong, Chuang; Zhang, Qingyu

    2014-04-22

    Surface exchange and oxygen vacancy diffusion dynamics were studied in double-perovskites LnBaCo2O5.5+δ (LnBCO) single-crystalline thin films (Ln = Er, Pr; -0.5 < δ < 0.5) by carefully monitoring the resistance changes under a switching flow of oxidizing gas (O2) and reducing gas (H2) in the temperature range of 250 ~ 800 °C. A giant resistance change ΔR by three to four orders of magnitude in less than 0.1 s was found with a fast oscillation behavior in the resistance change rates in the ΔR vs. t plots, suggesting that the oxygen vacancy exchange diffusion with oxygen/hydrogen atoms in the LnBCO thin films is taking the layer by layer oxygen-vacancy-exchange mechanism. The first principles density functional theory calculations indicate that hydrogen atoms are present in LnBCO as bound to oxygen forming O-H bonds. This unprecedented oscillation phenomenon provides the first direct experimental evidence of the layer by layer oxygen vacancy exchange diffusion mechanism.

  15. Atomic Layer Epitaxy of Aluminum Nitride: Unraveling the Connection between Hydrogen Plasma and Carbon Contamination.

    PubMed

    Erwin, Steven C; Lyons, John L

    2018-06-13

    Atomistic control over the growth of semiconductor thin films, such as aluminum nitride, is a long-sought goal in materials physics. One promising approach is plasma-assisted atomic layer epitaxy, in which separate reactant precursors are employed to grow the cation and anion layers in alternating deposition steps. The use of a plasma during the growth-most often a hydrogen plasma-is now routine and generally considered critical, but the precise role of the plasma is not well-understood. We propose a theoretical atomistic model and elucidate its consequences using analytical rate equations, density functional theory, and kinetic Monte Carlo statistical simulations. We show that using a plasma has two important consequences, one beneficial and one detrimental. The plasma produces atomic hydrogen in the gas phase, which is important for removing methyl radicals left over from the aluminum precursor molecules. However, atomic hydrogen also leads to atomic carbon on the surface and, moreover, opens a channel for trapping these carbon atoms as impurities in the subsurface region, where they remain as unwanted contaminants. Understanding this dual role leads us to propose a solution for the carbon contamination problem which leaves the main benefit of the plasma largely unaffected.

  16. Atomic Layer Deposition Alumina-Passivated Silicon Nanowires: Probing the Transition from Electrochemical Double-Layer Capacitor to Electrolytic Capacitor.

    PubMed

    Gaboriau, Dorian; Boniface, Maxime; Valero, Anthony; Aldakov, Dmitry; Brousse, Thierry; Gentile, Pascal; Sadki, Said

    2017-04-19

    Silicon nanowires were coated by a 1-5 nm thin alumina layer by atomic layer deposition (ALD) in order to replace poorly reproducible and unstable native silicon oxide by a highly conformal passivating alumina layer. The surface coating enabled probing the behavior of symmetric devices using such electrodes in the EMI-TFSI electrolyte, allowing us to attain a large cell voltage up to 6 V in ionic liquid, together with very high cyclability with less than 4% capacitance fade after 10 6 charge/discharge cycles. These results yielded fruitful insights into the transition between an electrochemical double-layer capacitor behavior and an electrolytic capacitor behavior. Ultimately, thin ALD dielectric coatings can be used to obtain hybrid devices exhibiting large cell voltage and excellent cycle life of dielectric capacitors, while retaining energy and power densities close to the ones displayed by supercapacitors.

  17. Self-ordering of InAs nanostructures on (631)A/B GaAs substrates

    NASA Astrophysics Data System (ADS)

    Eugenio-López, Eric; Alejandro Mercado-Ornelas, Christian; Kisan Patil, Pallavi; Cortes-Mestizo, Irving Eduardo; Ángel Espinoza-Figueroa, José; Gorbatchev, Andrei Yu; Shimomura, Satoshi; Ithsmel Espinosa-Vega, Leticia; Méndez-García, Víctor Hugo

    2018-02-01

    The high order self-organization of quantum dots is demonstrated in the growth of InAs on a GaAs(631)-oriented crystallographic plane. The unidimensional ordering of the quantum dots (QDs) strongly depends on the As flux beam equivalent pressure (P As) and the cation/anion terminated surface, i.e., A- or B-type GaAs(631). The self-organization of QDs occurs for both surface types along [\\bar{1}13], while the QD shape and size distribution were found to be different for the self-assembly on the A- and B-type surfaces. In addition, the experiments showed that any misorientation from the (631) plane, which results from the buffer layer waviness, does not allow a high order of unidimensional arrangements of QDs. The optical properties were studied by photoluminescence spectroscopy, where good correspondence was obtained between the energy transitions and the size of the QDs.

  18. Photoluminescence characteristics of ZnTe bulk crystal and ZnTe epilayer grown on GaAs substrate by MOVPE

    NASA Astrophysics Data System (ADS)

    Lü, Hai-Yan; Mu, Qi; Zhang, Lei; Lü, Yuan-Jie; Ji, Zi-Wu; Feng, Zhi-Hong; Xu, Xian-Gang; Guo, Qi-Xin

    2015-12-01

    Excitation power and temperature-dependent photoluminescence (PL) spectra of the ZnTe epilayer grown on (100) GaAs substrate and ZnTe bulk crystal are investigated. The measurement results show that both the structures are of good structural quality due to their sharp bound excitonic emissions and absence of the deep level structural defect-related emissions. Furthermore, in contrast to the ZnTe bulk crystal, although excitonic emissions for the ZnTe epilayer are somewhat weak, perhaps due to As atoms diffusing from the GaAs substrate into the ZnTe epilayer and/or because of the strain-induced degradation of the crystalline quality of the ZnTe epilayer, neither the donor-acceptor pair (DAP) nor conduction band-acceptor (e-A) emissions are observed in the ZnTe epilayer. This indicates that by further optimizing the growth process it is possible to obtain a high-crystalline quality ZnTe heteroepitaxial layer that is comparable to the ZnTe bulk crystal. Project supported by the Specialized Research Fund for the Doctoral Program of Higher Education of China (Grant No. 20120131110006), the Key Science and Technology Program of Shandong Province, China (Grant No. 2013GGX10221), the Key Laboratory of Functional Crystal Materials and Device (Shandong University, Ministry of Education), China (Grant No. JG1401), the National Natural Science Foundation of China (Grant No. 61306113), the Major Research Plan of the National Natural Science Foundation of China (Grant No. 91433112), and the Partnership Project for Fundamental Technology Researches of the Ministry of Education, Culture, Sports, Science and Technology, Japan.

  19. High yield of self-catalyzed GaAs nanowire arrays grown on silicon via gallium droplet positioning.

    PubMed

    Plissard, S; Larrieu, G; Wallart, X; Caroff, P

    2011-07-08

    We report and detail a method to achieve growth of vertical self-catalyzed GaAs nanowires directly on Si(111) with a near-perfect vertical yield, using electron-beam-defined arrays of holes in a dielectric layer and molecular beam epitaxy. In our conditions, GaAs nanowires are grown along a vapor-liquid-solid mechanism, using in situ self-forming Ga droplets. The focus of this paper is to understand the role of the substrate preparation and of the pre-growth conditioning. Without changing temperature or the V/III ratio, the yield of vertical nanowires is increased incrementally up to 95%. The possibility to achieve very dense arrays, with center-to-center inter-wire distances less than 100 nm, is demonstrated.

  20. Regioselective atomic layer deposition in metal–organic frameworks directed by dispersion interactions

    DOE PAGES

    Gallington, Leighanne C.; Kim, In Soo; Liu, Wei-Guang; ...

    2016-10-03

    The application of atomic layer deposition (ALD) to metal–organic frameworks (MOFs) offers a promising new approach to synthesize designer functional materials with atomic precision. While ALD on flat substrates is well established, the complexity of the pore architecture and surface chemistry in MOFs present new challenges. Through in situ synchrotron X-ray powder diffraction, we visualize how the deposited atoms are localized and redistribute within the MOF during ALD. We demonstrate that the ALD is regioselective, with preferential deposition of oxy-Zn(II) species within the small pores of NU-1000. As a result, complementary density functional calculations indicate that this startling regioselectivity ismore » driven by dispersion interactions associated with the preferential adsorption sites for the organometallic precursors prior to reaction.« less

  1. Regioselective atomic layer deposition in metal–organic frameworks directed by dispersion interactions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gallington, Leighanne C.; Kim, In Soo; Liu, Wei-Guang

    The application of atomic layer deposition (ALD) to metal–organic frameworks (MOFs) offers a promising new approach to synthesize designer functional materials with atomic precision. While ALD on flat substrates is well established, the complexity of the pore architecture and surface chemistry in MOFs present new challenges. Through in situ synchrotron X-ray powder diffraction, we visualize how the deposited atoms are localized and redistribute within the MOF during ALD. We demonstrate that the ALD is regioselective, with preferential deposition of oxy-Zn(II) species within the small pores of NU-1000. As a result, complementary density functional calculations indicate that this startling regioselectivity ismore » driven by dispersion interactions associated with the preferential adsorption sites for the organometallic precursors prior to reaction.« less

  2. Investigations into the impact of various substrates and ZnO ultra thin seed layers prepared by atomic layer deposition on growth of ZnO nanowire array

    PubMed Central

    2012-01-01

    The impact of various substrates and zinc oxide (ZnO) ultra thin seed layers prepared by atomic layer deposition on the geometric morphology of subsequent ZnO nanowire arrays (NWs) fabricated by the hydrothermal method was investigated. The investigated substrates included B-doped ZnO films, indium tin oxide films, single crystal silicon (111), and glass sheets. Scanning electron microscopy and X-ray diffraction measurements revealed that the geometry and aligment of the NWs were controlled by surface topography of the substrates and thickness of the ZnO seed layers, respectively. According to atomic force microscopy data, we suggest that the substrate, fluctuate amplitude and fluctuate frequency of roughness on ZnO seed layers have a great impact on the alignment of the resulting NWs, whereas the influence of the seed layers' texture was negligible. PMID:22759838

  3. Doping assessment in GaAs nanowires.

    PubMed

    Goktas, N Isik; Fiordaliso, E M; LaPierre, R R

    2018-06-08

    Semiconductor nanowires (NWs) are a candidate technology for future optoelectronic devices. One of the critical issues in NWs is the control of impurity doping for the formation of p-n junctions. In this study, beryllium (p-type dopant) and tellurium (n-type dopant) in self-assisted GaAs NWs was studied. The GaAs NWs were grown on (111) Si by molecular beam epitaxy using the self-assisted method. The dopant incorporation in the self-assisted GaAs NWs was investigated using Raman spectroscopy, photoluminescence, secondary ion mass spectrometry and electron holography. Be-doped NWs showed similar carrier concentration as compared to thin film (TF) standards. However, Te-doped NWs showed at least a one order of magnitude lower carrier concentration as compared to TF standards. Dopant incorporation mechanisms in NWs are discussed.

  4. Doping assessment in GaAs nanowires

    NASA Astrophysics Data System (ADS)

    Isik Goktas, N.; Fiordaliso, E. M.; LaPierre, R. R.

    2018-06-01

    Semiconductor nanowires (NWs) are a candidate technology for future optoelectronic devices. One of the critical issues in NWs is the control of impurity doping for the formation of p–n junctions. In this study, beryllium (p-type dopant) and tellurium (n-type dopant) in self-assisted GaAs NWs was studied. The GaAs NWs were grown on (111) Si by molecular beam epitaxy using the self-assisted method. The dopant incorporation in the self-assisted GaAs NWs was investigated using Raman spectroscopy, photoluminescence, secondary ion mass spectrometry and electron holography. Be-doped NWs showed similar carrier concentration as compared to thin film (TF) standards. However, Te-doped NWs showed at least a one order of magnitude lower carrier concentration as compared to TF standards. Dopant incorporation mechanisms in NWs are discussed.

  5. Atomic Layer-Deposited TiO2 Coatings on NiTi Surface

    NASA Astrophysics Data System (ADS)

    Vokoun, D.; Racek, J.; Kadeřávek, L.; Kei, C. C.; Yu, Y. S.; Klimša, L.; Šittner, P.

    2018-02-01

    NiTi shape-memory alloys may release poisonous Ni ions at the alloys' surface. In an attempt to prepare a well-performing surface layer on an NiTi sample, the thermally grown TiO2 layer, which formed during the heat treatment of NiTi, was removed and replaced with a new TiO2 layer prepared using the atomic layer deposition (ALD) method. Using x-ray photoelectron spectroscopy, it was found that the ALD layer prepared at as low a temperature as 100 °C contained Ti in oxidation states + 4 and + 3. As for static corrosion properties of the ALD-coated NiTi samples, they further improved compared to those covered by thermally grown oxide. The corrosion rate of samples with thermally grown oxide was 1.05 × 10-5 mm/year, whereas the corrosion rate of the ALD-coated samples turned out to be about five times lower. However, cracking of the ALD coating occurred at about 1.5% strain during the superelastic mechanical loading in tension taking place via the propagation of a localized martensite band.

  6. Visible GaAs/0.7/P/0.3/ CW heterojunction lasers

    NASA Technical Reports Server (NTRS)

    Kressel, H.; Olsen, G. H.; Nuese, C. J.

    1977-01-01

    The paper reports the first low-threshold red-light-emitting heterojunction laser diodes consisting of lattice-matched Ga(As,P)/(In,Ga)P heteroepitaxial layers. A room-temperature threshold current of 3400 A/sq cm was obtained at a wavelength of about 7000 A; this value is substantially lower than those achieved at this wavelength with (Al,Ga)As lasers. For the first time, continuous-wave laser operation at temperatures as high as 10 C has been obtained for GaAs(1-x)P(x).

  7. GaAs Solar Cells Grown on Unpolished, Spalled Ge Substrates: Preprint

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cavalli, Alessandro; Johnston, Steven; Sulas, Dana

    Decreasing the cost of single-crystal substrates by wafer reuse techniques has long been sought for III-V solar cells. Controlled spalling of III-V devices is a possible pathway for epitaxial liftoff, which would help reduce costs, but chemo- mechanical polishing after liftoff tends to limit the potential cost savings. Growth on an unpolished spalled surface would be an additional step toward lower costs, but it is crucial to show high efficiency solar cell devices on these unprocessed substrates. In this study, we spalled 2-inch Ge wafers using a Ni stressor layer, and then grew GaAs solar cells by HVPE on themore » spalled Ge surface without any other surface treatment. We show a 12.8% efficient single-junction device, without anti-reflection coating, with quantum efficiency very close to identical devices grown by HVPE on non-spalled GaAs substrates. Demonstrating a high carrier collection on unpolished spalled wafers is a step toward reducing substrate-related liftoff and reuse costs.« less

  8. Spotting 2D atomic layers on aluminum nitride thin films.

    PubMed

    Chandrasekar, Hareesh; Bharadwaj B, Krishna; Vaidyuala, Kranthi Kumar; Suran, Swathi; Bhat, Navakanta; Varma, Manoj; Srinivasan Raghavan

    2015-10-23

    Substrates for 2D materials are important for tailoring their fundamental properties and realizing device applications. Aluminum nitride (AIN) films on silicon are promising large-area substrates for such devices in view of their high surface phonon energies and reasonably large dielectric constants. In this paper epitaxial layers of AlN on 2″ Si wafers have been investigated as a necessary first step to realize devices from exfoliated or transferred atomic layers. Significant thickness dependent contrast enhancements are both predicted and observed for monolayers of graphene and MoS2 on AlN films as compared to the conventional SiO2 films on silicon, with calculated contrast values approaching 100% for graphene on AlN as compared to 8% for SiO2 at normal incidences. Quantitative estimates of experimentally measured contrast using reflectance spectroscopy show very good agreement with calculated values. Transistors of monolayer graphene on AlN films are demonstrated, indicating the feasibility of complete device fabrication on the identified layers.

  9. Atomic composition and electrical characteristics of epitaxial CVD diamond layers doped with boron

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Surovegina, E. A., E-mail: suroveginaka@ipmras.ru; Demidov, E. V.; Drozdov, M. N.

    2016-12-15

    The results of analysis of the atomic composition, doping level, and hole mobility in epitaxial diamond layers when doped with boron are reported. The layers are produced by chemical-vapor deposition. The possibilities of uniform doping with boron to a level in the range 5 × 10{sup 17} to ~10{sup 20} at cm{sup –3} and of δ doping to the surface concentration (0.3–5) × 10{sup 13} at cm{sup –3} are shown. The conditions for precision ion etching of the structures are determined, and barrier and ohmic contacts to the layers are formed.

  10. Initial evaluation and comparison of plasma damage to atomic layer carbon materials using conventional and low T{sub e} plasma sources

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jagtiani, Ashish V.; Miyazoe, Hiroyuki; Chang, Josephine

    2016-01-15

    The ability to achieve atomic layer precision is the utmost goal in the implementation of atomic layer etch technology. Carbon-based materials such as carbon nanotubes (CNTs) and graphene are single atomic layers of carbon with unique properties and, as such, represent the ultimate candidates to study the ability to process with atomic layer precision and assess impact of plasma damage to atomic layer materials. In this work, the authors use these materials to evaluate the atomic layer processing capabilities of electron beam generated plasmas. First, the authors evaluate damage to semiconducting CNTs when exposed to beam-generated plasmas and compare thesemore » results against the results using typical plasma used in semiconductor processing. The authors find that the beam generated plasma resulted in significantly lower current degradation in comparison to typical plasmas. Next, the authors evaluated the use of electron beam generated plasmas to process graphene-based devices by functionalizing graphene with fluorine, nitrogen, or oxygen to facilitate atomic layer deposition (ALD). The authors found that all adsorbed species resulted in successful ALD with varying impact on the transconductance of the graphene. Furthermore, the authors compare the ability of both beam generated plasma as well as a conventional low ion energy inductively coupled plasma (ICP) to remove silicon nitride (SiN) deposited on top of the graphene films. Our results indicate that, while both systems can remove SiN, an increase in the D/G ratio from 0.08 for unprocessed graphene to 0.22 to 0.26 for the beam generated plasma, while the ICP yielded values from 0.52 to 1.78. Generally, while some plasma-induced damage was seen for both plasma sources, a much wider process window as well as far less damage to CNTs and graphene was observed when using electron beam generated plasmas.« less

  11. Materials Research Society Symposium Proceedings Held in Boston, Massachusetts on 4-6 December 1991. Low Temperature (LT) GaAs and Related Materials. Volume 241.

    DTIC Science & Technology

    1992-09-14

    AIGaAs-GaAs QUANTUM WELLS GROWN ON LOW TEMPERATURE GaAs 239 Y. Hwang, D. Zhang, T. Zhang, M. Mytych, and R.M. Kolbas MOLECULAR BEAM EPITAXY OF LOW...GaA/ quantum wells : 24i howvever, in our case. AIks layers were not introduced. Formation (if these rows is most prolf,.+l influenced hb the diffusimon...regions. Preliminary investigations into this method have been performed using GaAs quantum wells between thick AIGaAs barriers as shown in Fig. 7. This

  12. Interface structure and composition of MoO3/GaAs(0 0 1)

    NASA Astrophysics Data System (ADS)

    Sarkar, Anirban; Ashraf, Tanveer; Grafeneder, Wolfgang; Koch, Reinhold

    2018-04-01

    We studied growth, structure, stress, oxidation state as well as surface and interface structure and composition of thermally-evaporated thin MoO3 films on the technologically important III/V-semiconductor substrate GaAs(0 0 1). The MoO3 films grow with Mo in the 6+  oxidation state. The electrical resistance is tunable by the oxygen partial pressure during deposition from transparent insulating to semi-transparant halfmetallic. In the investigated growth temperature range (room temperature to 200 °C) no diffraction spots are detected by x-ray diffraction. However, high resolution transmission electron microscopy reveals the formation of MoO3 nanocrystal grains with diameters of 5–8 nm. At the interface a  ≈3 nm-thick intermediate layer has formed, where the single-crystal lattice of GaAs gradually transforms to the nanocrystalline MoO3 structure. This interpretation is corroborated by our in situ and real-time stress measurements evidencing a two-stage growth process as well as by elemental interface analysis revealing coexistance of Ga, As, Mo, and oxygen in a intermediate layer of 3–4 nm.

  13. Structural modifications of silicon-implanted GaAs induced by the athermal annealing technique

    NASA Astrophysics Data System (ADS)

    Qadri, S. B.; Yousuf, M.; Kendziora, C. A.; Nachumi, B.; Fischer, R.; Grun, J.; Rao, M. V.; Tucker, J.; Siddiqui, S.; Ridgway, M. C.

    2004-12-01

    We have used high-resolution X-ray diffraction and Raman spectroscopy to investigate structural modifications inside and outside the focal region of Si-implanted GaAs samples that have been irradiated at high power by a focused short-pulse laser. Si atoms implanted into the GaAs matrix generate exciton-induced local lattice expansion, resulting in a satellite on the lower-angle side of the Bragg peak. After the laser pulse irradiation, surface features inside and outside the focal spot suggest the presence of Bernard convection cells, indicating that a rapid melting and re-crystallization has taken place. Moreover, the laser irradiation induces a compressive strain inside the focal spot, since the satellite appears on the higher-angle side of the Bragg peak. The stress maximizes at the center of the focal spot and extends far outside the irradiated area (approximately 2.5-mm away from the bull’s eye), suggesting the propagation of a laser-induced mechanical wave. The maximum compressive stress inside the focal spot corresponds to 2.7 GPa. Raman spectra inside the focal spot resemble that of pristine GaAs, indicating that rapid melting has introduced significant heterogeneity, with zones of high and low Si concentration. X-ray measurements indicate that areas inside the focal spot and annealed areas outside of the focal spot contain overtones of a minor tetragonal distortion of the lattice, consistent with the observed relaxation of Raman selection rules when compared with the parent zinc-blende structure.

  14. Electrode pattern design for GaAs betavoltaic batteries

    NASA Astrophysics Data System (ADS)

    Haiyang, Chen; Jianhua, Yin; Darang, Li

    2011-08-01

    The sensitivities of betavoltaic batteries and photovoltaic batteries to series and parallel resistance are studied. Based on the study, an electrode pattern design principle of GaAs betavoltaic batteries is proposed. GaAs PIN junctions with and without the proposed electrode pattern are fabricated and measured under the illumination of 63Ni. Results show that the proposed electrode can reduce the backscattering and shadowing for the beta particles from 63Ni to increase the GaAs betavoltaic battery short circuit currents effectively but has little impact on the fill factors and ideal factors.

  15. GaAs core--shell nanowires for photovoltaic applications.

    PubMed

    Czaban, Josef A; Thompson, David A; LaPierre, Ray R

    2009-01-01

    We report the use of Te as an n-type dopant in GaAs core-shell p-n junction nanowires for use in photovoltaic devices. Te produced significant change in the morphology of GaAs nanowires grown by the vapor-liquid-solid process in a molecular beam epitaxy system. The increase in radial growth of nanowires due to the surfactant effect of Te had a significant impact on the operating characteristics of photovoltaic devices. A decrease in solar cell efficiency occurred when the Te-doped GaAs growth duration was increased.

  16. Modeling and Simulation of Capacitance-Voltage Characteristics of a Nitride GaAs Schottky Diode

    NASA Astrophysics Data System (ADS)

    Ziane, Abderrezzaq; Amrani, Mohammed; Benamara, Zineb; Rabehi, Abdelaziz

    2018-06-01

    A nitride GaAs Schottky diode has been fabricated by the nitridation of GaAs substrates using a radio frequency discharge nitrogen plasma source with a layer thickness of approximately 0.7 nm of GaN. The capacitance-voltage (C-V) characteristics of the Au/GaN/GaAs structure were investigated at room temperature for different frequencies, ranging from 1 kHz to 1 MHz. The C-V measurements for the Au/GaN/GaAs Schottky diode were found to be strongly dependent on the bias voltage and the frequency. The capacitance curves depict an anomalous peak and a negative capacitance phenomenon, indicating the presence of continuous interface state density behavior. A numerical drift-diffusion model based on the Scharfetter-Gummel algorithm was elaborated to solve a system composed of the Poisson and continuities equations. In this model, we take into account the continuous interface state density, and we have considered exponential and Gaussian distributions of trap states in the band gap. The effects of the GaAs doping concentration and the trap state density are discussed. We deduce the shape and values of the trap states, then we validate the developed model by fitting the computed C-V curves with experimental measurements at low frequency.

  17. Fabrication of Nanolaminates with Ultrathin Nanolayers Using Atomic Layer Deposition: Nucleation & Growth Issues

    DTIC Science & Technology

    2009-02-01

    Tecnologia de Superficies y Materiales (SMCTSM), XXVII Congreso Nacional, Oaxaca, Oaxaca, Mexico, September 26, 2007. 26. "Atomic Layer Deposition of...Nanolaminates: Fabrication and Properties" (Plenary Lecture), Sociedad Mexicana de Ciencia y Tecnologia de Superficies y Materiales (SMCTSM), XXVII

  18. Understanding and Curing Structural Defects in Colloidal GaAs Nanocrystals

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Srivastava, Vishwas; Liu, Wenyong; Janke, Eric M.

    2017-02-22

    Nearly three decades since the first report on the synthesis of colloidal GaAs nanocrystals (NCs), the preparation and properties of this material remain highly controversial. Traditional synthetic routes either fail to produce the GaAs phase or result in materials that do not show expected optical properties such as excitonic transitions. In this work, we demonstrate a variety of synthetic routes toward crystalline GaAs NCs. By using a combination of Raman, EXAFS and transient absorption spectroscopies, we conclude that unusual optical properties of 2 colloidal GaAs NCs can be related to the presence of vacancies and lattice disorder. We introduce novelmore » molten salt based annealing approach to alleviate these structural defects and show the emergence of size-dependent excitonic transitions in colloidal GaAs quantum dots.« less

  19. Atomic layer-by-layer thermoelectric conversion in topological insulator bismuth/antimony tellurides.

    PubMed

    Sung, Ji Ho; Heo, Hoseok; Hwang, Inchan; Lim, Myungsoo; Lee, Donghun; Kang, Kibum; Choi, Hee Cheul; Park, Jae-Hoon; Jhi, Seung-Hoon; Jo, Moon-Ho

    2014-07-09

    Material design for direct heat-to-electricity conversion with substantial efficiency essentially requires cooperative control of electrical and thermal transport. Bismuth telluride (Bi2Te3) and antimony telluride (Sb2Te3), displaying the highest thermoelectric power at room temperature, are also known as topological insulators (TIs) whose electronic structures are modified by electronic confinements and strong spin-orbit interaction in a-few-monolayers thickness regime, thus possibly providing another degree of freedom for electron and phonon transport at surfaces. Here, we explore novel thermoelectric conversion in the atomic monolayer steps of a-few-layer topological insulating Bi2Te3 (n-type) and Sb2Te3 (p-type). Specifically, by scanning photoinduced thermoelectric current imaging at the monolayer steps, we show that efficient thermoelectric conversion is accomplished by optothermal motion of hot electrons (Bi2Te3) and holes (Sb2Te3) through 2D subbands and topologically protected surface states in a geometrically deterministic manner. Our discovery suggests that the thermoelectric conversion can be interiorly achieved at the atomic steps of a homogeneous medium by direct exploiting of quantum nature of TIs, thus providing a new design rule for the compact thermoelectric circuitry at the ultimate size limit.

  20. GaAs homojunction solar cell development

    NASA Technical Reports Server (NTRS)

    Flood, D. J.; Swartz, C. K.; Hart, R. E., Jr.

    1980-01-01

    The Lincoln Laboratory n(+)/p/p(+) GaAs shallow homojunction cell structure was successfully demonstrated on 2 by 2 cm GaAs substrates. Air mass zero efficiencies of the seven cells produced to date range from 13.6 to 15.6 percent. Current voltage (I-V) characteristics, spectral response, and measurements were made on all seven cells. Preliminary analysis of 1 MeV electron radiation damage data indicate excellent radiation resistance for these cells.

  1. Determination of n-Type Doping Level in Single GaAs Nanowires by Cathodoluminescence.

    PubMed

    Chen, Hung-Ling; Himwas, Chalermchai; Scaccabarozzi, Andrea; Rale, Pierre; Oehler, Fabrice; Lemaître, Aristide; Lombez, Laurent; Guillemoles, Jean-François; Tchernycheva, Maria; Harmand, Jean-Christophe; Cattoni, Andrea; Collin, Stéphane

    2017-11-08

    We present an effective method of determining the doping level in n-type III-V semiconductors at the nanoscale. Low-temperature and room-temperature cathodoluminescence (CL) measurements are carried out on single Si-doped GaAs nanowires. The spectral shift to higher energy (Burstein-Moss shift) and the broadening of luminescence spectra are signatures of increased electron densities. They are compared to the CL spectra of calibrated Si-doped GaAs layers, whose doping levels are determined by Hall measurements. We apply the generalized Planck's law to fit the whole spectra, taking into account the electron occupation in the conduction band, the bandgap narrowing, and band tails. The electron Fermi levels are used to determine the free electron concentrations, and we infer nanowire doping of 6 × 10 17 to 1 × 10 18  cm -3 . These results show that cathodoluminescence provides a robust way to probe carrier concentrations in semiconductors with the possibility of mapping spatial inhomogeneities at the nanoscale.

  2. Suppression of planar defects in the molecular beam epitaxy of GaAs/ErAs/GaAs heterostructures

    NASA Astrophysics Data System (ADS)

    Crook, Adam M.; Nair, Hari P.; Ferrer, Domingo A.; Bank, Seth R.

    2011-08-01

    We present a growth method that overcomes the mismatch in rotational symmetry of ErAs and conventional III-V semiconductors, allowing for epitaxially integrated semimetal/semiconductor heterostructures. Transmission electron microscopy and reflection high-energy electron diffraction reveal defect-free overgrowth of ErAs layers, consisting of >2× the total amount of ErAs that can be embedded with conventional layer-by-layer growth methods. We utilize epitaxial ErAs nanoparticles, overgrown with GaAs, as a seed to grow full films of ErAs. Growth proceeds by diffusion of erbium atoms through the GaAs spacer, which remains registered to the underlying substrate, preventing planar defect formation during subsequent GaAs growth. This growth method is promising for metal/semiconductor heterostructures that serve as embedded Ohmic contacts to epitaxial layers and epitaxially integrated active plasmonic devices.

  3. Study of subband electronic structure of Si δ-doped GaAs using magnetotransport measurements in tilted magnetic fields

    NASA Astrophysics Data System (ADS)

    Li, G.; Hauser, N.; Jagadish, C.; Antoszewski, J.; Xu, W.

    1996-06-01

    Si δ-doped GaAs grown by metal organic vapor phase epitaxy (MOVPE) is characterized using magnetotransport measurements in tilted magnetic fields. Angular dependence of the longitudinal magnetoresistance (Rxx) vs the magnetic field (B) traces in tilted magnetic fields is used to examine the existence of a quasi-two-dimensional electron gas. The subband electron densities (ni) are obtained applying fast Fourier transform (FFT) analysis to the Rxx vs B trace and using mobility spectrum (MS) analysis of the magnetic field dependent Hall data. Our results show that (1) the subband electron densities remain roughly constant when the tilted magnetic field with an angle <30° measured from the Si δ-doped plane normal is ramped up to 13 T; (2) FFT analysis of the Rxx vs B trace and MS analysis of the magnetic field dependent Hall data both give the comparable results on subband electron densities of Si δ-doped GaAs with low δ-doping concentration, however, for Si δ-doped GaAs with very high δ-doping concentration, the occupation of the lowest subbands cannot be well resolved in the MS analysis; (3) the highest subband electron mobility reported to date of 45 282 cm2/s V is observed in Si δ-doped GaAs at 77 K in the dark; and (4) the subband electron densities of Si δ-doped GaAs grown by MOVPE at 700 °C are comparable to those grown by MBE at temperatures below 600 °C. A detailed study of magnetotransport properties of Si δ-doped GaAs in the parallel magnetic fields is then carried out to further confirm the subband electronic structures revealed by FFT and MS analysis. Our results are compared to theoretical calculation previously reported in literature. In addition, influence of different cap layer structures on subband electronic structures of Si δ-doped GaAs is observed and also discussed.

  4. Photoluminescence intensity enhancement of GaAs by vapor-deposited GaS - A rational approach to surface passivation

    NASA Technical Reports Server (NTRS)

    Jenkins, Phillip P.; Hepp, Aloysius F.; Power, Michael B.; Macinnes, Andrew N.; Barron, Andrew R.

    1993-01-01

    A two order-of-magnitude enhancement of photoluminescence intensity relative to untreated GaAs has been observed for GaAs surfaces coated with chemical vapor-deposited GaS. The increase in photoluminescence intensity can be viewed as an effective reduction in surface recombination velocity and/or band bending. The gallium cluster (/t-Bu/GaS)4 was used as a single-source precursor for the deposition of GaS thin films. The cubane core of the structurally-characterized precursor is retained in the deposited film producing a cubic phase. Furthermore, a near-epitaxial growth is observed for the GaS passivating layer. Films were characterized by transmission electron microscopy, X-ray powder diffraction, and X-ray photoelectron and Rutherford backscattering spectroscopies.

  5. Effects of doping impurity and growth orientation on dislocation generation in GaAs crystals grown from the melt: A qualitative finite-element study

    NASA Astrophysics Data System (ADS)

    Zhu, X. A.; Tsai, C. T.

    2000-09-01

    Dislocations in gallium arsenide (GaAs) crystals are generated by excessive thermal stresses induced during the crystal growth process. The presence of dislocations has adverse effects on the performance and reliability of the GaAs-based devices. It is well known that dislocation density can be significantly reduced by doping impurity atoms into a GaAs crystal during its growth process. A viscoplastic constitutive equation that couples the microscopic dislocation density with the macroscopic plastic deformation is employed in a crystallographic finite element model for calculating the dislocation density generated in the GaAs crystal during its growth process. The dislocation density is considered as an internal state variable and the drag stress caused by doping impurity is included in this constitutive equation. A GaAs crystal grown by the vertical Bridgman process is adopted as an example to study the influences of doping impurity and growth orientation on dislocation generation. The calculated results show that doping impurity can significantly reduce the dislocation density generated in the crystal. The level of reduction is also influenced by the growth orientation during the crystal growth process.

  6. Gate Drain Underlapped-PNIN-GAA-TFET for Comprehensively Upgraded Analog/RF Performance

    NASA Astrophysics Data System (ADS)

    Madan, Jaya; Chaujar, Rishu

    2017-02-01

    This work integrates the merits of gate-drain underlapping (GDU) and N+ source pocket on cylindrical gate all around tunnel FET (GAA-TFET) to form GDU-PNIN-GAA-TFET. It is analysed that the source pocket located at the source-channel junction narrows the tunneling barrier width at the tunneling junction and thereby enhances the ON-state current of GAA-TFET. Further, it is obtained that the GDU resists the extension of carrier density (built-up under the gated region) towards the drain side (under the underlapped length), thereby suppressing the ambipolar current and reducing the parasitic capacitances of GAA-TFET. Consequently, the amalgamated merits of both engineering schemes are obtained in GDU-PNIN-GAA-TFET that thus conquers the greatest challenges faced by TFET. Thus, GDU-PNIN-GAA-TFET results in an up-gradation in the overall performance of GAA-TFET. Moreover, it is realised that the RF figure of merits FOMs such as cut-off frequency (fT) and maximum oscillation frequency (fMAX) are also considerably improved with integration of source pocket on GAA-TFET. Thus, the improved analog and RF performance of GDU-PNIN-GAA-TFET makes it ideal for low power and high-speed applications.

  7. Monolithic barrier-all-around high electron mobility transistor with planar GaAs nanowire channel.

    PubMed

    Miao, Xin; Zhang, Chen; Li, Xiuling

    2013-06-12

    High-quality growth of planar GaAs nanowires (NWs) with widths as small as 35 nm is realized by comprehensively mapping the parameter space of group III flow, V/III ratio, and temperature as the size of the NWs scales down. Using a growth mode modulation scheme for the NW and thin film barrier layers, monolithically integrated AlGaAs barrier-all-around planar GaAs NW high electron mobility transistors (NW-HEMTs) are achieved. The peak extrinsic transconductance, drive current, and effective electron velocity are 550 μS/μm, 435 μA/μm, and ~2.9 × 10(7) cm/s, respectively, at 2 V supply voltage with a gate length of 120 nm. The excellent DC performance demonstrated here shows the potential of this bottom-up planar NW technology for low-power high-speed very-large-scale-integration (VLSI) circuits.

  8. Influence of atomic layer deposition valve temperature on ZrN plasma enhanced atomic layer deposition growth

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Muneshwar, Triratna, E-mail: muneshwa@ualberta.ca; Cadien, Ken

    2015-11-15

    Atomic layer deposition (ALD) relies on a sequence of self-limiting surface reactions for thin film growth. The effect of non-ALD side reactions, from insufficient purging between pulses and from precursor self-decomposition, on film growth is well known. In this article, precursor condensation within an ALD valve is described, and the effect of the continuous precursor source from condensate evaporation on ALD growth is discussed. The influence of the ALD valve temperature on growth and electrical resistivity of ZrN plasma enhanced ALD (PEALD) films is reported. Increasing ALD valve temperature from 75 to 95 °C, with other process parameters being identical, decreasedmore » both the growth per cycle and electrical resistivity (ρ) of ZrN PEALD films from 0.10 to 0.07 nm/cycle and from 560 to 350 μΩ cm, respectively. Our results show that the non-ALD growth resulting from condensate accumulation is eliminated at valve temperatures close to the pressure corrected boiling point of precursor.« less

  9. High Quality GaAs Growth by MBE on Si Using GeSi Buffers and Prospects for Space Photovoltaics

    NASA Technical Reports Server (NTRS)

    Carlin, J. A.; Ringel, S. A.; Fitzgerald, E. A.; Bulsara, M.

    2005-01-01

    III-V solar cells on Si substrates are of interest for space photovoltaics since this would combine high performance space cells with a strong, lightweight and inexpensive substrate. However, the primary obstacles blocking III-V/Si cells from achieving high performance to date have been fundamental materials incompatabilities, namely the 4% lattice mismatch between GaAs and Si, and the large mismatch in thermal expansion coefficient. In this paper, we report on the molecular beam epitaxial (MBE) growth and properties of GaAs layers and single junction GaAs cells on Si wafers which utilize compositionally graded GeSi Intermediate buffers grown by ultra-high vacuum chemical vapor deposition (UHVCVD) to mitigate the large lattice mismatch between GaAs and Si. Ga As cell structures were found to incorporate a threading dislocation density of 0.9-1.5 x 10 (exp 6) per square centimeter, identical to the underlying relaxed Ge cap of the graded buffer, via a combination of transmission electron microscopy, electron beam induced current, and etch pit density measurements. AlGaAs/GaAs double heterostructures wre grown on the GeSi/Si substrates for time-resolved photoluminescence measurements, which revealed a bulk GaAs minority carrier lifetime in excess of 10 ns, the highest lifetime ever reported for GaAs on Si. A series of growth were performed to ass3ss the impact of a GaAs buffer to a thickness of only 0.1 micrometer. Secondary ion mass spectroscopy studies revealed that there is negligible cross diffusion of Ga, As and Ge at he III-V/Ge interface, identical to our earlier findings for GaAs grown on Ge wafers using MBE. This indicates that there is no need for a buffer to "bury" regions of high autodopjing,a nd that either pn or np configuration cells are easily accomodated by these substrates. Preliminary diodes and single junction Al Ga As heteroface cells were grown and fabricated on the Ge/GeSi/Si substrates for the first time. Diodes fabricated on GaAs, Ge and Ge

  10. Growth of InAs Quantum Dots on GaAs (511)A Substrates: The Competition between Thermal Dynamics and Kinetics.

    PubMed

    Wen, Lei; Gao, Fangliang; Zhang, Shuguang; Li, Guoqiang

    2016-08-01

    The growth process of InAs quantum dots grown on GaAs (511)A substrates has been studied by atomic force microscopy. According to the atomic force microscopy studies for quantum dots grown with varying InAs coverage, a noncoherent nucleation of quantum dots is observed. Moreover, due to the long migration length of In atoms, the Ostwald ripening process is aggravated, resulting in the bad uniformity of InAs quantum dots on GaAs (511)A. In order to improve the uniformity of nucleation, the growth rate is increased. By studying the effects of increased growth rates on the growth of InAs quantum dots, it is found that the uniformity of InAs quantum dots is greatly improved as the growth rates increase to 0.14 ML s(-1) . However, as the growth rates increase further, the uniformity of InAs quantum dots becomes dual-mode, which can be attributed to the competition between Ostwald ripening and strain relaxation processes. The results in this work provide insights regarding the competition between thermal dynamical barriers and the growth kinetics in the growth of InAs quantum dots, and give guidance to improve the size uniformity of InAs quantum dots on (N11)A substrates. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  11. Multilayer-Grown Ultrathin Nanostructured GaAs Solar Cells as a Cost-Competitive Materials Platform for III-V Photovoltaics.

    PubMed

    Gai, Boju; Sun, Yukun; Lim, Haneol; Chen, Huandong; Faucher, Joseph; Lee, Minjoo L; Yoon, Jongseung

    2017-01-24

    Large-scale deployment of GaAs solar cells in terrestrial photovoltaics demands significant cost reduction for preparing device-quality epitaxial materials. Although multilayer epitaxial growth in conjunction with printing-based materials assemblies has been proposed as a promising route to achieve this goal, their practical implementation remains challenging owing to the degradation of materials properties and resulting nonuniform device performance between solar cells grown in different sequences. Here we report an alternative approach to circumvent these limitations and enable multilayer-grown GaAs solar cells with uniform photovoltaic performance. Ultrathin single-junction GaAs solar cells having a 300-nm-thick absorber (i.e., emitter and base) are epitaxially grown in triple-stack releasable multilayer assemblies by molecular beam epitaxy using beryllium as a p-type impurity. Microscale (∼500 × 500 μm 2 ) GaAs solar cells fabricated from respective device layers exhibit excellent uniformity (<3% relative) of photovoltaic performance and contact properties owing to the suppressed diffusion of p-type dopant as well as substantially reduced time of epitaxial growth associated with ultrathin device configuration. Bifacial photon management employing hexagonally periodic TiO 2 nanoposts and a vertical p-type metal contact serving as a metallic back-surface reflector together with specialized epitaxial design to minimize parasitic optical losses for efficient light trapping synergistically enable significantly enhanced photovoltaic performance of such ultrathin absorbers, where ∼17.2% solar-to-electric power conversion efficiency under simulated AM1.5G illumination is demonstrated from 420-nm-thick single-junction GaAs solar cells grown in triple-stack epitaxial assemblies.

  12. Phase time delay and Hartman effect in a one-dimensional photonic crystal with four-level atomic defect layer

    NASA Astrophysics Data System (ADS)

    Jamil, Rabia; Ali, Abu Bakar; Abbas, Muqaddar; Badshah, Fazal; Qamar, Sajid

    2017-08-01

    The Hartman effect is revisited using a Gaussian beam incident on a one-dimensional photonic crystal (1DPC) having a defect layer doped with four-level atoms. It is considered that each atom of the defect layer interacts with three driving fields, whereas a Gaussian beam of width w is used as a probe light to study Hartman effect. The atom-field interaction inside the defect layer exhibits electromagnetically induced transparency (EIT). The 1DPC acts as positive index material (PIM) and negative index material (NIM) corresponding to the normal and anomalous dispersion of the defect layer, respectively, via control of the phase associated with the driving fields and probe detuning. The positive and negative Hartman effects are noticed for PIM and NIM, respectively, via control of the relative phase corresponding to the driving fields and probe detuning. The advantage of using four-level EIT system is that a much smaller absorption of the transmitted beam occurs as compared to three-level EIT system corresponding to the anomalous dispersion, leading to negative Hartman effect.

  13. Panel fabrication utilizing GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Mardesich, N.

    1984-01-01

    The development of the GaAs solar cells for space applications is described. The activities in the fabrication of GaAs solar panels are outlined. Panels were fabricated while introducing improved quality control, soldering laydown and testing procedures. These panels include LIPS II, San Marco Satellite, and a low concentration panel for Rockwells' evaluation. The panels and their present status are discussed.

  14. Highly Oriented Atomically Thin Ambipolar MoSe2 Grown by Molecular Beam Epitaxy

    PubMed Central

    2017-01-01

    Transition metal dichalcogenides (TMDCs), together with other two-dimensional (2D) materials, have attracted great interest due to the unique optical and electrical properties of atomically thin layers. In order to fulfill their potential, developing large-area growth and understanding the properties of TMDCs have become crucial. Here, we have used molecular beam epitaxy (MBE) to grow atomically thin MoSe2 on GaAs(111)B. No intermediate compounds were detected at the interface of as-grown films. Careful optimization of the growth temperature can result in the growth of highly aligned films with only two possible crystalline orientations due to broken inversion symmetry. As-grown films can be transferred onto insulating substrates, allowing their optical and electrical properties to be probed. By using polymer electrolyte gating, we have achieved ambipolar transport in MBE-grown MoSe2. The temperature-dependent transport characteristics can be explained by the 2D variable-range hopping (2D-VRH) model, indicating that the transport is strongly limited by the disorder in the film. PMID:28530829

  15. Heterostructures of metamorphic GaInAs photovoltaic converters fabricated by MOCVD on GaAs substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mintairov, S. A., E-mail: mintairov@scell.ioffe.ru; Emelyanov, V. M.; Rybalchenko, D. V.

    Heterostructures of metamorphic GaInAs photovoltaic converters (PVCs) are on GaAs substrates by the metal-organic chemical vapor deposition (MOCVD) method. It is shown that using a multilayer metamorphic buffer with a step of 2.5% in indium content and layer thicknesses of 120 nm provides the high quality of bulk layers subsequently grown on the buffer up to an indium content of 24%. PVCs with a long-wavelength photosensitivity edge up to 1300 nm and a quantum efficiency of ~80% in the spectral range 1050–1100 nm are fabricated. Analysis of the open-circuit voltage of the PVCs and diffusion lengths of minority carriers inmore » the layers demonstrates that the density of misfit dislocations penetrating into the bulk layers increases at an indium content exceeding 10%.« less

  16. Enhancing of catalytic properties of vanadia via surface doping with phosphorus using atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Strempel, Verena E.; Naumann d'Alnoncourt, Raoul, E-mail: r.naumann@bascat.tu-berlin.de; Löffler, Daniel

    2016-01-15

    Atomic layer deposition is mainly used to deposit thin films on flat substrates. Here, the authors deposit a submonolayer of phosphorus on V{sub 2}O{sub 5} in the form of catalyst powder. The goal is to prepare a model catalyst related to the vanadyl pyrophosphate catalyst (VO){sub 2}P{sub 2}O{sub 7} industrially used for the oxidation of n-butane to maleic anhydride. The oxidation state of vanadium in vanadyl pyrophosphate is 4+. In literature, it was shown that the surface of vanadyl pyrophosphate contains V{sup 5+} and is enriched in phosphorus under reaction conditions. On account of this, V{sub 2}O{sub 5} with themore » oxidation state of 5+ for vanadium partially covered with phosphorus can be regarded as a suitable model catalyst. The catalytic performance of the model catalyst prepared via atomic layer deposition was measured and compared to the performance of catalysts prepared via incipient wetness impregnation and the original V{sub 2}O{sub 5} substrate. It could be clearly shown that the dedicated deposition of phosphorus by atomic layer deposition enhances the catalytic performance of V{sub 2}O{sub 5} by suppression of total oxidation reactions, thereby increasing the selectivity to maleic anhydride.« less

  17. Effect of Sb in thick InGaAsSbN layers grown by liquid phase epitaxy

    NASA Astrophysics Data System (ADS)

    Donchev, V.; Milanova, M.; Asenova, I.; Shtinkov, N.; Alonso-Álvarez, D.; Mellor, A.; Karmakov, Y.; Georgiev, S.; Ekins-Daukes, N.

    2018-02-01

    Dilute nitride InGaAsSbN layers grown by low-temperature liquid phase epitaxy are studied in comparison with quaternary InGaAsN layers grown at the same growth conditions to understand the effect of Sb in the alloy. The lattice mismatch to the GaAs substrate is found to be slightly larger for the InGaAsSbN layers, which is explained by the large atomic radius of Sb. A reduction of the band gap energy with respect to InGaAsN is demonstrated by means of photoluminescence (PL), surface photovoltage (SPV) spectroscopy and tight-binding calculations. The band-gap energies determined from PL and ellipsometry measurements are in good agreement, while the SPV spectroscopy and the tight-binding calculations provide lower values. Possible reasons for these discrepancies are discussed. The PL spectra reveal localized electronic states in the band gap near the conduction band edge, which is confirmed by SPV spectroscopy. The analysis of the power dependence of the integrated PL has allowed determining the dominant radiative recombination mechanisms in the layers. The values of the refraction index in a wide spectral region are found to be higher for the Sb containing layers.

  18. Atomic Layer Deposited (ALD) coatings for future astronomical telescopes: recent developments

    NASA Astrophysics Data System (ADS)

    Moore, Christopher Samuel; Hennessy, John; Jewell, April D.; Nikzad, Shouleh; France, Kevin

    2016-07-01

    Atomic Layer Deposition (ALD) can create conformal, near stoichiometric and pinhole free transmissive metal fluoride coatings to protect reflective aluminum films. Spectral performance of astronomical mirror coatings strongly affect the science capabilities of astronomical satellite missions. We are utilizing ALD to create a transmissive overcoat to protect aluminum film mirrors from oxidation with the goal of achieving high reflectance (> 80%) from the UV ( 100 nm) to the IR ( 2,000 nm). This paper summarizes the recent developments of ALD aluminum fluoride (AlF3) coatings on Al. Reflectance measurements of aluminum mirrors protected by ALD AlF3 and future applications are discussed. These measurements demonstrate that Al + ALD AlF3, even with an interfacial oxide layer of a few nanometers, can provide higher reflectance than Al protected by traditional physical vapor deposited MgF2 without an oxide layer, below 115 nm.

  19. Possibility of a quasi-liquid layer of As on GaAs substrate grown by MBE as observed by enhancement of Ga desorption at high As pressure

    NASA Astrophysics Data System (ADS)

    Asai, K.; Feng, J. M.; Vaccaro, P. O.; Fujita, K.; Ohachi, T.

    2000-06-01

    The As vapor pressure dependence of the Ga desorption rate during molecular beam epitaxy (MBE) growth on GaAs( n11)A ( n=1-4 hereafter) substrates was studied by photoluminescence (PL) measurements at 12 K for undoped AlGaAs/GaAs asymmetric double quantum wells (ADQWs). Reflection high energy electron diffraction (RHEED) oscillation measurements on a GaAs(100) surface were also used. Two K-cells of As solid sources (corresponding to beam equivalent pressures (BEPs) of 9.0×10 -6 and 4.5×10 -5 Torr) were used to change the As pressure rapidly. The Ga flux and substrate temperature were kept constant at 0.76 ML/s and 12 K, respectively, while the As flux changed from 7.6 (BEP 9.0×10 -6 Torr) to 32 ML/s (4.5×10 -5 Torr). With increasing As pressure, two separated PL peaks for the wide well (WW) of high index substrates were observed. This peak separation is attributed to a reduced well depth from an increasing Ga desorption rate. The energy differences of the PL peak depending on the off-angle from (111)A to (100) plane indicates an orientation-dependent Ga desorption rate. Moreover, amongst all ( n11)A and (100) planes, the Ga desorption rate was smallest from the (111)A surface. The increase of Ga desorption from the surface at high As pressures probably arose from an increasing coverage with a quasi-liquid layer (QLL).

  20. Atom-Scale Mechanisms for Unstable Growth on Patterned GaAs(001)

    NASA Astrophysics Data System (ADS)

    Tadayyon-Eslami, Tabassom; Kan, Hung-Chih; Calhoun, Lynn; Phaneuf, Ray

    2007-03-01

    Molecular beam epitaxy on patterned GaAs(001) under standard conditions of temperature (˜600 C), rate (˜ 0.3 nm/s) and flux ratio (As2/Ga˜10:1) leads to a transient instability toward perturbation of the flat surface [1]. Lowering the temperature through approximately 540^oC, roughly coincident with the preroughening temperature changes the mode of this instability [2]; however, as we show in this talk, observations of the As2 flux dependence rule out both preroughening and a reconstructive phase transition as driving the growth mode change. Instead, we find evidence that the change in unstable growth mode can be explained by a competition between decreased adatom collection rate on small terraces and a small anisotropic multi-step Ehrlich-Schwoebel barrier. We relate these effects to the up-down symmetry breaking term which commonly appears in continuum equations for growth. [1] H.-C. Kan, S. Shah, T. Tadayyon-Eslami and R.J. Phaneuf, Phys. Rev. Lett., 92, 146101 (2004). [2] T. Tadayyon-Eslami, H.-C. Kan, L. C. Calhoun and R. J. Phaneuf, Phys. Rev. Lett., 97, 126101 (2006).

  1. Comparisons of single event vulnerability of GaAs SRAMS

    NASA Astrophysics Data System (ADS)

    Weatherford, T. R.; Hauser, J. R.; Diehl, S. E.

    1986-12-01

    A GaAs MESFET/JFET model incorporated into SPICE has been used to accurately describe C-EJFET, E/D MESFET and D MESFET/resistor GaAs memory technologies. These cells have been evaluated for critical charges due to gate-to-drain and drain-to-source charge collection. Low gate-to-drain critical charges limit conventional GaAs SRAM soft error rates to approximately 1E-6 errors/bit-day. SEU hardening approaches including decoupling resistors, diodes, and FETs have been investigated. Results predict GaAs RAM cell critical charges can be increased to over 0.1 pC. Soft error rates in such hardened memories may approach 1E-7 errors/bit-day without significantly reducing memory speed. Tradeoffs between hardening level, performance and fabrication complexity are discussed.

  2. Prediction of dislocation generation during Bridgman growth of GaAs crystals

    NASA Technical Reports Server (NTRS)

    Tsai, C. T.; Yao, M. W.; Chait, Arnon

    1992-01-01

    Dislocation densities are generated in GaAs single crystals due to the excessive thermal stresses induced by temperature variations during growth. A viscoplastic material model for GaAs, which takes into account the movement and multiplication of dislocations in the plastic deformation, is developed according to Haasen's theory. The dislocation density is expressed as an internal state variable in this dynamic viscoplastic model. The deformation process is a nonlinear function of stress, strain rate, dislocation density and temperature. The dislocation density in the GaAs crystal during vertical Bridgman growth is calculated using a nonlinear finite element model. The dislocation multiplication in GaAs crystals for several temperature fields obtained from thermal modeling of both the GTE GaAs experimental data and artificially designed data are investigated.

  3. Prediction of dislocation generation during Bridgman growth of GaAs crystals

    NASA Astrophysics Data System (ADS)

    Tsai, C. T.; Yao, M. W.; Chait, Arnon

    1992-11-01

    Dislocation densities are generated in GaAs single crystals due to the excessive thermal stresses induced by temperature variations during growth. A viscoplastic material model for GaAs, which takes into account the movement and multiplication of dislocations in the plastic deformation, is developed according to Haasen's theory. The dislocation density is expressed as an internal state variable in this dynamic viscoplastic model. The deformation process is a nonlinear function of stress, strain rate, dislocation density and temperature. The dislocation density in the GaAs crystal during vertical Bridgman growth is calculated using a nonlinear finite element model. The dislocation multiplication in GaAs crystals for several temperature fields obtained from thermal modeling of both the GTE GaAs experimental data and artificially designed data are investigated.

  4. Self-cleaning and surface chemical reactions during hafnium dioxide atomic layer deposition on indium arsenide.

    PubMed

    Timm, Rainer; Head, Ashley R; Yngman, Sofie; Knutsson, Johan V; Hjort, Martin; McKibbin, Sarah R; Troian, Andrea; Persson, Olof; Urpelainen, Samuli; Knudsen, Jan; Schnadt, Joachim; Mikkelsen, Anders

    2018-04-12

    Atomic layer deposition (ALD) enables the ultrathin high-quality oxide layers that are central to all modern metal-oxide-semiconductor circuits. Crucial to achieving superior device performance are the chemical reactions during the first deposition cycle, which could ultimately result in atomic-scale perfection of the semiconductor-oxide interface. Here, we directly observe the chemical reactions at the surface during the first cycle of hafnium dioxide deposition on indium arsenide under realistic synthesis conditions using photoelectron spectroscopy. We find that the widely used ligand exchange model of the ALD process for the removal of native oxide on the semiconductor and the simultaneous formation of the first hafnium dioxide layer must be significantly revised. Our study provides substantial evidence that the efficiency of the self-cleaning process and the quality of the resulting semiconductor-oxide interface can be controlled by the molecular adsorption process of the ALD precursors, rather than the subsequent oxide formation.

  5. Atomic layer deposition of two dimensional MoS{sub 2} on 150 mm substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Valdivia, Arturo; Conley, John F., E-mail: jconley@eecs.oregonstate.edu; Tweet, Douglas J.

    2016-03-15

    Low temperature atomic layer deposition (ALD) of monolayer to few layer MoS{sub 2} uniformly across 150 mm diameter SiO{sub 2}/Si and quartz substrates is demonstrated. Purge separated cycles of MoCl{sub 5} and H{sub 2}S precursors are used at reactor temperatures of up to 475 °C. Raman scattering studies show clearly the in-plane (E{sup 1}{sub 2g}) and out-of-plane (A{sub 1g}) modes of MoS{sub 2}. The separation of the E{sup 1}{sub 2g} and A{sub 1g} peaks is a function of the number of ALD cycles, shifting closer together with fewer layers. X-ray photoelectron spectroscopy indicates that stoichiometry is improved by postdeposition annealing in amore » sulfur ambient. High resolution transmission electron microscopy confirms the atomic spacing of monolayer MoS{sub 2} thin films.« less

  6. Characterization of atomic-layer MoS2 synthesized using a hot filament chemical vapor deposition method

    NASA Astrophysics Data System (ADS)

    Ying-Zi, Peng; Yang, Song; Xiao-Qiang, Xie; Yuan, Li; Zheng-Hong, Qian; Ru, Bai

    2016-05-01

    Atomic-layer MoS2 ultrathin films are synthesized using a hot filament chemical vapor deposition method. A combination of atomic force microscopy (AFM), x-ray diffraction (XRD), high-resolution transition electron microscopy (HRTEM), photoluminescence (PL), and x-ray photoelectron spectroscopy (XPS) characterization methods is applied to investigate the crystal structures, valence states, and compositions of the ultrathin film areas. The nucleation particles show irregular morphology, while for a larger size somewhere, the films are granular and the grains have a triangle shape. The films grow in a preferred orientation (002). The HRTEM images present the graphene-like structure of stacked layers with low density of stacking fault, and the interlayer distance of plane is measured to be about 0.63 nm. It shows a clear quasi-honeycomb-like structure and 6-fold coordination symmetry. Room-temperature PL spectra for the atomic layer MoS2 under the condition of right and left circular light show that for both cases, the A1 and B1 direct excitonic transitions can be observed. In the meantime, valley polarization resolved PL spectra are obtained. XPS measurements provide high-purity samples aside from some contaminations from the air, and confirm the presence of pure MoS2. The stoichiometric mole ratio of S/Mo is about 2.0-2.1, suggesting that sulfur is abundant rather than deficient in the atomic layer MoS2 under our experimental conditions. Project supported by the Natural Science Foundation of Zhejiang Province, China (Grant Nos. LY16F040003 and LY16A040007) and the National Natural Science Foundation of China (Grant Nos. 51401069 and 11574067).

  7. Damage evaluation in graphene underlying atomic layer deposition dielectrics

    PubMed Central

    Tang, Xiaohui; Reckinger, Nicolas; Poncelet, Olivier; Louette, Pierre; Ureña, Ferran; Idrissi, Hosni; Turner, Stuart; Cabosart, Damien; Colomer, Jean-François; Raskin, Jean-Pierre; Hackens, Benoit; Francis, Laurent A.

    2015-01-01

    Based on micro-Raman spectroscopy (μRS) and X-ray photoelectron spectroscopy (XPS), we study the structural damage incurred in monolayer (1L) and few-layer (FL) graphene subjected to atomic-layer deposition of HfO2 and Al2O3 upon different oxygen plasma power levels. We evaluate the damage level and the influence of the HfO2 thickness on graphene. The results indicate that in the case of Al2O3/graphene, whether 1L or FL graphene is strongly damaged under our process conditions. For the case of HfO2/graphene, μRS analysis clearly shows that FL graphene is less disordered than 1L graphene. In addition, the damage levels in FL graphene decrease with the number of layers. Moreover, the FL graphene damage is inversely proportional to the thickness of HfO2 film. Particularly, the bottom layer of twisted bilayer (t-2L) has the salient features of 1L graphene. Therefore, FL graphene allows for controlling/limiting the degree of defect during the PE-ALD HfO2 of dielectrics and could be a good starting material for building field effect transistors, sensors, touch screens and solar cells. Besides, the formation of Hf-C bonds may favor growing high-quality and uniform-coverage dielectric. HfO2 could be a suitable high-K gate dielectric with a scaling capability down to sub-5-nm for graphene-based transistors. PMID:26311131

  8. Preparation of gallium nitride surfaces for atomic layer deposition of aluminum oxide

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kerr, A. J.; Department of Chemistry and Biochemistry, University of California, San Diego, La Jolla, California 92093; Chagarov, E.

    2014-09-14

    A combined wet and dry cleaning process for GaN(0001) has been investigated with XPS and DFT-MD modeling to determine the molecular-level mechanisms for cleaning and the subsequent nucleation of gate oxide atomic layer deposition (ALD). In situ XPS studies show that for the wet sulfur treatment on GaN(0001), sulfur desorbs at room temperature in vacuum prior to gate oxide deposition. Angle resolved depth profiling XPS post-ALD deposition shows that the a-Al{sub 2}O{sub 3} gate oxide bonds directly to the GaN substrate leaving both the gallium surface atoms and the oxide interfacial atoms with XPS chemical shifts consistent with bulk-like charge.more » These results are in agreement with DFT calculations that predict the oxide/GaN(0001) interface will have bulk-like charges and a low density of band gap states. This passivation is consistent with the oxide restoring the surface gallium atoms to tetrahedral bonding by eliminating the gallium empty dangling bonds on bulk terminated GaN(0001)« less

  9. Core-shell-structured nanothermites synthesized by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Qin, Lijun; Gong, Ting; Hao, Haixia; Wang, Keyong; Feng, Hao

    2013-12-01

    Thermite materials feature very exothermic solid-state redox reactions. However, the energy release rates of traditional thermite mixtures are limited by the reactant diffusion velocities. In this work, atomic layer deposition (ALD) is utilized to synthesize thermite materials with greatly enhanced reaction rates. By depositing certain types of metal oxides (oxidizers) onto a commercial Al nanopowder, core-shell-structured nanothermites can be produced. The average film deposition rate on the Al nanopowder is 0.17 nm/cycle for ZnO and 0.031 nm/cycle for SnO2. The thickness of the oxidizer layer can be precisely controlled by adjusting the ALD cycle number. The compositions, morphologies, and structures of the ALD nanothermites are characterized by X-ray photoelectron spectroscopy, scanning electron microscopy, and high-resolution transmission electron microscopy. The characterization results reveal nearly perfect coverage of the Al nanoparticles by uniform ALD oxidizer layers and confirm the formation of core-shell nanoparticles. Combustion properties of the nanothermites are probed by laser ignition technique. Reactions of the core-shell-structured nanothermites are several times faster than the mixture of nanopowders. The promoted reaction rate is mostly attributed to the uniform distribution of reactants on the nanometer scale. These core-shell-structured nanothermites provide a potential pathway to control and enhance thermite reactions.

  10. Atom-scale depth localization of biologically important chemical elements in molecular layers.

    PubMed

    Schneck, Emanuel; Scoppola, Ernesto; Drnec, Jakub; Mocuta, Cristian; Felici, Roberto; Novikov, Dmitri; Fragneto, Giovanna; Daillant, Jean

    2016-08-23

    In nature, biomolecules are often organized as functional thin layers in interfacial architectures, the most prominent examples being biological membranes. Biomolecular layers play also important roles in context with biotechnological surfaces, for instance, when they are the result of adsorption processes. For the understanding of many biological or biotechnologically relevant phenomena, detailed structural insight into the involved biomolecular layers is required. Here, we use standing-wave X-ray fluorescence (SWXF) to localize chemical elements in solid-supported lipid and protein layers with near-Ångstrom precision. The technique complements traditional specular reflectometry experiments that merely yield the layers' global density profiles. While earlier work mostly focused on relatively heavy elements, typically metal ions, we show that it is also possible to determine the position of the comparatively light elements S and P, which are found in the most abundant classes of biomolecules and are therefore particularly important. With that, we overcome the need of artificial heavy atom labels, the main obstacle to a broader application of high-resolution SWXF in the fields of biology and soft matter. This work may thus constitute the basis for the label-free, element-specific structural investigation of complex biomolecular layers and biological surfaces.

  11. Crystal growth of device quality GaAs in space

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.; Lagowski, J.

    1983-01-01

    GaAs device technology has recently reached a new phase of rapid advancement, made possible by the improvement of the quality of GaAs bulk crystals. At the same time, the transition to the next generation of GaAs integrated circuits and optoelectronic systems for commercial and government applications hinges on new quantum steps in three interrelated areas: crystal growth, device processing and device-related properties and phenomena. Special emphasis is placed on the establishment of quantitative relationships among crystal growth parameters-material properties-electronic properties and device applications. The overall program combines studies of crystal growth on novel approaches to engineering of semiconductor material (i.e., GaAs and related compounds); investigation and correlation of materials properties and electronic characteristics on a macro- and microscale; and investigation of electronic properties and phenomena controlling device applications and device performance.

  12. Quantitative analysis of the interplay between InAs quantum dots and wetting layer during the GaAs capping process

    NASA Astrophysics Data System (ADS)

    González, D.; Braza, V.; Utrilla, A. D.; Gonzalo, A.; Reyes, D. F.; Ben, T.; Guzman, A.; Hierro, A.; Ulloa, J. M.

    2017-10-01

    A procedure to quantitatively analyse the relationship between the wetting layer (WL) and the quantum dots (QDs) as a whole in a statistical way is proposed. As we will show in the manuscript, it allows determining, not only the proportion of deposited InAs held in the WL, but also the average In content inside the QDs. First, the amount of InAs deposited is measured for calibration in three different WL structures without QDs by two methodologies: strain mappings in high-resolution transmission electron microscopy images and compositional mappings with ChemiSTEM x-ray energy spectrometry. The area under the average profiles obtained by both methodologies emerges as the best parameter to quantify the amount of InAs in the WL, in agreement with high-resolution x-ray diffraction results. Second, the effect of three different GaAs capping layer (CL) growth rates on the decomposition of the QDs is evaluated. The CL growth rate has a strong influence on the QD volume as well as the WL characteristics. Slower CL growth rates produce an In enrichment of the WL if compared to faster ones, together with a diminution of the QD height. In addition, assuming that the QD density does not change with the different CL growth rates, an estimation of the average In content inside the QDs is given. The high Ga/In intermixing during the decomposition of buried QDs does not only trigger a reduction of the QD height, but above all, a higher impoverishment of the In content inside the QDs, therefore modifying the two most important parameters that determine the optical properties of these structures.

  13. Combined experimental and theoretical study of fast atom diffraction on the β2(2×4) reconstructed GaAs(001) surface

    NASA Astrophysics Data System (ADS)

    Debiossac, M.; Zugarramurdi, A.; Khemliche, H.; Roncin, P.; Borisov, A. G.; Momeni, A.; Atkinson, P.; Eddrief, M.; Finocchi, F.; Etgens, V. H.

    2014-10-01

    A grazing incidence fast atom diffraction (GIFAD or FAD) setup, installed on a molecular beam epitaxy chamber, has been used to characterize the β2(2×4) reconstruction of a GaAs(001) surface at 530∘C under an As4 overpressure. Using a 400-eV 4He beam, high-resolution diffraction patterns with up to eighty well-resolved diffraction orders are observed simultaneously, providing a detailed fingerprint of the surface structure. Experimental diffraction data are in good agreement with results from quantum scattering calculations based on an ab initio projectile-surface interaction potential. Along with exact calculations, we show that a straightforward semiclassical analysis allows the features of the diffraction chart to be linked to the main characteristics of the surface reconstruction topography. Our results demonstrate that GIFAD is a technique suitable for measuring in situ the subtle details of complex surface reconstructions. We have performed measurements at very small incidence angles, where the kinetic energy of the projectile motion perpendicular to the surface can be reduced to less than 1 meV. This allowed the depth of the attractive van der Waals potential well to be estimated as -8.7 meV in very good agreement with results reported in literature.

  14. Broadband atomic-layer MoS2 optical modulators for ultrafast pulse generations in the visible range.

    PubMed

    Zhang, Yuxia; Yu, Haohai; Zhang, Rui; Zhao, Gang; Zhang, Huaijin; Chen, Yanxue; Mei, Liangmo; Tonelli, Mauro; Wang, Jiyang

    2017-02-01

    Visible lasers are a fascinating regime, and their significance is illustrated by the 2014 Noble prizes in physics and chemistry. With the development of blue laser diodes (LDs), the LD-pumped solid-state visible lasers become a burgeoning direction today. Constrained by the scarce visible optical modulators, the solid-state ultrafast visible lasers are rarely realized. Based on the bandgap structure and optoelectronic properties of atomic-layer MoS2, it can be proposed that MoS2 has the potential as a visible optical modulator. Here, by originally revealing layer-dependent nonlinear absorption of the atomic-layer MoS2 in the visible range, broadband atomic-layer MoS2 optical modulators for the visible ultrafast pulse generation are developed and selected based on the proposed design criteria for novel two-dimensional (2D) optical modulators. By applying the selected MoS2 optical modulators in the solid-state praseodymium lasers, broadband mode-locked ultrafast lasers from 522 to 639 nm are originally realized. We believe that this Letter should promote the development of visible ultrafast photonics and further applications of 2D optoelectronic materials.

  15. Atomic Layer Deposition on Gram Quantities of Multi-Walled Carbon Nanotubes

    DTIC Science & Technology

    2009-06-03

    the amount of reactant that is lost to the vacuum pump . Recent work has demonstrated the feasibility of ALD on gram quantities of nanopowders in a...and left to outgas under vacuum for 24 h. Vacuum was obtained using a dual-stage rotary vane pump . Pressure was monitored with a Baratron capacitance...Atomic layer deposition on gram quantities of multi-walled carbon nanotubes This article has been downloaded from IOPscience. Please scroll down to

  16. Formation of III–V ternary solid solutions on GaAs and GaSb plates via solid-phase substitution reactions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vasil’ev, V. I.; Gagis, G. S., E-mail: galina.gagis@gmail.com; Kuchinskii, V. I.

    2015-07-15

    Processes are considered in which ultrathin layers of III–V ternary solid solutions are formed via the delivery of Group-V element vapors to GaAs and GaSb semiconductor plates, with solid-phase substitution reactions occurring in the surface layers of these plates. This method can form defect-free GaAs{sup 1–x}P{sup x}, GaAs{sup x}Sb{sup 1–x}, and GaP{sup x}Sb{sup 1–x} layers with thicknesses of 10–20 nm and a content x of the embedded components of up to 0.04.

  17. Buffer layer between a planar optical concentrator and a solar cell

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Solano, Manuel E.; Barber, Greg D.; Department of Chemistry, Pennsylvania State University, University Park, PA 16802

    2015-09-15

    The effect of inserting a buffer layer between a periodically multilayered isotropic dielectric (PMLID) material acting as a planar optical concentrator and a photovoltaic solar cell was theoretically investigated. The substitution of the photovoltaic material by a cheaper dielectric material in a large area of the structure could reduce the fabrication costs without significantly reducing the efficiency of the solar cell. Both crystalline silicon (c-Si) and gallium arsenide (GaAs) were considered as the photovoltaic material. We found that the buffer layer can act as an antireflection coating at the interface of the PMLID and the photovoltaic materials, and the structuremore » increases the spectrally averaged electron-hole pair density by 36% for c-Si and 38% for GaAs compared to the structure without buffer layer. Numerical evidence indicates that the optimal structure is robust with respect to small changes in the grating profile.« less

  18. Controlled Synthesis of Atomically Layered Hexagonal Boron Nitride via Chemical Vapor Deposition.

    PubMed

    Liu, Juanjuan; Kutty, R Govindan; Liu, Zheng

    2016-11-29

    Hexagonal boron nitrite (h-BN) is an attractive material for many applications including electronics as a complement to graphene, anti-oxidation coatings, light emitters, etc. However, the synthesis of high-quality h-BN is still a great challenge. In this work, via controlled chemical vapor deposition, we demonstrate the synthesis of h-BN films with a controlled thickness down to atomic layers. The quality of as-grown h-BN is confirmed by complementary characterizations including high-resolution transition electron microscopy, atomic force microscopy, Raman spectroscopy and X-ray photo-electron spectroscopy. This work will pave the way for production of large-scale and high-quality h-BN and its applications as well.

  19. Crystal Orientation Controlled Photovoltaic Properties of Multilayer GaAs Nanowire Arrays.

    PubMed

    Han, Ning; Yang, Zai-Xing; Wang, Fengyun; Yip, SenPo; Li, Dapan; Hung, Tak Fu; Chen, Yunfa; Ho, Johnny C

    2016-06-28

    In recent years, despite significant progress in the synthesis, characterization, and integration of various nanowire (NW) material systems, crystal orientation controlled NW growth as well as real-time assessment of their growth-structure-property relationships still presents one of the major challenges in deploying NWs for practical large-scale applications. In this study, we propose, design, and develop a multilayer NW printing scheme for the determination of crystal orientation controlled photovoltaic properties of parallel GaAs NW arrays. By tuning the catalyst thickness and nucleation and growth temperatures in the two-step chemical vapor deposition, crystalline GaAs NWs with uniform, pure ⟨110⟩ and ⟨111⟩ orientations and other mixture ratios can be successfully prepared. Employing lift-off resists, three-layer NW parallel arrays can be easily attained for X-ray diffraction in order to evaluate their growth orientation along with the fabrication of NW parallel array based Schottky photovoltaic devices for the subsequent performance assessment. Notably, the open-circuit voltage of purely ⟨111⟩-oriented NW arrayed cells is far higher than that of ⟨110⟩-oriented NW arrayed counterparts, which can be interpreted by the different surface Fermi level pinning that exists on various NW crystal surface planes due to the different As dangling bond densities. All this indicates the profound effect of NW crystal orientation on physical and chemical properties of GaAs NWs, suggesting the careful NW design considerations for achieving optimal photovoltaic performances. The approach presented here could also serve as a versatile and powerful platform for in situ characterization of other NW materials.

  20. Designing optical metamaterial with hyperbolic dispersion based on Al:ZnO/ZnO nano-layered structure using Atomic Layer Deposition technique

    DOE PAGES

    Kelly, Priscilla; Liu, Mingzhao; Kuznetsova, Lyuba

    2016-04-07

    In this study, nano-layered Al:ZnO/ZnO hyperbolic dispersion metamaterial with a large number of layers was fabricated using the atomic layer deposition (ALD) technique. Experimental dielectric functions for Al:ZnO/ZnO structures are obtained by an ellipsometry technique in the visible and near-infrared spectral ranges. The theoretical modeling of the Al:ZnO/ZnO dielectric permittivity is done using effective medium approximation. A method for analysis of spectroscopic ellipsometry data is demonstrated to extract the optical permittivity for this highly anisotropic nano-layered metamaterial. The results of the ellipsometry analysis show that Al:ZnO/ZnO structures with a 1:9 ALD cycle ratio exhibit hyperbolic dispersion transition change near 1.8more » μm wavelength.« less

  1. Long-term radiation effects on GaAs solar cell characteristics

    NASA Technical Reports Server (NTRS)

    Heinbockel, J. H.; Doviak, M. J.

    1978-01-01

    This report investigates preliminary design considerations which should be considered for a space experiment involving Gallium Arsenide (GaAs) solar cells. The electron radiation effects on GaAs solar cells were conducted in a laboratory environment, and a statistical analysis of the data is presented. In order to augment the limited laboratory data, a theoretical investigation of the effect of radiation on GaAs solar cells is also developed. The results of this study are empirical prediction equations which can be used to estimate the actual damage of electrical characteristics in a space environment. The experimental and theoretical studies also indicate how GaAs solar cell parameters should be designed in order to withstand the effects of electron radiation damage.

  2. The atomic geometries of GaP(110) and ZnS(110) revisited - A structural ambiguity and its resolution

    NASA Technical Reports Server (NTRS)

    Duke, C. B.; Paton, A.; Kahn, A.

    1984-01-01

    The atomic geometries of GaP(110) and ZnS(110) are reexamined using the R-factor minimization procedure, developed for GaAs(110) and previously applied to GaSb(110), ZnTe(110), InAs(110), and AlP(110), to analyze experimental elastic low-energy electron diffraction intensities. Unlike most of the earlier cases, both GaP(110) and ZnS(110) exhibit two distinct minimum-Rx structures which cannot be distinguished by analysis of the shapes of the intensity profiles alone. One region of best-fit structures exhibits top-layer displacements normal to the surface characterized by a small bond-length-conserving, top-layer rotation (omega aproximately 2-3 deg), a small relaxation of the top layer away from the surface, and a 10 percent expansion of the top-layer bond length. The other region of best-fit structures is the conventional one: nearly bond-length-conserving rotations of omega = 26-28 deg in the top layer and a small (approximately 0.1 A) contraction of the uppermost layer spacing. This ambiguity may be removed, however, by consideration of the integrated beam intensities. The conventional region of structural parameters provides a decisively better description of the relative magnitudes of the integrated beam intensities and hence is the preferred structure.

  3. Growth studies of erbium-doped GaAs deposited by metalorganic vapor phase epitaxy using noval cyclopentadienyl-based erbium sources

    NASA Technical Reports Server (NTRS)

    Redwing, J. M.; Kuech, T. F.; Gordon, D. C.; Vaartstra, B. A.; Lau, S. S.

    1994-01-01

    Erbium-doped GaAS layers were grown by metalorganic vapor phase epitaxy using two new sources, bis(i-propylcyclopentadienyl)cyclopentadienyl erbium and tris(t-butylcyclopentadienyl) erbium. Controlled Er doping in the range of 10(exp 17) - 10(exp 18)/cu cm was achieved using a relatively low source temperature of 90 C. The doping exhibits a second-order dependence on inlet source partial pressure, similar to behavior obtained with cyclopentadienyl Mg dopant sources. Equivalent amounts of oxygen and Er are present in 'as-grown' films indicating that the majority of Er dopants probably exist as Er-O complexes in the material. Er(+3) luminescence at 1.54 micrometers was measured from the as-grown films, but ion implantation of additional oxygen decreases the emission intensity. Electrical compensation of n-type GaAs layers codoped with Er and Si is directly correlated to the Er concentration is proposed to arise from the deep centers associated with Er which are responsible for a broad emission band near 0.90 micrometers present in the photoluminescence spectra of GaAs:Si, Er films.

  4. GaAs thin films and methods of making and using the same

    DOEpatents

    Boettcher, Shannon; Ritenour, Andrew; Boucher, Jason; Greenaway, Ann

    2016-06-14

    Disclosed herein are embodiments of methods for making GaAs thin films, such as photovoltaic GaAs thin films. The methods disclosed herein utilize sources, precursors, and reagents that do not produce (or require) toxic gas and that are readily available and relatively low in cost. In some embodiments, the methods are readily scalable for industrial applications and can provide GaAs thin films having properties that are at least comparable to or potentially superior to GaAs films obtained from conventional methods.

  5. Evaluation of atomic layer deposited alumina as a protective layer for domestic silver articles: Anti-corrosion test in artificial sweat

    NASA Astrophysics Data System (ADS)

    Park, Suk Won; Han, Gwon Deok; Choi, Hyung Jong; Prinz, Fritz B.; Shim, Joon Hyung

    2018-05-01

    This study evaluated the effectiveness of alumina fabricated by atomic layer deposition (ALD) as a protective coating for silver articles against the corrosion caused by body contact. An artificial sweat solution was used to simulate body contact. ALD alumina layers of varying thicknesses ranging from 20 to 80 nm were deposited on sputtered silver samples. The stability of the protective layer was evaluated by immersing the coated samples in the artificial sweat solution at 25 and 35 °C for 24 h. We confirmed that a sufficiently thick layer of ALD alumina is effective in protecting the shape and light reflectance of the underlying silver, whereas the uncoated bare silver is severely degraded by the artificial sweat solution. Inductively coupled plasma mass spectrometry and X-ray photoelectron spectroscopy were used for in-depth analyses of the chemical stability of the ALD-coated silver samples after immersion in the sweat solution.

  6. Atomic Layer Deposition Al2O3 Coatings Significantly Improve Thermal, Chemical, and Mechanical Stability of Anodic TiO2 Nanotube Layers

    PubMed Central

    2017-01-01

    We report on a very significant enhancement of the thermal, chemical, and mechanical stability of self-organized TiO2 nanotubes layers, provided by thin Al2O3 coatings of different thicknesses prepared by atomic layer deposition (ALD). TiO2 nanotube layers coated with Al2O3 coatings exhibit significantly improved thermal stability as illustrated by the preservation of the nanotubular structure upon annealing treatment at high temperatures (870 °C). In addition, a high anatase content is preserved in the nanotube layers against expectation of the total rutile conversion at such a high temperature. Hardness of the resulting nanotube layers is investigated by nanoindentation measurements and shows strongly improved values compared to uncoated counterparts. Finally, it is demonstrated that Al2O3 coatings guarantee unprecedented chemical stability of TiO2 nanotube layers in harsh environments of concentrated H3PO4 solutions. PMID:28291942

  7. CVD synthesis of large-area, highly crystalline MoSe2 atomic layers on diverse substrates and application to photodetectors.

    PubMed

    Xia, Jing; Huang, Xing; Liu, Ling-Zhi; Wang, Meng; Wang, Lei; Huang, Ben; Zhu, Dan-Dan; Li, Jun-Jie; Gu, Chang-Zhi; Meng, Xiang-Min

    2014-08-07

    Synthesis of large-area, atomically thin transition metal dichalcogenides (TMDs) on diverse substrates is of central importance for the large-scale fabrication of flexible devices and heterojunction-based devices. In this work, we successfully synthesized a large area of highly-crystalline MoSe2 atomic layers on SiO2/Si, mica and Si substrates using a simple chemical vapour deposition (CVD) method at atmospheric pressure. Atomic force microscopy (AFM) and Raman spectroscopy reveal that the as-grown ultrathin MoSe2 layers change from a single layer to a few layers. Photoluminescence (PL) spectroscopy demonstrates that while the multi-layer MoSe2 shows weak emission peaks, the monolayer has a much stronger emission peak at ∼ 1.56 eV, indicating the transition from an indirect to a direct bandgap. Transmission electron microscopy (TEM) analysis confirms the single-crystallinity of MoSe2 layers with a hexagonal structure. In addition, the photoresponse performance of photodetectors based on MoSe2 monolayer was studied for the first time. The devices exhibit a rapid response of ∼ 60 ms and a good photoresponsivity of ∼ 13 mA/W (using a 532 nm laser at an intensity of 1 mW mm(-2) and a bias of 10 V), suggesting that MoSe2 monolayer is a promising material for photodetection applications.

  8. Atomic Layer Deposition of Electron Selective SnOx and ZnO Films on Mixed Halide Perovskite: Compatibility and Performance.

    PubMed

    Hultqvist, Adam; Aitola, Kerttu; Sveinbjörnsson, Kári; Saki, Zahra; Larsson, Fredrik; Törndahl, Tobias; Johansson, Erik; Boschloo, Gerrit; Edoff, Marika

    2017-09-06

    The compatibility of atomic layer deposition directly onto the mixed halide perovskite formamidinium lead iodide:methylammonium lead bromide (CH(NH 2 ) 2 , CH 3 NH 3 )Pb(I,Br) 3 (FAPbI 3 :MAPbBr 3 ) perovskite films is investigated by exposing the perovskite films to the full or partial atomic layer deposition processes for the electron selective layer candidates ZnO and SnO x . Exposing the samples to the heat, the vacuum, and even the counter reactant of H 2 O of the atomic layer deposition processes does not appear to alter the perovskite films in terms of crystallinity, but the choice of metal precursor is found to be critical. The Zn precursor Zn(C 2 H 5 ) 2 either by itself or in combination with H 2 O during the ZnO atomic layer deposition (ALD) process is found to enhance the decomposition of the bulk of the perovskite film into PbI 2 without even forming ZnO. In contrast, the Sn precursor Sn(N(CH 3 ) 2 ) 4 does not seem to degrade the bulk of the perovskite film, and conformal SnO x films can successfully be grown on top of it using atomic layer deposition. Using this SnO x film as the electron selective layer in inverted perovskite solar cells results in a lower power conversion efficiency of 3.4% than the 8.4% for the reference devices using phenyl-C 70 -butyric acid methyl ester. However, the devices with SnO x show strong hysteresis and can be pushed to an efficiency of 7.8% after biasing treatments. Still, these cells lacks both open circuit voltage and fill factor compared to the references, especially when thicker SnO x films are used. Upon further investigation, a possible cause of these losses could be that the perovskite/SnO x interface is not ideal and more specifically found to be rich in Sn, O, and halides, which is probably a result of the nucleation during the SnO x growth and which might introduce barriers or alter the band alignment for the transport of charge carriers.

  9. Tuning negative differential resistance in single-atomic layer boron-silicon sheets

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhou, Ming-Yue; Liu, Chun-Sheng, E-mail: csliu@njupt.edu.cn, E-mail: yanxh@njupt.edu.cn; Key Laboratory of Radio Frequency and Micro-Nano Electronics of Jiangsu Province, Nanjing 210023, Jiangsu

    2015-03-21

    Using density functional theory and nonequilibrium Green's function formalism for quantum transport calculation, we have quantified the ballistic transport properties along different directions in two-dimensional boron-silicon (B-Si) compounds, as well as the current response to bias voltage. The conductance of the most B-Si devices is higher than the conductance of one-atom-thick boron and silicene. Furthermore, the negative differential resistance phenomenon can be found at certain B-Si stoichiometric composition, and it occurs at various bias voltages. Also, the peak-to-valley ratio is sensitive to the B-Si composition and dependent of the direction considered for B-Si monolayers. The present findings could be helpfulmore » for applications of the single-atomic layer B-Si sheets in the field of semiconductor devices or low-dimensional electronic devices.« less

  10. Growth and characteristics of p-type doped GaAs nanowire

    NASA Astrophysics Data System (ADS)

    Li, Bang; Yan, Xin; Zhang, Xia; Ren, Xiaomin

    2018-05-01

    The growth of p-type GaAs nanowires (NWs) on GaAs (111) B substrates by metal-organic chemical vapor deposition (MOCVD) has been systematically investigated as a function of diethyl zinc (DEZn) flow. The growth rate of GaAs NWs was slightly improved by Zn-doping and kink is observed under high DEZn flow. In addition, the I–V curves of GaAs NWs has been measured and the p-type dope concentration under the II/III ratio of 0.013 and 0.038 approximated to 1019–1020 cm‑3. Project supported by the National Natural Science Foundation of China (Nos. 61376019, 61504010, 61774021) and the Fund of State Key Laboratory of Information Photonics and Optical Communications (Beijing University of Posts and Telecommunications), China (Nos. IPOC2017ZT02, IPOC2017ZZ01).

  11. Circular Dichroism Control of Tungsten Diselenide (WSe2) Atomic Layers with Plasmonic Metamolecules.

    PubMed

    Lin, Hsiang-Ting; Chang, Chiao-Yun; Cheng, Pi-Ju; Li, Ming-Yang; Cheng, Chia-Chin; Chang, Shu-Wei; Li, Lance L J; Chu, Chih-Wei; Wei, Pei-Kuen; Shih, Min-Hsiung

    2018-05-09

    Controlling circularly polarized (CP) states of light is critical to the development of functional devices for key and emerging applications such as display technology and quantum communication, and the compact circular polarization-tunable photon source is one critical element to realize the applications in the chip-scale integrated system. The atomic layers of transition metal dichalcogenides (TMDCs) exhibit intrinsic CP emissions and are potential chiroptical materials for ultrathin CP photon sources. In this work, we demonstrated CP photon sources of TMDCs with device thicknesses approximately 50 nm. CP photoluminescence from the atomic layers of tungsten diselenide (WSe 2 ) was precisely controlled with chiral metamolecules (MMs), and the optical chirality of WSe 2 was enhanced more than 4 times by integrating with the MMs. Both the enhanced and reversed circular dichroisms had been achieved. Through integrations of the novel gain material and plasmonic structure which are both low-dimensional, a compact device capable of efficiently manipulating emissions of CP photon was realized. These ultrathin devices are suitable for important applications such as the optical information technology and chip-scale biosensing.

  12. Oscillations of absorption of a probe picosecond light pulse caused by its interaction with stimulated picosecond emission of GaAs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ageeva, N. N.; Bronevoi, I. L., E-mail: bil@cplire.ru; Zabegaev, D. N.

    2015-04-15

    The self-modulation of absorption of a picosecond light pulse was observed earlier [1] in a thin (∼1-μm thick) GaAs layer pumped by a high-power picosecond pulse. Analysis of the characteristics of this self-modulation predicted [5] that the dependences of the probe pulse absorption on the pump pulse energy and picosecond delay between pump and probe pulses should be self-modulated by oscillations. Such self-modulation was experimentally observed in this work. Under certain conditions, absorption oscillations proved to be a function of part of the energy of picosecond stimulated emission of GaAs lying above a certain threshold in the region where themore » emission front overlapped the probe pulse front. Absorption oscillations are similar to self-modulation of the GaAs emission characteristics observed earlier [4]. This suggests that the self-modulation of absorption and emission is determined by the same type of interaction of light pulses in the active medium, the physical mechanism of which has yet to be determined.« less

  13. Atomic layer deposition synthesized TiO{sub x} thin films and their application as microbolometer active materials

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tanrikulu, Mahmud Yusuf, E-mail: mytanrikulu@adanabtu.edu.tr; Rasouli, Hamid Reza; Ghaffari, Mohammad

    2016-05-15

    This paper demonstrates the possible usage of TiO{sub x} thin films synthesized by atomic layer deposition as a microbolometer active material. Thin film electrical resistance is investigated as a function of thermal annealing. It is found that the temperature coefficient of resistance values can be controlled by coating/annealing processes, and the value as high as −9%/K near room temperature is obtained. The noise properties of TiO{sub x} films are characterized. It is shown that TiO{sub x} films grown by atomic layer deposition technique could have a significant potential to be used as a new active material for microbolometer-based applications.

  14. Structural modifications of graphyne layers consisting of carbon atoms in the sp- and sp{sup 2}-hybridized states

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Belenkov, E. A., E-mail: belenkov@csu.ru; Mavrinskii, V. V.; Belenkova, T. E.

    2015-05-15

    A model scheme is proposed for obtaining layered compounds consisting of carbon atoms in the sp- and (vnsp){sup 2}-hybridized states. This model is used to find the possibility of existing the following seven basic structural modifications of graphyne: α-, β1-, β2-, β3-, γ1-, γ2-, and γ3-graphyne. Polymorphic modifications β3 graphyne and γ3 graphyne are described. The basic structural modifications of graphyne contain diatomic polyyne chains and consist only of carbon atoms in two different crystallographically equivalent states. Other nonbasic structural modifications of graphyne can be formed via the elongation of the carbyne chains that connect three-coordinated carbon atoms and viamore » the formation of graphyne layers with a mixed structure consisting of basic layer fragments, such as α-β-graphyne, α-γ-graphyne, and β-γ-graphyne. The semiempirical quantum-mechanical MNDO, AM1, and PM3 methods and ab initio STO6-31G basis calculations are used to find geometrically optimized structures of the basic graphyne layers, their structural parameters, and energies of their sublimation. The energy of sublimation is found to be maximal for γ2-graphyne, which should be the most stable structural modification of graphyne.« less

  15. Atomic ordering in GaAsP

    NASA Astrophysics Data System (ADS)

    Chen, G. S.; Jaw, D. H.; Stringfellow, G. B.

    1991-04-01

    CuPt type ordering, which consists of a monolayer compositional modulation along one of the 4 <111> directions in the lattice, was studied using transmission electron microscopy for GaAs1-xPx with values of x extending from 0.25 to 0.85. The samples were grown by organometallic vapor phase epitaxy on nominal (001) GaAs substrates that were misoriented by varying amounts in three directions. No CuPt type ordering was observed for GaAs1-xPx with x ≤0.35, while ordering was found to occur for 0.4≤x≤0.85. The direction of substrate misorientation has a major effect on the determination of which of the four possible CuPt variants are formed for 0.4≤x≤0.85. Two variants, with ordering on the (1¯11) and (11¯1) planes, appear for epilayers grown on substrates oriented exactly on the (001) plane and for substrates misoriented by 6° towards the [110] direction. Only one variant, with ordering on the (1¯11) plane, appears for epilayers grown on substrates misoriented by 6° towards [1¯10]. These ordering-induced spots observed in transmission electron diffraction (TED) patterns for GaAsP occur only for the [110] cross section. From TED studies of GaInP grown on similar substrates, we conclude that the CuPt variants in GaAsP are exactly the same as for GaInP. Further evidence supporting this conclusion was obtained by growing first a layer of GaInP followed by a layer of GaAsP. High-resolution dark field electron micrographs show domains of the same variants in both layers. A mechanism describing the formation of the specific ordered variant for both GaAsP and GaInP is proposed. From studies of ordering in a strain-layer superlattice, the strain due to lattice mismatch was found to play no significant role in the propagation of ordered domains. Microtwins, also generated due to lattice mismatch, can act as domain boundaries and prevent the propagation of the ordered domains.

  16. Inhibiting Metal Oxide Atomic Layer Deposition: Beyond Zinc Oxide

    DOE PAGES

    Sampson, Matthew D.; Emery, Jonathan D.; Pellin, Michael J.; ...

    2017-04-05

    The atomic layer deposition (ALD) of several metal oxides is selectivity inhibited on alkanethiol self-assembled monolayers (SAMs) on Au and the eventual nucleation mechanism is investigated. The inhibition ability of the SAM is significantly improved by the in situ H 2-plasma pretreatment of the Au substrate prior to gas-phase deposition of a long-chain alkanethiol, 1-dodecanethiol (DDT). This more rigorous surface preparation inhibits even aggressive oxide ALD precursors, including trimethylaluminum and water, for at least 20 cycles. We study the effect that ALD precursor purge times, growth temperature, alkanethiol chain length, alkanethiol deposition time, and plasma treatment time have on Almore » 2O 3 ALD inhibition. This is the first example of Al 2O 3 ALD inhibition from a vapor-deposited SAM. Inhibition of Al 2O 3, ZnO, and MnO ALD processes are compared, revealing the versatility of this selective surface treatment. As a result, atomic force microscopy (AFM) and grazing incidence x-ray fluorescence (GIXRF) further reveals insight into the mechanism by which the well-defined surface chemistry of ALD may eventually be circumvented to allow metal oxide nucleation and growth on SAM-modified surfaces.« less

  17. Inhibiting Metal Oxide Atomic Layer Deposition: Beyond Zinc Oxide

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sampson, Matthew D.; Emery, Jonathan D.; Pellin, Michael J.

    The atomic layer deposition (ALD) of several metal oxides is selectivity inhibited on alkanethiol self-assembled monolayers (SAMs) on Au and the eventual nucleation mechanism is investigated. The inhibition ability of the SAM is significantly improved by the in situ H 2-plasma pretreatment of the Au substrate prior to gas-phase deposition of a long-chain alkanethiol, 1-dodecanethiol (DDT). This more rigorous surface preparation inhibits even aggressive oxide ALD precursors, including trimethylaluminum and water, for at least 20 cycles. We study the effect that ALD precursor purge times, growth temperature, alkanethiol chain length, alkanethiol deposition time, and plasma treatment time have on Almore » 2O 3 ALD inhibition. This is the first example of Al 2O 3 ALD inhibition from a vapor-deposited SAM. Inhibition of Al 2O 3, ZnO, and MnO ALD processes are compared, revealing the versatility of this selective surface treatment. As a result, atomic force microscopy (AFM) and grazing incidence x-ray fluorescence (GIXRF) further reveals insight into the mechanism by which the well-defined surface chemistry of ALD may eventually be circumvented to allow metal oxide nucleation and growth on SAM-modified surfaces.« less

  18. Relation between trinucleotide GAA repeat length and sensory neuropathy in Friedreich's ataxia.

    PubMed

    Santoro, L; De Michele, G; Perretti, A; Crisci, C; Cocozza, S; Cavalcanti, F; Ragno, M; Monticelli, A; Filla, A; Caruso, G

    1999-01-01

    To verify if GAA expansion size in Friedreich's ataxia could account for the severity of sensory neuropathy. Retrospective study of 56 patients with Friedreich's ataxia selected according to homozygosity for GAA expansion and availability of electrophysiological findings. Orthodromic sensory conduction velocity in the median nerve was available in all patients and that of the tibial nerve in 46 of them. Data of sural nerve biopsy and of a morphometric analysis were available in 12 of the selected patients. The sensory action potential amplitude at the wrist (wSAP) and at the medial malleolus (m mal SAP) and the percentage of myelinated fibres with diameter larger than 7, 9, and 11 microm in the sural nerve were correlated with disease duration and GAA expansion size on the shorter (GAA1) and larger (GAA2) expanded allele in each pair. Pearson's correlation test and stepwise multiple regression were used for statistical analysis. A significant inverse correlation between GAA1 size and wSAP, m mal SAP, and percentage of myelinated fibres was found. Stepwise multiple regression showed that GAA1 size significantly affects electrophysiological and morphometric data, whereas duration of disease has no effect. The data suggest that the severity of the sensory neuropathy is probably genetically determined and that it is not progressive.

  19. Comparison of photoemission characteristics between square and circular wire array GaAs photocathodes.

    PubMed

    Deng, Wenjuan; Peng, Xincun; Zou, Jijun; Wang, Weilu; Liu, Yun; Zhang, Tao; Zhang, Yijun; Zhang, Daoli

    2017-11-10

    Two types of negative electron affinity gallium arsenide (GaAs) wire array photocathodes were fabricated by reactive ion etching and inductively coupled plasma etching of bulk GaAs material. High density GaAs wire arrays with high periodicity and good morphology were verified using scanning electron microscopy, and photoluminescence spectra confirmed the wire arrays had good crystalline quality. Reflection spectra showed that circular GaAs wire arrays had superior light trapping compared with square ones. However, after Cs/O activation, the square GaAs wire array photocathodes showed enhanced spectral response. The integral sensitivity of the square wire array photocathodes was approximately 2.8 times that of the circular arrays.

  20. n-Type Doping of Vapor-Liquid-Solid Grown GaAs Nanowires.

    PubMed

    Gutsche, Christoph; Lysov, Andrey; Regolin, Ingo; Blekker, Kai; Prost, Werner; Tegude, Franz-Josef

    2011-12-01

    In this letter, n-type doping of GaAs nanowires grown by metal-organic vapor phase epitaxy in the vapor-liquid-solid growth mode on (111)B GaAs substrates is reported. A low growth temperature of 400°C is adjusted in order to exclude shell growth. The impact of doping precursors on the morphology of GaAs nanowires was investigated. Tetraethyl tin as doping precursor enables heavily n-type doped GaAs nanowires in a relatively small process window while no doping effect could be found for ditertiarybutylsilane. Electrical measurements carried out on single nanowires reveal an axially non-uniform doping profile. Within a number of wires from the same run, the donor concentrations ND of GaAs nanowires are found to vary from 7 × 10(17) cm(-3) to 2 × 10(18) cm(-3). The n-type conductivity is proven by the transfer characteristics of fabricated nanowire metal-insulator-semiconductor field-effect transistor devices.

  1. MoS2 solid-lubricating film fabricated by atomic layer deposition on Si substrate

    NASA Astrophysics Data System (ADS)

    Huang, Yazhou; Liu, Lei; Lv, Jun; Yang, Junjie; Sha, Jingjie; Chen, Yunfei

    2018-04-01

    How to reduce friction for improving efficiency in the usage of energy is a constant challenge. Layered material like MoS2 has long been recognized as an effective surface lubricant. Due to low interfacial shear strengths, MoS2 is endowed with nominal frictional coefficient. In this work, MoS2 solid-lubricating film was directly grown by atomic layer deposition (ALD) on Si substrate using MoCl5 and H2S. Various methods were used to observe the grown MoS2 film. Moreover, nanotribological properties of the film were observed by an atomic force microscope (AFM). Results show that MoS2 film can effectively reduce the friction force by about 30-45% under different loads, indicating the huge application value of the film as a solid lubricant. Besides the interlayer-interfaces-sliding, the smaller capillary is another reason why the grown MoS2 film has smaller friction force than that of Si.

  2. Anomalous Insulator-Metal Transition in Boron Nitride-Graphene Hybrid Atomic Layers

    DTIC Science & Technology

    2012-08-13

    REPORT Anomalous insulator-metal transition in boron nitride-graphene hybrid atomic layers 14 . ABSTRACT 16. SECURITY CLASSIFICATION OF: The study of...from the DFT calculation. The calculated transmission through a N terminated zigzag edged h-BN nanodomain embedded in graphene is shown in Fig. 14 , with...Energy ε − ε F (eV) 0 0.5 1 1.5 2 Tr an sm is si on FIG. 14 . (Color online) Transmission through a N terminated zigzag edged h-BN nanodomain embedded in

  3. Spin-hall-active platinum thin films grown via atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Schlitz, Richard; Amusan, Akinwumi Abimbola; Lammel, Michaela; Schlicht, Stefanie; Tynell, Tommi; Bachmann, Julien; Woltersdorf, Georg; Nielsch, Kornelius; Goennenwein, Sebastian T. B.; Thomas, Andy

    2018-06-01

    We study the magnetoresistance of yttrium iron garnet/Pt heterostructures in which the Pt layer was grown via atomic layer deposition (ALD). Magnetotransport experiments in three orthogonal rotation planes reveal the hallmark features of spin Hall magnetoresistance. To estimate the spin transport parameters, we compare the magnitude of the magnetoresistance in samples with different Pt thicknesses. We check the spin Hall angle and the spin diffusion length of the ALD Pt layers against the values reported for high-quality sputter-deposited Pt films. The spin diffusion length of 1.5 nm agrees well with that of platinum thin films reported in the literature, whereas the spin Hall magnetoresistance Δ ρ / ρ = 2.2 × 10 - 5 is approximately a factor of 20 smaller compared to that of our sputter-deposited films. Our results demonstrate that ALD allows fabricating spin-Hall-active Pt films of suitable quality for use in spin transport structures. This work provides the basis to establish conformal ALD coatings for arbitrary surface geometries with spin-Hall-active metals and could lead to 3D spintronic devices in the future.

  4. Effect of H{sup +} implantation on the optical properties of semi-insulating GaAs crystals in the IR spectral region

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Klyui, N. I.; Lozinskii, V. B., E-mail: lvb@isp.kiev.ua; Liptuga, A. I.

    2017-03-15

    The optical properties of semi-insulating GaAs crystals subjected to multienergy hydrogen-ion implantation and treatment in a high-frequency electromagnetic field are studied in the infrared spectral region. It is established that such combined treatment provides a means for substantially increasing the transmittance of GaAs crystals to values characteristic of crystals of high optical quality. On the basis of analysis of the infrared transmittance and reflectance data, Raman spectroscopy data, and atomic-force microscopy data on the surface morphology of the crystals, a physical model is proposed to interpret the effects experimentally observed in the crystals. The model takes into account the interactionmore » of radiation defects with the initial structural defects in the crystals as well as the effect of compensation of defect centers by hydrogen during high-frequency treatment.« less

  5. Early stages of Cs adsorption mechanism for GaAs nanowire surface

    NASA Astrophysics Data System (ADS)

    Diao, Yu; Liu, Lei; Xia, Sihao; Feng, Shu

    2018-03-01

    In this study, the adsorption mechanism of Cs adatoms on the (100) surface of GaAs nanowire with [0001] growth direction is investigated utilizing first principles method based on density function theory. The adsorption energy, work function, atomic structure and electronic property of clean surface and Cs-covered surfaces with different coverage are discussed. Results show that when only one Cs is adsorbed on the surface, the most favorable adsorption site is BGa-As. With increasing Cs coverage, work function gradually decreases and gets its minimum at 0.75 ML, then rises slightly when Cs coverage comes to 1 ML, indicating the existence of 'Cs-kill' phenomenon. According to further analysis, Cs activation process can effectively reduce the work function due to the formation of a downward band bending region and surface dipole moment directing from Cs adatom to the surface. As Cs coverage increases, the conduction band minimum and valence band maximum both shift towards lower energy side, contributed by the orbital hybridization between Cs-5s, Cs-5p states and Ga-4p, As-4s, As-4p states near Fermi level. The theoretical calculations and analysis in this study can improve the Cs activation technology for negative electron affinity optoelectronic devices based on GaAs nanowires, and also provide a reference for the further Cs/O or Cs/NF3 activation process.

  6. A new theoretical approach to adsorption desorption behavior of Ga on GaAs surfaces

    NASA Astrophysics Data System (ADS)

    Kangawa, Y.; Ito, T.; Taguchi, A.; Shiraishi, K.; Ohachi, T.

    2001-11-01

    We propose a new theoretical approach for studying adsorption-desorption behavior of atoms on semiconductor surfaces. The new theoretical approach based on the ab initio calculations incorporates the free energy of gas phase; therefore we can calculate how adsorption and desorption depends on growth temperature and beam equivalent pressure (BEP). The versatility of the new theoretical approach was confirmed by the calculation of Ga adsorption-desorption transition temperatures and transition BEPs on the GaAs(0 0 1)-(4×2)β2 Ga-rich surface. This new approach is feasible to predict how adsorption and desorption depend on the growth conditions.

  7. Atomic Layer Deposition of HfO2 and Si Nitride on Ge Substrates

    NASA Astrophysics Data System (ADS)

    Zhu, Shiyang; Nakajima, Anri

    2007-12-01

    Hafnium oxide (HfO2) thin films were deposited on Ge substrates at 300 °C using atomic layer deposition (ALD) with tetrakis(diethylamino)hafnium (termed as TDEAH) as a precursor and water as an oxidant. The deposition rate was estimated to be 0.09 nm/cycle and the deposited HfO2 films have a smooth surface and an almost stoichiometric composition, indicating that the growth follows a layer-by-layer kinetics, similarly to that on Si substrates. Si nitride thin films were also deposited on Ge by ALD using SiCl4 as a precursor and NH3 as an oxidant. Si nitride has a smaller deposition rate of about 0.055 nm/cycle and a larger gate leakage current than HfO2 deposited on Ge by ALD.

  8. A Novel Growth Method To Improve the Quality of GaAs Nanowires Grown by Ga-Assisted Chemical Beam Epitaxy.

    PubMed

    García Núñez, Carlos; Braña, Alejandro F; López, Nair; García, Basilio J

    2018-06-13

    The successful synthesis of high crystalline quality and high aspect ratio GaAs nanowires (NWs) with a uniform diameter is needed to develop advanced applications beyond the limits established by thin film and bulk material properties. Vertically aligned GaAs NWs have been extensively grown by Ga-assisted vapor-liquid-solid (VLS) mechanism on Si(111) substrates, and they have been used as building blocks in photovoltaics, optoelectronics, electronics, and so forth. However, the nucleation of parasitic species such as traces and nanocrystals on the Si substrate surface during the NW growth could affect significantly the controlled nucleation of those NWs, and therefore the resulting performance of NW-based devices. Preventing the nucleation of parasitic species on the Si substrate is a matter of interest, because they could act as traps for gaseous precursors and/or chemical elements during VLS growth, drastically reducing the maximum length of grown NWs, affecting their morphology and structure, and reducing the NW density along the Si substrate surface. This work presents a novel and easy to develop growth method (i.e., without using advanced nanolithography techniques) to prevent the nucleation of parasitic species, while preserving the quality of GaAs NWs even for long duration growths. GaAs NWs are grown by Ga-assisted chemical beam epitaxy on oxidized Si(111) substrates using triethylgallium and tertiarybutylarsine precursors by a two-step-based growth method presented here; this method includes a growth interruption for an oxidation on air between both steps of growth, reducing the nucleation of parasitic crystals on the thicker SiO x capping layer during the second and longer growth step. VLS conditions are preserved overtime, resulting in a stable NW growth rate of around 6 μm/h for growth times up to 1 h. Resulting GaAs NWs have a high aspect ratio of 85 and average radius of 35 nm. We also report on the existence of characteristic reflection high

  9. Modeling and in Situ Probing of Surface Reactions in Atomic Layer Deposition.

    PubMed

    Zheng, Yuanxia; Hong, Sungwook; Psofogiannakis, George; Rayner, G Bruce; Datta, Suman; van Duin, Adri C T; Engel-Herbert, Roman

    2017-05-10

    Atomic layer deposition (ALD) has matured into a preeminent thin film deposition technique by offering a highly scalable and economic route to integrate chemically dissimilar materials with excellent thickness control down to the subnanometer regime. Contrary to its extensive applications, a quantitative and comprehensive understanding of the reaction processes seems intangible. Complex and manifold reaction pathways are possible, which are strongly affected by the surface chemical state. Here, we report a combined modeling and experimental approach utilizing ReaxFF reactive force field simulation and in situ real-time spectroscopic ellipsometry to gain insights into the ALD process of Al 2 O 3 from trimethylaluminum and water on hydrogenated and oxidized Ge(100) surfaces. We deciphered the origin for the different peculiarities during initial ALD cycles for the deposition on both surfaces. While the simulations predicted a nucleation delay for hydrogenated Ge(100), a self-cleaning effect was discovered on oxidized Ge(100) surfaces and resulted in an intermixed Al 2 O 3 /GeO x layer that effectively suppressed oxygen diffusion into Ge. In situ spectroscopic ellipsometry in combination with ex situ atomic force microscopy and X-ray photoelectron spectroscopy confirmed these simulation results. Electrical impedance characterizations evidenced the critical role of the intermixed Al 2 O 3 /GeO x layer to achieve electrically well-behaved dielectric/Ge interfaces with low interface trap density. The combined approach can be generalized to comprehend the deposition and reaction kinetics of other ALD precursors and surface chemistry, which offers a path toward a theory-aided rational design of ALD processes at a molecular level.

  10. Photovoltaic Properties of p-Doped GaAs Nanowire Arrays Grown on n-Type GaAs(111)B Substrate

    PubMed Central

    2010-01-01

    We report on the molecular beam epitaxy growth of Au-assisted GaAs p-type-doped NW arrays on the n-type GaAs(111)B substrate and their photovoltaic properties. The samples are grown at different substrate temperature within the range from 520 to 580 °C. It is shown that the dependence of conversion efficiency on the substrate temperature has a maximum at the substrate temperature of 550 °C. For the best sample, the conversion efficiency of 1.65% and the fill factor of 25% are obtained. PMID:20672038

  11. Two-Photon Pumped Synchronously Mode-Locked Bulk GaAs Laser

    NASA Astrophysics Data System (ADS)

    Cao, W. L.; Vaucher, A. M.; Ling, J. D.; Lee, C. H.

    1982-04-01

    Pulses 7 picoseconds or less in duration have been generated from a bulk GaAs crystal by a synchronous mode-locking technique. The GaAs crystal was optically pumped by two-photon absorption of the emission from a mode-locked Nd:glass laser. Two-photon absorption as the means of excitation increases the volume of the gain medium by increasing the pene-tration depth of the pump intensity, enabling generation of intra-cavity pulses with peak power in the megawatt range. Tuning of the wavelength of the GaAs emission is achieved by varying the temperature. A tuning range covering 840 nm to 885 nm has been observed over a temperature range from 97°K to 260°K. The intensity of the GaAs emission has also been observed to decrease as the temperature of the crystal is increased.

  12. Low-Temperature Plasma-Assisted Atomic Layer Deposition of Silicon Nitride Moisture Permeation Barrier Layers.

    PubMed

    Andringa, Anne-Marije; Perrotta, Alberto; de Peuter, Koen; Knoops, Harm C M; Kessels, Wilhelmus M M; Creatore, Mariadriana

    2015-10-14

    Encapsulation of organic (opto-)electronic devices, such as organic light-emitting diodes (OLEDs), photovoltaic cells, and field-effect transistors, is required to minimize device degradation induced by moisture and oxygen ingress. SiNx moisture permeation barriers have been fabricated using a very recently developed low-temperature plasma-assisted atomic layer deposition (ALD) approach, consisting of half-reactions of the substrate with the precursor SiH2(NH(t)Bu)2 and with N2-fed plasma. The deposited films have been characterized in terms of their refractive index and chemical composition by spectroscopic ellipsometry (SE), X-ray photoelectron spectroscopy (XPS), and Fourier-transform infrared spectroscopy (FTIR). The SiNx thin-film refractive index ranges from 1.80 to 1.90 for films deposited at 80 °C up to 200 °C, respectively, and the C, O, and H impurity levels decrease when the deposition temperature increases. The relative open porosity content of the layers has been studied by means of multisolvent ellipsometric porosimetry (EP), adopting three solvents with different kinetic diameters: water (∼0.3 nm), ethanol (∼0.4 nm), and toluene (∼0.6 nm). Irrespective of the deposition temperature, and hence the impurity content in the SiNx films, no uptake of any adsorptive has been observed, pointing to the absence of open pores larger than 0.3 nm in diameter. Instead, multilayer development has been observed, leading to type II isotherms that, according to the IUPAC classification, are characteristic of nonporous layers. The calcium test has been performed in a climate chamber at 20 °C and 50% relative humidity to determine the intrinsic water vapor transmission rate (WVTR) of SiNx barriers deposited at 120 °C. Intrinsic WVTR values in the range of 10(-6) g/m2/day indicate excellent barrier properties for ALD SiNx layers as thin as 10 nm, competing with that of state-of-the-art plasma-enhanced chemical vapor-deposited SiNx layers of a few hundred

  13. Interface demarcation in GaAs by current pulsing

    NASA Technical Reports Server (NTRS)

    Matthiesen, D. H.; Kafalas, J. A.; Duchene, G. A.; Bellows, A. H.

    1990-01-01

    GTE Laboratories is currently conducting a program to investigate the effect of convection in the melt on the properties of bulk grown gallium arsenide (GaAs). In addition to extensive ground based experimentation, a Get Away Special growth system has been developed to grow two GaAs crystals aboard the Space Shuttle, each with a one inch diameter. In order to perform a complete segregation analysis of the crystals grown in space, it is necessary to measure the interface shape and growth rate as well as the spatial distribution of the selenium dopant. The techniques for interface demarcation in selenium doped GaAs by current pulsing have been developed at GTE Laboratories and successful interface demarcation has been achieved for current pulses ranging from 20 to 90 amps, in both single crystal and polycrystalline regions.

  14. Interface Engineering for Atomic Layer Deposited Alumina Gate Dielectric on SiGe Substrates.

    PubMed

    Zhang, Liangliang; Guo, Yuzheng; Hassan, Vinayak Vishwanath; Tang, Kechao; Foad, Majeed A; Woicik, Joseph C; Pianetta, Piero; Robertson, John; McIntyre, Paul C

    2016-07-27

    Optimization of the interface between high-k dielectrics and SiGe substrates is a challenging topic due to the complexity arising from the coexistence of Si and Ge interfacial oxides. Defective high-k/SiGe interfaces limit future applications of SiGe as a channel material for electronic devices. In this paper, we identify the surface layer structure of as-received SiGe and Al2O3/SiGe structures based on soft and hard X-ray photoelectron spectroscopy. As-received SiGe substrates have native SiOx/GeOx surface layers, where the GeOx-rich layer is beneath a SiOx-rich surface. Silicon oxide regrows on the SiGe surface during Al2O3 atomic layer deposition, and both SiOx and GeOx regrow during forming gas anneal in the presence of a Pt gate metal. The resulting mixed SiOx-GeOx interface layer causes large interface trap densities (Dit) due to distorted Ge-O bonds across the interface. In contrast, we observe that oxygen-scavenging Al top gates decompose the underlying SiOx/GeOx, in a selective fashion, leaving an ultrathin SiOx interfacial layer that exhibits dramatically reduced Dit.

  15. The properties of plasma-enhanced atomic layer deposition (ALD) ZnO thin films and comparison with thermal ALD

    NASA Astrophysics Data System (ADS)

    Kim, Doyoung; Kang, Hyemin; Kim, Jae-Min; Kim, Hyungjun

    2011-02-01

    Zinc oxide (ZnO) thin films were prepared by plasma-enhanced atomic layer deposition (PE-ALD) using oxygen plasma as a reactant and the properties were compared with those of thermal atomic layer deposition (TH-ALD) ZnO thin films. While hexagonal wurzite phase with preferential (0 0 2) orientation was obtained for both cases, significant differences were observed in various aspects of film properties including resistivity values between these two techniques. Photoluminescence (PL) measurements have shown that high resistivity of PE-ALD ZnO thin films is due to the oxygen interstitials at low growth temperature of 200 °C, whose amount decreases with increasing growth temperature. Thin film transistors (TFT) using TH- and PE-ALD ZnO as an active layer were also fabricated and the device properties were evaluated comparatively.

  16. Removal of ion-implanted photoresists on GaAs using two organic solvents in sequence

    NASA Astrophysics Data System (ADS)

    Oh, Eunseok; Na, Jihoon; Lee, Seunghyo; Lim, Sangwoo

    2016-07-01

    Organic solvents can effectively remove photoresists on III-V channels without damage or etching of the channel material during the process. In this study, a two-step sequential photoresist removal process using two different organic solvents was developed to remove implanted ArF and KrF photoresists at room temperature. The effects of organic solvents with either low molar volumes or high affinities for photoresists were evaluated to find a proper combination that can effectively remove high-dose implanted photoresists without damaging GaAs surfaces. The performance of formamide, acetonitrile, nitromethane, and monoethanolamine for the removal of ion-implanted ArF and KrF photoresists were compared using a two-step sequential photoresist removal process followed by treatment in dimethyl sulfoxide (DMSO). Among the various combinations, the acetonitrile + DMSO two-step sequence exhibited the best removal of photoresists that underwent ion implantation at doses of 5 × 1013-5 × 1015 atoms/cm2 on both flat and trench-structured GaAs surfaces. The ability of the two-step process using organic solvents to remove the photoresists can be explained by considering the affinities of solvents for a polymer and its permeability through the photoresist.

  17. Characteristics of layered tin disulfide deposited by atomic layer deposition with H2S annealing

    NASA Astrophysics Data System (ADS)

    Lee, Seungjin; Shin, Seokyoon; Ham, Giyul; Lee, Juhyun; Choi, Hyeongsu; Park, Hyunwoo; Jeon, Hyeongtag

    2017-04-01

    Tin disulfide (SnS2) has attracted much attention as a two-dimensional (2D) material. A high-quality, low-temperature process for producing 2D materials is required for future electronic devices. Here, we investigate tin disulfide (SnS2) layers deposited via atomic layer deposition (ALD) using tetrakis(dimethylamino)tin (TDMASn) as a Sn precursor and H2S gas as a sulfur source at low temperature (150° C). The crystallinity of SnS2 was improved by H2S gas annealing. We carried out H2S gas annealing at various conditions (250° C, 300° C, 350° C, and using a three-step method). Angle-resolved X-ray photoelectron spectroscopy (ARXPS) results revealed the valence state corresponding to Sn4+ and S2- in the SnS2 annealed with H2S gas. The SnS2 annealed with H2S gas had a hexagonal structure, as measured via X-ray diffraction (XRD) and the clearly out-of-plane (A1g) mode in Raman spectroscopy. The crystallinity of SnS2 was improved after H2S annealing and was confirmed using the XRD full-width at half-maximum (FWHM). In addition, high-resolution transmission electron microscopy (HR-TEM) images indicated a clear layered structure.

  18. Nonstoichiometric defects in GaAs and the EL2 bandwagon

    NASA Astrophysics Data System (ADS)

    Lagowski, J.; Gatos, H. C.

    1985-09-01

    In the present paper, an attempt is made to formulate a common framework for a discussion of nonstoichiometric defects, especially EL2 and dislocations. An outline is provided of the most important settled and unsettled issues, taking into account not only fundamental interests, but also urgent needs in advancing IC technology. Attention is given to stoichiometry-controlled compensation, the expected role of melt stoichiometry in electrical conductivity for the basic atomic disorders, defect equilibria-dislocations and EL2, and current issues pertaining to the identification of EL2. It is concluded that nonstoichiometric defects play a critical role in the electronic properties of GaAs and its electronic applications. Very significant progress has been recently made in learning how to adjust melt stoichiometry in order to maximize its beneficial effects and minimize its detrimental ones.

  19. Nonstoichiometric defects in GaAs and the EL2 bandwagon

    NASA Technical Reports Server (NTRS)

    Lagowski, J.; Gatos, H. C.

    1985-01-01

    In the present paper, an attempt is made to formulate a common framework for a discussion of nonstoichiometric defects, especially EL2 and dislocations. An outline is provided of the most important settled and unsettled issues, taking into account not only fundamental interests, but also urgent needs in advancing IC technology. Attention is given to stoichiometry-controlled compensation, the expected role of melt stoichiometry in electrical conductivity for the basic atomic disorders, defect equilibria-dislocations and EL2, and current issues pertaining to the identification of EL2. It is concluded that nonstoichiometric defects play a critical role in the electronic properties of GaAs and its electronic applications. Very significant progress has been recently made in learning how to adjust melt stoichiometry in order to maximize its beneficial effects and minimize its detrimental ones.

  20. Advanced GaAs Process Modeling. Volume 1

    DTIC Science & Technology

    1989-05-01

    COSATI CODES 18 . SUBJECT TERMS (Continue on reverse if necessary and identify by block number) FIELD GROUP SUB-GROUP Gallium Arsenide, MESFET, Process...Background 9 3.2 Model Calculations 10 3.3 Conclusions 17 IV. ION-IMPLANTATION INTO GaAs PROFILE DETERMINATION 18 4.1 Ion Implantation Profile...Determination in GaAs 18 4.1.1. Background 18 4.1.2. Experimental Measurements 20 4.1.3. Results 22 4.1.3.1 Ion-Energy Dependence 22 4.1.3.2. Tilt and Rotation

  1. Consequences of atomic layer etching on wafer scale uniformity in inductively coupled plasmas

    NASA Astrophysics Data System (ADS)

    Huard, Chad M.; Lanham, Steven J.; Kushner, Mark J.

    2018-04-01

    Atomic layer etching (ALE) typically divides the etching process into two self-limited reactions. One reaction passivates a single layer of material while the second preferentially removes the passivated layer. As such, under ideal conditions the wafer scale uniformity of ALE should be independent of the uniformity of the reactant fluxes onto the wafers, provided all surface reactions are saturated. The passivation and etch steps should individually asymptotically saturate after a characteristic fluence of reactants has been delivered to each site. In this paper, results from a computational investigation are discussed regarding the uniformity of ALE of Si in Cl2 containing inductively coupled plasmas when the reactant fluxes are both non-uniform and non-ideal. In the parameter space investigated for inductively coupled plasmas, the local etch rate for continuous processing was proportional to the ion flux. When operated with saturated conditions (that is, both ALE steps are allowed to self-terminate), the ALE process is less sensitive to non-uniformities in the incoming ion flux than continuous etching. Operating ALE in a sub-saturation regime resulted in less uniform etching. It was also found that ALE processing with saturated steps requires a larger total ion fluence than continuous etching to achieve the same etch depth. This condition may result in increased resist erosion and/or damage to stopping layers using ALE. While these results demonstrate that ALE provides increased etch depth uniformity, they do not show an improved critical dimension uniformity in all cases. These possible limitations to ALE processing, as well as increased processing time, will be part of the process optimization that includes the benefits of atomic resolution and improved uniformity.

  2. Oxygen-free atomic layer deposition of indium sulfide

    DOEpatents

    Martinson, Alex B.; Hock, Adam S.; McCarthy, Robert; Weimer, Matthew S.

    2016-07-05

    A method for synthesizing an In(III) N,N'-diisopropylacetamidinate precursor including cooling a mixture comprised of diisopropylcarbodiimide and diethyl ether to approximately -30.degree. C., adding methyllithium drop-wise into the mixture, allowing the mixture to warm to room temperature, adding indium(III) chloride as a solid to the mixture to produce a white solid, dissolving the white solid in pentane to form a clear and colorless solution, filtering the mixture over a celite plug, and evaporating the solution under reduced pressure to obtain a solid In(III) N,N'-diisopropylacetamidinate precursor. This precursor has been further used to develop a novel atomic layer deposition technique for indium sulfide by dosing a reactor with the precursor, purging with nitrogen, dosing with dilute hydrogen sulfide, purging again with nitrogen, and repeating these steps to increase growth.

  3. Rare-earth gate oxides for GaAs MOSFET application

    NASA Astrophysics Data System (ADS)

    Kwon, Kwang-Ho; Yang, Jun-Kyu; Park, Hyung-Ho; Kim, Jongdae; Roh, Tae Moon

    2006-08-01

    Rare-earth oxide films for gate dielectric on n-GaAs have been investigated. The oxide films were e-beam evaporated on S-passivated GaAs, considering interfacial chemical bonding state and energy band structure. Rare-earth oxides such as Gd 2O 3, (Gd xLa 1- x) 2O 3, and Gd-silicate were employed due to high resistivity and no chemical reaction with GaAs. Structural and bonding properties were characterized by X-ray photoemission, absorption, and diffraction. The electrical characteristics of metal-oxide-semiconductor (MOS) diodes were correlated with material properties and energy band structures to guarantee the feasibility for MOS field effect transistor (FET) application. Gd 2O 3 films were grown epitaxially on S-passivated GaAs (0 0 1) at 400 °C. The passivation induced a lowering of crystallization temperature with an epitaxial relationship of Gd 2O 3 (4 4 0) and GaAs (0 0 1). A better lattice matching relation between Gd 2O 3 and GaAs substrate was accomplished by the substitution of Gd with La, which has larger ionic radius. The in-plane relationship of (Gd xLa 1- x) 2O 3 (4 4 0) with GaAs (0 0 1) was found and the epitaxial films showed an improved crystalline quality. Amorphous Gd-silicate film was synthesized by the incorporation of SiO 2 into Gd 2O 3. These amorphous Gd-silicate films excluded defect traps or current flow path due to grain boundaries and showed a relatively larger energy band gap dependent on the contents of SiO 2. Energy band parameters such as Δ EC, Δ EV, and Eg were effectively controlled by the film composition.

  4. Failure Mechanisms of GaAs Transistors - A Literature Survey

    DTIC Science & Technology

    1990-03-01

    doping profile cannot be as sharp as with epitaxial methods. This is the result of the statistics of the implantation and the general diffusion that...Speed GaAs Logic Gates 5.1 GaAs PLANAR TRANSITOR STRUCTURES USED IN IC’S Some planar transistor structures used in IC’s with examples of the

  5. Spectroscopic and microscopic investigation of MBE-grown CdTe (211)B epitaxial thin films on GaAs (211)B substrates

    NASA Astrophysics Data System (ADS)

    Özden, Selin; Koc, Mumin Mehmet

    2018-03-01

    CdTe epitaxial thin films, for use as a buffer layer for HgCdTe defectors, were grown on GaAs (211)B using the molecular beam epitaxy method. Wet chemical etching (Everson method) was applied to the epitaxial films using various concentrations and application times to quantify the crystal quality and dislocation density. Surface characterization of the epitaxial films was achieved using Atomic force microscopy and Scanning electron microscopy (SEM) before and after each treatment. The Energy Dispersive X-Ray apparatus of SEM was used to characterize the chemical composition. Untreated CdTe films show smooth surface characteristics with root mean square (RMS) roughnesses of 1.18-3.89 nm. The thicknesses of the CdTe layers formed were calculated via FTIR spectrometry and obtained by ex situ spectroscopic ellipsometry. Raman spectra were obtained for various temperatures. Etch pit densities (EPD) were measured, from which it could be seen that EPD changes between 1.7 × 108 and 9.2 × 108 cm-2 depending on the concentration of the Everson etch solution and treatment time. Structure, shape and depth of pits resulting from each etch pit implementation were also evaluated. Pit widths varying between 0.15 and 0.71 µm with heights varying between 2 and 80 nm were observed. RMS roughness was found to vary by anything from 1.56 to 26 nm.

  6. Atom-scale depth localization of biologically important chemical elements in molecular layers

    PubMed Central

    Schneck, Emanuel; Scoppola, Ernesto; Drnec, Jakub; Mocuta, Cristian; Felici, Roberto; Novikov, Dmitri; Fragneto, Giovanna; Daillant, Jean

    2016-01-01

    In nature, biomolecules are often organized as functional thin layers in interfacial architectures, the most prominent examples being biological membranes. Biomolecular layers play also important roles in context with biotechnological surfaces, for instance, when they are the result of adsorption processes. For the understanding of many biological or biotechnologically relevant phenomena, detailed structural insight into the involved biomolecular layers is required. Here, we use standing-wave X-ray fluorescence (SWXF) to localize chemical elements in solid-supported lipid and protein layers with near-Ångstrom precision. The technique complements traditional specular reflectometry experiments that merely yield the layers’ global density profiles. While earlier work mostly focused on relatively heavy elements, typically metal ions, we show that it is also possible to determine the position of the comparatively light elements S and P, which are found in the most abundant classes of biomolecules and are therefore particularly important. With that, we overcome the need of artificial heavy atom labels, the main obstacle to a broader application of high-resolution SWXF in the fields of biology and soft matter. This work may thus constitute the basis for the label-free, element-specific structural investigation of complex biomolecular layers and biological surfaces. PMID:27503887

  7. Modulation of electrical potential and conductivity in an atomic-layer semiconductor heterojunction

    PubMed Central

    Kobayashi, Yu; Yoshida, Shoji; Sakurada, Ryuji; Takashima, Kengo; Yamamoto, Takahiro; Saito, Tetsuki; Konabe, Satoru; Taniguchi, Takashi; Watanabe, Kenji; Maniwa, Yutaka; Takeuchi, Osamu; Shigekawa, Hidemi; Miyata, Yasumitsu

    2016-01-01

    Semiconductor heterojunction interfaces have been an important topic, both in modern solid state physics and in electronics and optoelectronics applications. Recently, the heterojunctions of atomically-thin transition metal dichalcogenides (TMDCs) are expected to realize one-dimensional (1D) electronic systems at their heterointerfaces due to their tunable electronic properties. Herein, we report unique conductivity enhancement and electrical potential modulation of heterojunction interfaces based on TMDC bilayers consisted of MoS2 and WS2. Scanning tunneling microscopy/spectroscopy analyses showed the formation of 1D confining potential (potential barrier) in the valence (conduction) band, as well as bandgap narrowing around the heterointerface. The modulation of electronic properties were also probed as the increase of current in conducting atomic force microscopy. Notably, the observed band bending can be explained by the presence of 1D fixed charges around the heterointerface. The present findings indicate that the atomic layer heterojunctions provide a novel approach to realizing tunable 1D electrical potential for embedded quantum wires and ultrashort barriers of electrical transport. PMID:27515115

  8. Photon counting microstrip X-ray detectors with GaAs sensors

    NASA Astrophysics Data System (ADS)

    Ruat, M.; Andrä, M.; Bergamaschi, A.; Barten, R.; Brückner, M.; Dinapoli, R.; Fröjdh, E.; Greiffenberg, D.; Lopez-Cuenca, C.; Lozinskaya, A. D.; Mezza, D.; Mozzanica, A.; Novikov, V. A.; Ramilli, M.; Redford, S.; Ruder, C.; Schmitt, B.; Shi, X.; Thattil, D.; Tinti, G.; Tolbanov, O. P.; Tyazhev, A.; Vetter, S.; Zarubin, A. N.; Zhang, J.

    2018-01-01

    High-Z sensors are increasingly used to overcome the poor efficiency of Si sensors above 15 keV, and further extend the energy range of synchrotron and FEL experiments. Detector-grade GaAs sensors of 500 μm thickness offer 98% absorption efficiency at 30 keV and 50% at 50 keV . In this work we assess the usability of GaAs sensors in combination with the MYTHEN photon-counting microstrip readout chip developed at PSI. Different strip length and pitch are compared, and the detector performance is evaluated in regard of the sensor material properties. Despite increased leakage current and noise, photon-counting strips mounted with GaAs sensors can be used with photons of energy as low as 5 keV, and exhibit excellent linearity with energy. The charge sharing is doubled as compared to silicon strips, due to the high diffusion coefficient of electrons in GaAs.

  9. Nanostructuring-induced modification of optical properties of p-GaAs (1 0 0)

    NASA Astrophysics Data System (ADS)

    Naddaf, M.; Saloum, S.

    2009-10-01

    A pulsed anodic etching method has been utilized for nanostructuring of p-type GaAs (1 0 0) surface, using HCl-based solution as electrolyte. The resulting porous GaAs layer is characterized by atomic force microscopy (AFM), room temperature photoluminescence (PL), Raman spectroscopy and optical reflectance measurements. AFM imaging reveals that the porous GaAs layer is consisted of a pillar-like of few nm in width distributed between more-reduced size nanostructures. In addition to the “infrared” PL band of un-etched GaAs, a strong “green” PL band is observed in the etched sample. The broad visible PL band of a high-energy (3.82 eV) excitation is found to compose of two PL band attributed to excitons confinement in two different sizes distribution of GaAs nanocrystals. The quantum confinement effects in GaAs nanocrystallites is also evidenced from Raman spectroscopy through the pronounced appearance of the transverse optical (TO) phonon line in the spectra of the porous sample. Porosity-induced a significant reduction of the specular reflection, in the spectral range (400-800 nm), is also demonstrated.

  10. The Growth of Expitaxial GaAs and GaAlAs on Silicon Substrates by OMVPE

    DTIC Science & Technology

    1988-08-01

    structures have been grown on semi-insulating gallium arsenide substrates, and on high-resistivity silicon substrates using a two stage growth technique...fully in Quarter 9. 2. MATERIALS GROWTH 2.1 DOPING OF GALLIUM ARSENIDE FOR FETs As reported in quarter 7, doping levels for GaAs/SI 4ere found to be a...FET structures on both GaAs and Si substrates. A number of FET layers have been grown to the GAT4 specification on semi-insulating gallium arsenide

  11. Laser Induced Electrodeposition on Polyimide and GaAs Substrates

    DTIC Science & Technology

    1983-10-01

    6 3.1 Laser Gold Plating on Undoped Ga As Substrate ........... 6 3.1.1 Deposit Formation...22 iv LIST OF ILLUSTRATIONS Figure Page 1. Experimental Set-Up . . . . . .................. 4 2. Laser Gold Pla’ting Undoped GaAs (100...9 3. Laser Gold Plating Undoped GaAs (100) Deposit Resistance Measurement ......................... .10 4. Laser Gold Plating on Polyimide

  12. Electron microscopy observation of TiO2 nanocrystal evolution in high-temperature atomic layer deposition.

    PubMed

    Shi, Jian; Li, Zhaodong; Kvit, Alexander; Krylyuk, Sergiy; Davydov, Albert V; Wang, Xudong

    2013-01-01

    Understanding the evolution of amorphous and crystalline phases during atomic layer deposition (ALD) is essential for creating high quality dielectrics, multifunctional films/coatings, and predictable surface functionalization. Through comprehensive atomistic electron microscopy study of ALD TiO2 nanostructures at designed growth cycles, we revealed the transformation process and sequence of atom arrangement during TiO2 ALD growth. Evolution of TiO2 nanostructures in ALD was found following a path from amorphous layers to amorphous particles to metastable crystallites and ultimately to stable crystalline forms. Such a phase evolution is a manifestation of the Ostwald-Lussac Law, which governs the advent sequence and amount ratio of different phases in high-temperature TiO2 ALD nanostructures. The amorphous-crystalline mixture also enables a unique anisotropic crystal growth behavior at high temperature forming TiO2 nanorods via the principle of vapor-phase oriented attachment.

  13. Atomic layer deposition of TIO{sub 2} thin films on nanoporous alumina templates : medical applications.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Narayan, R. J.; Monteiro-Riviere, N. A.; Brigmon, R. L.

    2009-06-01

    Nanostructured materials may play a significant role in controlled release of pharmacologic agents for treatment of cancer. Many nanoporous polymer materials are inadequate for use in drug delivery. Nanoporous alumina provides several advantages over other materials for use in controlled drug delivery and other medical applications. Atomic layer deposition was used to coat all the surfaces of a nanoporous alumina membrane in order to reduce the pore size in a controlled manner. Neither the 20 nm nor the 100 nm TiO{sub 2}-coated nanoporous alumina membranes exhibited statistically lower viability compared to the uncoated nanoporous alumina membrane control materials. Nanostructured materialsmore » prepared using atomic layer deposition may be useful for delivering a pharmacologic agent at a precise rate to a specific location in the body. These materials may serve as the basis for 'smart' drug delivery devices, orthopedic implants, or self-sterilizing medical devices.« less

  14. Improvements of MCT MBE Growth on GaAs

    NASA Astrophysics Data System (ADS)

    Ziegler, J.; Wenisch, J.; Breiter, R.; Eich, D.; Figgemeier, H.; Fries, P.; Lutz, H.; Wollrab, R.

    2014-08-01

    In recent years, continuous progress has been published in the development of HgCdTe (MCT) infrared (IR) focal plane arrays (FPAs) fabricated by molecular beam epitaxy on GaAs substrates. In this publication, further characterization of the state-of-the art 1280 × 1024 pixel, 15- μm pitch detector fabricated from this material in both the mid-wavelength (MWIR) and long-wavelength (LWIR) IR region will be presented. For MWIR FPAs, the percentage of defective pixel remains below 0.5% up to an operating temperature ( T OP) of around 100 K. For the LWIR FPA, an operability of 99.25% was achieved for a T OP of 76 K. Additionally, the beneficial effect of the inclusion of MCT layers with a graded composition region was investigated and demonstrated on current-voltage ( IV) characteristics on test diodes in a MWIR FPA.

  15. Cathodoluminescence Characterization of Ion Implanted GaAs.

    DTIC Science & Technology

    1980-03-01

    technique that can be used to characterize the semiconductor device "in situ" before further processing can save the Air Force valuable time as well...Patterson Air Force Base,Ohio i! i ill i I ;Wow AFIT/DS/PH/80- I.i1I LEVELOO CATHODOLUMINESCENCE CHARACTERIZATION OF ION IPLANTED GaAs D I SSERUrAT ION...CATODOLUMINESCENCE CHARACTERIZATION .’ a .... OF ION IMPLANTED GaAs’ - .. .. Dtriy’ t’ c:’/ A’: t 1. - Cc;-,P by an i’or Milton L one B.S., M.S. Major USAF Approved

  16. Amplification in Double Heterostructure GaAs Lasers.

    DTIC Science & Technology

    1981-03-15

    done, for example, in the book by Siegman . When the laser signal which is to be amplified is a single mode, it is important to include the possibility...k A’AD-A097 862 AEROSPACE CORP EL SEGUNDO CA ELECTRONICS RESEARCH LAP) P 5 20/5 I AMPLIFICATION IN DOUBLE HETEROSTRUCTURE GAAS LASERS .(U IMAR al E...GARMIRE, M CHANG F04701-80-C-0081I UNCLASSIFIED TR GO81(6930 03)-2 SD-TA8-30 NL Amplification in Double Heterostructure GaAs Lasers E. GARMIRE nd M

  17. GaAs Surface Passivation for Device Applications.

    DTIC Science & Technology

    1981-12-01

    Ga203.’" . QI. a) / b) x 2.5 •• 24 21 18 As3d a) b) x 2. / 0 II 2 46 43 40 BINDING ENERGY (eV) Fig. 3 XPS spectra from a Ga2O3 covered GaAs surface of Ga...wU 24 21 Gas 18 SAs3d As2O3 ) .. 46 43 40 BINDING ENERGY (e) Fig. 4 XPS spectra from a AsJ03- Ga2O3 covered GaAs surface of Ga 3d (upper panel) and As

  18. Inverted thermal conversion - GaAs, a new alternative material for integrated circuits

    NASA Technical Reports Server (NTRS)

    Lagowski, J.; Gatos, H. C.; Kang, C. H.; Skowronski, M.; Ko, K. Y.

    1986-01-01

    A new type of GaAs is developed which exhibits inverted thermal conversion (ITC); i.e., it converts from conducting to semiinsulating upon annealing at about 850 C. In device fabrication, its low resistivity prior to high-temperature processing differentiates ITC GaAs from the standard semiinsulating GaAs. The ITC characteristics are obtained through control of the concentration of the midgap donor EL2 based on heat treatment and crystal-growth modification. Thus EL2 does not exist in the conducting state of ITC GaAs. Conversion to the semiinsulating state during 850 C annealing is caused by the formation of EL2.

  19. Atomic layer deposition of insulating nitride interfacial layers for germanium metal oxide semiconductor field effect transistors with high-κ oxide/tungsten nitride gate stacks

    NASA Astrophysics Data System (ADS)

    Kim, Kyoung H.; Gordon, Roy G.; Ritenour, Andrew; Antoniadis, Dimitri A.

    2007-05-01

    Atomic layer deposition (ALD) was used to deposit passivating interfacial nitride layers between Ge and high-κ oxides. High-κ oxides on Ge surfaces passivated by ultrathin (1-2nm) ALD Hf3N4 or AlN layers exhibited well-behaved C-V characteristics with an equivalent oxide thickness as low as 0.8nm, no significant flatband voltage shifts, and midgap density of interface states values of 2×1012cm-1eV-1. Functional n-channel and p-channel Ge field effect transistors with nitride interlayer/high-κ oxide/metal gate stacks are demonstrated.

  20. Dynamics of reflection high-energy electron diffraction intensity oscillations during molecular beam epitaxial growth of GaAs on (111)B GaAs substrates

    NASA Astrophysics Data System (ADS)

    Yen, M. Y.; Haas, T. W.

    1990-06-01

    We have observed intensity oscillations in reflection high-energy electron diffraction during molecular beam epitaxial growth of GaAs on (111)B GaAs substrates. These oscillations only exist over a narrow range of growth conditions and their behavior is strongly dependent on the migration kinetics of group III and the molecular dissociative reaction of group V elements.

  1. Effect of in situ annealing on the structural and electrical properties and infrared photodetection of III-Sb on GaAs using interfacial misfit array

    NASA Astrophysics Data System (ADS)

    Jia, Bo Wen; Tan, Kian Hua; Loke, Wan Khai; Wicaksono, Satrio; Yoon, Soon Fatt

    2018-01-01

    This work presents the effects of in situ thermal annealing under antimony overpressure on the structural, electrical, and optical properties of III-Sb (GaSb and InSb) grown on (100) GaAs using an interfacial misfit array to accommodate the lattice mismatch. Both the sample growth and the in situ thermal annealing were carried out in the in the molecular beam epitaxy system, and the temperature of the as-grown sample was increased to exceed its growth temperature during the annealing. X-ray diffraction demonstrates nearly fully relaxed as-grown and annealed III-Sb layers. The optimal annealing temperatures and durations are for 590 °C, 5 min for GaSb and 420 °C, 15 min for InSb, respectively. In situ annealing decreased the surface roughness of the III-Sb layers. X-ray reciprocal space mapping and transmission electron microscopy observation showed stable interfacial misfit arrays, and no interfacial diffusion occurred in the annealed III-Sb layers. A Hall measurement of unintentionally doped III-Sb layers showed greater carrier mobility and a lower carrier concentration in the annealed samples at both 77 and 300 K. In situ annealing improved the photoresponsivity of GaSb and InSb photoconductors grown on GaAs in the near- and mid-infrared ranges, respectively.

  2. Enhancement of photoluminescence intensity of GaAs with cubic GaS chemical vapor deposited using a structurally designed single-source precursor

    NASA Technical Reports Server (NTRS)

    Macinnes, Andrew N.; Power, Michael B.; Barron, Andrew R.; Jenkins, Phillip P.; Hepp, Aloysius F.

    1993-01-01

    A two order-of-magnitude enhancement of photoluminescence intensity relative to untreated GaAs has been observed for GaAs surfaces coated with chemical vapor-deposited GaS. The increase in photoluminescence intensity can be viewed as an effective reduction in surface recombination velocity and/or band bending. The gallium cluster /(t-Bu)GaS/4 was used as a single-source precursor for the deposition of GaS thin films. The cubane core of the structurally characterized precursor is retained in the deposited film producing a cubic phase. Furthermore, a near-epitaxial growth is observed for the GaS passivating layer. Films were characterized by transmission electron microscopy, X-ray powder diffraction, and X-ray photoelectron and Rutherford backscattering spectroscopies.

  3. Electrocatalysts by atomic layer deposition for fuel cell applications

    DOE PAGES

    Cheng, Niancai; Shao, Yuyan; Liu, Jun; ...

    2016-01-22

    Here, fuel cells are a promising technology solution for reliable and clean energy because they offer high energy conversion efficiency and low emission of pollutants. However, high cost and insufficient durability are considerable challenges for widespread adoption of polymer electrolyte membrane fuel cells (PEMFCs) in practical applications. Current PEMFCs catalysts have been identified as major contributors to both the high cost and limited durability. Atomic layer deposition (ALD) is emerging as a powerful technique for solving these problems due to its exclusive advantages over other methods. In this review, we summarize recent developments of ALD in PEMFCs with a focusmore » on design of materials for improved catalyst activity and durability. New research directions and future trends have also been discussed.« less

  4. Interfacial band-edge engineered TiO2 protection layer on Cu2O photocathodes for efficient water reduction reaction

    NASA Astrophysics Data System (ADS)

    Choi, Jaesuk; Song, Jun Tae; Jang, Ho Seong; Choi, Min-Jae; Sim, Dong Min; Yim, Soonmin; Lim, Hunhee; Jung, Yeon Sik; Oh, Jihun

    2017-01-01

    Photoelectrochemical (PEC) water splitting has emerged as a potential pathway to produce sustainable and renewable chemical fuels. Here, we present a highly active Cu2O/TiO2 photocathode for H2 production by enhancing the interfacial band-edge energetics of the TiO2 layer, which is realized by controlling the fixed charge density of the TiO2 protection layer. The band-edge engineered Cu2O/TiO2 (where TiO2 was grown at 80 °C via atomic layer deposition) enhances the photocurrent density up to -2.04 mA/cm2 at 0 V vs. RHE under 1 sun illumination, corresponding to about a 1,200% enhancement compared to the photocurrent density of the photocathode protected with TiO2 grown at 150 °C. Moreover, band-edge engineering of the TiO2 protection layer prevents electron accumulation at the TiO2 layer and enhances both the Faraday efficiency and the stability for hydrogen production during the PEC water reduction reaction. This facile control over the TiO2/electrolyte interface will also provide new insight for designing highly efficient and stable protection layers for various other photoelectrodes such as Si, InP, and GaAs. [Figure not available: see fulltext.

  5. Probing the initiation of voltage decay in Li-rich layered cathode materials at the atomic scale

    DOE PAGES

    Wu, Yan; Ma, Cheng; Yang, Jihui; ...

    2015-01-21

    Li-rich layered oxides hold great promise for improving the energy density of present-day Li-ion batteries. However, their application is limited by the voltage decay upon cycling, and the origin of such a phenomenon is poorly understood. A major issue is determining the voltage range over which detrimental reactions originate. In the present study, a unique yet effective approach was employed to probe this issue. Instead of studying the materials during the first cycle, electrochemical behavior and evolution of the atomic structures were compared in extensively cycled specimens under varied charge/discharge voltages. With the upper cutoff voltage lowered from 4.8 tomore » 4.4 V, the voltage decay ceased to occur even after 60 cycles. In the meantime, the material maintained its layered structure without any spinel phase emerging at the surface, which is unambiguously shown by the atomic-resolution Z-contrast imaging and electron energy loss spectroscopy. These results have conclusively demonstrated that structural/chemical changes responsible for the voltage decay began between 4.4 and 4.8 V, where the layered-to-spinel transition was the most dramatic structural change observed. Thus, this discovery lays important groundwork for the mechanistic understanding of the voltage decay in Li-rich layered cathode materials.« less

  6. Integration of GaAs vertical-cavity surface emitting laser on Si by substrate removal

    NASA Astrophysics Data System (ADS)

    Yeh, Hsi-Jen J.; Smith, John S.

    1994-03-01

    The successful integration of strained quantum well InGaAs vertical-cavity surface-emitting lasers (VCSELs) on both Si and Cu substrates was described using a GaAs substrate removal technique. The GaAs VCSEL structure was metallized and bonded to the Si substrate after growth. The GaAs substrate was then removed by selective chemical wet etching. Finally, the bonded GaAs film metallized on the top (emitting) side and separate lasers were defined. This is the first time a VCSEL had been integrated on a Si substrate with its substrate removed. The performance enhancement of GaAs VCSELs bonded on good thermal conductors are demonstrated.

  7. Rational design of atomic-layer-deposited LiFePO4 as a high-performance cathode for lithium-ion batteries.

    PubMed

    Liu, Jian; Banis, Mohammad N; Sun, Qian; Lushington, Andrew; Li, Ruying; Sham, Tsun-Kong; Sun, Xueliang

    2014-10-08

    Atomic layer deposition is successfully applied to synthesize lithium iron phosphate in a layer-by-layer manner by using self-limiting surface reactions. The lithium iron phosphate exhibits high power density, excellent rate capability, and ultra-long lifetime, showing great potential for vehicular lithium batteries and 3D all-solid-state microbatteries. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. Metallic nanoparticle-based strain sensors elaborated by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Puyoo, E.; Malhaire, C.; Thomas, D.; Rafaël, R.; R'Mili, M.; Malchère, A.; Roiban, L.; Koneti, S.; Bugnet, M.; Sabac, A.; Le Berre, M.

    2017-03-01

    Platinum nanoparticle-based strain gauges are elaborated by means of atomic layer deposition on flexible polyimide substrates. Their electro-mechanical response is tested under mechanical bending in both buckling and conformational contact configurations. A maximum gauge factor of 70 is reached at a strain level of 0.5%. Although the exponential dependence of the gauge resistance on strain is attributed to the tunneling effect, it is shown that the majority of the junctions between adjacent Pt nanoparticles are in a short circuit state. Finally, we demonstrate the feasibility of an all-plastic pressure sensor integrating Pt nanoparticle-based strain gauges in a Wheatstone bridge configuration.

  9. Engineering topological superconductors using surface atomic-layer/molecule hybrid materials

    NASA Astrophysics Data System (ADS)

    Uchihashi, Takashi

    2015-08-01

    Surface atomic-layer (SAL) superconductors consisting of epitaxially grown metal adatoms on a clean semiconductor surface have been recently established. Compared to conventional metal thin films, they have two important features: (i) space-inversion symmetry-breaking throughout the system and (ii) high sensitivity to surface adsorption of foreign species. These potentially lead to manifestation of the Rashba effect and a Zeeman field exerted by adsorbed magnetic organic molecules. After introduction of the archetypical SAL superconductor Si(111)-(√7 × √3)-In, we describe how these features are utilized to engineer a topological superconductor with Majorana fermions and discuss its promises and expected challenges.

  10. Technology of GaAs metal-oxide-semiconductor solar cells

    NASA Technical Reports Server (NTRS)

    Stirn, R. J.; Yeh, Y. C. M.

    1977-01-01

    The growth of an oxide interfacial layer was recently found to increase the open-circuit voltage (OCV) and efficiency by up to 60 per cent in GaAs metal-semiconductor solar cells. Details of oxidation techniques to provide the necessary oxide thickness and chemical structure and using ozone, water-vapor-saturated oxygen, or oxygen gas discharges are described, as well as apparent crystallographic orientation effects. Preliminary results of the oxide chemistry obtained from X-ray, photoelectron spectroscopy are given. Ratios of arsenic oxide to gallium oxide of unity or less seem to be preferable. Samples with the highest OVC predominantly have As(+3) in the arsenic oxide rather than As(+5). A major difficulty at this time is a reduction in OCV by 100-200 mV when the antireflection coating is vacuum deposited.

  11. Laser induced OMCVD growth of AlGaAs on GaAs

    NASA Technical Reports Server (NTRS)

    Wilt, David M.; Warner, Joseph D.; Aron, Paul R.; Pouch, John J.; Hoffman, Richard W., Jr.

    1987-01-01

    A major factor limiting the efficiency of the GaAs-GaAlAs solar cell is the rate of recombination at the GaAs-AlGaAs interface. Evidence has been previously reported which indicates that recombination at this interface can be greatly reduced if the AlGaAs layer is grown at lower than normal temperatures. The authors examine the epitaxial growth of AlGaAs on GaAs using a horizontal OMCVD reactor and an excimer laser operating in the UV (lambda = 193 nm) region. The growth temperatures were 450 and 500 C. The laser beam was utilized in two orientations: 75 deg angle of incidence and parallel to the substrate. Film composition and structure were determined by Auger electron spectroscopy (AES) and transmission electron microscopy (TEM). Auger analysis of epilayers grown at 500 C with the laser impinging show no carbon or oxygen contamination of the epitaxial layers or interfaces. TEM diffraction patterns of these same epilayers exhibit single crystal (100) zone axis patterns.

  12. Atomic Layer Deposition for Coating of High Aspect Ratio TiO2 Nanotube Layers

    PubMed Central

    2016-01-01

    We present an optimized approach for the deposition of Al2O3 (as a model secondary material) coating into high aspect ratio (≈180) anodic TiO2 nanotube layers using the atomic layer deposition (ALD) process. In order to study the influence of the diffusion of the Al2O3 precursors on the resulting coating thickness, ALD processes with different exposure times (i.e., 0.5, 2, 5, and 10 s) of the trimethylaluminum (TMA) precursor were performed. Uniform coating of the nanotube interiors was achieved with longer exposure times (5 and 10 s), as verified by detailed scanning electron microscopy analysis. Quartz crystal microbalance measurements were used to monitor the deposition process and its particular features due to the tube diameter gradient. Finally, theoretical calculations were performed to calculate the minimum precursor exposure time to attain uniform coating. Theoretical values on the diffusion regime matched with the experimental results and helped to obtain valuable information for further optimization of ALD coating processes. The presented approach provides a straightforward solution toward the development of many novel devices, based on a high surface area interface between TiO2 nanotubes and a secondary material (such as Al2O3). PMID:27643411

  13. Hydroquinone-ZnO nano-laminate deposited by molecular-atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Huang, Jie; Lucero, Antonio T.; Cheng, Lanxia; Hwang, Hyeon Jun; Ha, Min-Woo; Kim, Jiyoung

    2015-03-01

    In this study, we have deposited organic-inorganic hybrid semiconducting hydroquinone (HQ)/zinc oxide (ZnO) superlattices using molecular-atomic layer deposition, which enables accurate control of film thickness, excellent uniformity, and sharp interfaces at a low deposition temperature (150 °C). Self-limiting growth of organic layers is observed for the HQ precursor on ZnO surface. Nano-laminates were prepared by varying the number of HQ to ZnO cycles in order to investigate the physical and electrical effects of different HQ to ZnO ratios. It is indicated that the addition of HQ layer results in enhanced mobility and reduced carrier concentration. The highest Hall mobility of approximately 2.3 cm2/V.s and the lowest n-type carrier concentration of approximately 1.0 × 1018/cm3 were achieved with the organic-inorganic superlattice deposited with a ratio of 10 ZnO cycles to 1 HQ cycle. This study offers an approach to tune the electrical transport characteristics of ALD ZnO matrix thin films using an organic dopant. Moreover, with organic embedment, this nano-laminate material may be useful for flexible electronics.

  14. ATOMIC LAYER DEPOSITION OF TITANIUM OXIDE THIN FILMS ONNANOPOROUS ALUMINA TEMPLATES FOR MEDICAL APPLICATIONS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Brigmon, R.

    2009-05-05

    Nanostructured materials may play a significant role in controlled release of pharmacologic agents for treatment of cancer. Many nanoporous polymer materials are inadequate for use in drug delivery. Nanoporous alumina provides several advantages over other materials for use in controlled drug delivery and other medical applications. Atomic layer deposition was used to coat all the surfaces of the nanoporous alumina membrane in order to reduce the pore size in a controlled manner. Both the 20 nm and 100 nm titanium oxide-coated nanoporous alumina membranes did not exhibit statistically lower viability compared to the uncoated nanoporous alumina membrane control materials. Inmore » addition, 20 nm pore size titanium oxide-coated nanoporous alumina membranes exposed to ultraviolet light demonstrated activity against Escherichia coli and Staphylococcus aureus bacteria. Nanostructured materials prepared using atomic layer deposition may be useful for delivering a pharmacologic agent at a precise rate to a specific location in the body. These materials may serve as the basis for 'smart' drug delivery devices, orthopedic implants, or self-sterilizing medical devices.« less

  15. Controlled Synthesis of Pd/Pt Core Shell Nanoparticles Using Area-selective Atomic Layer Deposition

    PubMed Central

    Cao, Kun; Zhu, Qianqian; Shan, Bin; Chen, Rong

    2015-01-01

    We report an atomic scale controllable synthesis of Pd/Pt core shell nanoparticles (NPs) via area-selective atomic layer deposition (ALD) on a modified surface. The method involves utilizing octadecyltrichlorosilane (ODTS) self-assembled monolayers (SAMs) to modify the surface. Take the usage of pinholes on SAMs as active sites for the initial core nucleation, and subsequent selective deposition of the second metal as the shell layer. Since new nucleation sites can be effectively blocked by surface ODTS SAMs in the second deposition stage, we demonstrate the successful growth of Pd/Pt and Pt/Pd NPs with uniform core shell structures and narrow size distribution. The size, shell thickness and composition of the NPs can be controlled precisely by varying the ALD cycles. Such core shell structures can be realized by using regular ALD recipes without special adjustment. This SAMs assisted area-selective ALD method of core shell structure fabrication greatly expands the applicability of ALD in fabricating novel structures and can be readily applied to the growth of NPs with other compositions. PMID:25683469

  16. Tailoring properties of lossy-mode resonance optical fiber sensors with atomic layer deposition technique

    NASA Astrophysics Data System (ADS)

    Kosiel, Kamil; Koba, Marcin; Masiewicz, Marcin; Śmietana, Mateusz

    2018-06-01

    The paper shows application of atomic layer deposition (ALD) technique as a tool for tailoring sensorial properties of lossy-mode-resonance (LMR)-based optical fiber sensors. Hafnium dioxide (HfO2), zirconium dioxide (ZrO2), and tantalum oxide (TaxOy), as high-refractive-index dielectrics that are particularly convenient for LMR-sensor fabrication, were deposited by low-temperature (100 °C) ALD ensuring safe conditions for thermally vulnerable fibers. Applicability of HfO2 and ZrO2 overlays, deposited with ALD-related atomic level thickness accuracy for fabrication of LMR-sensors with controlled sensorial properties was presented. Additionally, for the first time according to our best knowledge, the double-layer overlay composed of two different materials - silicon nitride (SixNy) and TaxOy - is presented for the LMR fiber sensors. The thin films of such overlay were deposited by two different techniques - PECVD (the SixNy) and ALD (the TaxOy). Such approach ensures fast overlay fabrication and at the same time facility for resonant wavelength tuning, yielding devices with satisfactory sensorial properties.

  17. Synthesis of Large-area Crystalline MoTe2 Atomic layer from Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Zhou, Lin; Zubair, Ahmad; Xu, Kai; Kong, Jing; Dresselhaus, Mildred

    The controlled synthesis of highly crystalline large-area molybdenum ditelluride MoTe2 atomic layers is crucial for the practical applications of this emerging material. Here we develop a novel approach for the growth of large-area, uniform and highly crystalline few-layer MoTe2 film via chemical vapour deposition (CVD). Large-area atomically thin MoTe2 film has been successfully synthesized by tellurization of a MoO3 film. The as-grown MoTe2 film is uniform, stoichiometric, and highly crystalline. As a result of the high crystallinity, the electronic properties of MoTe2 film are comparable with that of mechanically exfoliated MoTe2 flakes. Moreover, we found that two different phases of MoTe2 (2H and 1T') can be grown depending on the choice of Mo precursor. Since the MoTe2 film is highly homogenous, and the size of the film is only limited by the substrate and CVD system size, our growth method paves the way for large-scale application of MoTe2 in high performance nanoelectronics and optoelectronics.

  18. Lightweight, Light-Trapped, Thin GaAs Solar Cells for Spacecraft Applications.

    DTIC Science & Technology

    1995-10-05

    improve the efficiency of this type of cell. 2 The high efficiency and light weight of the cover glass supported GaAs solar cell can have a significant...is a 3-mil cover glass and 1-mil silicone adhesive on the front surface of the GaAs solar cell. Power Output 3000 400 -{ 2400 { N 300 S18200 W/m2...the ultra-thin, light-trapped GaAs solar ceill 3. Incorporate light trapping. 0 external quantum efficiency at 850 nm increased by 5.2% 4. Develop

  19. The mismatch repair system protects against intergenerational GAA repeat instability in a Friedreich ataxia mouse model.

    PubMed

    Ezzatizadeh, Vahid; Pinto, Ricardo Mouro; Sandi, Chiranjeevi; Sandi, Madhavi; Al-Mahdawi, Sahar; Te Riele, Hein; Pook, Mark A

    2012-04-01

    Friedreich ataxia (FRDA) is an autosomal recessive neurodegenerative disorder caused by a dynamic GAA repeat expansion mutation within intron 1 of the FXN gene. Studies of mouse models for other trinucleotide repeat (TNR) disorders have revealed an important role of mismatch repair (MMR) proteins in TNR instability. To explore the potential role of MMR proteins on intergenerational GAA repeat instability in FRDA, we have analyzed the transmission of unstable GAA repeat expansions from FXN transgenic mice which have been crossed with mice that are deficient for Msh2, Msh3, Msh6 or Pms2. We find in all cases that absence of parental MMR protein not only maintains transmission of GAA expansions and contractions, but also increases GAA repeat mutability (expansions and/or contractions) in the offspring. This indicates that Msh2, Msh3, Msh6 and Pms2 proteins are not the cause of intergenerational GAA expansions or contractions, but act in their canonical MMR capacity to protect against GAA repeat instability. We further identified differential modes of action for the four MMR proteins. Thus, Msh2 and Msh3 protect against GAA repeat contractions, while Msh6 protects against both GAA repeat expansions and contractions, and Pms2 protects against GAA repeat expansions and also promotes contractions. Furthermore, we detected enhanced occupancy of Msh2 and Msh3 proteins downstream of the FXN expanded GAA repeat, suggesting a model in which Msh2/3 dimers are recruited to this region to repair mismatches that would otherwise produce intergenerational GAA contractions. These findings reveal substantial differences in the intergenerational dynamics of expanded GAA repeat sequences compared with expanded CAG/CTG repeats, where Msh2 and Msh3 are thought to actively promote repeat expansions. Copyright © 2012 Elsevier Inc. All rights reserved.

  20. The mismatch repair system protects against intergenerational GAA repeat instability in a Friedreich ataxia mouse model

    PubMed Central

    Ezzatizadeh, Vahid; Pinto, Ricardo Mouro; Sandi, Chiranjeevi; Sandi, Madhavi; Al-Mahdawi, Sahar; te Riele, Hein; Pook, Mark A.

    2013-01-01

    Friedreich ataxia (FRDA) is an autosomal recessive neurodegenerative disorder caused by a dynamic GAA repeat expansion mutation within intron 1 of the FXN gene. Studies of mouse models for other trinucleotide repeat (TNR) disorders have revealed an important role of mismatch repair (MMR) proteins in TNR instability. To explore the potential role of MMR proteins on intergenerational GAA repeat instability in FRDA, we have analyzed the transmission of unstable GAA repeat expansions from FXN transgenic mice which have been crossed with mice that are deficient for Msh2, Msh3, Msh6 or Pms2. We find in all cases that absence of parental MMR protein not only maintains transmission of GAA expansions and contractions, but also increases GAA repeat mutability (expansions and/or contractions) in the offspring. This indicates that Msh2, Msh3, Msh6 and Pms2 proteins are not the cause of intergenerational GAA expansions or contractions, but act in their canonical MMR capacity to protect against GAA repeat instability. We further identified differential modes of action for the four MMR proteins. Thus, Msh2 and Msh3 protect against GAA repeat contractions, while Msh6 protects against both GAA repeat expansions and contractions, and Pms2 protects against GAA repeat expansions and also promotes contractions. Furthermore, we detected enhanced occupancy of Msh2 and Msh3 proteins downstream of the FXN expanded GAA repeat, suggesting a model in which Msh2/3 dimers are recruited to this region to repair mismatches that would otherwise produce intergenerational GAA contractions. These findings reveal substantial differences in the intergenerational dynamics of expanded GAA repeat sequences compared with expanded CAG/CTG repeats, where Msh2 and Msh3 are thought to actively promote repeat expansions. PMID:22289650