Sample records for gaas epitaxial structures

  1. Fermi level pinning at epitaxial Si on GaAs(100) interfaces

    NASA Astrophysics Data System (ADS)

    Silberman, J. A.; de Lyon, T. J.; Woodall, J. M.

    1991-12-01

    GaAs Schottky barrier contacts and metal-insulator-semiconductor structures that include thin epitaxial Si interfacial layers operate in a manner consistent with an unpinned Fermi level at the GaAs interface. These findings raise the question of whether this effect is an intrinsic property of the epitaxial GaAs(100)-Si interface. We have used x-ray photoemission spectroscopy to monitor the Fermi level position during in situ growth of thin epitaxial Si layers. In particular, films formed on heavily doped n- and p-type substrates were compared so as to use the large depletion layer fields available with high impurity concentration as a field-effect probe of the interface state density. The results demonstrate that epitaxial bonding at the interface alone is insufficient to eliminate Fermi level pinning, indicating that other mechanisms affect the interfacial charge balance in the devices that utilize Si interlayers.

  2. Self-catalyzed GaAs nanowires on silicon by hydride vapor phase epitaxy.

    PubMed

    Dong, Zhenning; André, Yamina; Dubrovskii, Vladimir G; Bougerol, Catherine; Leroux, Christine; Ramdani, Mohammed R; Monier, Guillaume; Trassoudaine, Agnès; Castelluci, Dominique; Gil, Evelyne

    2017-03-24

    Gold-free GaAs nanowires on silicon substrates can pave the way for monolithic integration of photonic nanodevices with silicon electronic platforms. It is extensively documented that the self-catalyzed approach works well in molecular beam epitaxy but is much more difficult to implement in vapor phase epitaxies. Here, we report the first gallium-catalyzed hydride vapor phase epitaxy growth of long (more than 10 μm) GaAs nanowires on Si(111) substrates with a high integrated growth rate up to 60 μm h -1 and pure zincblende crystal structure. The growth is achieved by combining a low temperature of 600 °C with high gaseous GaCl/As flow ratios to enable dechlorination and formation of gallium droplets. GaAs nanowires exhibit an interesting bottle-like shape with strongly tapered bases, followed by straight tops with radii as small as 5 nm. We present a model that explains the peculiar growth mechanism in which the gallium droplets nucleate and rapidly swell on the silicon surface but then are gradually consumed to reach a stationary size. Our results unravel the necessary conditions for obtaining gallium-catalyzed GaAs nanowires by vapor phase epitaxy techniques.

  3. Characterization of structural defects in SnSe2 thin films grown by molecular beam epitaxy on GaAs (111)B substrates

    NASA Astrophysics Data System (ADS)

    Tracy, Brian D.; Li, Xiang; Liu, Xinyu; Furdyna, Jacek; Dobrowolska, Margaret; Smith, David J.

    2016-11-01

    Tin selenide thin films have been grown by molecular beam epitaxy on GaAs (111)B substrates at a growth temperature of 150 °C, and a microstructural study has been carried out, primarily using the technique of transmission electron microscopy. The Se:Sn flux ratio during growth was systematically varied and found to have a strong impact on the resultant crystal structure and quality. Low flux ratios (Se:Sn=3:1) led to defective films consisting primarily of SnSe, whereas high flux ratios (Se:Sn>10:1) gave higher quality, single-phase SnSe2. The structure of the monoselenide films was found to be consistent with the Space Group Pnma with the epitaxial growth relationship of [011]SnSe// [ 1 1 bar 0 ] GaAs, while the diselenide films were consistent with the Space Group P 3 bar m1 , and had the epitaxial growth relationship [ 2 1 bar 1 bar 0 ]SnSe2// [ 1 1 bar 0 ] GaAs.

  4. Lateral epitaxial overgowth of GaAs by organometallic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Gale, R. P.; Mcclelland, R. W.; Fan, J. C. C.; Bozler, C. O.

    1982-01-01

    Lateral epitaxial overgrowth of GaAs by organometallic chemical vapor deposition has been demonstrated. Pyrolytic decomposition of trimethylgallium and arsine, without the use of HCl, was used to deposit GaAs on substrates prepared by coating (110) GaAs wafers with SiO2, then using photolithography to open narrow stripes in the oxide. Lateral overgrowth was seeded by epitaxial deposits formed on the GaAs surfaces exposed by the stripe openings. The extent of lateral overgrowth was investigated as a function of stripe orientation and growth temperature. Ratios of lateral to vertical growth rates greater than five have been obtained. The lateral growth is due to surface-kinetic control for the two-dimensional growth geometry studied. A continuous epitaxial GaAs layer 3 microns thick has been grown over a patterned mask on a GaAs substrate and then cleaved from the substrate.

  5. Influence of arsenic flow on the crystal structure of epitaxial GaAs grown at low temperatures on GaAs (100) and (111)A substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Galiev, G. B.; Klimov, E. A.; Vasiliev, A. L.

    The influence of arsenic flow in a growth chamber on the crystal structure of GaAs grown by molecular-beam epitaxy at a temperature of 240°C on GaAs (100) and (111)A substrates has been investigated. The flow ratio γ of arsenic As4 and gallium was varied in the range from 16 to 50. GaAs films were either undoped, or homogeneously doped with silicon, or contained three equidistantly spaced silicon δ-layers. The structural quality of the annealed samples has been investigated by transmission electron microscopy. It is established for the first time that silicon δ-layers in “low-temperature” GaAs serve as formation centers ofmore » arsenic precipitates. Their average size, concentration, and spatial distribution are estimated. The dependence of the film structural quality on γ is analyzed. Regions 100–150 nm in size have been revealed in some samples and identified (by X-ray microanalysis) as pores. It is found that, in the entire range of γ under consideration, GaAs films on (111)A substrates have a poorer structural quality and become polycrystalline beginning with a thickness of 150–200 nm.« less

  6. Sidewall GaAs tunnel junctions fabricated using molecular layer epitaxy

    PubMed Central

    Ohno, Takeo; Oyama, Yutaka

    2012-01-01

    In this article we review the fundamental properties and applications of sidewall GaAs tunnel junctions. Heavily impurity-doped GaAs epitaxial layers were prepared using molecular layer epitaxy (MLE), in which intermittent injections of precursors in ultrahigh vacuum were applied, and sidewall tunnel junctions were fabricated using a combination of device mesa wet etching of the GaAs MLE layer and low-temperature area-selective regrowth. The fabricated tunnel junctions on the GaAs sidewall with normal mesa orientation showed a record peak current density of 35 000 A cm-2. They can potentially be used as terahertz devices such as a tunnel injection transit time effect diode or an ideal static induction transistor. PMID:27877466

  7. Three-dimensional lattice rotation in GaAs nanowire growth on hydrogen-silsesquioxane covered GaAs (001) using molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Tran, Dat Q.; Pham, Huyen T.; Higashimine, Koichi; Oshima, Yoshifumi; Akabori, Masashi

    2018-05-01

    We report on crystallographic behaviors of inclined GaAs nanowires (NWs) self-crystallized on GaAs (001) substrate. The NWs were grown on hydrogen-silsesquioxane (HSQ) covered substrates using molecular beam epitaxy (MBE). Commonly, the epitaxial growth of GaAs < 111>B (B-polar) NWs is prominently observed on GaAs (001); however, we yielded a remarkable number of epitaxially grown GaAs < 111>A (A-polar) NWs in addition to the majorly obtained B-polar NWs. Such NW orientations are always accompanied by a typical inclined angle of 35° from (001) plane. NWs with another inclined angle of 74° were additionally observed and attributed to be < 111>-oriented, not in direct epitaxial relation with the substrate. Such 74° NWs' existence is related to first-order three-dimensional (3D) lattice rotation taking place at the very beginning of the growth. It turns out that spatially 60° lattice rotation around < 111> directions at GaAs seeds is essentially in charge of A- and B-polar 74° NWs. Transmission electron microscope observations reveal a high density of twinning in the B-polar NWs and twin-free characteristic in the A-polar NWs.

  8. Manipulation of morphology and structure of the top of GaAs nanowires grown by molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Li, Lixia; Pan, Dong; Yu, Xuezhe; So, Hyok; Zhao, Jianhua

    2017-10-01

    Self-catalyzed GaAs nanowires (NWs) are grown on Si (111) substrates by molecular-beam epitaxy. The effect of different closing sequences of the Ga and As cell shutters on the morphology and structural phase of GaAs NWs is investigated. For the sequences of closing the Ga and As cell shutters simultaneously or closing the As cell shutter 1 min after closing the Ga cell shutter, the NWs grow vertically to the substrate surface. In contrast, when the As cell shutter is closed first, maintaining the Ga flux is found to be critical for the following growth of GaAs NWs, which can change the growth direction from [111] to < 11\\bar{1}> . The evolution of the morphology and structural phase transition at the tips of these GaAs NWs confirm that the triple-phase-line shift mode is at work even for the growth with different cell shutter closing sequences. Our work will provide new insights for better understanding of the growth mechanism and realizing of the morphology and structure control of the GaAs NWs. Project supported partly by the MOST of China (No. 2015CB921503), the National Natural Science Foundation of China (Nos. 61504133, 61334006, 61404127), and Youth Innovation Promotion Association, CAS (No. 2017156).

  9. Molecular beam epitaxial growth and structural characterization of ZnS on (001) GaAs

    NASA Technical Reports Server (NTRS)

    Benz, R. G., II; Huang, P. C.; Stock, S. R.; Summers, C. J.

    1988-01-01

    The effect of surface nucleation processes on the quality of ZnS layers grown on (001) GaAs substrates by molecular beam epitaxy is reported. Reflection high energy electron diffraction indicated that nucleation at high temperatures produced more planar surfaces than nucleation at low temperatures, but the crystalline quality as assessed by X-ray double crystal diffractometry is relatively independent of nucleation temperature. A critical factor in layer quality was the initial roughness of the GaAs surfaces.

  10. Studies of molecular-beam epitaxy growth of GaAs on porous Si substrates

    NASA Technical Reports Server (NTRS)

    Mii, Y. J.; Kao, Y. C.; Wu, B. J.; Wang, K. L.; Lin, T. L.; Liu, J. K.

    1988-01-01

    GaAs has been grown on porous Si directly and on Si buffer layer-porous Si substrates by molecular-beam epitaxy. In the case of GaAs growth on porous Si, transmission electron microscopy (TEM) reveals that the dominant defects in GaAs layers grown on porous Si are microtwins and stacking faults, which originate from the GaAs/porous Si interface. GaAs is found to penetrate into the porous Si layers. By using a thin Si buffer layer (50 nm), GaAs penetration diminishes and the density of microtwins and stacking faults is largely reduced and localized at the GaAs/Si buffer interface. However, there is a high density of threading dislocations remaining. Both Si (100) aligned and four degree tilted substrates have been examined in this study. TEM results show no observable effect of the tilted substrates on the quality of the GaAs epitaxial layer.

  11. Characterization of reclaimed GaAs substrates and investigation of reuse for thin film InGaAlP LED epitaxial growth

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Englhard, M.; Klemp, C.; Behringer, M.

    This study reports a method to reuse GaAs substrates with a batch process for thin film light emitting diode (TF-LED) production. The method is based on an epitaxial lift-off technique. With the developed reclaim process, it is possible to get an epi-ready GaAs surface without additional time-consuming and expensive grinding/polishing processes. The reclaim and regrowth process was investigated with a one layer epitaxial test structure. The GaAs surface was characterized by an atomic force microscope directly after the reclaim process. The crystal structure of the regrown In{sub 0.5}(Ga{sub 0.45}Al{sub 0.55}){sub 0.5}P (Q{sub 55}) layer was investigated by high resolution x-raymore » diffraction and scanning transmission electron microscopy. In addition, a complete TF-LED grown on reclaimed GaAs substrates was electro-optically characterized on wafer level. The crystal structure of the epitaxial layers and the performance of the TF-LED grown on reclaimed substrates are not influenced by the developed reclaim process. This process would result in reducing costs for LEDs and reducing much arsenic waste for the benefit of a green semiconductor production.« less

  12. Epitaxial lateral overgrowth of GaAs: effect of doping on LPE growth behaviour

    NASA Astrophysics Data System (ADS)

    Zytkiewicz, Z. R.; Dobosz, D.; Pawlowska, M.

    1999-05-01

    Results of epitaxial lateral overgrowth (ELO) of GaAs on (001) GaAs substrates by liquid phase epitaxy are reported. We show that by introducing Si, Sn or Te impurities to the Ga-As solution the vertical growth rate is reduced while the lateral growth rate is significantly enhanced, which leads to a growth habit modification. Furthermore, the impurity incorporation into the growing layer is different on the upper and side surfaces of the ELO, reflecting the fundamental differences between the lateral and vertical growth modes. This phenomenon can be applied for studying the temporal development of ELO layers.

  13. Molecular Beam Epitaxial Growth of GaAs on (631) Oriented Substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cruz Hernandez, Esteban; Rojas Ramirez, Juan-Salvador; Contreras Hernandez, Rocio

    2007-02-09

    In this work, we report the study of the homoepitaxial growth of GaAs on (631) oriented substrates by molecular beam epitaxy (MBE). We observed the spontaneous formation of a high density of large scale features on the surface. The hilly like features are elongated towards the [-5, 9, 3] direction. We show the dependence of these structures with the growth conditions and we present the possibility of to create quantum wires structures on this surface.

  14. Low temperature plasma enhanced CVD epitaxial growth of silicon on GaAs: a new paradigm for III-V/Si integration

    NASA Astrophysics Data System (ADS)

    Cariou, Romain; Chen, Wanghua; Maurice, Jean-Luc; Yu, Jingwen; Patriarche, Gilles; Mauguin, Olivia; Largeau, Ludovic; Decobert, Jean; Roca I Cabarrocas, Pere

    2016-05-01

    The integration of III-V semiconductors with silicon is a key issue for photonics, microelectronics and photovoltaics. With the standard approach, namely the epitaxial growth of III-V on silicon, thick and complex buffer layers are required to limit the crystalline defects caused by the interface polarity issues, the thermal expansion, and lattice mismatches. To overcome these problems, we have developed a reverse and innovative approach to combine III-V and silicon: the straightforward epitaxial growth of silicon on GaAs at low temperature by plasma enhanced CVD (PECVD). Indeed we show that both GaAs surface cleaning by SiF4 plasma and subsequent epitaxial growth from SiH4/H2 precursors can be achieved at 175 °C. The GaAs native oxide etching is monitored with in-situ spectroscopic ellipsometry and Raman spectroscopy is used to assess the epitaxial silicon quality. We found that SiH4 dilution in hydrogen during deposition controls the layer structure: the epitaxial growth happens for deposition conditions at the transition between the microcrystalline and amorphous growth regimes. SIMS and STEM-HAADF bring evidences for the interface chemical sharpness. Together, TEM and XRD analysis demonstrate that PECVD enables the growth of high quality relaxed single crystal silicon on GaAs.

  15. Growth and characterization of molecular beam epitaxial GaAs layers on porous silicon

    NASA Technical Reports Server (NTRS)

    Lin, T. L.; Liu, J. K.; Sadwick, L.; Wang, K. L.; Kao, Y. C.

    1987-01-01

    GaAs layers have been grown on porous silicon (PS) substrates with good crystallinity by molecular beam epitaxy. In spite of the surface irregularity of PS substrates, no surface morphology deterioration was observed on epitaxial GaAs overlayers. A 10-percent Rutherford backscattering spectroscopy minimum channeling yield for GaAs-on-PS layers as compared to 16 percent for GaAs-on-Si layers grown under the same condition indicates a possible improvement of crystallinity when GaAs is grown on PS. Transmission electron microscopy reveals that the dominant defects in the GaAs-on-PS layers are microtwins and stacking faults, which originate from the GaAs/PS interface. GaAs is found to penetrate into the PS layers. n-type GaAs/p-type PS heterojunction diodes were fabricated with good rectifying characteristics.

  16. Low-temperature photoluminescence study of thin epitaxial GaAs films on Ge substrates

    NASA Astrophysics Data System (ADS)

    Brammertz, Guy; Mols, Yves; Degroote, Stefan; Motsnyi, Vasyl; Leys, Maarten; Borghs, Gustaaf; Caymax, Matty

    2006-05-01

    Thin epitaxial GaAs films, with thickness varying from 140 to 1000 nm and different Si doping levels, were grown at 650 °C by organometallic vapor phase epitaxy on Ge substrates and analyzed by low-temperature photoluminescence (PL) spectroscopy. All spectra of thin GaAs on Ge show two different structures, one narrow band-to-band (B2B) structure at an energy of ~1.5 eV and a broad inner-band-gap (IB) structure at an energy of ~1.1 eV. Small strain in the thin GaAs films causes the B2B structure to be separated into a light-hole and a heavy-hole peak. At 2.5 K the good structural quality of the thin GaAs films on Ge can be observed from the narrow excitonic peaks. Peak widths of less than 1 meV are measured. GaAs films with thickness smaller than 200 nm show B2B PL spectra with characteristics of an n-type doping level of approximately 1018 at./cm3. This is caused by heavy Ge diffusion from the substrate into the GaAs at the heterointerface between the two materials. The IB structure observed in all films consists of two Gaussian peaks with energies of 1.04 and 1.17 eV. These deep trapping states arise from Ge-based complexes formed within the GaAs at the Ge-GaAs heterointerface, due to strong diffusion of Ge atoms into the GaAs. Because of similarities with Si-based complexes, the peak at 1.04 eV was identified to be due to a GeGa-GeAs complex, whereas the peak at 1.17 eV was attributed to the GeGa-VGa complex. The intensity of the IB structure decreases strongly as the GaAs film thickness is increased. PL intensity of undoped GaAs films containing antiphase domains (APDs) is four orders of magnitude lower than for similar films without APDs. This reduction in intensity is due to the electrically active Ga-Ga and As-As bonds at the boundaries between the different APDs. When the Si doping level is increased, the PL intensity of the APD-containing films is increased again as well. A film containing APDs with a Si doping level of ~1018 at./cm3 has only a factor 10

  17. Wafer-scale layer transfer of GaAs and Ge onto Si wafers using patterned epitaxial lift-off

    NASA Astrophysics Data System (ADS)

    Mieda, Eiko; Maeda, Tatsuro; Miyata, Noriyuki; Yasuda, Tetsuji; Kurashima, Yuichi; Maeda, Atsuhiko; Takagi, Hideki; Aoki, Takeshi; Yamamoto, Taketsugu; Ichikawa, Osamu; Osada, Takenori; Hata, Masahiko; Ogawa, Arito; Kikuchi, Toshiyuki; Kunii, Yasuo

    2015-03-01

    We have developed a wafer-scale layer-transfer technique for transferring GaAs and Ge onto Si wafers of up to 300 mm in diameter. Lattice-matched GaAs or Ge layers were epitaxially grown on GaAs wafers using an AlAs release layer, which can subsequently be transferred onto a Si handle wafer via direct wafer bonding and patterned epitaxial lift-off (ELO). The crystal properties of the transferred GaAs layers were characterized by X-ray diffraction (XRD), photoluminescence, and the quality of the transferred Ge layers was characterized using Raman spectroscopy. We find that, after bonding and the wet ELO processes, the quality of the transferred GaAs and Ge layers remained the same compared to that of the as-grown epitaxial layers. Furthermore, we realized Ge-on-insulator and GaAs-on-insulator wafers by wafer-scale pattern ELO technique.

  18. OM-VPE growth of Mg-doped GaAs. [OrganoMetallic-Vapor Phase Epitaxy

    NASA Technical Reports Server (NTRS)

    Lewis, C. R.; Dietze, W. T.; Ludowise, M. J.

    1982-01-01

    The epitaxial growth of Mg-doped GaAs by the organometallic vapor phase epitaxial process (OM-VPE) has been achieved for the first time. The doping is controllable over a wide range of input fluxes of bis (cyclopentadienyl) magnesium, (C5H5)2Mg, the organometallic precursor to Mg.

  19. Vertically aligned GaAs nanowires on graphite and few-layer graphene: generic model and epitaxial growth.

    PubMed

    Munshi, A Mazid; Dheeraj, Dasa L; Fauske, Vidar T; Kim, Dong-Chul; van Helvoort, Antonius T J; Fimland, Bjørn-Ove; Weman, Helge

    2012-09-12

    By utilizing the reduced contact area of nanowires, we show that epitaxial growth of a broad range of semiconductors on graphene can in principle be achieved. A generic atomic model is presented which describes the epitaxial growth configurations applicable to all conventional semiconductor materials. The model is experimentally verified by demonstrating the growth of vertically aligned GaAs nanowires on graphite and few-layer graphene by the self-catalyzed vapor-liquid-solid technique using molecular beam epitaxy. A two-temperature growth strategy was used to increase the nanowire density. Due to the self-catalyzed growth technique used, the nanowires were found to have a regular hexagonal cross-sectional shape, and are uniform in length and diameter. Electron microscopy studies reveal an epitaxial relationship of the grown nanowires with the underlying graphitic substrates. Two relative orientations of the nanowire side-facets were observed, which is well explained by the proposed atomic model. A prototype of a single GaAs nanowire photodetector demonstrates a high-quality material. With GaAs being a model system, as well as a very useful material for various optoelectronic applications, we anticipate this particular GaAs nanowire/graphene hybrid to be promising for flexible and low-cost solar cells.

  20. GaAs photovoltaics and optoelectronics using releasable multilayer epitaxial assemblies.

    PubMed

    Yoon, Jongseung; Jo, Sungjin; Chun, Ik Su; Jung, Inhwa; Kim, Hoon-Sik; Meitl, Matthew; Menard, Etienne; Li, Xiuling; Coleman, James J; Paik, Ungyu; Rogers, John A

    2010-05-20

    Compound semiconductors like gallium arsenide (GaAs) provide advantages over silicon for many applications, owing to their direct bandgaps and high electron mobilities. Examples range from efficient photovoltaic devices to radio-frequency electronics and most forms of optoelectronics. However, growing large, high quality wafers of these materials, and intimately integrating them on silicon or amorphous substrates (such as glass or plastic) is expensive, which restricts their use. Here we describe materials and fabrication concepts that address many of these challenges, through the use of films of GaAs or AlGaAs grown in thick, multilayer epitaxial assemblies, then separated from each other and distributed on foreign substrates by printing. This method yields large quantities of high quality semiconductor material capable of device integration in large area formats, in a manner that also allows the wafer to be reused for additional growths. We demonstrate some capabilities of this approach with three different applications: GaAs-based metal semiconductor field effect transistors and logic gates on plates of glass, near-infrared imaging devices on wafers of silicon, and photovoltaic modules on sheets of plastic. These results illustrate the implementation of compound semiconductors such as GaAs in applications whose cost structures, formats, area coverages or modes of use are incompatible with conventional growth or integration strategies.

  1. Analysis of twin defects in GaAs(111)B molecular beam epitaxy growth

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Park, Yeonjoon; Cich, Michael J.; Zhao, Rian

    2000-05-01

    The formation of twin is common during GaAs(111) and GaN(0001) molecular beam epitaxy (MBE) metalorganic chemical vapor deposition growth. A stacking fault in the zinc-blende (ZB)(111) direction can be described as an insertion of one monolayer of wurtzite structure, sandwiched between two ZB structures that have been rotated 60 degree sign along the growth direction. GaAs(111)A/B MBE growth within typical growth temperature regimes is complicated by the formation of pyramidal structures and 60 degree sign rotated twins, which are caused by faceting and stacking fault formation. Although previous studies have revealed much about the structure of these twins, a well-establishedmore » simple nondestructive characterization method which allows the measurement of total aerial density of the twins does not exist at present. In this article, the twin density of AlGaAs layers grown on 1 degree sign miscut GaAs(111)B substrates has been measured using high resolution x-ray diffraction, and characterized with a combination of Nomarski microscopy, atomic force microscopy, and transmission electron microscopy. These comparisons permit the relationship between the aerial twin density and the growth condition to be determined quantitatively. (c) 2000 American Vacuum Society.« less

  2. Investigation of the fabrication mechanism of self-assembled GaAs quantum rings grown by droplet epitaxy.

    PubMed

    Tong, C Z; Yoon, S F

    2008-09-10

    We have directly imaged the formation of a GaAs quantum ring (QR) using droplet epitaxy followed by annealing in arsenic ambient. Based on the atomic force micrograph measurement and the analysis of surface energy, we determine that the formation of self-assembled GaAs QRs is due to the gallium atom's diffusion and crystallization driven by the gradient of surface energy. The phenomenon that GaAs is etched by the gallium droplets is reported and analyzed. It has been demonstrated that the epitaxy layers, such as AlAs and InGaP, can be used as the etching stop layer and hence can be used to control the shape and height of the QRs.

  3. Structure of high-index GaAs surfaces - the discovery of the stable GaAs(2511) surface

    NASA Astrophysics Data System (ADS)

    Jacobi, K.; Geelhaar, L.; Márquez, J.

    We present a brief overview of surface structures of high-index GaAs surfaces, putting emphasis on recent progress in our own laboratory. By adapting a commercial scanning tunneling microscope (STM) to our molecular beam epitaxy and ultra high vacuum analysis chamber system, we have been able to atomically resolve the GaAs( {1} {1} {3})B(8 ×1), (114)Aα2(2×1), (137), (3715), and (2511) surface structures. In cooperation with P. Kratzer and M. Scheffler from the Theory Department of the Fritz-Haber Institute we determined the structure of some of these surfaces by comparing total-energy calculations and STM image simulations with the atomically resolved STM images. We present the results for the {112}, {113}, and {114} surfaces. Then we describe what led us to proceed into the inner parts of the stereographic triangle and to discover the hitherto unknown stable GaAs(2511) surface.

  4. Structural properties of GaAsN grown on (001) GaAs by metalorganic molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Ok, Young-Woo; Choi, Chel-Jong; Seong, Tae-Yeon; Uesugi, K.; Suemune, I.

    2001-07-01

    Detailed transmission electron microscopy (TEM) and transmission electron diffraction (TED) examination has been made of metalorganic molecular beam epitaxial GaAsN layers grown on (001) GaAs substrates. TEM results show that lateral composition modulation occurs in the GaAs1-xNx layer (x 6.75%). It is shown that increasing N composition and Se (dopant) concentration leads to poor crystallinity. It is also shown that the addition of Se increases N composition. Atomic force microscopy (AFM) results show that the surfaces of the samples experience a morphological change from faceting to islanding, as the N composition and Se concentration increase. Based on the TEM and AFM results, a simple model is given to explain the formation of the lateral composition modulation.

  5. Properties of epitaxial BaTiO{sub 3} deposited on GaAs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Contreras-Guerrero, R.; Droopad, R.; Veazey, J. P.

    2013-01-07

    Single crystal BaTiO{sub 3} (BTO) has been grown epitaxially on GaAs using molecular beam epitaxy with a 2 unit cell SrTiO{sub 3} nucleation layer. The oxide film is lattice-matched to GaAs through an in-plane rotation of 45 Degree-Sign relative to the (100) surface leading to c-axis orientation of the BaTiO{sub 3}. X-ray diffraction confirmed the crystallinity and orientation of the oxide film with a full width half maximum of 0.58 Degree-Sign for a 7.5 nm thick layer. Piezoresponse force microscopy was used to characterize the ferroelectric domains in the BaTiO{sub 3} layer, and a coercive voltage of 1-2 V andmore » piezoresponse amplitude {approx}5 pm/V was measured.« less

  6. Dynamics of reflection high-energy electron diffraction intensity oscillations during molecular beam epitaxial growth of GaAs on (111)B GaAs substrates

    NASA Astrophysics Data System (ADS)

    Yen, M. Y.; Haas, T. W.

    1990-06-01

    We have observed intensity oscillations in reflection high-energy electron diffraction during molecular beam epitaxial growth of GaAs on (111)B GaAs substrates. These oscillations only exist over a narrow range of growth conditions and their behavior is strongly dependent on the migration kinetics of group III and the molecular dissociative reaction of group V elements.

  7. Ferromagnetic GaAs structures with single Mn delta-layer fabricated using laser deposition.

    PubMed

    Danilov, Yuri A; Vikhrova, Olga V; Kudrin, Alexey V; Zvonkov, Boris N

    2012-06-01

    The new technique combining metal-organic chemical vapor epitaxy with laser ablation of solid targets was used for fabrication of ferromagnetic GaAs structures with single Mn delta-doped layer. The structures demonstrated anomalous Hall effect, planar Hall effect, negative and anisotropic magnetoresistance in temperature range of 10-35 K. In GaAs structures with only single Mn delta-layer (without additional 2D hole gas channel or quantum well) ferromagnetism was observed for the first time.

  8. Chemical beam epitaxy of GaAs1-xNx using MMHy and DMHy precursors, modeled by ab initio study of GaAs(100) surfaces stability over As2, H2 and N2

    NASA Astrophysics Data System (ADS)

    Valencia, Hubert; Kangawa, Yoshihiro; Kakimoto, Koichi

    2017-06-01

    Using ab initio calculations, a simple model for GaAs1-xNx vapor-phase epitaxy on (100) surface of GaAs was created. By studying As2 and H2 molecules adsorptions and As/N atom substitutions on (100) GaAs surfaces, we obtain a relative stability diagram of all stable surfaces under varying As2, H2, and N2 conditions. We previously proved that this model could describe the vapor-phase epitaxy of GaAs1-x Nx with simple, fully decomposed, precursors. In this paper, we show that in more complex reaction conditions using monomethylhydrazine (MMHy), and dimethylhydrazine (DMHy), it is still possible to use our model to obtain an accurate description of the temperature and pressure stability domains for each surfaces, linked to chemical beam epitaxy (CBE) growth conditions. Moreover, the different N-incorporation regimes observed experimentally at different temperature can be explain and predict by our model. The use of MMHy and DMHy precursors can also be rationalized. Our model should then help to better understand the conditions needed to obtain an high quality GaAs1-xNx using vapor-phase epitaxy.

  9. Epitaxial growth of GaSb on V-grooved Si (001) substrates with an ultrathin GaAs stress relaxing layer

    NASA Astrophysics Data System (ADS)

    Li, Qiang; Lai, Billy; Lau, Kei May

    2017-10-01

    We report epitaxial growth of GaSb nano-ridge structures and planar thin films on V-groove patterned Si (001) substrates by leveraging the aspect ratio trapping technique. GaSb was deposited on {111} Si facets of the V-shaped trenches using metal-organic chemical vapor deposition with a 7 nm GaAs growth initiation layer. Transmission electron microscopy analysis reveals the critical role of the GaAs layer in providing a U-shaped surface for subsequent GaSb epitaxy. A network of misfit dislocations was uncovered at the GaSb/GaAs hetero-interface. We studied the evolution of the lattice relaxation as the growth progresses from closely pitched GaSb ridges to coalesced thin films using x-ray diffraction. The omega rocking curve full-width-at-half-maximum of the resultant GaSb thin film is among the lowest values reported by molecular beam epitaxy, substantiating the effectiveness of the defect necking mechanism. These results thus present promising opportunities for the heterogeneous integration of devices based on 6.1 Å family compound semiconductors.

  10. Resonant electronic Raman scattering of below-gap states in molecular-beam epitaxy grown and liquid-encapsulated Czochralski grown GaAs

    NASA Astrophysics Data System (ADS)

    Fluegel, B.; Rice, A. D.; Mascarenhas, A.

    2018-05-01

    Resonant electronic Raman (ER) scattering is used to compare the below-gap excitations in molecular-beam epitaxially grown GaAs and in undoped semi-insulating GaAs substrates. The measurement geometry was designed to eliminate common measurement artifacts caused by the high optical transmission below the fundamental absorption edge. In epitaxial GaAs, ER is a clear Raman signal from the two-electron transitions of donors, eliminating an ambiguity encountered in previous results. In semi-insulating GaAs, ER occurs in a much broader dispersive band well below the bound exciton energies. The difference in the two materials may be due to the occupation of the substrate acceptor states in the presence of the midgap state EL2.

  11. Epitaxial gallium arsenide wafers

    NASA Technical Reports Server (NTRS)

    Black, J. F.; Robinson, L. B.

    1971-01-01

    The preparation of GaAs epitaxial layers by a vapor transport process using AsCl3, Ga and H2 was pursued to provide epitaxial wafers suitable for the fabrication of transferred electron oscillators and amplifiers operating in the subcritical region. Both n-n(+) structures, and n(++)-n-n(+) sandwich structures were grown using n(+) (Si-doped) GaAs substrates. Process variables such as the input AsCl3 concentration, gallium temperature, and substrate temperature and temperature gradient and their effects on properties are presented and discussed.

  12. Heteroepitaxial growth of GaAs on (100) Ge/Si using migration enhanced epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tanoto, H.; Loke, W. K.; Yoon, S. F.

    In this paper, heteroepitaxial growth of GaAs on nominal (100) Ge/Si substrate was investigated. The root-mean square surface roughness of the sample where the first few monolayers of the GaAs were nucleated by migration enhanced epitaxy (MEE) is four times smaller compared to the sample without such a process, indicating better surface planarity. From the (004) x-ray diffraction rocking curve measurement, the full width at half maximum of the GaAs layer nucleated by MEE is 40% lower compared to that of the GaAs layer without such a process, indicating better crystal quality. Furthermore, it was found that the sample wheremore » the GaAs layer was nucleated by MEE experienced early relaxation. As the MEE process promotes two-dimensional growth, the GaAs layer where nucleation was initiated by such a process has fewer islandlike formations. This leads to a pseudomorphically grown GaAs layer, which experiences higher strain compared to the GaAs layer with more islandlike formations, where most relaxation occurs on the free surface of the islands. Therefore, for the same layer thickness, the GaAs layer on (100) Ge/Si substrate where nucleation was initiated by MEE relaxed first.« less

  13. Laterally Overgrown Structures as Substrates for Lattice Mismatched Epitaxy

    DTIC Science & Technology

    2002-06-03

    low supersaturation substrate [3]. Therefore, equilibrium growth techniques as liquid buffer with TD phase epitaxy (LPE) or vapour phase epitaxy (VPE...phase diffusion during MBE growth, so lateral over- low cost semiconductor devices. Therefore, vapour growth must rely on the surface mobility of...is replaced by graphite film not wetted For the GaAs on GaAs ELO system we attributed by the gallium melt [35]. Similarly, tungsten has been broadening

  14. Resonant electronic Raman scattering of below-gap states in molecular-beam epitaxy grown and liquid-encapsulated Czochralski grown GaAs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fluegel, B.; Rice, A. D.; Mascarenhas, A.

    Resonant electronic Raman (ER) scattering is used to compare the below-gap excitations in molecular-beam epitaxially grown GaAs and in undoped semi-insulating GaAs substrates. The measurement geometry was designed to eliminate common measurement artifacts caused by the high optical transmission below the fundamental absorption edge. In epitaxial GaAs, ER is a clear Raman signal from the two-electron transitions of donors, eliminating an ambiguity encountered in previous results. In semi-insulating GaAs, ER occurs in a much broader dispersive band well below the bound exciton energies. Furthermore, the difference in the two materials may be due to the occupation of the substrate acceptormore » states in the presence of the midgap state EL2.« less

  15. Secondary ion mass spectrometry study of ex situ annealing of epitaxial GaAs grown on Si substrates

    NASA Technical Reports Server (NTRS)

    Radhakrishnan, G.; Mccullough, O.; Cser, J.; Katz, J.

    1988-01-01

    Samples of epitaxial GaAs grown on (100) Si substrates using molecular beam epitaxy were annealed at four different temperatures, from 800 to 950 C. Following annealing, the samples were analyzed using secondary ion mass spectrometry. Depth profiles of Ga, As, and Si reveal optimum conditions for annealing, and place a lower limit on a damage threshold for GaAs/Si substrates.

  16. Short wavelength (visible) GaAs quantum well lasers grown by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Woodbridge, K.; Blood, P.; Fletcher, E.D.

    1984-07-01

    GaAs-AlGaAs multiple quantum well (MQW) injection lasers with well widths from 55 to 13 A have been grown by molecular beam epitaxy and operated at room temperature, showing emission at wavelengths down to 704 nm, the shortest reported for a MQW injection laser with GaAs wells. In a device with 25-A wells some evidence of coupling was apparent when barrier widths were reduced to 40 A. For devices with 80-A barriers there is a difference of about 20 nm between the calculated n = 1 (e--hh) transition wavelength and the lasing wavelength, whereas the calculation agrees with photovoltage absorption measurementsmore » on the same structures.« less

  17. Molecular beam epitaxial growth of high-quality InSb on InP and GaAs substrates

    NASA Technical Reports Server (NTRS)

    Oh, J. E.; Bhattacharya, P. K.; Chen, Y. C.; Tsukamoto, S.

    1989-01-01

    Epitaxial layers of InSb were grown on InP and GaAs substrates by molecular beam epitaxy. The dependence of the epilayer quality on flux ratio, J sub Sb4/J sub In, was studied. Deviation from an optimum value of J sub Sb4/J sub In (approx. 2) during growth led to deterioration in the surface morphology and the electrical and crystalline qualities of the films. Room temperature electron mobilities as high as 70,000 and 53,000 sq cm /V-s were measured in InSb layers grown on InP and GaAs substrates, respectively. Unlike the previous results, the conductivity in these films is n-type even at T = 13 K, and no degradation of the electron mobility due to the high density of dislocations was observed. The measured electron mobilities (and carrier concentrations) at 77 K in InSb layers grown on InP and GaAs substrates are 110,000 sq cm/V-s (3 x 10(15) cm(-3)) and 55,000 sq cm/V-s (4.95 x 10(15) cm(-3)), respectively, suggesting their application to electronic devices at cryogenic temperatures.

  18. Croissance epitaxiale de GaAs sur substrats de Ge par epitaxie par faisceaux chimiques

    NASA Astrophysics Data System (ADS)

    Belanger, Simon

    La situation energetique et les enjeux environnementaux auxquels la societe est confrontee entrainent un interet grandissant pour la production d'electricite a partir de l'energie solaire. Parmi les technologies actuellement disponibles, la filiere du photovoltaique a concentrateur solaire (CPV pour concentrator photovoltaics) possede un rendement superieur et mi potentiel interessant a condition que ses couts de production soient competitifs. La methode d'epitaxie par faisceaux chimiques (CBE pour chemical beam epitaxy) possede plusieurs caracteristiques qui la rendent interessante pour la production a grande echelle de cellules photovoltaiques a jonctions multiples a base de semi-conducteurs III-V. Ce type de cellule possede la meilleure efficacite atteinte a ce jour et est utilise sur les satellites et les systemes photovoltaiques a concentrateur solaire (CPV) les plus efficaces. Une des principales forces de la technique CBE se trouve dans son potentiel d'efficacite d'utilisation des materiaux source qui est superieur a celui de la technique d'epitaxie qui est couramment utilisee pour la production a grande echelle de ces cellules. Ce memoire de maitrise presente les travaux effectues dans le but d'evaluer le potentiel de la technique CBE pour realiser la croissance de couches de GaAs sur des substrats de Ge. Cette croissance constitue la premiere etape de fabrication de nombreux modeles de cellules solaires a haute performance decrites plus haut. La realisation de ce projet a necessite le developpement d'un procede de preparation de surface pour les substrats de germanium, la realisation de nombreuses sceances de croissance epitaxiale et la caracterisation des materiaux obtenus par microscopie optique, microscopie a force atomique (AFM), diffraction des rayons-X a haute resolution (HRXRD), microscopie electronique a transmission (TEM), photoluminescence a basse temperature (LTPL) et spectrometrie de masse des ions secondaires (SIMS). Les experiences ont permis

  19. Study of structural properties of cubic InN films on GaAs(001) substrates by molecular beam epitaxy and migration enhanced epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Casallas-Moreno, Y. L.; Perez-Caro, M.; Gallardo-Hernandez, S.

    InN epitaxial films with cubic phase were grown by rf-plasma-assisted molecular beam epitaxy (RF-MBE) on GaAs(001) substrates employing two methods: migration-enhanced epitaxy (MEE) and conventional MBE technique. The films were synthesized at different growth temperatures ranging from 490 to 550 Degree-Sign C, and different In beam fluxes (BEP{sub In}) ranging from 5.9 Multiplication-Sign 10{sup -7} to 9.7 Multiplication-Sign 10{sup -7} Torr. We found the optimum conditions for the nucleation of the cubic phase of the InN using a buffer composed of several thin layers, according to reflection high-energy electron diffraction (RHEED) patterns. Crystallographic analysis by high resolution X-ray diffraction (HR-XRD)more » and RHEED confirmed the growth of c-InN by the two methods. We achieved with the MEE method a higher crystal quality and higher cubic phase purity. The ratio of cubic to hexagonal components in InN films was estimated from the ratio of the integrated X-ray diffraction intensities of the cubic (002) and hexagonal (1011) planes measured by X-ray reciprocal space mapping (RSM). For MEE samples, the cubic phase of InN increases employing higher In beam fluxes and higher growth temperatures. We have obtained a cubic purity phase of 96.4% for a film grown at 510 Degree-Sign C by MEE.« less

  20. Failure Mechanisms of GaAs Transistors - A Literature Survey

    DTIC Science & Technology

    1990-03-01

    doping profile cannot be as sharp as with epitaxial methods. This is the result of the statistics of the implantation and the general diffusion that...Speed GaAs Logic Gates 5.1 GaAs PLANAR TRANSITOR STRUCTURES USED IN IC’S Some planar transistor structures used in IC’s with examples of the

  1. A Novel Growth Method To Improve the Quality of GaAs Nanowires Grown by Ga-Assisted Chemical Beam Epitaxy.

    PubMed

    García Núñez, Carlos; Braña, Alejandro F; López, Nair; García, Basilio J

    2018-06-13

    The successful synthesis of high crystalline quality and high aspect ratio GaAs nanowires (NWs) with a uniform diameter is needed to develop advanced applications beyond the limits established by thin film and bulk material properties. Vertically aligned GaAs NWs have been extensively grown by Ga-assisted vapor-liquid-solid (VLS) mechanism on Si(111) substrates, and they have been used as building blocks in photovoltaics, optoelectronics, electronics, and so forth. However, the nucleation of parasitic species such as traces and nanocrystals on the Si substrate surface during the NW growth could affect significantly the controlled nucleation of those NWs, and therefore the resulting performance of NW-based devices. Preventing the nucleation of parasitic species on the Si substrate is a matter of interest, because they could act as traps for gaseous precursors and/or chemical elements during VLS growth, drastically reducing the maximum length of grown NWs, affecting their morphology and structure, and reducing the NW density along the Si substrate surface. This work presents a novel and easy to develop growth method (i.e., without using advanced nanolithography techniques) to prevent the nucleation of parasitic species, while preserving the quality of GaAs NWs even for long duration growths. GaAs NWs are grown by Ga-assisted chemical beam epitaxy on oxidized Si(111) substrates using triethylgallium and tertiarybutylarsine precursors by a two-step-based growth method presented here; this method includes a growth interruption for an oxidation on air between both steps of growth, reducing the nucleation of parasitic crystals on the thicker SiO x capping layer during the second and longer growth step. VLS conditions are preserved overtime, resulting in a stable NW growth rate of around 6 μm/h for growth times up to 1 h. Resulting GaAs NWs have a high aspect ratio of 85 and average radius of 35 nm. We also report on the existence of characteristic reflection high

  2. A semi-empirical model for the complete orientation dependence of the growth rate for vapor phase epitaxy - Chloride VPE of GaAs

    NASA Technical Reports Server (NTRS)

    Seidel-Salinas, L. K.; Jones, S. H.; Duva, J. M.

    1992-01-01

    A semi-empirical model has been developed to determine the complete crystallographic orientation dependence of the growth rate for vapor phase epitaxy (VPE). Previous researchers have been able to determine this dependence for a limited range of orientations; however, our model yields relative growth rate information for any orientation. This model for diamond and zincblende structure materials is based on experimental growth rate data, gas phase diffusion, and surface reactions. Data for GaAs chloride VPE is used to illustrate the model. The resulting growth rate polar diagrams are used in conjunction with Wulff constructions to simulate epitaxial layer shapes as grown on patterned substrates. In general, this model can be applied to a variety of materials and vapor phase epitaxy systems.

  3. Periodic surface structure bifurcation induced by ultrafast laser generated point defect diffusion in GaAs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Abere, Michael J.; Yalisove, Steven M.; Torralva, Ben

    2016-04-11

    The formation of high spatial frequency laser induced periodic surface structures (HSFL) with period <0.3 λ in GaAs after irradiation with femtosecond laser pulses in air is studied. We have identified a point defect generation mechanism that operates in a specific range of fluences in semiconductors between the band-gap closure and ultrafast-melt thresholds that produces vacancy/interstitial pairs. Stress relaxation, via diffusing defects, forms the 350–400 nm tall and ∼90 nm wide structures through a bifurcation process of lower spatial frequency surface structures. The resulting HSFL are predominately epitaxial single crystals and retain the original GaAs stoichiometry.

  4. Temporal behavior of RHEED intensity oscillations during molecular beam epitaxial growth of GaAs and AlGaAs on (111)B GaAs substrates

    NASA Astrophysics Data System (ADS)

    Yen, Ming Y.; Haas, T. W.

    1990-10-01

    We present the temporal behavior of intensity oscillations in reflection high-energy electron diffraction (RHEED) during molecular beam epitaxial (MBE) growth of GaAs and A1GaAs on (1 1 1)B GaAs substrates. The RHEED intensity oscillations were examined as a function of growth parameters in order to provide the insight into the dynamic characteristics and to identify the optimal condition for the two-dimensional layer-by-layer growth. The most intense RHEED oscillation was found to occur within a very narrow temperature range which seems to optimize the surface migration kinetics of the arriving group III elements and the molecular dissodiative reaction of the group V elements. The appearance of an initial transient of the intensity upon commencement of the growth and its implications are described.

  5. Structures, Properties and Defects of SrTiO3/GaAs Hetero-interfaces

    NASA Astrophysics Data System (ADS)

    Hong, Liang; Bhatnagar, Kunal; Droopad, Ravi; Öğüt, Serdar; Klie, Robert

    SrTiO3 thin film can be epitaxially grown on GaAs substrate and used as a platform for growing other oxides to create functional metal-oxide-semiconductor devices, where a high-quality SrTiO3/GaAs interface is essential. We studied the structural and electronic properties of SrTiO3/GaAs hetero-interfaces at atomic level using scanning transmission electron microscopy and first-principles calculations. Our results suggest the preferred termination of GaAs (001) is significantly dependent on the oxygen concentration in the first oxide layer. The favorable interface structure is characterized as oxygen-deficient SrO in contact with arsenic and is observed in both experiment and simulation. The electronic properties are calculated and found to be tunable by interfacial defects such as oxygen, gallium and arsenic vacancies. This work was supported by the National Science Foundation (Grant No. DMR-1408427). This work made use of instruments in the Electron Microscopy Service and the High Performance Computing Clusters at University of Illinois at Chicago.

  6. Upright and Inverted Single-Junction GaAs Solar Cells Grown by Hydride Vapor Phase Epitaxy

    DOE PAGES

    Simon, John; Schulte, Kevin L.; Jain, Nikhil; ...

    2016-10-19

    Hydride vapor phase epitaxy (HVPE) is a low-cost alternative to conventional metal-organic vapor phase epitaxy (MOVPE) growth of III-V solar cells. In this work, we show continued improvement of the performance of HVPE-grown single-junction GaAs solar cells. We show over an order of magnitude improvement in the interface recombination velocity between GaAs and GaInP layers through the elimination of growth interrupts, leading to increased short-circuit current density and open-circuit voltage compared with cells with interrupts. One-sun conversion efficiencies as high as 20.6% were achieved with this improved growth process. Solar cells grown in an inverted configuration that were removed frommore » the substrate showed nearly identical performance to on-wafer cells, demonstrating the viability of HVPE to be used together with conventional wafer reuse techniques for further cost reduction. As a result, these devices utilized multiple heterointerfaces, showing the potential of HVPE for the growth of complex and high-quality III-V devices.« less

  7. Room temperature lasing of GaAs quantum wire vertical-cavity surface-emitting lasers grown on (7 7 5) B GaAs substrates by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Higuchi, Y.; Osaki, S.; Kitada, T.; Shimomura, S.; Takasuka, Y.; Ogura, M.; Hiyamizu, S.

    2006-06-01

    Self-organized GaAs/(GaAs) 4(AlAs) 2 quantum wires (QWRs) grown on (7 7 5) B-oriented GaAs substrates by molecular beam epitaxy have been applied to an active region of vertical-cavity surface-emitting lasers (VCSELs). The (7 7 5) B GaAs QWR-VCSEL with an aperture diameter of 3 μm lased at a wavelength of 765 nm with a threshold current of 0.38 mA at room temperature. This is the first demonstration of laser operation of the QWR-VCSEL by current injection. The light output was linearly polarized in the direction parallel to the QWRs due to the optical anisotropy of the self-organized (7 7 5) B GaAs QWRs.

  8. Heavily Sn-doped GaAs with abrupt doping profiles grown by migration-enhanced epitaxy at low temperatures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chavanapranee, Tosaporn; Horikoshi, Yoshiji

    The characteristics of heavily Sn-doped GaAs samples grown at 300 deg. C by a migration-enhanced epitaxy (MEE) technique are investigated in comparison with those of the samples grown by a conventional molecular-beam epitaxy (MBE) at 580 deg. C. While no discernible difference is observed in the low doping regime, the difference in doping characteristics between the MBE- and MEE-grown samples becomes apparent when the doping concentration exceeds 1x10{sup 19} cm{sup -3}. Sn atoms as high as 4x10{sup 21} cm{sup -3} can be incorporated into MEE-grown GaAs films, unlike the MBE-grown samples that have a maximum doping level limited around 1x10{supmore » 19} cm{sup -3}. Due to an effective suppression of Sn segregation in the MEE growth case, high quality GaAs films with abrupt high-concentration Sn-doping profiles are achieved with the doping concentrations of up to 2x10{sup 21} cm{sup -3}. It has been shown that even though a high concentration of Sn atoms is incorporated into the GaAs film, the electron concentration saturates at 6x10{sup 19} cm{sup -3} and then gradually decreases with Sn concentration. The uniform doping limitation, as well as the electron concentration saturation, is discussed by means of Hall-effect measurement, x-ray diffraction, and Raman scattering spectroscopy.« less

  9. Deep levels in H-irradiated GaAs1-xNx (x < 0.01) grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Shafi, M.; Mari, R. H.; Khatab, A.; Henini, M.; Polimeni, A.; Capizzi, M.; Hopkinson, M.

    2011-12-01

    Dilute nitride GaAs1-xNx layers have been grown by molecular beam epitaxy with nitrogen concentration ranging from 0.2% to 0.8%. These samples have been studied before and after hydrogen irradiation by using standard deep level transient spectroscopy (DLTS) and high resolution Laplace DLTS techniques. The activation energy, capture cross section and density of the electron traps have been estimated and compared with results obtained in N-free as-grown and H-irradiated bulk GaAs.

  10. Structural and optical characteristics of GaAs films grown on Si/Ge substrates

    NASA Astrophysics Data System (ADS)

    Rykov, A. V.; Dorokhin, M. V.; Vergeles, P. S.; Baidus, N. V.; Kovalskiy, V. A.; Yakimov, E. B.; Soltanovich, O. A.

    2018-03-01

    A GaAs/AlAs heterostructure and a GaAs film grown on Si/Ge substrates have been fabricated and studied. A Ge buffer on a silicon substrate was fabricated using the MBE process. A3B5 films were grown by MOCVD at low pressures. Photoluminescence spectroscopy was used to define the optical quality of A3B5 films. Structural properties were investigated using the electron beam induced current method. It was established that despite a rather high density of dislocations on the epitaxial layers, the detected photoluminescence radiation of layers indicates the acceptable crystalline quality of the top GaAs layer.

  11. Periodic Two-Dimensional GaAs and InGaAs Quantum Rings Grown on GaAs (001) by Droplet Epitaxy.

    PubMed

    Tung, Kar Hoo Patrick; Huang, Jian; Danner, Aaron

    2016-06-01

    Growth of ordered GaAs and InGaAs quantum rings (QRs) in a patterned SiO2 nanohole template by molecular beam epitaxy (MBE) using droplet epitaxy (DE) process is demonstrated. DE is an MBE growth technique used to fabricate quantum nanostructures of high crystal quality by supplying group III and group V elements in separate phases. In this work, ordered QRs grown on an ordered nanohole template are compared to self-assembled QRs grown with the same DE technique without the nanohole template. This study allows us to understand and compare the surface kinetics of Ga and InGa droplets when a template is present. It is found that template-grown GaAs QRs form clustered rings which can be attributed to low mobility of Ga droplets resulting in multiple nucleation sites for QR formation when As is supplied. However, the case of template-grown InGaAs QRs only one ring is formed per nanohole; no clustering is observed. The outer QR diameter is a close match to the nanohole template diameter. This can be attributed to more mobile InGa droplets, which coalesce from an Ostwald ripening to form a single large droplet before As is supplied. Thus, well-patterned InGaAs QRs are demonstrated and the kinetics of their growth are better understood which could potentially lead to improvements in the future devices that require the unique properties of patterned QRs.

  12. Rare-earth gate oxides for GaAs MOSFET application

    NASA Astrophysics Data System (ADS)

    Kwon, Kwang-Ho; Yang, Jun-Kyu; Park, Hyung-Ho; Kim, Jongdae; Roh, Tae Moon

    2006-08-01

    Rare-earth oxide films for gate dielectric on n-GaAs have been investigated. The oxide films were e-beam evaporated on S-passivated GaAs, considering interfacial chemical bonding state and energy band structure. Rare-earth oxides such as Gd 2O 3, (Gd xLa 1- x) 2O 3, and Gd-silicate were employed due to high resistivity and no chemical reaction with GaAs. Structural and bonding properties were characterized by X-ray photoemission, absorption, and diffraction. The electrical characteristics of metal-oxide-semiconductor (MOS) diodes were correlated with material properties and energy band structures to guarantee the feasibility for MOS field effect transistor (FET) application. Gd 2O 3 films were grown epitaxially on S-passivated GaAs (0 0 1) at 400 °C. The passivation induced a lowering of crystallization temperature with an epitaxial relationship of Gd 2O 3 (4 4 0) and GaAs (0 0 1). A better lattice matching relation between Gd 2O 3 and GaAs substrate was accomplished by the substitution of Gd with La, which has larger ionic radius. The in-plane relationship of (Gd xLa 1- x) 2O 3 (4 4 0) with GaAs (0 0 1) was found and the epitaxial films showed an improved crystalline quality. Amorphous Gd-silicate film was synthesized by the incorporation of SiO 2 into Gd 2O 3. These amorphous Gd-silicate films excluded defect traps or current flow path due to grain boundaries and showed a relatively larger energy band gap dependent on the contents of SiO 2. Energy band parameters such as Δ EC, Δ EV, and Eg were effectively controlled by the film composition.

  13. Comparative study of textured and epitaxial ZnO films

    NASA Astrophysics Data System (ADS)

    Ryu, Y. R.; Zhu, S.; Wrobel, J. M.; Jeong, H. M.; Miceli, P. F.; White, H. W.

    2000-06-01

    ZnO films were synthesized by pulsed laser deposition (PLD) on GaAs and α-Al 2O 3 substrates. The properties of ZnO films on GaAs and α-Al 2O 3 have been investigated to determine the differences between epitaxial and textured ZnO films. ZnO films on GaAs show very strong emission features associated with exciton transitions as do ZnO films on α-Al 2O 3, while the crystalline structural qualities for ZnO films on α-Al 2O 3 are much better than those for ZnO films on GaAs. The properties of ZnO films are studied by comparing highly oriented, textured ZnO films on GaAs with epitaxial ZnO films on α-Al 2O 3 synthesized along the c-axis.

  14. In-plane dielectric properties of epitaxial Ba{sub 0.7}Sr{sub 0.3}TiO{sub 3} thin films grown on GaAs for tunable device application

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang Zhibin; Hao Jianhua

    2012-09-01

    We have epitaxially deposited ferroelectric Ba{sub 0.7}Sr{sub 0.3}TiO{sub 3} (BST) thin films grown on GaAs substrate via SrTiO{sub 3} buffer layer by laser molecular beam epitaxy. Structural characteristics of the heterostructure were measured by various techniques. The in-plane dielectric properties of the heteroepitaxial structure under different applying frequency were investigated from -190 to 90 Degree-Sign C, indicating Curie temperature of the BST film to be around 52 Degree-Sign C. At room temperature, the dielectric constant of the heterostructure under moderate dc bias field can be tuned by more than 30% and K factor used for frequency agile materials is foundmore » to be close to 8. Our results offer the possibility to combine frequency agile electronics of ferroelectric titanate with the high-performance microwave capabilities of GaAs for room temperature tunable device application.« less

  15. Metamorphic distributed Bragg reflectors for the 1440–1600 nm spectral range: Epitaxy, formation, and regrowth of mesa structures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Egorov, A. Yu., E-mail: anton@beam.ioffe.ru; Karachinsky, L. Ya.; Novikov, I. I.

    It is shown that metamorphic In{sub 0.3}Ga{sub 0.7}As/In{sub 0.3}Al{sub 0.7}As distributed Bragg reflectors (DBRs) with a reflection band at 1440–1600 nm and a reflectance of no less than 0.999 can be fabricated by molecular beam epitaxy (MBE) on a GaAs substrate. It is demonstrated that mesa structures formed from metamorphic DBRs on a GaAs substrate can be regrown by MBE and microcavities can be locally formed in two separate epitaxial processes. The results obtained can find wide application in the fabrication of vertical-cavity surface-emitting lasers (VCSELs) with a buried tunnel junction.

  16. Formation and reconstruction of Se nanoislands at the surface of thin epitaxial ZnSe layers grown on GaAs substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kozlovskiy, V. I.; Krivobok, V. S., E-mail: krivobok@lebedev.ru; Kuznetsov, P. I.

    2016-05-15

    Strained epitaxial ZnSe layers are grown on GaAs substrates by the method of vapor-phase epitaxy from metal-organic compounds. It is found that Se nanoislands with a density of 10{sup 8} to 10{sup 9} cm{sup –2} are formed at the surface of such layers. It is established that an increase in the size of Se islands and a decrease in their density take place after completion of growth. Annealing in a H{sub 2} atmosphere at a temperature higher than 260°C leads to the disappearance of Se islands and to a decrease in the surface roughness. It is shown that annealing doesmore » not lead to deterioration of the structural perfection of the epitaxial ZnSe films; rather, annealing gives rise to a decrease in the intensity of impurity–defect luminescence and to an increase in the intensity of intrinsic radiation near the bottom of the exciton band.« less

  17. Electrodeposition of Metal on GaAs Nanowires

    NASA Astrophysics Data System (ADS)

    Liu, Chao; Einabad, Omid; Watkins, Simon; Kavanagh, Karen

    2010-10-01

    Copper (Cu) electrical contacts to freestanding gallium arsenide (GaAs) nanowires have been fabricated via electrodeposition. The nanowires are zincblende (111) oriented grown epitaxially on n-type Si-doped GaAs (111)B substrates by gold-catalyzed Vapor Liquid Solid (VLS) growth in a metal organic vapour phase epitaxy (MOVPE) reactor. The epitaxial electrodeposition process, based on previous work with bulk GaAs substrates, consists of a substrate oxide pre-etch in dilute ammonium-hydroxide carried out prior to galvanostatic electrodeposition in a pure Cu sulphate aqueous electrolyte at 20-60^oC. For GaAs nanowires, we find that Cu or Fe has a preference for growth on the gold catalyst avoiding the sidewalls. After removing gold, both metals still prefer to grow only on top of the nanowire, which has the largest potential field.

  18. Structural and electronic properties of isovalent boron atoms in GaAs

    NASA Astrophysics Data System (ADS)

    Krammel, C. M.; Nattermann, L.; Sterzer, E.; Volz, K.; Koenraad, P. M.

    2018-04-01

    Boron containing GaAs, which is grown by metal organic vapour phase epitaxy, is studied at the atomic level by cross-sectional scanning tunneling microscopy (X-STM) and spectroscopy (STS). In topographic X-STM images, three classes of B related features are identified, which are attributed to individual B atoms on substitutional Ga sites down to the second layer below the natural {110} cleavage planes. The X-STM contrast of B atoms below the surface reflects primarily the structural modification of the GaAs matrix by the small B atoms. However, B atoms in the cleavage plane have in contrast to conventional isovalent impurities, such as Al and In, a strong influence on the local electronic structure similar to donors or acceptors. STS measurements show that B in the GaAs {110} surfaces gives rise to a localized state short below the conduction band (CB) edge while in bulk GaAs, the B impurity state is resonant with the CB. The analysis of BxGa1-xAs/GaAs quantum wells reveals a good crystal quality and shows that the incorporation of B atoms in GaAs can be controlled along the [001] growth direction at the atomic level. Surprisingly, the formation of the first and fourth nearest neighbor B pairs, which are oriented along the <110 > directions, is strongly suppressed at a B concentration of 1% while the third nearest neighbor B pairs are found more than twice as often than expected for a completely spatially random pattern.

  19. Epitaxial Fe(1-x)Gax/GaAs structures via electrochemistry for spintronics applications

    NASA Astrophysics Data System (ADS)

    Reddy, K. Sai Madhukar; Maqableh, Mazin M.; Stadler, Bethanie J. H.

    2012-04-01

    In this study, thin films of Fe83Ga17 (a giant magnetostrictive alloy) were grown on single-crystalline n-GaAs (001) and polycrystalline brass substrates via electrochemical synthesis from ferrous and gallium sulfate electrolytes. Extensive structural characterization using microdiffraction, high-resolution ω - 2θ, and rocking-curve analysis revealed that the films grown on GaAs(001) are highly textured with ⟨001⟩ orientation along the substrate normal, and the texture improved further upon annealing at 300 °C for 2 h in N2 environment. On the contrary, films grown on brass substrates exhibited ⟨011⟩ preferred orientation. Rocking-curve analysis done on Fe83Ga17/GaAs structures further confirmed that the ⟨001⟩ texture in the Fe83Ga17 thin film is a result of epitaxial nucleation and growth. The non-linear current-voltage plot obtained for the Fe-Ga/GaAs Schottky contacts was characteristic of tunneling injection, and showed improved behavior with annealing. Thus, this study demonstrates the feasibility of fabricating spintronic devices that incorporate highly magnetostrictive Fe(1-x)Gax thin films grown epitaxially via electrochemistry.

  20. Stages in molecular beam epitaxy growth of GaAs nanowires studied by x-ray diffraction.

    PubMed

    Mariager, Simon O; Lauridsen, Søren L; Sørensen, Claus B; Dohn, Asmus; Willmott, Phillip R; Nygård, Jesper; Feidenhans'l, Robert

    2010-03-19

    GaAs nanowires were grown by molecular beam epitaxy and studied by glancing-angle x-ray diffraction during five different stages of the growth process. An entire forest of randomly positioned epitaxial nanowires was sampled simultaneously and a large variation in the Au-Ga catalyst was found. Au, AuGa, AuGa(2) and the hexagonal beta phase were all identified in several orientations and in similar amounts. The nanowires are shown to consist of regular zinc blende crystal, its twin and the hexagonal wurtzite. The evolution of the various Au-Ga catalysts and the development in the twin to the wurtzite abundance ratio indicate that the Au catalyst is saturated upon initiation of growth leading to an increased amount of wurtzite structure in the wires. A specular x-ray scan identifies the various Au-Ga alloys, three Au lattice constants and a rough interface between nanowires and catalyst. Reciprocal space maps were obtained around Au Bragg points and show the development of the Au catalyst from a distribution largely oriented with respect to the lattice to a non-uniform distribution with several well-defined lattice constants.

  1. Self-assembly of vertically aligned quantum ring-dot structure by Multiple Droplet Epitaxy

    NASA Astrophysics Data System (ADS)

    Elborg, Martin; Noda, Takeshi; Mano, Takaaki; Kuroda, Takashi; Yao, Yuanzhao; Sakuma, Yoshiki; Sakoda, Kazuaki

    2017-11-01

    We successfully grow vertically aligned quantum ring-dot structures by Multiple Droplet Epitaxy technique. The growth is achieved by depositing GaAs quantum rings in a first droplet epitaxy process which are subsequently covered by a thin AlGaAs barrier. In a second droplet epitaxy process, Ga droplets preferentially position in the center indentation of the ring as well as attached to the edge of the ring in [ 1 1 bar 0 ] direction. By designing the ring geometry, full selectivity for the center position of the ring is achieved where we crystallize the droplets into quantum dots. The geometry of the ring and dot as well as barrier layer can be controlled in separate growth steps. This technique offers great potential for creating complex quantum molecules for novel quantum information technologies.

  2. Temperature Dependences of the Product of the Differential Resistance by the Area in MIS-Structures Based on Cd x Hg1- x Te Grown by Molecularbeam Epitaxy on Alternative Si and GaAs Substrates

    NASA Astrophysics Data System (ADS)

    Voitsekhovskii, A. V.; Nesmelov, S. N.; Dzyadukh, S. M.; Varavin, V. S.; Vasil'ev, V. V.; Dvoretskii, S. A.; Mikhailov, N. N.; Yakushev, M. V.; Sidorov, G. Yu.

    2017-06-01

    In a temperature range of 9-200 K, temperature dependences of the differential resistance of space-charge region in the strong inversion mode are experimentally studied for MIS structures based on CdxHg1-xTe (x = 0.22-0.40) grown by molecular-beam epitaxy. The effect of various parameters of structures: the working layer composition, the type of a substrate, the type of insulator coating, and the presence of a near-surface graded-gap layer on the value of the product of differential resistance by the area is studied. It is shown that the values of the product RSCRA for MIS structures based on n-CdHgTe grown on a Si(013) substrate are smaller than those for structures based on the material grown on a GaAs(013) substrate. The values of the product RSCRA for MIS structures based on p-CdHgTe grown on a Si(013) substrate are comparable with the value of the analogous parameter for MIS structures based on p-CdHgTe grown on a GaAs(013) substrate.

  3. Reflection high energy electron diffraction study of nitrogen plasma interactions with a GaAs (100) surface

    NASA Astrophysics Data System (ADS)

    Hauenstein, R. J.; Collins, D. A.; Cai, X. P.; O'Steen, M. L.; McGill, T. C.

    1995-05-01

    Effect of a nitrogen electron-cyclotron-resonance (ECR) microwave plasma on near-surface composition, crystal structure, and morphology of the As-stabilized GaAs (100) surface is investigated with the use of digitally image-processed in situ reflection high energy electron diffraction. Nitridation is performed on molecular beam epitaxially (MBE) grown GaAs surfaces near 600 °C under typical conditions for ECR microwave plasma-assisted MBE growth of GaN films on GaAs. Brief plasma exposures (≊3-5 s) are shown to result in a specular, coherently strained, relatively stable, GaN film approximately one monolayer in thickness, which can be commensurately overgrown with GaAs while longer exposures (up to 1 min) result in incommensurate zincblende epitaxial GaN island structures. Specular and nonspecular film formations are explained in terms of N-for-As surface and subsurface anion exchange reactions, respectively. Commensurate growth of ultrathin buried GaN layers in GaAs is achieved.

  4. Real-time observation of rotational twin formation during molecular-beam epitaxial growth of GaAs on Si (111) by x-ray diffraction

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Suzuki, Hidetoshi, E-mail: hsuzuki@cc.miyazaki-u.ac.jp; Nakata, Yuka; Takahasi, Masamitu

    2016-03-15

    The formation and evolution of rotational twin (TW) domains introduced by a stacking fault during molecular-beam epitaxial growth of GaAs on Si (111) substrates were studied by in situ x-ray diffraction. To modify the volume ratio of TW to total GaAs domains, GaAs was deposited under high and low group V/group III (V/III) flux ratios. For low V/III, there was less nucleation of TW than normal growth (NG) domains, although the NG and TW growth rates were similar. For high V/III, the NG and TW growth rates varied until a few GaAs monolayers were deposited; the mean TW domain sizemore » was smaller for all film thicknesses.« less

  5. Carbon doping in molecular beam epitaxy of GaAs from a heated graphite filament

    NASA Technical Reports Server (NTRS)

    Malik, R. J.; Nottenberg, R. N.; Schubert, E. F.; Walker, J. F.; Ryan, R. W.

    1988-01-01

    Carbon doping of GaAs grown by molecular beam epitaxy has been obtained for the first time by use of a heated graphite filament. Controlled carbon acceptor concentrations over the range of 10 to the 17th-10 to the 20th/cu cm were achieved by resistively heating a graphite filament with a direct current power supply. Capacitance-voltage, p/n junction and secondary-ion mass spectrometry measurements indicate that there is negligible diffusion of carbon during growth and with postgrowth rapid thermal annealing. Carbon was used for p-type doping in the base of Npn AlGaAs/GaAs heterojunction bipolar transistors. Current gains greater than 100 and near-ideal emitter heterojunctions were obtained in transistors with a carbon base doping of 1 x 10 to the 19th/cu cm. These preliminary results indicate that carbon doping from a solid graphite source may be an attractive substitute for beryllium, which is known to have a relatively high diffusion coefficient in GaAs.

  6. Droplet Epitaxy Image Contrast in Mirror Electron Microscopy

    NASA Astrophysics Data System (ADS)

    Kennedy, S. M.; Zheng, C. X.; Jesson, D. E.

    2017-01-01

    Image simulation methods are applied to interpret mirror electron microscopy (MEM) images obtained from a movie of GaAs droplet epitaxy. Cylindrical symmetry of structures grown by droplet epitaxy is assumed in the simulations which reproduce the main features of the experimental MEM image contrast, demonstrating that droplet epitaxy can be studied in real-time. It is therefore confirmed that an inner ring forms at the droplet contact line and an outer ring (or skirt) occurs outside the droplet periphery. We believe that MEM combined with image simulations will be increasingly used to study the formation and growth of quantum structures.

  7. Probability of twin formation on self-catalyzed GaAs nanowires on Si substrate

    PubMed Central

    2012-01-01

    We attempted to control the incorporation of twin boundaries in self-catalyzed GaAs nanowires (NWs). Self-catalyzed GaAs NWs were grown on a Si substrate under various arsenic pressures using molecular beam epitaxy and the vapor-liquid-solid method. When the arsenic flux is low, wurtzite structures are dominant in the GaAs NWs. On the other hand, zinc blende structures become dominant as the arsenic flux rises. We discussed this phenomenon on the basis of thermodynamics and examined the probability of twin-boundary formation in detail. PMID:23043754

  8. High Growth Rate Metal-Organic Molecular Beam Epitaxy for the Fabrication of GaAs Space Solar Cells

    NASA Technical Reports Server (NTRS)

    Freundlich, A.; Newman, F.; Monier, C.; Street, S.; Dargan, P.; Levy, M.

    2005-01-01

    In this work it is shown that high quality GaAs photovoltaic devices can be produced by Molecular Beam Epitaxy (MBE) with growth rates comparable to metal-organic chemical vapor deposition (MOCVD) through the subsitution of group III solid sources by metal-organic compounds. The influence the III/V flux-ratio and growth temperatures in maintaining a two dimensional layer by layer growth mode and achieving high growth rates with low residual background impurities is investigated. Finally subsequent to the study of the optimization of n- and p doping of such high growth rate epilayers, results from a preliminary attempt in the fabrication of GaAs photovoltaic devices such as tunnel diodes and solar cells using the proposed high growth rate approach are reported.

  9. Overcoming Ehrlich-Schwöbel barrier in (1 1 1)A GaAs molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Ritzmann, Julian; Schott, Rüdiger; Gross, Katherine; Reuter, Dirk; Ludwig, Arne; Wieck, Andreas D.

    2018-01-01

    In this work, we first study the effect of different growth parameters on the molecular beam epitaxy (MBE) growth of GaAs layers on (1 1 1)A oriented substrates. After that we present a method for the MBE growth of atomically smooth layers by sequences of growth and annealing phases. The samples exhibit low surface roughness and good electrical properties shown by atomic force microscopy (AFM), scanning electron microscopy (SEM) and van-der-Pauw Hall measurements.

  10. GaAs MOEMS Technology

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    SPAHN, OLGA B.; GROSSETETE, GRANT D.; CICH, MICHAEL J.

    2003-03-01

    Many MEMS-based components require optical monitoring techniques using optoelectronic devices for converting mechanical position information into useful electronic signals. While the constituent piece-parts of such hybrid opto-MEMS components can be separately optimized, the resulting component performance, size, ruggedness and cost are substantially compromised due to assembly and packaging limitations. GaAs MOEMS offers the possibility of monolithically integrating high-performance optoelectronics with simple mechanical structures built in very low-stress epitaxial layers with a resulting component performance determined only by GaAs microfabrication technology limitations. GaAs MOEMS implicitly integrates the capability for radiation-hardened optical communications into the MEMS sensor or actuator component, a vitalmore » step towards rugged integrated autonomous microsystems that sense, act, and communicate. This project establishes a new foundational technology that monolithically combines GaAs optoelectronics with simple mechanics. Critical process issues addressed include selectivity, electrochemical characteristics, and anisotropy of the release chemistry, and post-release drying and coating processes. Several types of devices incorporating this novel technology are demonstrated.« less

  11. Spectroscopic and microscopic investigation of MBE-grown CdTe (211)B epitaxial thin films on GaAs (211)B substrates

    NASA Astrophysics Data System (ADS)

    Özden, Selin; Koc, Mumin Mehmet

    2018-03-01

    CdTe epitaxial thin films, for use as a buffer layer for HgCdTe defectors, were grown on GaAs (211)B using the molecular beam epitaxy method. Wet chemical etching (Everson method) was applied to the epitaxial films using various concentrations and application times to quantify the crystal quality and dislocation density. Surface characterization of the epitaxial films was achieved using Atomic force microscopy and Scanning electron microscopy (SEM) before and after each treatment. The Energy Dispersive X-Ray apparatus of SEM was used to characterize the chemical composition. Untreated CdTe films show smooth surface characteristics with root mean square (RMS) roughnesses of 1.18-3.89 nm. The thicknesses of the CdTe layers formed were calculated via FTIR spectrometry and obtained by ex situ spectroscopic ellipsometry. Raman spectra were obtained for various temperatures. Etch pit densities (EPD) were measured, from which it could be seen that EPD changes between 1.7 × 108 and 9.2 × 108 cm-2 depending on the concentration of the Everson etch solution and treatment time. Structure, shape and depth of pits resulting from each etch pit implementation were also evaluated. Pit widths varying between 0.15 and 0.71 µm with heights varying between 2 and 80 nm were observed. RMS roughness was found to vary by anything from 1.56 to 26 nm.

  12. Solar hydrogen production using epitaxial SrTiO 3 on a GaAs photovoltaic

    DOE PAGES

    Kornblum, L.; Fenning, D. P.; Faucher, J.; ...

    2016-12-22

    We demonstrate an oxide-stabilized III–V photoelectrode architecture for solar fuel production from water in neutral pH. For this tunable architecture we demonstrate 100% Faradaic efficiency for hydrogen evolution, and incident photon-to-current efficiencies (IPCE) exceeding 50%. High IPCE for hydrogen evolution is a consequence of the low-loss interface achieved via epitaxial growth of a thin oxide on a GaAs solar cell. Developing optimal energetic alignment across the interfaces of the photoelectrode using well-established III–V technology is key to obtaining high performance. This advance constitutes a critical milestone towards efficient, unassisted fuel production from solar energy.

  13. Raman scattering studies of strain effects in (100) and (311)B GaAs1-xBix epitaxial layers

    NASA Astrophysics Data System (ADS)

    Steele, J. A.; Lewis, R. A.; Henini, M.; Lemine, O. M.; Alkaoud, A.

    2013-11-01

    We report room-temperature Raman studies of strained (100) and (311)B GaAs1-xBix epitaxial layers for x ≤ 0.039. The Raman spectra exhibit a two-mode behavior, as well as disorder-activated GaAs-like phonons. The experimental results show that the GaAs-like LO(Γ) mode experiences a strong composition-dependent redshift as a result of alloying. The peak frequency decreases linearly from the value for pure GaAs (˜293 cm-1) with the alloyed Bi fraction x and the introduced in-plane lattice strain ɛ∥, by ΔωLO=Δωalloy-Δωstrain. X-ray diffraction measurements are used to determine x and ɛ∥ allowing Δωalloy to be decoupled and is estimated to be -12(±4) cm-1/x for (100) GaAs1-xBix. ΔωLO is measured to be roughly double for samples grown on (311)B-oriented substrates to that of (100) GaAs. This large difference in redshift is accounted for by examining the Bi induced strain, effects from alloying, and defects formed during high-index (311)B crystal growth.

  14. A review of molecular beam epitaxy of ferroelectric BaTiO3 films on Si, Ge and GaAs substrates and their applications

    PubMed Central

    Mazet, Lucie; Yang, Sang Mo; Kalinin, Sergei V; Schamm-Chardon, Sylvie; Dubourdieu, Catherine

    2015-01-01

    SrTiO3 epitaxial growth by molecular beam epitaxy (MBE) on silicon has opened up the route to the monolithic integration of various complex oxides on the complementary metal-oxide–semiconductor silicon platform. Among functional oxides, ferroelectric perovskite oxides offer promising perspectives to improve or add functionalities on-chip. We review the growth by MBE of the ferroelectric compound BaTiO3 on silicon (Si), germanium (Ge) and gallium arsenide (GaAs) and we discuss the film properties in terms of crystalline structure, microstructure and ferroelectricity. Finally, we review the last developments in two areas of interest for the applications of BaTiO3 films on silicon, namely integrated photonics, which benefits from the large Pockels effect of BaTiO3, and low power logic devices, which may benefit from the negative capacitance of the ferroelectric. PMID:27877816

  15. High-efficiency GaAs and GaInP solar cells grown by all solid-state molecular-beam-epitaxy

    PubMed Central

    2011-01-01

    We report the initial results of GaAs and GaInP solar cells grown by all solid-state molecular-beam-epitaxy (MBE) technique. For GaAs single-junction solar cell, with the application of AlInP as the window layer and GaInP as the back surface field layer, the photovoltaic conversion efficiency of 26% at one sun concentration and air mass 1.5 global (AM1.5G) is realized. The efficiency of 16.4% is also reached for GaInP solar cell. Our results demonstrate that the MBE-grown phosphide-contained III-V compound semiconductor solar cell can be quite comparable to the metal-organic-chemical-vapor-deposition-grown high-efficiency solar cell. PMID:22040124

  16. Wafer-Fused Orientation-Patterned GaAs

    DTIC Science & Technology

    2008-02-13

    frequencies utilizing existing industrial foundries. 15. SUBJECT TERMS Orientation-patterned Gallium Arsenide, hydride vapor phase epitaxy, quasi-phase... Gallium Arsenide, hydride vapor phase epitaxy, quasi-phase-matching, nonlinear frequency conversion 1. INTRODUCTION Quasi-phase-matching (QPM)1...and E. Lallier, “Second harmonic generation of CO2 laser using thick quasi-phase-matched GaAs layer grown by hydride vapour phase epitaxy

  17. GaAs quantum dots in a GaP nanowire photodetector

    NASA Astrophysics Data System (ADS)

    Kuyanov, P.; McNamee, S. A.; LaPierre, R. R.

    2018-03-01

    We report the structural, optical and electrical properties of GaAs quantum dots (QDs) embedded along GaP nanowires. The GaP nanowires contained p-i-n junctions with 15 consecutively grown GaAs QDs within the intrinsic region. The nanowires were grown by molecular beam epitaxy using the self-assisted vapor-liquid-solid process. The crystal structure of the NWs alternated between twinned ZB and WZ as the composition along the NW alternated between the GaP barriers and the GaAs QDs, respectively, leading to a polytypic structure with a periodic modulation of the NW sidewall facets. Photodetector devices containing QDs showed absorption beyond the bandgap of GaP in comparison to nanowires without QDs. Voltage-dependent measurements suggested a field emission process of carriers from the QDs.

  18. Effects of high source flow and high pumping speed on gas source molecular beam epitaxy / chemical beam epitaxy

    NASA Astrophysics Data System (ADS)

    McCollum, M. J.; Jackson, S. L.; Szafranek, I.; Stillman, G. E.

    1990-10-01

    We report the growth of GaAs by molecular beam epitaxy (MBE), gas source molecular beam epitaxy (GSMBE), and chemical beam epitaxy (CBE) in an epitaxial III-V reactor which features high pumping speed. The system is comprised of a modified Perkin-Elmer 430P molecular beam epitaxy system and a custom gas source panel from Emcore. The growth chamber is pumped with a 7000 1/s (He) diffusion pump (Varian VHS-10 with Monsanto Santovac 5 oil). The gas source panel includes pressure based flow controllers (MKS 1150) allowing triethylaluminum (TEA), triethylgallium (TEG), and trimethylindium (TMI) to be supplied without the use of hydrogen. All source lines, including arsine and phosphine, are maintained below atmospheric pressure. The high pumping speed allows total system flow rates as high as 100 SCCM and V/III ratios as high as 100. The purity of GaAs grown by MBE in this system increases with pumping speed. GaAs layers grown by GSMBE with arsine flows of 10 and 20 SCCM have electron concentrations of 1 × 10 15 cm -3 (μ 77=48,000 cm 2/V·) and 2 × 10 14 cm -3 (μ 77=78,000 cm 2/V·s) respectively. El ectron concentration varies with hydride injector temperature such that the minimum in electron concentration occurs for less than complete cracking. The effect of V/III ratio and the use of a metal eutectic bubbler on residual carrier concentration in GaAs grown by CBE is presented. Intentional Si and Be doping of CBE grown GaAs is demonstrated at a high growth rate of 5.4 μm/h.

  19. Low defect densities in molecular beam epitaxial GaAs achieved by isoelectronic In doping

    NASA Technical Reports Server (NTRS)

    Bhattacharya, P. K.; Dhar, S.; Berger, P.; Juang, F.-Y.

    1986-01-01

    A study has been made of the effects of adding small amounts of In (0.2-1.2 pct) to GaAs grown by molecular beam epitaxy. The density of four electron traps decreases in concentration by an order of magnitude, and the peak intensities of prominent emissions in the excitonic spectra are reduced with increase in In content. Based on the higher surface migration rate of In, compared to Ga, at the growth temperatures it is apparent that the traps and the excitonic transitions are related to point defects. This agrees with earlier observations by Briones and Collins (1982) and Skromme et al. (1985).

  20. Mosaic structure in epitaxial thin films having large lattice mismatch

    NASA Astrophysics Data System (ADS)

    Srikant, V.; Speck, J. S.; Clarke, D. R.

    1997-11-01

    Epitaxial films having a large lattice mismatch with their substrate invariably form a mosaic structure of slightly misoriented sub-grains. The mosaic structure is usually characterized by its x-ray rocking curve on a surface normal reflection but this is limited to the out-of-plane component unless off-axis or transmission experiments are performed. A method is presented by which the in-plane component of the mosaic misorientation can be determined from the rocking curves of substrate normal and off-axis reflections. Results are presented for two crystallographically distinct heteroepitaxial systems, ZnO, AlN, and GaN (wurtzite crystal structure) on c-plane sapphire and MgO (rock salt crystal structure) on (001) GaAs. The differences in the mosaic structure of these films are attributed to the crystallographic nature of their lattice dislocations.

  1. Ab initio study of GaAs(100) surface stability over As2, H2 and N2 as a model for vapor-phase epitaxy of GaAs1-xNx

    NASA Astrophysics Data System (ADS)

    Valencia, Hubert; Kangawa, Yoshihiro; Kakimoto, Koichi

    2015-12-01

    GaAs(100) c(4×4) surfaces were examined by ab initio calculations, under As2, H2 and N2 gas mixed conditions as a model for GaAs1-xNx vapor-phase epitaxy (VPE) on GaAs(100). Using a simple model consisting of As2 and H2 molecules adsorptions and As/N atom substitutions, it was shown to be possible to examine the crystal growth behavior considering the relative stability of the resulting surfaces against the chemical potential of As2, H2 and N2 gases. Such simple model allows us to draw a picture of the temperature and pressure stability domains for each surfaces that can be linked to specific growth conditions, directly. We found that, using this simple model, it is possible to explain the different N-incorporation regimes observed experimentally at different temperatures, and to predict the transition temperature between these regimes. Additionally, a rational explanation of N-incorporation ratio for each of these regimes is provided. Our model should then lead to a better comprehension and control of the experimental conditions needed to realize a high quality VPE of GaAs1-xNx.

  2. A review of molecular beam epitaxy of ferroelectric BaTiO 3 films on Si, Ge and GaAs substrates and their applications

    DOE PAGES

    Mazet, Lucie; Yang, Sang Mo; Kalinin, Sergei V.; ...

    2015-06-30

    SrTiO 3 epitaxial growth by molecular beam epitaxy (MBE) on silicon has opened up the route to the monolithic integration of various complex oxides on the complementary metal-oxide-semiconductor silicon platform. Among functional oxides, ferroelectric perovskite oxides offer promising perspectives to improve or add functionalities on-chip. We review the growth by MBE of the ferroelectric compound BaTiO 3 on silicon (Si), germanium (Ge) and gallium arsenide (GaAs) and we discuss the film properties in terms of crystalline structure, microstructure and ferroelectricity. Lastly, we review the last developments in two areas of interest for the applications of BaTiO 3 films on silicon,more » namely integrated photonics, which benefits from the large Pockels effect of BaTiO 3, and low power logic devices, which may benefit from the negative capacitance of the ferroelectric.« less

  3. Epitaxial growth of quantum rods with high aspect ratio and compositional contrast

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, L. H.; Patriarche, G.; Fiore, A.

    2008-12-01

    The epitaxial growth of quantum rods (QRs) on GaAs was investigated. It was found that GaAs thickness in the GaAs/InAs superlattice used for QR formation plays a key role in improving the QR structural properties. Increasing the GaAs thickness results in both an increased In compositional contrast between the QRs and surrounding layer, and an increased QR length. QRs with an aspect ratio of up to 10 were obtained, representing quasiquantum wires in a GaAs matrix. Due to modified confinement and strain potential, such nanostructure is promising for controlling gain polarization.

  4. Reaction mechanisms in the organometallic vapor phase epitaxial growth of GaAs

    NASA Technical Reports Server (NTRS)

    Larsen, C. A.; Buchan, N. I.; Stringfellow, G. B.

    1988-01-01

    The decomposition mechanisms of AsH3, trimethylgallium (TMGa), and mixtures of the two have been studied in an atmospheric-pressure flow system with the use of D2 to label the reaction products which are analyzed in a time-of-flight mass spectrometer. AsH3 decomposes entirely heterogeneously to give H2. TMGa decomposes by a series of gas-phase steps, involving methyl radicals and D atoms to produce CH3D, CH4, C2H6, and HD. TMGa decomposition is accelerated by the presence of AsH3. When the two are mixed, as in the organometallic vapor phase epitaxial growth of GaAs, both compounds decompose in concert to produce only CH4. A likely model is that of a Lewis acid-base adduct that forms and subsequently eliminates CH4.

  5. Reaction mechanisms in the organometallic vapor phase epitaxial growth of GaAs

    NASA Astrophysics Data System (ADS)

    Larsen, C. A.; Buchan, N. I.; Stringfellow, G. B.

    1988-02-01

    The decomposition mechanisms of AsH3, trimethylgallium (TMGa), and mixtures of the two have been studied in an atmospheric-pressure flow system with the use of D2 to label the reaction products which are analyzed in a time-of-flight mass spectrometer. AsH3 decomposes entirely heterogeneously to give H2. TMGa decomposes by a series of gas-phase steps, involving methyl radicals and D atoms to produce CH3D, CH4, C2H6, and HD. TMGa decomposition is accelerated by the presence of AsH3. When the two are mixed, as in the organometallic vapor phase epitaxial growth of GaAs, both compounds decompose in concert to produce only CH4. A likely model is that of a Lewis acid-base adduct that forms and subsequently eliminates CH4.

  6. Structural evolution of dilute magnetic (Sn,Mn)Se films grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Kanzyuba, Vasily; Dong, Sining; Liu, Xinyu; Li, Xiang; Rouvimov, Sergei; Okuno, Hanako; Mariette, Henri; Zhang, Xueqiang; Ptasinska, Sylwia; Tracy, Brian D.; Smith, David J.; Dobrowolska, Margaret; Furdyna, Jacek K.

    2017-02-01

    We describe the structural evolution of dilute magnetic (Sn,Mn)Se films grown by molecular beam epitaxy on GaAs (111) substrates, as revealed by transmission electron microscopy, X-ray diffraction, and X-ray photoelectron spectroscopy. When the Mn concentration is increased, the lattice of the ternary (Sn,Mn)Se films evolves quasi-coherently from a SnSe2 two-dimensional (2D) crystal structure into a more complex quasi-2D lattice rearrangement, ultimately transforming into the magnetically concentrated antiferromagnetic MnSe 3D rock-salt structure as Mn approaches 50 at. % of this material. These structural transformations are expected to underlie the evolution of magnetic properties of this ternary system reported earlier in the literature.

  7. Bulk unipolar diodes formed in GaAs by ion implantation

    NASA Astrophysics Data System (ADS)

    Hutchinson, S.; Kelly, M. J.; Gwilliam, R.; Sealy, B. J.; Carr, M.

    1999-01-01

    In an attempt to emulate epitaxially manufactured semiconductor multilayers for microwave device applications, we have produced a camel diode structure in GaAs for the first time, using the tail of a Mg + implant into a molecular beam epitaxially grown n +-n --n + structure. Using a range of ion energies and doses, samples are observed to exhibit bulk unipolar diode characteristics. With low dose and energy, a diode with barrier height of ˜0.8 V and ideality factor ˜1.25 is achieved. 'Punch through' diode characteristics are obtained at high ion dose and energy, some with knee voltages in excess of 7 V.

  8. Reflection high energy electron diffraction and reflectance difference studies of surface anisotropy in InGaAs chemical beam epitaxy on flat and vicinal (001) GaAs

    NASA Astrophysics Data System (ADS)

    Junno, B.; Paulsson, G.; Miller, M.; Samuelson, L.

    1994-03-01

    InGaAs quantum wells (QWs) were grown in a chemical beam epitaxy (CBE) machine with trimethylindium (TMI), triethylgallium (TEG) and tertiarybutylarsine (TBA) as precursors. Growth was monitored in-situ by reflectance difference (RD) and reflection high energy electron diffraction (RHEED), on both flat and vicinal (2° off in the <111> A direction) (001)GaAs substrates. The RD was monitored at 632.8 nm. At this wavelength the RD signal from a GaAs surface is primarily related to the absorption by Ga dimers. When InGaAs had been grown, both the average RD signal and the amplitude of the RD oscillations for the subsequent growth of GaAs increased significantly, compared to GaAs growth on GaAs. This In influence was found to persist even after the growth of 20-30 ML of pure GaAs. As a result we were able to monitor growth oscillations with RD and RHEED simultaneously during growth of quantum wells of InGaAs in GaAs. As a conclusion to these observations we suggest that the group III dimer bond concentration, detected in the RD signal, increases.

  9. Growth and characterization of metamorphic InAs/GaSb tunnel heterojunction on GaAs by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, Jheng-Sin; Clavel, Michael B.; Hudait, Mantu K., E-mail: mantu.hudait@vt.edu

    The structural, morphological, optical, and electrical transport characteristics of a metamorphic, broken-gap InAs/GaSb p-i-n tunnel diode structure, grown by molecular beam epitaxy on GaAs, were demonstrated. Precise shutter sequences were implemented for the strain-balanced InAs/GaSb active layer growth on GaAs, as corroborated by high-resolution X-ray analysis. Cross-sectional transmission electron microscopy and detailed micrograph analysis demonstrated strain relaxation primarily via the formation of 90° Lomer misfit dislocations (MDs) exhibiting a 5.6 nm spacing and intermittent 60° MDs at the GaSb/GaAs heterointerface, which was further supported by a minimal lattice tilt of 180 arc sec observed during X-ray analysis. Selective area diffraction and Fastmore » Fourier Transform patterns confirmed the full relaxation of the GaSb buffer layer and quasi-ideal, strain-balanced InAs/GaSb heteroepitaxy. Temperature-dependent photoluminescence measurements demonstrated the optical band gap of the GaSb layer. Strong optical signal at room temperature from this structure supports a high-quality material synthesis. Current–voltage characteristics of fabricated InAs/GaSb p-i-n tunnel diodes measured at 77 K and 290 K demonstrated two bias-dependent transport mechanisms. The Shockley–Read–Hall generation–recombination mechanism at low bias and band-to-band tunneling transport at high bias confirmed the p-i-n tunnel diode operation. This elucidated the importance of defect control in metamorphic InAs/GaSb tunnel diodes for the implementation of low-voltage and high-performance tunnel field effect transistor applications.« less

  10. Density of dislocations in CdHgTe heteroepitaxial structures on GaAs(013) and Si(013) substrates

    NASA Astrophysics Data System (ADS)

    Sidorov, Yu. G.; Yakushev, M. V.; Varavin, V. S.; Kolesnikov, A. V.; Trukhanov, E. M.; Sabinina, I. V.; Loshkarev, I. D.

    2015-11-01

    Epitaxial layers of Cd x Hg1- x Te (MCT) on GaAs(013) and Si(013) substrates were grown by molecular beam epitaxy. The introduction of ZnTe and CdTe intermediate layers into the structures made it possible to retain the orientation close to that of the substrate in MCT epitaxial layers despite the large mismatch between the lattice parameters. The structures were investigated using X-ray diffraction and transmission electron microscopy. The dislocation families predominantly removing the mismatch between the lattice parameters were found. Transmission electron microscopy revealed Γ-shaped misfit dislocations (MDs), which facilitated the annihilation of threading dislocations. The angles of rotation of the lattice due to the formation of networks of misfit dislocations were measured. It was shown that the density of threading dislocations in the active region of photodiodes is primarily determined by the network of misfit dislocations formed in the MCT/CdTe heterojunction. A decrease in the density of threading dislocations in the MCT film was achieved by cyclic annealing under conditions of the maximally facilitated nonconservative motion of dislocations. The dislocation density was determined from the etch pits.

  11. Self-assembled InAs quantum dot formation on GaAs ring-like nanostructure templates

    PubMed Central

    Strom, NW; Wang, Zh M; AbuWaar, ZY; Mazur, Yu I; Salamo, GJ

    2007-01-01

    The evolution of InAs quantum dot (QD) formation is studied on GaAs ring-like nanostructures fabricated by droplet homo-epitaxy. This growth mode, exclusively performed by a hybrid approach of droplet homo-epitaxy and Stransky-Krastanor (S-K) based QD self-assembly, enables one to form new QD morphologies that may find use in optoelectronic applications. Increased deposition of InAs on the GaAs ring first produced a QD in the hole followed by QDs around the GaAs ring and on the GaAs (100) surface. This behavior indicates that the QDs prefer to nucleate at locations of high monolayer (ML) step density.

  12. Growth of semimetallic ErAs films epitaxially embedded in GaAs

    NASA Astrophysics Data System (ADS)

    Crook, Adam M.; Nair, Hari P.; Lee, Jong H.; Ferrer, Domingo A.; Akinwande, Deji; Bank, Seth R.

    2011-10-01

    We present models for the growth and electrical conductivity of ErAs films grown with the nanoparticle-seeded film growth technique. This growth mode overcomes the mismatch in rotational symmetry between the rocksalt ErAs crystal structure and the zincblende GaAs crystal structure. This results in films of ErAs grown through a thin film of GaAs that preserves the symmetry of the substrate. The conductivity of the films, as a function of film thickness, are investigated and a surface roughness model is used to explain observed trends. Transmission electron micrographs confirm the suppression of anti-phase domains. A simple diffusion model is developed to describe the diffusion and incorporation of surface erbium into subsurface ErAs layers and predict potential failure mechanisms of the growth method.

  13. Effect of deep centers on the radiative characteristics of epitaxial structures in the Ga-As-P system

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ermakov, O.P.

    1986-08-01

    This paper studies the radiative characteristics of structures not doped with nitrogen based on AgP and GaAs /SUB 1-y/ P /SUB y/ in a wide range of compositions, containing stoichiometry and radiation defects. The structures studied were obtained by the methods of liquid-phase and gas-phase epitaxy. Zn was used as the acceptor impurity in obtaining the p-n structures. The radiation defects were introduced by irradiation with a beam of fast 2.5-MeV electrons and the radiative characteristics were studied with the help of the method of electroluminescence (EL).

  14. Study of subband electronic structure of Si δ-doped GaAs using magnetotransport measurements in tilted magnetic fields

    NASA Astrophysics Data System (ADS)

    Li, G.; Hauser, N.; Jagadish, C.; Antoszewski, J.; Xu, W.

    1996-06-01

    Si δ-doped GaAs grown by metal organic vapor phase epitaxy (MOVPE) is characterized using magnetotransport measurements in tilted magnetic fields. Angular dependence of the longitudinal magnetoresistance (Rxx) vs the magnetic field (B) traces in tilted magnetic fields is used to examine the existence of a quasi-two-dimensional electron gas. The subband electron densities (ni) are obtained applying fast Fourier transform (FFT) analysis to the Rxx vs B trace and using mobility spectrum (MS) analysis of the magnetic field dependent Hall data. Our results show that (1) the subband electron densities remain roughly constant when the tilted magnetic field with an angle <30° measured from the Si δ-doped plane normal is ramped up to 13 T; (2) FFT analysis of the Rxx vs B trace and MS analysis of the magnetic field dependent Hall data both give the comparable results on subband electron densities of Si δ-doped GaAs with low δ-doping concentration, however, for Si δ-doped GaAs with very high δ-doping concentration, the occupation of the lowest subbands cannot be well resolved in the MS analysis; (3) the highest subband electron mobility reported to date of 45 282 cm2/s V is observed in Si δ-doped GaAs at 77 K in the dark; and (4) the subband electron densities of Si δ-doped GaAs grown by MOVPE at 700 °C are comparable to those grown by MBE at temperatures below 600 °C. A detailed study of magnetotransport properties of Si δ-doped GaAs in the parallel magnetic fields is then carried out to further confirm the subband electronic structures revealed by FFT and MS analysis. Our results are compared to theoretical calculation previously reported in literature. In addition, influence of different cap layer structures on subband electronic structures of Si δ-doped GaAs is observed and also discussed.

  15. Investigation of the asymmetric misfit dislocation morphology in epitaxial layers with the zinc-blende structure

    NASA Technical Reports Server (NTRS)

    Fox, Bradley A.; Jesser, William A.

    1990-01-01

    The source of the asymmetry in the dislocation morphology exhibited in the epitaxial growth of compound semiconductors on (100) was investigated. A thickness wedge of p- and n-type GaAs(0.95)P(0.05) was grown on GaAs by metalorganic chemical vapor deposition, and the effect of misorientation on the resolved shear stress for each slip system was calculated and eliminated as the source of the asymmetry. Another potential source of asymmetry, the thickness gradient, was also eliminated. Results show that the substrate misorientation and the thickness gradient do not significantly contribute to the asymmetry and that the dominant contributor to the asymmetry of misfit dislocations in the (001) epitaxial interface can be attributed to the differences in the Peierls barriers between the two types of dilocations in GaAsP/GaAs.

  16. Theoretical and experimental study of highly textured GaAs on silicon using a graphene buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alaskar, Yazeed; Arafin, Shamsul; Lin, Qiyin

    2015-09-01

    A novel heteroepitaxial growth technique, quasi-van der Waals epitaxy, promises the ability to deposit three-dimensional GaAs materials on silicon using two-dimensional graphene as a buffer layer by overcoming the lattice and thermal expansion mismatch. In this study, density functional theory (DFT) simulations were performed to understand the interactions at the GaAs/graphene hetero-interface as well as the growth orientations of GaAs on graphene. To develop a better understanding of the molecular beam epitaxy-grown GaAs films on graphene, samples were characterized by x-ray diffraction (..theta..-2..theta.. scan, ..omega..-scan, grazing incidence XRD and pole figure measurement) and transmission electron microscopy. The realizations of smoothmore » GaAs films with a strong (111) oriented fiber-texture on graphene/silicon using this deposition technique are a milestone towards an eventual demonstration of the epitaxial growth of GaAs on silicon, which is necessary for integrated photonics application.« less

  17. High efficiency epitaxial GaAs/GaAs and GaAs/Ge solar cell technology using OM/CVD

    NASA Technical Reports Server (NTRS)

    Wang, K. L.; Yeh, Y. C. M.; Stirn, R. J.; Swerdling, S.

    1980-01-01

    A technology for fabricating high efficiency, thin film GaAs solar cells on substrates appropriate for space and/or terrestrial applications was developed. The approach adopted utilizes organometallic chemical vapor deposition (OM-CVD) to form a GaAs layer epitaxially on a suitably prepared Ge epi-interlayer deposited on a substrate, especially a light weight silicon substrate which can lead to a 300 watt per kilogram array technology for space. The proposed cell structure is described. The GaAs epilayer growth on single crystal GaAs and Ge wafer substrates were investigated.

  18. Influence of GaAs surface termination on GaSb/GaAs quantum dot structure and band offsets

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zech, E. S.; Chang, A. S.; Martin, A. J.

    2013-08-19

    We have investigated the influence of GaAs surface termination on the nanoscale structure and band offsets of GaSb/GaAs quantum dots (QDs) grown by molecular-beam epitaxy. Transmission electron microscopy reveals both coherent and semi-coherent clusters, as well as misfit dislocations, independent of surface termination. Cross-sectional scanning tunneling microscopy and spectroscopy reveal clustered GaSb QDs with type I band offsets at the GaSb/GaAs interfaces. We discuss the relative influences of strain and QD clustering on the band offsets at GaSb/GaAs interfaces.

  19. Native oxides formation and surface wettability of epitaxial III-V materials: The case of InP and GaAs

    NASA Astrophysics Data System (ADS)

    Gocalinska, A.; Rubini, S.; Pelucchi, E.

    2016-10-01

    The time dependent transition from hydrophobic to hydrophilic states of the metalorganic vapour phase epitaxy (MOVPE) grown InP, GaAs and InAs is systematically documented by contact angle measurements. Natural oxides forming on the surfaces of air-exposed materials, as well as the results of some typical wet chemical process to remove those oxides, were studied by X-ray photoemission spectroscopy (XPS), revealing, surprisingly, a fundamental lack of strong correlations between the surface oxide composition and the reported systematic changes in hydrophobicity.

  20. Multilayer-Grown Ultrathin Nanostructured GaAs Solar Cells as a Cost-Competitive Materials Platform for III-V Photovoltaics.

    PubMed

    Gai, Boju; Sun, Yukun; Lim, Haneol; Chen, Huandong; Faucher, Joseph; Lee, Minjoo L; Yoon, Jongseung

    2017-01-24

    Large-scale deployment of GaAs solar cells in terrestrial photovoltaics demands significant cost reduction for preparing device-quality epitaxial materials. Although multilayer epitaxial growth in conjunction with printing-based materials assemblies has been proposed as a promising route to achieve this goal, their practical implementation remains challenging owing to the degradation of materials properties and resulting nonuniform device performance between solar cells grown in different sequences. Here we report an alternative approach to circumvent these limitations and enable multilayer-grown GaAs solar cells with uniform photovoltaic performance. Ultrathin single-junction GaAs solar cells having a 300-nm-thick absorber (i.e., emitter and base) are epitaxially grown in triple-stack releasable multilayer assemblies by molecular beam epitaxy using beryllium as a p-type impurity. Microscale (∼500 × 500 μm 2 ) GaAs solar cells fabricated from respective device layers exhibit excellent uniformity (<3% relative) of photovoltaic performance and contact properties owing to the suppressed diffusion of p-type dopant as well as substantially reduced time of epitaxial growth associated with ultrathin device configuration. Bifacial photon management employing hexagonally periodic TiO 2 nanoposts and a vertical p-type metal contact serving as a metallic back-surface reflector together with specialized epitaxial design to minimize parasitic optical losses for efficient light trapping synergistically enable significantly enhanced photovoltaic performance of such ultrathin absorbers, where ∼17.2% solar-to-electric power conversion efficiency under simulated AM1.5G illumination is demonstrated from 420-nm-thick single-junction GaAs solar cells grown in triple-stack epitaxial assemblies.

  1. High-efficiency thin-film GaAs solar cells, phase2

    NASA Technical Reports Server (NTRS)

    Yeh, Y. C. M.

    1981-01-01

    Thin GaAs epi-layers with good crystallographic quality were grown using a (100) Si-substrate on which a thin Ge epi-interlayer was grown by CVD from germane. Both antireflection-coated metal oxide semiconductor (AMOS) and n(+)/p homojunction structures were studied. The AMOS cells were fabricated on undoped-GaAs epi-layers deposited on bulk poly-Ge substrates using organo-metallic CVD film-growth, with the best achieved AM1 conversion efficiency being 9.1%. Both p-type and n(+)-type GaAs growth were optimized using 50 ppm dimethyl zinc and 1% hydrogen sulfide, respectively. A direct GaAs deposition method in fabricating ultra-thin top layer, epitaxial n(+)/p shallow homojunction solar cells on (100) GaAs substrates (without anodic thinning) was developed to produce large area (1 sq/cm) cells, with 19.4% AM1 conversion efficiency achieved. Additionally, an AM1 conversion efficiency of 18.4% (17.5% with 5% grid coverage) was achieved for a single crystal GaAs n(+)/p cell grown by OM-CVD on a Ge wafer.

  2. Enhancement of photoluminescence intensity of GaAs with cubic GaS chemical vapor deposited using a structurally designed single-source precursor

    NASA Technical Reports Server (NTRS)

    Macinnes, Andrew N.; Power, Michael B.; Barron, Andrew R.; Jenkins, Phillip P.; Hepp, Aloysius F.

    1993-01-01

    A two order-of-magnitude enhancement of photoluminescence intensity relative to untreated GaAs has been observed for GaAs surfaces coated with chemical vapor-deposited GaS. The increase in photoluminescence intensity can be viewed as an effective reduction in surface recombination velocity and/or band bending. The gallium cluster /(t-Bu)GaS/4 was used as a single-source precursor for the deposition of GaS thin films. The cubane core of the structurally characterized precursor is retained in the deposited film producing a cubic phase. Furthermore, a near-epitaxial growth is observed for the GaS passivating layer. Films were characterized by transmission electron microscopy, X-ray powder diffraction, and X-ray photoelectron and Rutherford backscattering spectroscopies.

  3. Optical properties and carrier dynamics of GaAs/GaInAs multiple-quantum-well shell grown on GaAs nanowire by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Park, Kwangwook; Ravindran, Sooraj; Ju, Gun Wu

    GaAs/GaInAs multiple-quantum-well (MQW) shells having different GaInAs shell width formed on the surface of self-catalyzed GaAs core nanowires (NWs) are grown on (100) Si substrate using molecular beam epitaxy. The photoluminescence emission from GaAs/GaInAs MQW shells and the carrier lifetime could be varied by changing the width of GaInAs shell. Time-resolved photoluminescence measurements showed that the carrier lifetime had a fast and slow decay owing to the mixing of wurtzite and zinc-blende structures of the NWs. Furthermore, strain relaxation caused the carrier lifetime to decrease beyond a certain thickness of GaInAs quantum well shells.

  4. GaAs Photovoltaics on Polycrystalline Ge Substrates

    NASA Technical Reports Server (NTRS)

    Wilt, David M.; Pal, AnnaMaria T.; McNatt, Jeremiah S.; Wolford, David S.; Landis, Geoffrey A.; Smith, Mark A.; Scheiman, David; Jenkins, Phillip P.; McElroy Bruce

    2007-01-01

    High efficiency III-V multijunction solar cells deposited on metal foil or even polymer substrates can provide tremendous advantages in mass and stowage, particularly for planetary missions. As a first step towards that goal, poly-crystalline p/i/n GaAs solar cells are under development on polycrystalline Ge substrates. Organo Metallic Vapor Phase Epitaxy (OMVPE) parameters for pre-growth bake, nucleation and deposition have been examined. Single junction p/i/n GaAs photovoltaic devices, incorporating InGaP front and back window layers, have been grown and processed. Device performance has shown a dependence upon the thickness of a GaAs buffer layer deposited between the Ge substrate and the active device structure. A thick (2 m) GaAs buffer provides for both increased average device performance as well as reduced sensitivity to variations in grain size and orientation. Illumination under IR light (lambda > 1 micron), the cells showed a Voc, demonstrating the presence of an unintended photoactive junction at the GaAs/Ge interface. The presence of this junction limited the efficiency to approx.13% (estimated with an anti-refection coating) due to the current mismatch and lack of tunnel junction interconnect.

  5. RF Properties of Epitaxial Lift-Off HEMT Devices

    NASA Technical Reports Server (NTRS)

    Young, Paul G.; Alterovitz, Samuel A.; Mena, Rafael A.; Smith, Edwyn D.

    1993-01-01

    Epitaxial layers containing GaAs HEMT and P-HEMT structures have been lifted-off the GaAs substrate and attached to other host substrates using an AlAs parting layer. The devices were on-wafer RF probed before and after the lift-off step showing no degradation in the measured S-parameters. The maximum stable gain indicates a low frequency enhancement of the gain of 1-2 dB with some devices showing an enhancement of F(sub max)F(sub T) consistently shows an increase of 12-20% for all lifted-off HEMT structures. Comparison of the Hall measurements and small signal models show that the gain is improved and this is most probably associated with an enhanced carrier concentration.

  6. Internal stress-assisted epitaxial lift-off process for flexible thin film (In)GaAs solar cells on metal foil

    NASA Astrophysics Data System (ADS)

    Kim, Youngjo; Kim, Kangho; Jung, Sang Hyun; Kim, Chang Zoo; Shin, Hyun-Beom; Choi, JeHyuk; Kang, Ho Kwan

    2017-12-01

    Flexible thin film (In)GaAs solar cells are grown by metalorganic chemical vapor deposition on GaAs substrates and transferred to 30 μm thick Au foil by internal stress-assisted epitaxial lift-off processes. The internal stress is induced by replacing the solar cell epi-layers from GaAs to In0.015Ga0.985As, which has a slightly larger lattice constant. The compressive strained layer thickness was varied from 0 to 4.5 μm to investigate the influence of the internal stress on the epitaxial lift-off time. The etching time in the epitaxial lift-off process was reduced from 36 to 4 h by employing a GaAs/In0.015Ga0.985As heterojunction structure that has a compressive film stress of -59.0 MPa. We found that the partially strained epi-structure contributed to the much faster lateral etching rate with spontaneous bending. Although an efficiency degradation problem occurred in the strained solar cell, it was solved by optimizing the epitaxial growth conditions.

  7. The ZnSe(110) puzzle - Comparison with GaAs(110)

    NASA Technical Reports Server (NTRS)

    Duke, C. B.; Paton, A.; Kahn, A.; Tu, D.-W.

    1984-01-01

    The surface structure of monocrystalline ZnSe(110) and of 4-5-nm-thick ZnSe(110) layers epitaxially grown on GaAs(110) is investigated by means of elastic LEED and AES; the results are analyzed using the computer programs and R-factor methods of Duke et al. (1981 and 1983), presented in graphs and tables, and compared to those for GaAs(110). Significant differences are attributed to bond-length-conserving outward rotation of Se and inward rotation of Zn in the top layer, with an angle of 4 deg between the actual plane of the cation-anion chain and the truncated bulk surface. The R intensities measured for ZnSe(110) and GaAs(110) are given as Rx = 0.22 and RI = 0.21 and Rx = 0.24 and RI = 0.16, respectively.

  8. GaAs core--shell nanowires for photovoltaic applications.

    PubMed

    Czaban, Josef A; Thompson, David A; LaPierre, Ray R

    2009-01-01

    We report the use of Te as an n-type dopant in GaAs core-shell p-n junction nanowires for use in photovoltaic devices. Te produced significant change in the morphology of GaAs nanowires grown by the vapor-liquid-solid process in a molecular beam epitaxy system. The increase in radial growth of nanowires due to the surfactant effect of Te had a significant impact on the operating characteristics of photovoltaic devices. A decrease in solar cell efficiency occurred when the Te-doped GaAs growth duration was increased.

  9. Terahertz-radiation generation and detection in low-temperature-grown GaAs epitaxial films on GaAs (100) and (111)A substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Galiev, G. B.; Pushkarev, S. S., E-mail: s-s-e-r-p@mail.ru; Buriakov, A. M.

    The efficiency of the generation and detection of terahertz radiation in the range up to 3 THz by LT-GaAs films containing equidistant Si doping δ layers and grown by molecular beam epitaxy on GaAs (100) and (111)Ga substrates is studied by terahertz spectroscopy. Microstrip photoconductive antennas are fabricated on the film surface. Terahertz radiation is generated by exposure of the antenna gap to femtosecond optical laser pulses. It is shown that the intensity of terahertz radiation from the photoconductive antenna on LT-GaAs/GaAs (111)Ga is twice as large as the intensity of a similar antenna on LT-GaAs/GaAs(100) and the sensitivity ofmore » the antenna on LT-GaAs/GaAs (111)Ga as a terahertz-radiation detector exceeds that of the antenna on LT-GaAs/GaAs(100) by a factor of 1.4.« less

  10. Formation of embedded plasmonic Ga nanoparticle arrays and their influence on GaAs photoluminescence

    NASA Astrophysics Data System (ADS)

    Kang, M.; Jeon, S.; Jen, T.; Lee, J.-E.; Sih, V.; Goldman, R. S.

    2017-07-01

    We introduce a novel approach to the seamless integration of plasmonic nanoparticle (NP) arrays into semiconductor layers and demonstrate their enhanced photoluminescence (PL) efficiency. Our approach utilizes focused ion beam-induced self-assembly of close-packed arrays of Ga NPs with tailorable NP diameters, followed by overgrowth of GaAs layers using molecular beam epitaxy. Using a combination of PL spectroscopy and electromagnetic computations, we identify a regime of Ga NP diameter and overgrown GaAs layer thickness where NP-array-enhanced absorption in GaAs leads to enhanced GaAs near-band-edge (NBE) PL efficiency, surpassing that of high-quality epitaxial GaAs layers. As the NP array depth and size are increased, the reduction in spontaneous emission rate overwhelms the NP-array-enhanced absorption, leading to a reduced NBE PL efficiency. This approach provides an opportunity to enhance the PL efficiency of a wide variety of semiconductor heterostructures.

  11. Paths to light trapping in thin film GaAs solar cells.

    PubMed

    Xiao, Jianling; Fang, Hanlin; Su, Rongbin; Li, Kezheng; Song, Jindong; Krauss, Thomas F; Li, Juntao; Martins, Emiliano R

    2018-03-19

    It is now well established that light trapping is an essential element of thin film solar cell design. Numerous light trapping geometries have already been applied to thin film cells, especially to silicon-based devices. Less attention has been paid to light trapping in GaAs thin film cells, mainly because light trapping is considered less attractive due to the material's direct bandgap and the fact that GaAs suffers from strong surface recombination, which particularly affects etched nanostructures. Here, we study light trapping structures that are implemented in a high-bandgap material on the back of the GaAs active layer, thereby not perturbing the integrity of the GaAs active layer. We study photonic crystal and quasi-random nanostructures both by simulation and by experiment and find that the photonic crystal structures are superior because they exhibit fewer but stronger resonances that are better matched to the narrow wavelength range where GaAs benefits from light trapping. In fact, we show that a 1500 nm thick cell with photonic crystals achieves the same short circuit current as an unpatterned 4000 nm thick cell. These findings are significant because they afford a sizeable reduction in active layer thickness, and therefore a reduction in expensive epitaxial growth time and cost, yet without compromising performance.

  12. Arsine flow requirement for the flow modulation growth of high purity GaAs using adduct-grade triethylgallium

    NASA Astrophysics Data System (ADS)

    Pitts, B. L.; Emerson, D. T.; Shealy, J. R.

    1992-10-01

    Using arsine and triethylgallium with flow modulation, organometallic vapor phase epitaxy can produce high purity GaAs layers with V/III molar ratios near unity. We have estimated that under appropriate growth conditions the arsine incorporation efficiency into epitaxial GaAs can exceed 30%. The arsine flow requirement for obtaining good morphology has been identified over a range of substrate temperatures using adduct-grade triethylgallium. The process described reduces the environmental impact and life safety risk of the hydride based organometallic vapor phase epitaxial method.

  13. Growth studies of erbium-doped GaAs deposited by metalorganic vapor phase epitaxy using noval cyclopentadienyl-based erbium sources

    NASA Technical Reports Server (NTRS)

    Redwing, J. M.; Kuech, T. F.; Gordon, D. C.; Vaartstra, B. A.; Lau, S. S.

    1994-01-01

    Erbium-doped GaAS layers were grown by metalorganic vapor phase epitaxy using two new sources, bis(i-propylcyclopentadienyl)cyclopentadienyl erbium and tris(t-butylcyclopentadienyl) erbium. Controlled Er doping in the range of 10(exp 17) - 10(exp 18)/cu cm was achieved using a relatively low source temperature of 90 C. The doping exhibits a second-order dependence on inlet source partial pressure, similar to behavior obtained with cyclopentadienyl Mg dopant sources. Equivalent amounts of oxygen and Er are present in 'as-grown' films indicating that the majority of Er dopants probably exist as Er-O complexes in the material. Er(+3) luminescence at 1.54 micrometers was measured from the as-grown films, but ion implantation of additional oxygen decreases the emission intensity. Electrical compensation of n-type GaAs layers codoped with Er and Si is directly correlated to the Er concentration is proposed to arise from the deep centers associated with Er which are responsible for a broad emission band near 0.90 micrometers present in the photoluminescence spectra of GaAs:Si, Er films.

  14. Accelerated GaAs growth through MOVPE for low-cost PV applications

    NASA Astrophysics Data System (ADS)

    Ubukata, Akinori; Sodabanlu, Hassanet; Watanabe, Kentaroh; Koseki, Shuichi; Yano, Yoshiki; Tabuchi, Toshiya; Sugaya, Takeyoshi; Matsumoto, Koh; Nakano, Yoshiaki; Sugiyama, Masakazu

    2018-05-01

    The high growth rate of epitaxial GaAs was investigated using a novel horizontal metalorganic vapor phase epitaxy (MOVPE) reactor, from the point of view of realizing low-cost photovoltaic (PV) solar cells. The GaAs growth rate exhibited an approximately linear relationship with the amount of trimethylgalium (TMGa) supplied, up to a rate of 90 μm/h. The distribution of growth rate was observed for a two-inch wafer, along the flow direction, and the normalized profile of the distribution was found to be independent of the precursor input, from 20 to 70 μm/h. These tendencies indicated that significant parasitic prereaction did not occur in the gaseous phase, for this range of growth rate. GaAs p-n single-junction solar cells were successfully fabricated at growth rates of 20, 60, and 80 μm/h. The conversion efficiency of the cell grown at 80 μm/h was comparable to that of the 20 μm/h cell, indicating the good quality and properties of GaAs. The epitaxial growth exhibited good uniformity, as evidenced by the uniformity of the cell performance across the wafer, from the center to the edge. The result indicated the potential of high-throughput MOVPE for low-cost production, not only for PV devices but also for other semiconductor applications.

  15. Molecular beam epitaxy growth of high electron mobility InAs/AlSb deep quantum well structure

    NASA Astrophysics Data System (ADS)

    Wang, Juan; Wang, Guo-Wei; Xu, Ying-Qiang; Xing, Jun-Liang; Xiang, Wei; Tang, Bao; Zhu, Yan; Ren, Zheng-Wei; He, Zhen-Hong; Niu, Zhi-Chuan

    2013-07-01

    InAs/AlSb deep quantum well (QW) structures with high electron mobility were grown by molecular beam epitaxy (MBE) on semi-insulating GaAs substrates. AlSb and Al0.75Ga0.25Sb buffer layers were grown to accommodate the lattice mismatch (7%) between the InAs/AlSb QW active region and GaAs substrate. Transmission electron microscopy shows abrupt interface and atomic force microscopy measurements display smooth surface morphology. Growth conditions of AlSb and Al0.75Ga0.25Sb buffer were optimized. Al0.75Ga0.25Sb is better than AlSb as a buffer layer as indicated. The sample with optimal Al0.75Ga0.25Sb buffer layer shows a smooth surface morphology with root-mean-square roughness of 6.67 Å. The electron mobility has reached as high as 27 000 cm2/Vs with a sheet density of 4.54 × 1011/cm2 at room temperature.

  16. Effect of gamma-ray irradiation on structural properties of GaAsN films grown by metal organic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Klangtakai, Pawinee; Sanorpim, Sakuntam; Wattanawareekul, Atiwat; Suwanyangyaun, Pattana; Srepusharawoot, Pornjuk; Onabe, Kentaro

    2015-05-01

    The effects of gamma-ray irradiation on the structural properties of GaAs1-xNx films (N concentration=1.9 and 5.1 at%) grown by metal organic vapor phase epitaxy on GaAs (001) substrates were investigated. The GaAs1-xNx films were irradiated by gamma rays with irradiation strength of 0-2.0 MGy. Scanning electron microscopy and atomic force microscopy results showed that a gamma ray with a strength of 0, 0.5, 1.0, 1.5, and 2.0 MGy formed holes with a density of 0.0, 8.8, 9.4, 11.5, and 11.9 μm-2, respectively, on the surface of a GaAs0.981N0.019 film with low N content. On the other hand, the irradiated high-N-content GaAs0.949N0.051 film exhibited a cross-hatch pattern, which was induced by partial strain relaxation at high N levels, with a line density of 0.0, 0.21, 0.37, 0.67, and 0.26 μm-1 corresponding to an irradiation strength of 0, 0.5, 1.0, 1.5, and 2.0 MGy, respectively. The high-resolution X-ray diffraction and Raman scattering results revealed an increase in N incorporation and strain relaxation after irradiation. In addition, the GaAs0.949N0.051 films exhibited phase separation, which took place via N out-diffusion across the interface when the irradiation strength exceeded 1.0 MGy. Based on these results, the main cause of structural change was determined to be the irradiation effects including displacement damage and gamma-ray heating.

  17. Suppression of planar defects in the molecular beam epitaxy of GaAs/ErAs/GaAs heterostructures

    NASA Astrophysics Data System (ADS)

    Crook, Adam M.; Nair, Hari P.; Ferrer, Domingo A.; Bank, Seth R.

    2011-08-01

    We present a growth method that overcomes the mismatch in rotational symmetry of ErAs and conventional III-V semiconductors, allowing for epitaxially integrated semimetal/semiconductor heterostructures. Transmission electron microscopy and reflection high-energy electron diffraction reveal defect-free overgrowth of ErAs layers, consisting of >2× the total amount of ErAs that can be embedded with conventional layer-by-layer growth methods. We utilize epitaxial ErAs nanoparticles, overgrown with GaAs, as a seed to grow full films of ErAs. Growth proceeds by diffusion of erbium atoms through the GaAs spacer, which remains registered to the underlying substrate, preventing planar defect formation during subsequent GaAs growth. This growth method is promising for metal/semiconductor heterostructures that serve as embedded Ohmic contacts to epitaxial layers and epitaxially integrated active plasmonic devices.

  18. Three-dimensional lattice matching of epitaxially embedded nanoparticles

    NASA Astrophysics Data System (ADS)

    May, Brelon J.; Anderson, Peter M.; Myers, Roberto C.

    2017-02-01

    For a given degree of in-plane lattice mismatch between a two-dimensional (2D) epitaxial layer and a substrate (ɛIP*), there is a critical thickness above which interfacial defects form to relax the elastic strain energy. Here, we extend the 2D lattice-matching conditions to three-dimensions in order to predict the critical size beyond which epitaxially encased nanoparticles, characterized by both ɛIP* and out-of-plane lattice mismatch (ɛOP*), relax by dislocation formation. The critical particle length (Lc) at which defect formation proceeds is determined by balancing the reduction in elastic energy associated with dislocation introduction with the corresponding increase in defect energy. Our results, which use a modified Eshelby inclusion technique for an embedded, arbitrarily-faceted nanoparticle, provide new insight to the nanoepitaxy of low dimensional structures, especially quantum dots and nanoprecipitates. By engineering ɛIP* and ɛOP* , the predicted Lc for nanoparticles can be increased to well beyond the case of encapsulation in a homogenous matrix. For the case of truncated pyramidal shaped InAs, Lc 10.8 nm when fully embedded in GaAs (ɛIP* = ɛOP* = - 0.072); 16.4 nm when the particle is grown on GaAs, but capped with InSb (ɛIP* = - 0.072 and ɛOP* =+0.065); and a maximum of 18.4 nm if capped with an alloy corresponding to ɛOP* =+0.037. The effect, which we term "3D Poisson-stabilization" provides a means to increase the epitaxial strain tolerance in epitaxial heterostructures by tailoring ɛOP*.

  19. Conductivity and structure of ErAs nanoparticles embedded in GaAs pn junctions analyzed via conductive atomic force microscopy

    NASA Astrophysics Data System (ADS)

    Park, K. W.; Dasika, V. D.; Nair, H. P.; Crook, A. M.; Bank, S. R.; Yu, E. T.

    2012-06-01

    We have used conductive atomic force microscopy to investigate the influence of growth temperature on local current flow in GaAs pn junctions with embedded ErAs nanoparticles grown by molecular beam epitaxy. Three sets of samples, one with 1 ML ErAs deposited at different growth temperatures and two grown at 530 °C and 575 °C with varying ErAs depositions, were characterized. Statistical analysis of local current images suggests that the structures grown at 575 °C have about 3 times thicker ErAs nanoparticles than structures grown at 530 °C, resulting in degradation of conductivity due to reduced ErAs coverage. These findings explain previous studies of macroscopic tunnel junctions.

  20. Photovoltaic Properties of p-Doped GaAs Nanowire Arrays Grown on n-Type GaAs(111)B Substrate

    PubMed Central

    2010-01-01

    We report on the molecular beam epitaxy growth of Au-assisted GaAs p-type-doped NW arrays on the n-type GaAs(111)B substrate and their photovoltaic properties. The samples are grown at different substrate temperature within the range from 520 to 580 °C. It is shown that the dependence of conversion efficiency on the substrate temperature has a maximum at the substrate temperature of 550 °C. For the best sample, the conversion efficiency of 1.65% and the fill factor of 25% are obtained. PMID:20672038

  1. Self-organization of quantum-dot pairs by high-temperature droplet epitaxy

    PubMed Central

    Holmes, Kyland; Mazur, Yuriy I; Ramsey, Kimberly A; Salamo, Gregory J

    2006-01-01

    The spontaneously formation of epitaxial GaAs quantum-dot pairs was demonstrated on an AlGaAs surface using Ga droplets as a Ga nano-source. The dot pair formation was attributed to the anisotropy of surface diffusion during high-temperature droplet epitaxy.

  2. Epitaxial CuInSe2 thin films grown by molecular beam epitaxy and migration enhanced epitaxy

    NASA Astrophysics Data System (ADS)

    Abderrafi, K.; Ribeiro-Andrade, R.; Nicoara, N.; Cerqueira, M. F.; Gonzalez Debs, M.; Limborço, H.; Salomé, P. M. P.; Gonzalez, J. C.; Briones, F.; Garcia, J. M.; Sadewasser, S.

    2017-10-01

    While CuInSe2 chalcopyrite materials are mainly used in their polycrystalline form to prepare thin film solar cells, epitaxial layers have been used for the characterization of defects. Typically, epitaxial layers are grown by metal-organic vapor phase epitaxy or molecular beam epitaxy (MBE). Here we present epitaxial layers grown by migration enhanced epitaxy (MEE) and compare the materials quality to MBE grown layers. CuInSe2 layers were grown on GaAs (0 0 1) substrates by co-evaporation of Cu, In, and Se using substrate temperatures of 450 °C, 530 °C, and 620 °C. The layers were characterized by high resolution X-ray diffraction (HR-XRD), high-resolution transmission electron microscopy (HRTEM), Raman spectroscopy, and atomic force microscopy (AFM). HR-XRD and HR-TEM show a better crystalline quality of the MEE grown layers, and Raman scattering measurements confirm single phase CuInSe2. AFM shows the previously observed faceting of the (0 0 1) surface into {1 1 2} facets with trenches formed along the [1 1 0] direction. The surface of MEE-grown samples appears smoother compared to MBE-grown samples, a similar trend is observed with increasing growth temperature.

  3. Scanning tunneling microscope study of GaAs(001) surfaces grown by migration enhanced epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, J.; Gallagher, M.C.; Willis, R.F.

    We report an investigation of the morphology of p-type GaAs(001) surfaces using scanning tunneling microscopy (STM). The substrates were prepared using two methods: migration enhanced epitaxy (MEE) and standard molecular-beam epitaxy (MBE). The STM measurements were performed ex situ using As decapping. Analysis indicates that the overall step density of the MEE samples decreases as the growth temperature is increased. Nominally flat samples grown at 300{degrees}C exhibited step densities of 10.5 steps/1000 {Angstrom} along [ 110] dropping to 2.5 steps at 580{degrees}C. MEE samples exhibited a lower step density than MBE samples. However as-grown surfaces exhibited a larger distribution ofmore » step heights. Annealing the samples reduced the step height distribution exposing fewer atomic layers. Samples grown by MEE at 580{degrees}C and annealed for 2 min displayed the lowest step density and the narrowest step height distribution. All samples displayed an anisotropic step density. We found a ratio of A-type to B-type steps of between 2 and 3 which directly reflects the difference in the incorporation energy at steps. The aspect ratio increased slightly with growth temperature. We found a similar aspect ratio on samples grown by MBE. This indicates that anisotropic growth during MEE, like MBE, is dominated by incorporation kinetics. MEE samples grown at 580{degrees}C and capped immediately following growth exhibited a number of {open_quotes}holes{close_quotes} in the surface. The holes could be eliminated by annealing the surface prior to quenching. 20 refs., 3 figs., 1 tab.« less

  4. Doping assessment in GaAs nanowires.

    PubMed

    Goktas, N Isik; Fiordaliso, E M; LaPierre, R R

    2018-06-08

    Semiconductor nanowires (NWs) are a candidate technology for future optoelectronic devices. One of the critical issues in NWs is the control of impurity doping for the formation of p-n junctions. In this study, beryllium (p-type dopant) and tellurium (n-type dopant) in self-assisted GaAs NWs was studied. The GaAs NWs were grown on (111) Si by molecular beam epitaxy using the self-assisted method. The dopant incorporation in the self-assisted GaAs NWs was investigated using Raman spectroscopy, photoluminescence, secondary ion mass spectrometry and electron holography. Be-doped NWs showed similar carrier concentration as compared to thin film (TF) standards. However, Te-doped NWs showed at least a one order of magnitude lower carrier concentration as compared to TF standards. Dopant incorporation mechanisms in NWs are discussed.

  5. Doping assessment in GaAs nanowires

    NASA Astrophysics Data System (ADS)

    Isik Goktas, N.; Fiordaliso, E. M.; LaPierre, R. R.

    2018-06-01

    Semiconductor nanowires (NWs) are a candidate technology for future optoelectronic devices. One of the critical issues in NWs is the control of impurity doping for the formation of p–n junctions. In this study, beryllium (p-type dopant) and tellurium (n-type dopant) in self-assisted GaAs NWs was studied. The GaAs NWs were grown on (111) Si by molecular beam epitaxy using the self-assisted method. The dopant incorporation in the self-assisted GaAs NWs was investigated using Raman spectroscopy, photoluminescence, secondary ion mass spectrometry and electron holography. Be-doped NWs showed similar carrier concentration as compared to thin film (TF) standards. However, Te-doped NWs showed at least a one order of magnitude lower carrier concentration as compared to TF standards. Dopant incorporation mechanisms in NWs are discussed.

  6. Control of metamorphic buffer structure and device performance of In(x)Ga(1-x)As epitaxial layers fabricated by metal organic chemical vapor deposition.

    PubMed

    Nguyen, H Q; Yu, H W; Luc, Q H; Tang, Y Z; Phan, V T H; Hsu, C H; Chang, E Y; Tseng, Y C

    2014-12-05

    Using a step-graded (SG) buffer structure via metal-organic chemical vapor deposition, we demonstrate a high suitability of In0.5Ga0.5As epitaxial layers on a GaAs substrate for electronic device application. Taking advantage of the technique's precise control, we were able to increase the number of SG layers to achieve a fairly low dislocation density (∼10(6) cm(-2)), while keeping each individual SG layer slightly exceeding the critical thickness (∼80 nm) for strain relaxation. This met the demanded but contradictory requirements, and even offered excellent scalability by lowering the whole buffer structure down to 2.3 μm. This scalability overwhelmingly excels the forefront studies. The effects of the SG misfit strain on the crystal quality and surface morphology of In0.5Ga0.5As epitaxial layers were carefully investigated, and were correlated to threading dislocation (TD) blocking mechanisms. From microstructural analyses, TDs can be blocked effectively through self-annihilation reactions, or hindered randomly by misfit dislocation mechanisms. Growth conditions for avoiding phase separation were also explored and identified. The buffer-improved, high-quality In0.5Ga0.5As epitaxial layers enabled a high-performance, metal-oxide-semiconductor capacitor on a GaAs substrate. The devices displayed remarkable capacitance-voltage responses with small frequency dispersion. A promising interface trap density of 3 × 10(12) eV(-1) cm(-2) in a conductance test was also obtained. These electrical performances are competitive to those using lattice-coherent but pricey InGaAs/InP systems.

  7. High quality GaAs single photon emitters on Si substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bietti, S.; Sanguinetti, S.; Cavigli, L.

    2013-12-04

    We describe a method for the direct epitaxial growth of a single photon emitter, based on GaAs quantum dots fabricated by droplet epitaxy, working at liquid nitrogen temperatures on Si substrates. The achievement of quantum photon statistics up to T=80 K is directly proved by antibunching in the second order correlation function as measured with a H anbury Brown and Twiss interferometer.

  8. Surface and Thin Film Analysis during Metal Organic Vapour Phase Epitaxial Growth

    NASA Astrophysics Data System (ADS)

    Richter, Wolfgang

    2007-06-01

    In-situ analysis of epitaxial growth is the essential ingredient in order to understand the growth process, to optimize growth and last but not least to monitor or even control the epitaxial growth on a microscopic scale. In MBE (molecular beam epitaxy) in-situ analysis tools existed right from the beginning because this technique developed from Surface Science technology with all its electron based analysis tools (LEED, RHEED, PES etc). Vapour Phase Epitaxy, in contrast, remained for a long time in an empirical stage ("alchemy") because only post growth characterisations like photoluminescence, Hall effect and electrical conductivity were available. Within the last two decades, however, optical techniques were developed which provide similar capabilities as in MBE for Vapour Phase growth. I will discuss in this paper the potential of Reflectance Anisotropy Spectroscopy (RAS) and Spectroscopic Ellipsometry (SE) for the growth of thin epitaxial semiconductor layers with zincblende (GaAs etc) and wurtzite structure (GaN etc). Other techniques and materials will be also mentioned.

  9. Supernormal hardness increase of dilute Ga(As, N) thin films

    NASA Astrophysics Data System (ADS)

    Berggren, Jonas; Hanke, Michael; Luna, Esperanza; Trampert, Achim

    2017-03-01

    Hardness of epitaxial GaAs1-xNx films on GaAs(001) with different film thicknesses, varying from 80 to 700 nm, and nitrogen compositions x between zero (pure GaAs) and 0.031, were studied by means of nano-indentation. As a result, a disproportionate and monotonic increase by 17% in hardness was proved in the dilute range from GaAs to GaAs0.969N0.031. We are tracing this observation to solid solution strengthening, an extrinsic effect based on dislocation pinning due to interstitial nitrogen. On the other hand, intrinsic effects related to different electronegativities of As and N (i.e., altered bonding conditions) could be ruled out. Furthermore, in tensilely strained GaAs1-xNx layers, the appearance of cracks acts as the main strain relieving mechanism. A correlation between cracking and hardness reduction is investigated and discussed as a further relaxation pathway.

  10. GaAs High Breakdown Voltage Front and Back Side Processed Schottky Detectors for X-Ray Detection

    DTIC Science & Technology

    2007-11-01

    front and back side processed, unintentionally doped bulk gallium -arsenic (GaAs) Schottky detectors and determined that GaAs detectors with a large...a few materials that fulfill these requirements are gallium -arsenic (GaAs) and cadmium-zinc-tellurium (CdZnTe or CZT). They are viable alternative...Whitehill, C.; Pospíšil, S.; Wilhem, I.; Doležal, Z.; Juergensen, H.; Heuken, M. Development of low-pressure vapour -phase epitaxial GaAs for medical imaging

  11. Quantitative scanning thermal microscopy of ErAs/GaAs superlattice structures grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Park, K. W.; Nair, H. P.; Crook, A. M.; Bank, S. R.; Yu, E. T.

    2013-02-01

    A proximal probe-based quantitative measurement of thermal conductivity with ˜100-150 nm lateral and vertical spatial resolution has been implemented. Measurements on an ErAs/GaAs superlattice structure grown by molecular beam epitaxy with 3% volumetric ErAs content yielded thermal conductivity at room temperature of 9 ± 2 W/m K, approximately five times lower than that for GaAs. Numerical modeling of phonon scattering by ErAs nanoparticles yielded thermal conductivities in reasonable agreement with those measured experimentally and provides insight into the potential influence of nanoparticle shape on phonon scattering. Measurements of wedge-shaped samples created by focused ion beam milling provide direct confirmation of depth resolution achieved.

  12. Strain relaxation in convex-graded InxAl1-xAs (x = 0.05-0.79) metamorphic buffer layers grown by molecular beam epitaxy on GaAs(001)

    NASA Astrophysics Data System (ADS)

    Solov'ev, V. A.; Chernov, M. Yu; Baidakova, M. V.; Kirilenko, D. A.; Yagovkina, M. A.; Sitnikova, A. A.; Komissarova, T. A.; Kop'ev, P. S.; Ivanov, S. V.

    2018-01-01

    This paper presents a study of structural properties of InGaAs/InAlAs quantum well (QW) heterostructures with convex-graded InxAl1-xAs (x = 0.05-0.79) metamorphic buffer layers (MBLs) grown by molecular beam epitaxy on GaAs substrates. Mechanisms of elastic strain relaxation in the convex-graded MBLs were studied by the X-ray reciprocal space mapping combined with the data of spatially-resolved selected area electron diffraction implemented in a transmission electron microscope. The strain relaxation degree was approximated for the structures with different values of an In step-back. Strong contribution of the strain relaxation via lattice tilt in addition to the formation of the misfit dislocations has been observed for the convex-graded InAlAs MBL, which results in a reduced threading dislocation density in the QW region as compared to a linear-graded MBL.

  13. Understanding and Curing Structural Defects in Colloidal GaAs Nanocrystals

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Srivastava, Vishwas; Liu, Wenyong; Janke, Eric M.

    2017-02-22

    Nearly three decades since the first report on the synthesis of colloidal GaAs nanocrystals (NCs), the preparation and properties of this material remain highly controversial. Traditional synthetic routes either fail to produce the GaAs phase or result in materials that do not show expected optical properties such as excitonic transitions. In this work, we demonstrate a variety of synthetic routes toward crystalline GaAs NCs. By using a combination of Raman, EXAFS and transient absorption spectroscopies, we conclude that unusual optical properties of 2 colloidal GaAs NCs can be related to the presence of vacancies and lattice disorder. We introduce novelmore » molten salt based annealing approach to alleviate these structural defects and show the emergence of size-dependent excitonic transitions in colloidal GaAs quantum dots.« less

  14. Effect of Annealing on the Density of Defects in Epitaxial CdTe (211)/GaAs

    NASA Astrophysics Data System (ADS)

    Bakali, Emine; Selamet, Yusuf; Tarhan, Enver

    2018-05-01

    CdTe thin films were grown on GaAs (211) wafers by molecular beam epitaxy as the buffer layer for HgCdTe infrared detector applications. We studied the effect of annealing on the density of dislocation of these CdTe thin films under varying annealing parameters such as annealing temperature, annealing duration, and number of cycles. Annealings were carried out using a homemade annealing reactor possessing a special heater element made of a Si wafer for rapid heating. The density of dislocations, which were made observable with a scanning electron microscope after etching with an Everson solution, were calculated by counting the number of dislocations per unit surface area, hence the term etch pit density (EPD). We were able to decrease EPD values by one order of magnitude after annealing. For example, the best EPD value after a 20-min annealing at 400°C was ˜ 2 × 107 cm-2 for a 1.63-μm CdTe thin film which was about 9.5 × 107 cm-2 before annealing. We also employed Raman scattering measurements to see the changes in the structural quality of the samples. From the Raman measurements, we were able to see improvements in the quality of our samples from the annealing by studying the ratio of 2LO/LO phonon mode Raman intensities. We also observed a clear decrease in the intensity of Te precipitations-related modes, indicating a decrease in the size and number of these precipitations.

  15. Outdiffusion of recombination centers from the substrate into LPE layers - GaAs

    NASA Technical Reports Server (NTRS)

    Jastrzebski, L.; Lagowski, J.; Gatos, H. C.

    1979-01-01

    Experimental results are presented showing that outdiffusion of recombination centers from the GaAs substrate into the epitaxial layer takes place during growth. Such outdiffusion decreases the carrier lifetime in the epitaxial layer to much lower values than the radiative recombination limit. Furthermore, it introduces a lifetime gradient across the epitaxial layer which depends critically on the growth velocity and thermal treatment. High rates of growth (such as those attainable in electroepitaxy) and high cooling rates can minimize the adverse effects of normally available substrates on the epitaxial layers; however, good quality substrates are essential for the consistent growth of device quality layers.

  16. p-type zinc-blende GaN on GaAs substrates

    NASA Astrophysics Data System (ADS)

    Lin, M. E.; Xue, G.; Zhou, G. L.; Greene, J. E.; Morkoç, H.

    1993-08-01

    We report p-type cubic GaN. The Mg-doped layers were grown on vicinal (100) GaAs substrates by plasma-enhanced molecular beam epitaxy. Thermally sublimed Mg was, with N2 carrier gas, fed into an electron-cyclotron resonance source. p-type zinc-blende-structure GaN films were achieved with hole mobilities as high as 39 cm2/V s at room temperature. The cubic nature of the films were confirmed by x-ray diffractometry. The depth profile of Mg was investigated by secondary ions mass spectroscopy.

  17. Metastable bcc phase formation in 3d ferromagnetic transition metal thin films sputter-deposited on GaAs(100) substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Minakawa, Shigeyuki, E-mail: s-minakawa@futamoto.elect.chuo-u.ac.jp; Ohtake, Mitsuru; Futamoto, Masaaki

    2015-05-07

    Co{sub 100−x}Fe{sub x} and Ni{sub 100−y}Fe{sub y} (at. %, x = 0–30, y = 0–60) films of 10 nm thickness are prepared on GaAs(100) substrates at room temperature by using a radio-frequency magnetron sputtering system. The detailed growth behavior is investigated by in-situ reflection high-energy electron diffraction. (100)-oriented Co and Ni single-crystals with metastable bcc structure are formed in the early stage of film growth, where the metastable structure is stabilized through hetero-epitaxial growth. With increasing the thickness up to 2 nm, the Co and the Ni films start to transform into more stable hcp and fcc structures through atomic displacements parallel to bcc(110) slide planes,more » respectively. The stability of bcc phase is improved by adding a small volume of Fe atoms into a Co film. The critical thickness of bcc phase formation is thicker than 10 nm for Co{sub 100−x}Fe{sub x} films with x ≥ 10. On the contrary, the stability of bcc phase for Ni-Fe system is less than that for Co-Fe system. The critical thicknesses for Ni{sub 100−y}Fe{sub y} films with y = 20, 40, and 60 are 1, 3, and 5 nm, respectively. The Co{sub 100−x}Fe{sub x} single-crystal films with metastable bcc structure formed on GaAs(100) substrates show in-plane uniaxial magnetic anisotropies with the easy direction along GaAs[011], similar to the case of Fe film epitaxially grown on GaAs(100) substrate. A Co{sub 100−x}Fe{sub x} film with higher Fe content shows a higher saturation magnetization and a lower coercivity.« less

  18. Aqueous solution epitaxy of CdS layers on CuInSe 2

    NASA Astrophysics Data System (ADS)

    Furlong, M. J.; Froment, M.; Bernard, M. C.; Cortès, R.; Tiwari, A. N.; Krejci, M.; Zogg, H.; Lincot, D.

    1998-09-01

    Epitaxial CdS thin films have been deposited from an aqueous ammonia solution containing cadmium ions and thiourea as precursors on single crystalline CuInSe 2 films prepared by MBE on Si(1 1 1) and GaAs(1 0 0) substrates. The structure and quality of the films were investigated by RHEED, glancing angle XRD and HRTEM in cross-section. The films are cubic on (1 0 0) substrates, and mixed cubic and hexagonal on (1 1 1) substrates due to the presence of stacking faults parallel to the substrate. The growth is under surface kinetic control with an activation energy of 85 kJ mol -1. Epitaxy improves with increasing temperature and an epitaxial transition temperature at approx. 60°C is demonstrated in the selected experimental conditions. The epitaxy is very sensitive to the preparation of the surface. Beneficial effects of in situ or ex situ chemical etching are found. Similarities between aqueous solution and vapor-phase chemical depositions are pointed out.

  19. The barrier to misfit dislocation glide in continuous, strained, epitaxial layers on patterned substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Watson, G.P.; Ast, D.G.; Anderson, T.J.

    1993-09-01

    In a previous report [G. P. Watson, D. G. Ast, T. J. Anderson, and Y. Hayakawa, Appl. Phys. Lett. [bold 58], 2517 (1991)] we demonstrated that the motion of misfit dislocations in InGaAs, grown by organometallic vapor phase epitaxy on patterned GaAs substrates, can be impeded even if the strained epitaxial layer is continuous. Trenches etched into GaAs before growth are known to act as a barrier to misfit dislocation propagation [E. A. Fitzgerald, G. P. Watson, R. E. Proano, D. G. Ast, P. D. Kirchner, G. D. Pettit, and J. M. Woodall, J. Appl. Phys. [bold 65], 2220 (1989)]more » when those trenches create discontinuities in the epitaxial layers; but even shallow trenches, with continuous strained layers following the surface features, can act as barriers. By considering the strain energy required to change the length of the dislocation glide segments that stretch from the interface to the free surface, a simple model is developed that explains the major features of the unique blocking action observed at the trench edges. The trench wall angle is found to be an important parameter in determining whether or not a trench will block dislocation glide. The predicted blocking angles are consistent with observations made on continuous 300 and 600 nm thick In[sub 0.04]Ga[sub 0.96]As films on patterned GaAs. Based on the model, a structure is proposed that may be used as a filter to yield misfit dislocations with identical Burgers vectors or dislocations which slip in only one glide plane.« less

  20. Heteroepitaxial growth of Ge films on (100) GaAs by pyrolysis of digermane

    NASA Astrophysics Data System (ADS)

    Eres, Djula; Lowndes, Douglas H.; Tischler, J. Z.; Sharp, J. W.; Geohegan, D. B.; Pennycook, S. J.

    1989-08-01

    Pyrolysis of high-purity digermane (Ge2 H6 ) has been used to grow epitaxial Ge films of high crystalline quality on (100) GaAs substrates in a low-pressure environment. X-ray double-crystal diffractometry shows that fully commensurate, coherently strained epitaxial Ge films can be grown on (100) GaAs at digermane partial pressures of 0.05-40 mTorr for substrate temperatures of 380-600 °C. Amorphous films also were deposited. Information about the crystalline films surface morphology, growth mode, and microstructure was obtained from scanning electron microscopy, cross-section transmission electron microscopy, and in situ reflectivity measurements. The amorphous-to-crystalline transition temperature and the morphology of the crystalline films were both found to depend on deposition conditions (primarily the incidence rate of Ge-bearing species and the substrate temperature). Epitaxial growth rates using digermane were found to be about two orders of magnitude higher than rates using germane (GeH4 ) under similar experimental conditions.

  1. Formation of two-dimensionally confined superparamagnetic (Mn, Ga)As nanocrystals in high-temperature annealed (Ga, Mn)As/GaAs superlattices.

    PubMed

    Sadowski, Janusz; Domagala, Jaroslaw Z; Mathieu, Roland; Kovacs, Andras; Dłużewski, Piotr

    2013-05-15

    The annealing-induced formation of (Mn, Ga)As nanocrystals in (Ga, Mn)As/GaAs superlattices was studied by x-ray diffraction, transmission electron microscopy and magnetometry. The superlattice structures with 50 Å thick (Ga, Mn)As layers separated by 25, 50 and 100 Å thick GaAs spacers were grown by molecular beam epitaxy at low temperature (250 °C), and then annealed at high temperatures of 400, 560 and 630 °C. The high-temperature annealing causes decomposition to a (Ga, Mn)As ternary alloy and the formation of (Mn, Ga)As nanocrystals inside the GaAs matrix. The nanocrystals are confined in the planes that were formerly occupied by (Ga, Mn)As layers for the up to 560 °C annealing and diffuse throughout the GaAs spacer layers at 630 °C annealing. The two-dimensionally confined nanocrystals exhibit a superparamagnetic behavior which becomes high-temperature ferromagnetism (~350 K) upon diffusion.

  2. Impact of stress relaxation in GaAsSb cladding layers on quantum dot creation in InAs/GaAsSb structures grown on GaAs (001)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bremner, S. P.; Ban, K.-Y.; Faleev, N. N.

    2013-09-14

    We describe InAs quantum dot creation in InAs/GaAsSb barrier structures grown on GaAs (001) wafers by molecular beam epitaxy. The structures consist of 20-nm-thick GaAsSb barrier layers with Sb content of 8%, 13%, 15%, 16%, and 37% enclosing 2 monolayers of self-assembled InAs quantum dots. Transmission electron microscopy and X-ray diffraction results indicate the onset of relaxation of the GaAsSb layers at around 15% Sb content with intersected 60° dislocation semi-loops, and edge segments created within the volume of the epitaxial structures. 38% relaxation of initial elastic stress is seen for 37% Sb content, accompanied by the creation of amore » dense net of dislocations. The degradation of In surface migration by these dislocation trenches is so severe that quantum dot formation is completely suppressed. The results highlight the importance of understanding defect formation during stress relaxation for quantum dot structures particularly those with larger numbers of InAs quantum-dot layers, such as those proposed for realizing an intermediate band material.« less

  3. Microwave GaAs Integrated Circuits On Quartz Substrates

    NASA Technical Reports Server (NTRS)

    Siegel, Peter H.; Mehdi, Imran; Wilson, Barbara

    1994-01-01

    Integrated circuits for use in detecting electromagnetic radiation at millimeter and submillimeter wavelengths constructed by bonding GaAs-based integrated circuits onto quartz-substrate-based stripline circuits. Approach offers combined advantages of high-speed semiconductor active devices made only on epitaxially deposited GaAs substrates with low-dielectric-loss, mechanically rugged quartz substrates. Other potential applications include integration of antenna elements with active devices, using carrier substrates other than quartz to meet particular requirements using lifted-off GaAs layer in membrane configuration with quartz substrate supporting edges only, and using lift-off technique to fabricate ultrathin discrete devices diced separately and inserted into predefined larger circuits. In different device concept, quartz substrate utilized as transparent support for GaAs devices excited from back side by optical radiation.

  4. Alternatives to Arsine: The Atmospheric Pressure Organometallic Chemical Vapor Deposition Growth of GaAs Using Triethylarsenic.

    DTIC Science & Technology

    1987-08-15

    SUPPLEMENTARY NOTATION 17. COSATI CODES 18 SUBJECT TERMS (Corinue on reverse if necessary and identify by block number) FIELD GROUP SUB-GROUP Epitaxy GaAs 9...Zr leiK m I141’ FIGURES 1 . Effect of Growth Parameters on Residual Doping Type ................... 7 2. Photoluminescence Spectrum of a GaAs Epilayer... 1 3 Successful homoepitaxial growth of high purity, unintentionally doped GaAs epilayers by organometallic chemical vapor deposition (OMCVD) has

  5. Tolerance of GaAs as an original substrate for HVPE growth of free standing GaN

    NASA Astrophysics Data System (ADS)

    Suzuki, Mio; Sato, T.; Suemasu, T.; Hasegawa, F.

    2004-09-01

    In order to investigate possibility of thick GaN growth on a GaAs substrate by halide vapar phase epitaxy (HVPE), GaN was grown on GaAs(111)/Ti wafer with Ti deposited by E-gun. It was found that surface treatment of the GaAs substrate by HF solution deteriorated greatly the tolerence of GaAs and that Ti can protected GaAs from erosion by NH3. By depositing Ti on GaAs(111)A surface, a millor-like GaN layer could be grown at 1000 °C for 1 hour without serious deterioration of the original GaAs substrate. By increasing the growth rate, a thick free standing GaN will be obtained with GaAs as an original substrate in near future.

  6. InGaP/GaAs Inverted Dual Junction Solar Cells For CPV Applications Using Metal-Backed Epitaxial Lift-Off

    NASA Astrophysics Data System (ADS)

    Bauhuis, Gerard J.; Mulder, Peter; Haverkamp, Erik J.; Schermer, John J.; Nash, Lee J.; Fulgoni, Dominic J. F.; Ballard, Ian M.; Duggan, Geoffrey

    2010-10-01

    The epitaxial lift-off (ELO) technique has been combined with inverted III-V PV cell epitaxial growth with the aim of employing thin film PV cells in HCPV systems. In a stepwise approach to the realization of an inverted triple junction on a MELO platform we have first grown a GaAs single junction PV cell to establish the basic layer release process and cell processing steps followed by the growth, fabrication and test of an inverted InGaP/GaAs dual junction structure.

  7. GaAsP/InGaP HBTs grown epitaxially on Si substrates: Effect of dislocation density on DC current gain

    NASA Astrophysics Data System (ADS)

    Heidelberger, Christopher; Fitzgerald, Eugene A.

    2018-04-01

    Heterojunction bipolar transistors (HBTs) with GaAs0.825P0.175 bases and collectors and In0.40Ga0.60P emitters were integrated monolithically onto Si substrates. The HBT structures were grown epitaxially on Si via metalorganic chemical vapor deposition, using SiGe compositionally graded buffers to accommodate the lattice mismatch while maintaining threading dislocation density at an acceptable level (˜3 × 106 cm-2). GaAs0.825P0.175 is used as an active material instead of GaAs because of its higher bandgap (increased breakdown voltage) and closer lattice constant to Si. Misfit dislocation density in the active device layers, measured by electron-beam-induced current, was reduced by making iterative changes to the epitaxial structure. This optimized process culminated in a GaAs0.825P0.175/In0.40Ga0.60P HBT grown on Si with a DC current gain of 156. By considering the various GaAsP/InGaP HBTs grown on Si substrates alongside several control devices grown on GaAs substrates, a wide range of threading dislocation densities and misfit dislocation densities in the active layers could be correlated with HBT current gain. The effect of threading dislocations on current gain was moderated by the reduction in minority carrier lifetime in the base region, in agreement with existing models for GaAs light-emitting diodes and photovoltaic cells. Current gain was shown to be extremely sensitive to misfit dislocations in the active layers of the HBT—much more sensitive than to threading dislocations. We develop a model for this relationship where increased base current is mediated by Fermi level pinning near misfit dislocations.

  8. Atomic-scale structural and electronic properties of SrTiO3/GaAs interfaces: A combined STEM-EELS and first-principles study

    NASA Astrophysics Data System (ADS)

    Hong, Liang; Bhatnagar, Kunal; Droopad, Ravi; Klie, Robert F.; Öǧüt, Serdar

    2017-07-01

    The electronic properties of epitaxial oxide thin films grown on compound semiconductors are largely determined by the interfacial atomic structure, as well as the thermodynamic conditions during synthesis. Ferroelectric polarization and Fermi-level pinning in SrTiO3 films have been attributed to the presence of oxygen vacancies at the oxide/semiconductor interface. Here, we present scanning transmission electron microscopy (STEM) and electron energy-loss spectroscopy analyses of GaAs films grown on SrTiO3 combined with first-principles calculations to determine the atomic and electronic structures of the SrTiO3/GaAs interfaces. An atomically abrupt SrO/As interface is observed and the interfacial SrO layer is found to be O-deficient. First-principles density functional theory (DFT) calculations show SrO/Ga and Sr/As interfaces are favorable under O-rich and O-poor conditions, respectively. The SrO/Ga interface is reconstructed via the formation of Ga-Ga dimers while the Sr/As interface is abrupt and consistent with the experiment. DFT calculations further reveal that intrinsic two-dimensional electron gas (2DEG) forms in both SrO/Ga and Sr/As interfaces, and the Fermi level is pinned to the localized 2DEG states. Interfacial O vacancies can enhance the 2DEG density while it is possible for Ga/As vacancies to unpin the Fermi level from the 2DEG states.

  9. Precision calibration of the silicon doping level in gallium arsenide epitaxial layers

    NASA Astrophysics Data System (ADS)

    Mokhov, D. V.; Berezovskaya, T. N.; Kuzmenkov, A. G.; Maleev, N. A.; Timoshnev, S. N.; Ustinov, V. M.

    2017-10-01

    An approach to precision calibration of the silicon doping level in gallium arsenide epitaxial layers is discussed that is based on studying the dependence of the carrier density in the test GaAs layer on the silicon- source temperature using the Hall-effect and CV profiling techniques. The parameters are measured by standard or certified measuring techniques and approved measuring instruments. It is demonstrated that the use of CV profiling for controlling the carrier density in the test GaAs layer at the thorough optimization of the measuring procedure ensures the highest accuracy and reliability of doping level calibration in the epitaxial layers with a relative error of no larger than 2.5%.

  10. Germanium- and tellurium-doped GaAs for non-alloyed p-type and n-type ohmic contacts

    NASA Astrophysics Data System (ADS)

    Park, Joongseo; Barnes, Peter A.; Lovejoy, Michael L.

    1995-08-01

    Epitaxial ohmic contacts to GaAs were grown by liquid phase epitaxy. Heavily Ge-doped GaAs was grown to prepare ohmic contacts to p-GaAs while Te was used for the n-type contacts. Hall measurements were carried out for the samples grown from melts in which the mole fraction of Ge was varied between 1.55 atomic % and 52.2 atomic %, while the Te mole fractions varied between 0.03% and 0.5%. Specific contact resistance, rc, as low as rcp=2.9×10-6 ohm-cm 2 for Ge doping of p=(Na-Nd)=6.0×1019 holes/cm3 was measured for p-contacts and rcn=9.6×10-5 ohm-cm2 was measured for Te doping of n=(Nd-Na)=8.9×1018 electrons/cm3 for GaAs metallized with non-alloyed contacts of Ti/Al.

  11. Excitation power dependence of photoluminescence spectra of GaSb type-II quantum dots in GaAs grown by droplet epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kawazu, T., E-mail: KAWAZU.Takuya@nims.go.jp; Noda, T.; Sakuma, Y.

    2016-04-15

    We investigated the excitation power P dependence of photoluminescence (PL) spectra of GaSb type-II quantum dots (QDs) in GaAs grown by droplet epitaxy. We prepared two QD samples annealed at slightly different temperatures (380 {sup o}C and 400 {sup o}C) and carried out PL measurements. The 20 {sup o}C increase of the annealing temperature leads to (1) about 140 and 60 times stronger wetting layer (WL) luminescence at low and high P, (2) about 45% large energy shift of QD luminescence with P, and (3) the different P dependence of the PL intensity ratio between the QD and the WL. These differences ofmore » the PL characteristics are explained by the effects of the WL.« less

  12. Structure characterization of MHEMT heterostructure elements with In0.4Ga0.6As quantum well grown by molecular beam epitaxy on GaAs substrate using reciprocal space mapping

    NASA Astrophysics Data System (ADS)

    Aleshin, A. N.; Bugaev, A. S.; Ermakova, M. A.; Ruban, O. A.

    2016-03-01

    The crystallographic parameters of elements of a metamorphic high-electron-mobility transistor (MHEMT) heterostructure with In0.4Ga0.6As quantum well are determined using reciprocal space mapping. The heterostructure has been grown by molecular-beam epitaxy (MBE) on the vicinal surface of a GaAs substrate with a deviation angle of 2° from the (001) plane. The structure consists of a metamorphic step-graded buffer (composed of six layers, including an inverse step), a high-temperature buffer of constant composition, and active high-electron-mobility transistor (HEMT) layers. The InAs content in the metamorphic buffer layers varies from 0.1 to 0.48. Reciprocal space mapping has been performed for the 004 and 224 reflections (the latter in glancing exit geometry). Based on map processing, the lateral and vertical lattice parameters of In x Ga1- x As ternary solid solutions of variable composition have been determined. The degree of layer lattice relaxation and the compressive stress are found within the linear elasticity theory. The high-temperature buffer layer of constant composition (on which active MHEMT layers are directly formed) is shown to have the highest (close to 100%) degree of relaxation in comparison with all other heterostructure layers and a minimum compressive stress.

  13. n-Type Doping of Vapor-Liquid-Solid Grown GaAs Nanowires.

    PubMed

    Gutsche, Christoph; Lysov, Andrey; Regolin, Ingo; Blekker, Kai; Prost, Werner; Tegude, Franz-Josef

    2011-12-01

    In this letter, n-type doping of GaAs nanowires grown by metal-organic vapor phase epitaxy in the vapor-liquid-solid growth mode on (111)B GaAs substrates is reported. A low growth temperature of 400°C is adjusted in order to exclude shell growth. The impact of doping precursors on the morphology of GaAs nanowires was investigated. Tetraethyl tin as doping precursor enables heavily n-type doped GaAs nanowires in a relatively small process window while no doping effect could be found for ditertiarybutylsilane. Electrical measurements carried out on single nanowires reveal an axially non-uniform doping profile. Within a number of wires from the same run, the donor concentrations ND of GaAs nanowires are found to vary from 7 × 10(17) cm(-3) to 2 × 10(18) cm(-3). The n-type conductivity is proven by the transfer characteristics of fabricated nanowire metal-insulator-semiconductor field-effect transistor devices.

  14. Origin of Quantum Ring Formation During Droplet Epitaxy

    NASA Astrophysics Data System (ADS)

    Zhou, Z. Y.; Zheng, C. X.; Tang, W. X.; Tersoff, J.; Jesson, D. E.

    2013-07-01

    Droplet epitaxy of GaAs is studied in real time using in situ surface electron microscopy. The resulting movies motivate a theoretical model for quantum ring formation which can explain the origin of nanoscale features such as double rings observed under a variety of experimental conditions. Inner rings correspond to GaAs deposition at the droplet edge, while outer rings result from the reaction of Ga and As atoms diffusing along the surface. The observed variety of morphologies primarily reflects relative changes in the outer rings with temperature and As flux.

  15. Delayed Shutters For Dual-Beam Molecular Epitaxy

    NASA Technical Reports Server (NTRS)

    Grunthaner, Frank J.; Liu, John L.; Hancock, Bruce

    1989-01-01

    System of shutters for dual-molecular-beam epitaxy apparatus delays start of one beam with respect to another. Used in pulsed-beam equipment for deposition of low-dislocation layers of InAs on GaAs substrates, system delays application of arsenic beam with respect to indium beam to assure proper stoichiometric proportions on newly forming InAs surface. Reflectance high-energy electron diffraction (RHEED) instrument used to monitor condition of evolving surface of deposit. RHEED signal used to time pulsing of molecular beams in way that minimizes density of defects and holds lattice constant of InAs to that of GaAs substrate.

  16. Highly efficient single-junction GaAs thin-film solar cell on flexible substrate.

    PubMed

    Moon, Sunghyun; Kim, Kangho; Kim, Youngjo; Heo, Junseok; Lee, Jaejin

    2016-07-20

    There has been much interest in developing a thin-film solar cell because it is lightweight and flexible. The GaAs thin-film solar cell is a top contender in the thin-film solar cell market in that it has a high power conversion efficiency (PCE) compared to that of other thin-film solar cells. There are two common structures for the GaAs solar cell: n (emitter)-on-p (base) and p-on-n. The former performs better due to its high collection efficiency because the electron diffusion length of the p-type base region is much longer than the hole diffusion length of the n-type base region. However, it has been limited to fabricate highly efficient n-on-p single-junction GaAs thin film solar cell on a flexible substrate due to technical obstacles. We investigated a simple and fast epitaxial lift-off (ELO) method that uses a stress originating from a Cr/Au bilayer on a 125-μm-thick flexible substrate. A metal combination of AuBe/Pt/Au is employed as a new p-type ohmic contact with which an n-on-p single-junction GaAs thin-film solar cell on flexible substrate was successfully fabricated. The PCE of the fabricated single-junction GaAs thin-film solar cells reached 22.08% under air mass 1.5 global illumination.

  17. Radial Growth of Self-Catalyzed GaAs Nanowires and the Evolution of the Liquid Ga-Droplet Studied by Time-Resolved in Situ X-ray Diffraction.

    PubMed

    Schroth, Philipp; Jakob, Julian; Feigl, Ludwig; Mostafavi Kashani, Seyed Mohammad; Vogel, Jonas; Strempfer, Jörg; Keller, Thomas F; Pietsch, Ullrich; Baumbach, Tilo

    2018-01-10

    We report on a growth study of self-catalyzed GaAs nanowires based on time-resolved in situ X-ray structure characterization during molecular-beam-epitaxy in combination with ex situ scanning-electron-microscopy. We reveal the evolution of nanowire radius and polytypism and distinguish radial growth processes responsible for tapering and side-wall growth. We interpret our results using a model for diameter self-stabilization processes during growth of self-catalyzed GaAs nanowires including the shape of the liquid Ga-droplet and its evolution during growth.

  18. Commercial production of QWIP wafers by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Fastenau, J. M.; Liu, W. K.; Fang, X. M.; Lubyshev, D. I.; Pelzel, R. I.; Yurasits, T. R.; Stewart, T. R.; Lee, J. H.; Li, S. S.; Tidrow, M. Z.

    2001-06-01

    As the performance of quantum well infrared photodetectors (QWIPs) and QWIP-based imaging systems continues to improve, their demand will undoubtedly grow. This points to the importance of a reliable commercial supplier of semiconductor QWIP material on three inch and, in the near future, four-inch substrates. Molecular beam epitaxy (MBE) is the preferred technique for growing the demanding QWIP structure, as tight control is required over the material composition and layer thickness. We report the current status of MBE-grown GaAs-based QWIP structures in a commercial production environment at IQE. Uniformity data and run-to-run reproducibility on both three-inch and four-inch GaAs substrates are quantified using alloy composition and QW thickness. Initial results on growth technology transfer to a multi-wafer MBE reactor are also presented. High-resolution X-ray diffraction measurements demonstrate GaAs QW thickness variations and AlGaAs barrier compositions changes to be less than 4% and 1% Al, respectively, across four-inch QWIP wafers from both single- and multiple-wafer MBE platforms.

  19. Materials, structures, and devices for high-speed electronics

    NASA Technical Reports Server (NTRS)

    Woollam, John A.; Snyder, Paul G.

    1992-01-01

    Advances in materials, devices, and instrumentation made under this grant began with ex-situ null ellipsometric measurements of simple dielectric films on bulk substrates. Today highly automated and rapid spectroscopic ellipsometers are used for ex-situ characterization of very complex multilayer epitaxial structures. Even more impressive is the in-situ capability, not only for characterization but also for the actual control of the growth and etching of epitaxial layers. Spectroscopic ellipsometry has expanded from the research lab to become an integral part of the production of materials and structures for state of the art high speed devices. Along the way, it has contributed much to our understanding of the growth characteristics and material properties. The following areas of research are summarized: Si3N4 on GaAs, null ellipsometry; diamondlike carbon films; variable angle spectroscopic ellipsometry (VASE) development; GaAs-AlGaAs heterostructures; Ta-Cu diffusion barrier films on GaAs; GaAs-AlGaAs superlattices and multiple quantum wells; superconductivity; in situ elevated temperature measurements of III-V's; optical constants of thermodynamically stable InGaAs; doping dependence of optical constants of GaAs; in situ ellipsometric studies of III-V epitaxial growth; photothermal spectroscopy; microellipsometry; and Si passivation and Si/SiGe strained-layer superlattices.

  20. LEED and AES characterization of the GaAs(110)-ZnSe interface

    NASA Technical Reports Server (NTRS)

    Tu, D.-W.; Kahn, A.

    1984-01-01

    In this paper, a study is conducted of the composition and structure of epitaxial ZnSe films grown by congruent evaporation on GaAs(110) at a rate of 2 A/min. It is found that the films grown on 300 C GaAs are nearly stoichiometric and form an abrupt interface with the substrate. Films grown at higher temperature (T greater than 350-400 C) are Se rich. The crystallinity of films grown at 300 C is good and their surface atomic geometry is identical to that of a ZnSe crystal. The GaAs-ZnSe interface geometry seems to be dominated by the Se-substrate bonds. The adsorption of Se, during the formation of very thin ZnSe films (2-3 A), produces a (1 x 2) LEED pattern and modifications of the LEED I-V profiles, which probably indicate a change in the substrate atomic relaxation.

  1. X-ray photoelectron spectroscopic study of the oxide removal mechanism of GaAs /100/ molecular beam epitaxial substrates in in situ heating

    NASA Technical Reports Server (NTRS)

    Vasquez, R. P.; Lewis, B. F.; Grunthaner, F. J.

    1983-01-01

    A standard cleaning procedure for GaAs (100) molecular beam epitaxial (MBE) substrates is a chemical treatment with a solution of H2SO4/H2O2/H2O, followed by in situ heating prior to MBE growth. X-ray photoelectron spectroscopic (XPS) studies of the surface following the chemical treatment show that the oxidized As is primarily As(+ 5). Upon heating to low temperatures (less than (350 C) the As(+ 5) oxidizes the substrate to form Ga2O3 and elemental As, and the As(+ 5) is reduced to As(+ 3) in the process. At higher temperatures (500 C), the As(+ 3) and elemental As desorb, while the Ga(+ 3) begins desorbing at about 600 C.

  2. Multilayer self-organization of InGaAs quantum wires on GaAs surfaces

    NASA Astrophysics Data System (ADS)

    Wang, Zhiming M.; Kunets, Vasyl P.; Xie, Yanze Z.; Schmidbauer, Martin; Dorogan, Vitaliy G.; Mazur, Yuriy I.; Salamo, Gregory J.

    2010-12-01

    Molecular-Beam Epitaxy growth of multiple In 0.4Ga 0.6As layers on GaAs (311)A and GaAs (331)A has been investigated by Atomic Force Microscopy and Photoluminescence. On GaAs (311)A, uniformly distributed In 0.4Ga 0.6As quantum wires (QWRs) with wider lateral separation were achieved, presenting a significant improvement in comparison with the result on single layer [H. Wen, Z.M. Wang, G.J. Salamo, Appl. Phys. Lett. 84 (2004) 1756]. On GaAs (331)A, In 0.4Ga 0.6As QWRs were revealed to be much straighter than in the previous report on multilayer growth [Z. Gong, Z. Niu, Z. Fang, Nanotechnology 17 (2006) 1140]. These observations are discussed in terms of the strain-field interaction among multilayers, enhancement of surface mobility at high temperature, and surface stability of GaAs (311)A and (331)A surfaces.

  3. Molecular beam epitaxy and metalorganic chemical vapor deposition growth of epitaxial CdTe on (100) GaAs/Si and (111) GaAs/Si substrates

    NASA Technical Reports Server (NTRS)

    Nouhi, A.; Radhakrishnan, G.; Katz, J.; Koliwad, K.

    1988-01-01

    Epitaxial CdTe has been grown on both (100)GaAs/Si and (111)GaAs/Si substrates. A combination of molecular beam epitaxy (MBE) and metalorganic chemical vapor deposition (MOCVD) has been employed for the first time to achieve this growth: the GaAs layers are grown on Si substrates by MBE and the CdTe film is subsequently deposited on GaAs/Si by MOCVD. The grown layers have been characterized by X-ray diffraction, scanning electron microscopy, and photoluminescence.

  4. Photoluminescence intensity enhancement of GaAs by vapor-deposited GaS - A rational approach to surface passivation

    NASA Technical Reports Server (NTRS)

    Jenkins, Phillip P.; Hepp, Aloysius F.; Power, Michael B.; Macinnes, Andrew N.; Barron, Andrew R.

    1993-01-01

    A two order-of-magnitude enhancement of photoluminescence intensity relative to untreated GaAs has been observed for GaAs surfaces coated with chemical vapor-deposited GaS. The increase in photoluminescence intensity can be viewed as an effective reduction in surface recombination velocity and/or band bending. The gallium cluster (/t-Bu/GaS)4 was used as a single-source precursor for the deposition of GaS thin films. The cubane core of the structurally-characterized precursor is retained in the deposited film producing a cubic phase. Furthermore, a near-epitaxial growth is observed for the GaS passivating layer. Films were characterized by transmission electron microscopy, X-ray powder diffraction, and X-ray photoelectron and Rutherford backscattering spectroscopies.

  5. Surface reconstruction of GaAs(001) nitrided under the controlled As partial pressure [rapid communication

    NASA Astrophysics Data System (ADS)

    Imayoshi, Takahiro; Oigawa, Haruhiro; Shigekawa, Hidemi; Tokumoto, Hiroshi

    2003-08-01

    Under the controlled As partial pressure, the nitridation process of GaAs(0 0 1)-(2 × 4) surface was studied using a scanning tunneling microscope (STM) combined with an electron cyclotron resonance plasma-assisted molecular beam epitaxy system. With either prolonging the nitridation time or decreasing the As partial pressure, the previously reported (3 × 3) structure with two dimers per surface cell ((3 × 3)-2D) was found to progressively convert into a new (3 × 3) structure characterized by one dimer per surface cell ((3 × 3)-1D). Reversely the exposure to arsenic transformed the structure from (3 × 3)-1D to (3 × 3)-2D, suggesting that the topmost layer is composed of As 2-dimers. Based on these STM images together with the X-ray photoelectron spectroscopy data, we propose the new As 2-dimer coverage models to explain both (3 × 3)-1D and -2D structures involving the exchange reaction of arsenic with nitrogen in the subsurface region of GaAs.

  6. Kinetics of (2 × 4) → (3 × 1(6)) structural changes on GaAs(001) surfaces during the UHV annealing

    NASA Astrophysics Data System (ADS)

    Vasev, A. V.; Putyato, M. A.; Preobrazhenskii, V. V.

    2018-06-01

    The peculiarities of superstructural transition (2 × 4) → (3 × 1(6)) on the GaAs(001) surface were studied by the RHEED method in the conditions initiated by a sharp change of the arsenic flux. The specular beam intensities RHEED picture dependences on time were obtained during the transition. The measurement results were analyzed within the JMAK (Johnson - Melh - Avrami - Kolmogorov) kinetic model. It was established that the process of structural rearrangement proceeds in two stages and it is realized through the state of intermediate disordering, domains with different reconstructions being coexistent on the surface. The activation energies and phase transition velocities were determined for each of the stages. The procedure for precise determination of GaAs(001) surface temperature using the features of the α(2 × 4) → DO transition process kinetic was proposed. The results of this work allow us to broaden our understanding of the reconstruction transitions mechanisms. This information has a key (fundamental and applied) nature for the technologies of epitaxial growth of multilayer heterostructures, where the interface planarity and the sharpness of composition profile are of particular importance.

  7. MBE growth of GaAs and InAs nanowires using colloidal Ag nanoparticles

    NASA Astrophysics Data System (ADS)

    Ilkiv, I. V.; Reznik, R. R.; Kotlyar, K. P.; Bouravleuv, A. D.; Cirlin, G. E.

    2017-11-01

    Ag colloidal nanoparticles were used as a catalyst for molecular beam epitaxy of GaAs and InAs nanowires on the Si(111) substrates. The scanning electron microscopy measurements revealed that nanowires obtained are uniform and have small size distribution.

  8. Terahertz radiation in In{sub 0.38}Ga{sub 0.62}As grown on a GaAs wafer with a metamorphic buffer layer under femtosecond laser excitation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ponomarev, D. S., E-mail: ponomarev-dmitr@mail.ru; Khabibullin, R. A.; Yachmenev, A. E.

    The results of time-domain spectroscopy of the terahertz (THz) generation in a structure with an In{sub 0.38}Ga{sub 0.62}As photoconductive layer are presented. This structure grown by molecular-beam epitaxy on a GaAs substrate using a metamorphic buffer layer allows THz generation with a wide frequency spectrum (to 6 THz). This is due to the additional contribution of the photo-Dember effect to THz generation. The measured optical-to-terahertz conversion efficiency in this structure is 10{sup –5} at a rather low optical fluence of ~40 μJ/cm{sup 2}, which is higher than that in low-temperature grown GaAs by almost two orders of magnitude.

  9. Ion-beam doping of GaAs with low-energy (100 eV) C + using combined ion-beam and molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Iida, Tsutomu; Makita, Yunosuke; Kimura, Shinji; Winter, Stefan; Yamada, Akimasa; Fons, Paul; Uekusa, Shin-ichiro

    1995-01-01

    A combined ion-beam and molecular-beam-epitaxy (CIBMBE) system has been developed. This system consists of an ion implanter capable of producing ions in the energy range of 30 eV-30 keV and conventional solid-source MBE. As a successful application of CIBMBE, low-energy (100 eV) carbon ion (C+) irradiation during MBE growth of GaAs was carried out at substrate temperatures Tg between 500 and 590 °C. C+-doped layers were characterized by low-temperature (2 K) photoluminescence (PL), Raman scattering, and van der Pauw measurements. PL spectra of undoped GaAs grown by CIBMBE revealed that unintentional impurity incorporation into the epilayer is extremely small and precise doping effects are observable. CAs acceptor-related emissions such as ``g,'' [g-g], and [g-g]β are observed and their spectra are significantly changed with increasing C+ beam current density Ic. PL measurements showed that C atoms were efficiently incorporated during MBE growth by CIBMBE and were optically well activated as an acceptor in the as-grown condition even for Tg as low as 500 °C. Raman measurement showed negligible lattice damage of the epilayer bombarded with 100 eV C+ with no subsequent heat treatment. These results indicate that contamination- and damage-free impurity doping without postgrowth annealing can be achieved by the CIBMBE method.

  10. Ion-beam doping of GaAs with low-energy (100 eV) C(+) using combined ion-beam and molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Lida, Tsutomu; Makita, Yunosuke; Kimura, Shinji; Winter, Stefan; Yamada, Akimasa; Fons, Paul; Uekusa, Shin-Ichiro

    1995-01-01

    A combined ion-beam and molecular-beam-epitaxy (CIBMBE) system has been developed. This system consists of an ion implanter capable of producing ions in the energy range of 30 eV - 30 keV and conventional solid-source MBE. As a successful application of CIBMBE, low-energy (100 eV) carbon ion (C(+)) irradiation during MBE growth of GaAs was carried out at substrate temperatures T(sub g) between 500 and 590 C. C(+)-doped layers were characterized by low-temperature (2 K) photoluminescence (PL), Raman scattering, and van der Pauw measurements. PL spectra of undoped GaAs grown by CIBMBE revealed that unintentional impurity incorporation into the epilayer is extremely small and precise doping effects are observable. C(sub As) acceptor-related emissions such as 'g', (g-g), and (g-g)(sub beta) are observed and their spectra are significantly changed with increasing C(+) beam current density I(sub c). PL measurements showed that C atoms were efficiently incorporated during MBE growth by CIBMBE and were optically well activated as an acceptor in the as-grown condition even for T(sub g) as low as 500 C. Raman measurement showed negligible lattice damage of the epilayer bombarded with 100 eV C(+) with no subsequent heat treatment. These results indicate that contamination- and damage-free impurity doping without postgrowth annealing can be achieved by the CIBMBE method.

  11. GaAs1-xBix/GaNyAs1-y type-II quantum wells: novel strain-balanced heterostructures for GaAs-based near- and mid-infrared photonics.

    PubMed

    Broderick, Christopher A; Jin, Shirong; Marko, Igor P; Hild, Konstanze; Ludewig, Peter; Bushell, Zoe L; Stolz, Wolfgang; Rorison, Judy M; O'Reilly, Eoin P; Volz, Kerstin; Sweeney, Stephen J

    2017-04-19

    The potential to extend the emission wavelength of photonic devices further into the near- and mid-infrared via pseudomorphic growth on conventional GaAs substrates is appealing for a number of communications and sensing applications. We present a new class of GaAs-based quantum well (QW) heterostructure that exploits the unusual impact of Bi and N on the GaAs band structure to produce type-II QWs having long emission wavelengths with little or no net strain relative to GaAs, while also providing control over important laser loss processes. We theoretically and experimentally demonstrate the potential of GaAs 1-x Bi x /GaN y As 1-y type-II QWs on GaAs and show that this approach offers optical emission and absorption at wavelengths up to ~3 µm utilising strain-balanced structures, a first for GaAs-based QWs. Experimental measurements on a prototype GaAs 0.967 Bi 0.033 /GaN 0.062 As 0.938 structure, grown via metal-organic vapour phase epitaxy, indicate good structural quality and exhibit both photoluminescence and absorption at room temperature. The measured photoluminescence peak wavelength of 1.72 μm is in good agreement with theoretical calculations and is one of the longest emission wavelengths achieved on GaAs to date using a pseudomorphically grown heterostructure. These results demonstrate the significant potential of this new class of III-V heterostructure for long-wavelength applications.

  12. GaAs1-xBix/GaNyAs1-y type-II quantum wells: novel strain-balanced heterostructures for GaAs-based near- and mid-infrared photonics

    NASA Astrophysics Data System (ADS)

    Broderick, Christopher A.; Jin, Shirong; Marko, Igor P.; Hild, Konstanze; Ludewig, Peter; Bushell, Zoe L.; Stolz, Wolfgang; Rorison, Judy M.; O'Reilly, Eoin P.; Volz, Kerstin; Sweeney, Stephen J.

    2017-04-01

    The potential to extend the emission wavelength of photonic devices further into the near- and mid-infrared via pseudomorphic growth on conventional GaAs substrates is appealing for a number of communications and sensing applications. We present a new class of GaAs-based quantum well (QW) heterostructure that exploits the unusual impact of Bi and N on the GaAs band structure to produce type-II QWs having long emission wavelengths with little or no net strain relative to GaAs, while also providing control over important laser loss processes. We theoretically and experimentally demonstrate the potential of GaAs1-xBix/GaNyAs1-y type-II QWs on GaAs and show that this approach offers optical emission and absorption at wavelengths up to ~3 µm utilising strain-balanced structures, a first for GaAs-based QWs. Experimental measurements on a prototype GaAs0.967Bi0.033/GaN0.062As0.938 structure, grown via metal-organic vapour phase epitaxy, indicate good structural quality and exhibit both photoluminescence and absorption at room temperature. The measured photoluminescence peak wavelength of 1.72 μm is in good agreement with theoretical calculations and is one of the longest emission wavelengths achieved on GaAs to date using a pseudomorphically grown heterostructure. These results demonstrate the significant potential of this new class of III-V heterostructure for long-wavelength applications.

  13. Laser induced OMCVD growth of AlGaAs on GaAs

    NASA Technical Reports Server (NTRS)

    Wilt, David M.; Warner, Joseph D.; Aron, Paul R.; Pouch, John J.; Hoffman, Richard W., Jr.

    1987-01-01

    A major factor limiting the efficiency of the GaAs-GaAlAs solar cell is the rate of recombination at the GaAs-AlGaAs interface. Evidence has been previously reported which indicates that recombination at this interface can be greatly reduced if the AlGaAs layer is grown at lower than normal temperatures. The authors examine the epitaxial growth of AlGaAs on GaAs using a horizontal OMCVD reactor and an excimer laser operating in the UV (lambda = 193 nm) region. The growth temperatures were 450 and 500 C. The laser beam was utilized in two orientations: 75 deg angle of incidence and parallel to the substrate. Film composition and structure were determined by Auger electron spectroscopy (AES) and transmission electron microscopy (TEM). Auger analysis of epilayers grown at 500 C with the laser impinging show no carbon or oxygen contamination of the epitaxial layers or interfaces. TEM diffraction patterns of these same epilayers exhibit single crystal (100) zone axis patterns.

  14. Stacking InAs quantum dots over ErAs semimetal nanoparticles on GaAs (0 0 1) using molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Zhang, Yuanchang; Eyink, Kurt G.; Grazulis, Lawrence; Hill, Madelyn; Peoples, Joseph; Mahalingam, Krishnamurthy

    2017-11-01

    Hybrid nanostructures are known to elicit an enhanced optical response. We study the directed alignment of ErAs metal nanoparticle (NP) and InAs quantum dot (QD) using molecular beam eptaxy (MBE) in a GaAs matrix. Due to high surface free energy caused by the crystal structure difference, overgrowth of an ErAs NP with GaAs forms a depression that condenses subsequent InAs adatoms to form an inverted QD self-aligned to the underlying ErAs NP. The ErAs NP growth, GaAs overgrowth, and InAs QD deposition were carefully controlled and studied with transmission electron microscopy (TEM) and atomic force microscopy (AFM) to investigate their effects on the QD-NP alignment.

  15. Tunnel Junction Development Using Hydride Vapor Phase Epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ptak, Aaron J.; Simon, John D.; Schulte, Kevin L.

    We demonstrate for the first time III-V tunnel junctions grown using hydride vapor phase epitaxy (HVPE) with peak tunneling currents >8 A/cm 2, sufficient for operation of a multijunction device to several hundred suns of concentration. Multijunction solar cells rely on tunneling interconnects between subcells to enable series connection with minimal voltage loss, but tunnel junctions have never been shown using the HVPE growth method. HVPE has recently reemerged as a low-cost growth method for high-quality III-V materials and devices, including the growth of high-efficiency III-V solar cells. We previously showed single-junction GaAs solar cells with conversion efficiencies of ~24%more » with a path forward to equal or exceed the practical efficiency limits of crystalline Si. Moving to a multijunction device structure will allow for even higher efficiencies with minimal impact on cost, necessitating the development of tunnel interconnects. Here in this paper, we demonstrate the performance of both isolated HVPE-grown tunnel junctions, as well as single-junction GaAs solar cell structures with a tunnel junction incorporated into the contact region. We observe no degradation in device performance compared to a structure without the added junction.« less

  16. Tunnel Junction Development Using Hydride Vapor Phase Epitaxy

    DOE PAGES

    Ptak, Aaron J.; Simon, John D.; Schulte, Kevin L.; ...

    2017-10-18

    We demonstrate for the first time III-V tunnel junctions grown using hydride vapor phase epitaxy (HVPE) with peak tunneling currents >8 A/cm 2, sufficient for operation of a multijunction device to several hundred suns of concentration. Multijunction solar cells rely on tunneling interconnects between subcells to enable series connection with minimal voltage loss, but tunnel junctions have never been shown using the HVPE growth method. HVPE has recently reemerged as a low-cost growth method for high-quality III-V materials and devices, including the growth of high-efficiency III-V solar cells. We previously showed single-junction GaAs solar cells with conversion efficiencies of ~24%more » with a path forward to equal or exceed the practical efficiency limits of crystalline Si. Moving to a multijunction device structure will allow for even higher efficiencies with minimal impact on cost, necessitating the development of tunnel interconnects. Here in this paper, we demonstrate the performance of both isolated HVPE-grown tunnel junctions, as well as single-junction GaAs solar cell structures with a tunnel junction incorporated into the contact region. We observe no degradation in device performance compared to a structure without the added junction.« less

  17. Disruption of Higher Order DNA Structures in Friedreich’s Ataxia (GAA)n Repeats by PNA or LNA Targeting

    PubMed Central

    Bergquist, Helen; Rocha, Cristina S. J.; Álvarez-Asencio, Rubén; Nguyen, Chi-Hung; Rutland, Mark. W.; Smith, C. I. Edvard; Good, Liam; Nielsen, Peter E.; Zain, Rula

    2016-01-01

    Expansion of (GAA)n repeats in the first intron of the Frataxin gene is associated with reduced mRNA and protein levels and the development of Friedreich’s ataxia. (GAA)n expansions form non-canonical structures, including intramolecular triplex (H-DNA), and R-loops and are associated with epigenetic modifications. With the aim of interfering with higher order H-DNA (like) DNA structures within pathological (GAA)n expansions, we examined sequence-specific interaction of peptide nucleic acid (PNA) with (GAA)n repeats of different lengths (short: n=9, medium: n=75 or long: n=115) by chemical probing of triple helical and single stranded regions. We found that a triplex structure (H-DNA) forms at GAA repeats of different lengths; however, single stranded regions were not detected within the medium size pathological repeat, suggesting the presence of a more complex structure. Furthermore, (GAA)4-PNA binding of the repeat abolished all detectable triplex DNA structures, whereas (CTT)5-PNA did not. We present evidence that (GAA)4-PNA can invade the DNA at the repeat region by binding the DNA CTT strand, thereby preventing non-canonical-DNA formation, and that triplex invasion complexes by (CTT)5-PNA form at the GAA repeats. Locked nucleic acid (LNA) oligonucleotides also inhibited triplex formation at GAA repeat expansions, and atomic force microscopy analysis showed significant relaxation of plasmid morphology in the presence of GAA-LNA. Thus, by inhibiting disease related higher order DNA structures in the Frataxin gene, such PNA and LNA oligomers may have potential for discovery of drugs aiming at recovering Frataxin expression. PMID:27846236

  18. Stacking of ZnSe/ZnCdSe Multi-Quantum Wells on GaAs (100) by Epitaxial Lift-Off

    NASA Astrophysics Data System (ADS)

    Eldose, N. M.; Zhu, J.; Mavridi, N.; Prior, Kevin; Moug, R. T.

    2018-05-01

    Here we present stacking of GaAs/ZnSe/ZnCdSe single-quantum well (QW) structures using epitaxial lift-off (ELO). Molecular beam epitaxy (MBE)-grown II-VI QW structure was lifted using our standard ELO technique. The QW structures were transferred onto glass plates and then subsequent layers stacked on top of each other to form a triple-QW structure. This was compared to an MBE-grown multiple-QW (MQW) structure of similar design. Low-temperature (77 K) photoluminescence (PL) spectroscopy was used to compare the two structures and showed no obvious degradation of the ELO stacked layer. It was observed that by stacking the single QW layer on itself we could increase the PL emission intensity beyond that of the grown MQW structure while maintaining narrow line width.

  19. Effects of Light Exposure on Dopant Incorporation and Migration in MBE-Grown GaAs(001)

    NASA Astrophysics Data System (ADS)

    Sanders, Charlotte E.; Beaton, D. A.; Alberi, K.

    2015-03-01

    Light-stimulated epitaxy of II-VI semiconducting materials is known to reduce crystalline defect density and enhance substitutional dopant incorporation relative to traditional ``dark'' epitaxial growth. These effects have been speculated to arise from photon-adatom interactions at the growth front, and from involvement in bonding processes by photogenerated carriers; however, a conclusive explanation of the observed effects has yet to be found. We are revisiting this topic, attempting to clarify the mechanisms of light-stimulated epitaxy and to explore its effects on the class of III-V materials. Here we report an ongoing investigation into dopant incorporation and migration in MBE-grown GaAs(001) when the growth front is irradiated during deposition. On the basis of our preliminary findings, and by comparing our new results with results previously obtained for light-stimulated effects on doping of II-VI systems, we can begin to draw conclusions about the mechanisms underlying light-stimulated epitaxy and their potential utility to MBE growth of complex multilayer structures. This work was supported by the DOE Office of Science, Basic Energy Sciences, under contract DE-AC36-08G028308.

  20. High current density GaAs/Si rectifying heterojunction by defect free Epitaxial Lateral overgrowth on Tunnel Oxide from nano-seed.

    PubMed

    Renard, Charles; Molière, Timothée; Cherkashin, Nikolay; Alvarez, José; Vincent, Laetitia; Jaffré, Alexandre; Hallais, Géraldine; Connolly, James Patrick; Mencaraglia, Denis; Bouchier, Daniel

    2016-05-04

    Interest in the heteroepitaxy of GaAs on Si has never failed in the last years due to the potential for monolithic integration of GaAs-based devices with Si integrated circuits. But in spite of this effort, devices fabricated from them still use homo-epitaxy only. Here we present an epitaxial technique based on the epitaxial lateral overgrowth of micrometer scale GaAs crystals on a thin SiO2 layer from nanoscale Si seeds. This method permits the integration of high quality and defect-free crystalline GaAs on Si substrate and provides active GaAs/Si heterojunctions with efficient carrier transport through the thin SiO2 layer. The nucleation from small width openings avoids the emission of misfit dislocations and the formation of antiphase domains. With this method, we have experimentally demonstrated for the first time a monolithically integrated GaAs/Si diode with high current densities of 10 kA.cm(-2) for a forward bias of 3.7 V. This epitaxial technique paves the way to hybrid III-V/Si devices that are free from lattice-matching restrictions, and where silicon not only behaves as a substrate but also as an active medium.

  1. Strain relaxation in epitaxial GaAs/Si (0 0 1) nanostructures

    NASA Astrophysics Data System (ADS)

    Kozak, Roksolana; Prieto, Ivan; Arroyo Rojas Dasilva, Yadira; Erni, Rolf; Skibitzki, Oliver; Capellini, Giovanni; Schroeder, Thomas; von Känel, Hans; Rossell, Marta D.

    2017-11-01

    Crystal defects, present in 100 nm GaAs nanocrystals grown by metal organic vapour phase epitaxy on top of (0 0 1)-oriented Si nanotips (with a tip opening 50-90 nm), have been studied by means of high-resolution aberration-corrected high-angle annular dark-field scanning transmission electron microscopy. The role of 60° perfect, 30° and 90° Shockley partial misfit dislocations (MDs) in the plastic strain relaxation of GaAs on Si is discussed. Formation conditions of stair-rod dislocations and coherent twin boundaries in the GaAs nanocrystals are explained. Also, although stacking faults are commonly observed, we show here that synthesis of GaAs nanocrystals with a minimum number of these defects is possible. On the other hand, from the number of MDs, we have to conclude that the GaAs nanoparticles are fully relaxed plastically, such that for the present tip sizes no substrate compliance can be observed.

  2. Structural phase transitions in GaAs to 108 GPa

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Weir, S.T.; Vohra, Y.K.; Vanderborgh, C.A.

    1989-01-15

    The III-V compound GaAs was studied using energy-dispersive x-ray diffraction with a synchro- tron source up to a pressure of 108 GPa. When the pressure was increased to 16.6 GPa, the GaAs sample transformed from the zinc-blende structure to an orthorhombic structure (GaAs(II)), space group Pmm2, consisting of a primitive orthorhombic lattice with a basis of (0,0,0) and (0,(1/2,..cap alpha..), where ..cap alpha.. = 0.35. Upon a further increase of pressure to 24 +- 1 GPa, GaAs(II) transformed to another orthorhombic structure (GaAs(III)), space group Imm2, consisting of a body-centered orthorhombic lattice with a basis of (0,0,0) and (0, (1/2,..delta..),more » where ..delta.. is 0.425 at 28.1 GPa. With increasing pressure, ..delta.. approached (1/2 and the GaAs(III) structure gradually assumed the symmetry of the simple hexagonal structure. The transition to the simple hexagonal structure (GaAs(IV)) was completed in the vicinity of 60--80 GPa. The structure remains simple hexagonal up to at least 108 GPa, the highest pressure reached in this study.« less

  3. GaAs1−xBix/GaNyAs1−y type-II quantum wells: novel strain-balanced heterostructures for GaAs-based near- and mid-infrared photonics

    PubMed Central

    Broderick, Christopher A.; Jin, Shirong; Marko, Igor P.; Hild, Konstanze; Ludewig, Peter; Bushell, Zoe L.; Stolz, Wolfgang; Rorison, Judy M.; O’Reilly, Eoin P.; Volz, Kerstin; Sweeney, Stephen J.

    2017-01-01

    The potential to extend the emission wavelength of photonic devices further into the near- and mid-infrared via pseudomorphic growth on conventional GaAs substrates is appealing for a number of communications and sensing applications. We present a new class of GaAs-based quantum well (QW) heterostructure that exploits the unusual impact of Bi and N on the GaAs band structure to produce type-II QWs having long emission wavelengths with little or no net strain relative to GaAs, while also providing control over important laser loss processes. We theoretically and experimentally demonstrate the potential of GaAs1−xBix/GaNyAs1−y type-II QWs on GaAs and show that this approach offers optical emission and absorption at wavelengths up to ~3 µm utilising strain-balanced structures, a first for GaAs-based QWs. Experimental measurements on a prototype GaAs0.967Bi0.033/GaN0.062As0.938 structure, grown via metal-organic vapour phase epitaxy, indicate good structural quality and exhibit both photoluminescence and absorption at room temperature. The measured photoluminescence peak wavelength of 1.72 μm is in good agreement with theoretical calculations and is one of the longest emission wavelengths achieved on GaAs to date using a pseudomorphically grown heterostructure. These results demonstrate the significant potential of this new class of III-V heterostructure for long-wavelength applications. PMID:28422129

  4. ZnSe Window Layers for GaAs and GaInP2 Solar Cells

    NASA Technical Reports Server (NTRS)

    Olsen, Larry C.

    1997-01-01

    This report concerns studies of the use of n-type ZnSe as a window layer for n/p GaAs and GaInP2 solar cells. Emphasis was placed in this phase of the project on characterizing the interface between n-type ZnSe films grown on epi-GaAs films grown onto single crystal GaAs. Epi-GaAs and heteroepitaxial ZnSe films were grown by MOCVD with a Spire 50OXT Reactor. After growing epitaxial GaAs films on single crystal GaAs wafers, well-oriented crystalline ZnSe films were grown by MOCVD. ZnSe films were grown with substrate temperatures ranging from 250 C to 450 C. Photoluminescence studies carried out by researchers at NASA Lewis determined that the surface recombination velocity at a GaAs surface was significantly reduced after the deposition of a heteroepitaxial layer of ZnSe. The optimum temperature for ZnSe deposition appears to be on the order of 350 C.

  5. Annealing studies of heteroepitaxial InSbN on GaAs grown by molecular beam epitaxy for long-wavelength infrared detectors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Patra, Nimai C.; Bharatan, Sudhakar; Li Jia

    2012-10-15

    We report the effect of annealing on the structural, vibrational, electrical, and optical properties of heteropepitaxially grown InSbN epilayers on GaAs substrate by molecular beam epitaxy for long-wavelength infrared detector applications. As-grown epilayers exhibited high N incorporation in the both substitutional and interstitial sites, with N induced defects as evidenced from high resolution x-ray diffraction, secondary ion mass spectroscopy, and room temperature (RT) micro-Raman studies. The as-grown optical band gap was observed at 0.132 eV ({approx}9.4 {mu}m) and the epilayer exhibited high background carrier concentration at {approx}10{sup 18} cm{sup -3} range with corresponding mobility of {approx}10{sup 3} cm{sup 2}/Vs. Exmore » situ and in situ annealing at 430 Degree-Sign C though led to the loss of N but improved InSb quality due to effective annihilation of N related defects and other lattice defects attested to enhanced InSb LO phonon modes in the corresponding Raman spectra. Further, annealing resulted in the optical absorption edge red shifting to 0.12 eV ({approx}10.3 {mu}m) and the layers were characterized by reduced background carrier concentration in the {approx}10{sup 16} cm{sup -3} range with enhanced mobility in {approx}10{sup 4} cm{sup 2}/Vs range.« less

  6. Basic mechanisms study for MIS solar cell structures on GaAs

    NASA Technical Reports Server (NTRS)

    Fonash, S. J.

    1978-01-01

    The solar cell structure examined is the MIS configuration on (n) GaAs. The metal room temperature oxide/(n) GaAs materials system was studied. Metals with electronegativities varying from 2.4 (Au) to 1.5 (Al) were used as the upper electrode. The thinnest metallization that did not interfere with the measurement techniques (by introducing essentially transmission line series resistance problems across a device) was used. Photovoltaic response was not optimized.

  7. Silicon incorporation in GaAs: From delta-doping to monolayer insertion

    NASA Astrophysics Data System (ADS)

    Wagner, J.; Newman, R. C.; Roberts, C.

    1995-08-01

    Raman spectroscopy was used to study the incorporation of Si into doping layers in GaAs, grown by molecular beam epitaxy at a temperature of 400 °C, for Si concentrations ranging from the δ-doping level to a ML coverage. The strength of the scattering by local vibrational modes of substitutional Si was almost constant for Si areal concentration [Si]A in the range 5×1012<[Si]A<5×1013 cm-2 but then decreased, dropping below the detection limit for [Si]A≳3×1014 cm-2. At these concentrations a new vibrational band emerged at a frequency close to 470 cm-1 and developed into the optic zone center phonon of a coherently strained epitaxial layer of Si embedded in GaAs when a coverage of ≊1.5 ML (9.3×1014 cm-2) was reached. These findings strongly indicate that the observed saturation and the eventual decrease of the concentration of substitutional silicon is caused by an increasing incorporation of deposited Si into two-dimensional islands of covalently bonded Si.

  8. GaSb thermophotovoltaic cells grown on GaAs by molecular beam epitaxy using interfacial misfit arrays

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Juang, Bor-Chau, E-mail: bcjuang@ucla.edu; Laghumavarapu, Ramesh B.; Foggo, Brandon J.

    There exists a long-term need for foreign substrates on which to grow GaSb-based optoelectronic devices. We address this need by using interfacial misfit arrays to grow GaSb-based thermophotovoltaic cells directly on GaAs (001) substrates and demonstrate promising performance. We compare these cells to control devices grown on GaSb substrates to assess device properties and material quality. The room temperature dark current densities show similar characteristics for both cells on GaAs and on GaSb. Under solar simulation the cells on GaAs exhibit an open-circuit voltage of 0.121 V and a short-circuit current density of 15.5 mA/cm{sup 2}. In addition, the cells on GaAsmore » substrates maintain 10% difference in spectral response to those of the control cells over a large range of wavelengths. While the cells on GaSb substrates in general offer better performance than the cells on GaAs substrates, the cost-savings and scalability offered by GaAs substrates could potentially outweigh the reduction in performance. By further optimizing GaSb buffer growth on GaAs substrates, Sb-based compound semiconductors grown on GaAs substrates with similar performance to devices grown directly on GaSb substrates could be realized.« less

  9. Quantum-confinement effects on conduction band structure of rectangular cross-sectional GaAs nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tanaka, H., E-mail: tanaka@semicon.kuee.kyoto-u.ac.jp; Morioka, N.; Mori, S.

    2014-02-07

    The conduction band structure and electron effective mass of GaAs nanowires with various cross-sectional shapes and orientations were calculated by two methods, a tight-binding method and an effective mass equation taking the bulk full-band structure into account. The effective mass of nanowires increases as the cross-sectional size decreases, and this increase in effective mass depends on the orientations and substrate faces of nanowires. Among [001], [110], and [111]-oriented rectangular cross-sectional GaAs nanowires, [110]-oriented nanowires with wider width along the [001] direction showed the lightest effective mass. This dependence originates from the anisotropy of the Γ valley of bulk GaAs. Themore » relationship between effective mass and bulk band structure is discussed.« less

  10. The growth of low band-gap InAs on (111)B GaAs substrates

    NASA Technical Reports Server (NTRS)

    Welser, R. E.; Guido, L. J.

    1995-01-01

    The use of low band-gap materials is of interest for a number of photovoltaic and optoelectronic applications, such as bottom cells of optimized multijunction solar cell designs, long wavelength light sources, detectors, and thermophotovoltaics. However, low band-gap materials are generally mismatched with respect to lattice constant, thermal expansion coefficient, and chemical bonding to the most appropriate commercially available substrates (Si, Ge, and GaAs). For the specific case of III-V semiconductor heteroepitaxy, one must contend with the strain induced by both lattice constant mismatch at the growth temperature and differences in the rates of mechanical deformation during the cool down cycle. Several experimental techniques have been developed to minimize the impact of these phenomena (i.e., compositional grading, strained layer superlattices, and high-temperature annealing). However, in highly strained systems such as InAs-on-GaAs, three-dimensional island formation and large defect densities (greater than or equal to 10(exp 8)/ cm(exp -2)) tend to limit their applicability. In these particular cases, the surface morphology and defect density must be controlled during the initial stages of nucleation and growth. At the last SPRAT conference, we reported on a study of the evolution of InAs islands on (100) and (111)B GaAs substrates. Growth on the (111)B orientation exhibits a number of advantageous properties as compared to the (100) during these early stages of strained-layer epitaxy. In accordance with a developing model of nucleation and growth, we have deposited thin (60 A - 2500 A), fully relaxed InAs films on (111)B GaAs substrates. Although thicker InAs films are subject to the formation of twin defects common to epitaxy on the (111)B orientation, appropriate control of the growth parameters can greatly minimize their density. Using this knowledge base, InAs films up to 2 microns in thickness with improved morphology and structural quality have

  11. Effects of ultrathin oxides in conducting MIS structures on GaAs

    NASA Technical Reports Server (NTRS)

    Childs, R. B.; Ruths, J. M.; Sullivan, T. E.; Fonash, S. J.

    1978-01-01

    Schottky barrier-type GaAs baseline devices (semiconductor surface etched and then immediately metalized) and GaAs conducting metal oxide-semiconductor devices are fabricated and characterized. The baseline surfaces (no purposeful oxide) are prepared by a basic or an acidic etch, while the surface for the MIS devices are prepared by oxidizing after the etch step. The metallizations used are thin-film Au, Ag, Pd, and Al. It is shown that the introduction of purposeful oxide into these Schottky barrier-type structures examined on n-type GaAs modifies the barrier formation, and that thin interfacial layers can modify barrier formation through trapping and perhaps chemical reactions. For Au- and Pd-devices, enhanced photovoltaic performance of the MIS configuration is due to increased barrier height.

  12. Ordered structure of FeGe2 formed during solid-phase epitaxy

    NASA Astrophysics Data System (ADS)

    Jenichen, B.; Hanke, M.; Gaucher, S.; Trampert, A.; Herfort, J.; Kirmse, H.; Haas, B.; Willinger, E.; Huang, X.; Erwin, S. C.

    2018-05-01

    Fe3Si /Ge (Fe ,Si ) /Fe3Si thin-film stacks were grown by a combination of molecular beam epitaxy and solid-phase epitaxy (Ge on Fe3Si ). The stacks were analyzed using electron microscopy, electron diffraction, and synchrotron x-ray diffraction. The Ge(Fe,Si) films crystallize in the well-oriented, layered tetragonal structure FeGe2 with space group P 4 m m . This kind of structure does not exist as a bulk material and is stabilized by the solid-phase epitaxy of Ge on Fe3Si . We interpret this as an ordering phenomenon induced by minimization of the elastic energy of the epitaxial film.

  13. Growth and characterization of epitaxial silver indium diselenide

    NASA Astrophysics Data System (ADS)

    Pena Martin, Pamela

    Photovoltaics (solar cells) are a key player in the renewable energy frontier, and will become increasingly important as their cost per watt continues to drop, especially if fossil fuel costs increase. One particularly promising photovoltaic technology is based on chalcopyrite-structure semiconductors. Within the chalcopyrite compounds the highest efficiency thin film solar cell absorber material to date is Cu(In,Ga)Se2 (CIGS). While current efficiency records are over 21% for single-junction cells, there is still room for improvement. Replacing some of the Cu with Ag has been shown to be beneficial in CIGS devices. However, the Ag- containing chalcopyrites are still relatively unknown in terms of their growth mechanism, energetics, and surface atomic and electronic properties. These are best inferred through study of epitaxial films, yet they have little mention in literature and have not been the subject of a detailed study. This work describes the growth of epitaxial AgInSe2 (AIS) on GaAs substrates, studying the morphology, structure, and surface properties to understand how growth takes place. It also seeks to experimentally determine the surface electronic and atomic structure at the atomic scale to gain insight into the part of the material that forms the heterojunction that collects photon energy in the device. Finally, this work seeks to compare and contrast these findings with what is known about CIGS to determine where similarities and, more importantly, the differences may lie. This study has found that single phase tetragonal AIS can be epitaxially grown on GaAs, as illustrated by x-ray diffraction (XRD), transmission electron microscope (TEM), and surface morphology data. Like CIGS, the close packed polar (112) planes have the lowest energy. The morphology points to a difference in step dynamics, leading to less faceted, straight edged island shapes compared to CIGS. Epitaxial temperature as a function of growth direction shows a different trend in

  14. Structure characterization of MHEMT heterostructure elements with In{sub 0.4}Ga{sub 0.6}As quantum well grown by molecular beam epitaxy on GaAs substrate using reciprocal space mapping

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aleshin, A. N., E-mail: a.n.aleshin@mail.ru; Bugaev, A. S.; Ermakova, M. A.

    2016-03-15

    The crystallographic parameters of elements of a metamorphic high-electron-mobility transistor (MHEMT) heterostructure with In{sub 0.4}Ga{sub 0.6}As quantum well are determined using reciprocal space mapping. The heterostructure has been grown by molecular-beam epitaxy (MBE) on the vicinal surface of a GaAs substrate with a deviation angle of 2° from the (001) plane. The structure consists of a metamorphic step-graded buffer (composed of six layers, including an inverse step), a high-temperature buffer of constant composition, and active high-electron-mobility transistor (HEMT) layers. The InAs content in the metamorphic buffer layers varies from 0.1 to 0.48. Reciprocal space mapping has been performed for themore » 004 and 224 reflections (the latter in glancing exit geometry). Based on map processing, the lateral and vertical lattice parameters of In{sub x}Ga{sub 1–x}As ternary solid solutions of variable composition have been determined. The degree of layer lattice relaxation and the compressive stress are found within the linear elasticity theory. The high-temperature buffer layer of constant composition (on which active MHEMT layers are directly formed) is shown to have the highest (close to 100%) degree of relaxation in comparison with all other heterostructure layers and a minimum compressive stress.« less

  15. High power cascaded mid-infrared InAs/GaSb light emitting diodes on mismatched GaAs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Provence, S. R., E-mail: sydney-provence@uiowa.edu; Ricker, R.; Aytac, Y.

    2015-09-28

    InAs/GaSb mid-wave, cascaded superlattice light emitting diodes are found to give higher radiance when epitaxially grown on mismatched GaAs substrates compared to lattice-matched GaSb substrates. Peak radiances of 0.69 W/cm{sup 2}-sr and 1.06 W/cm{sup 2}-sr for the 100 × 100 μm{sup 2} GaSb and GaAs-based devices, respectively, were measured at 77 K. Measurement of the recombination coefficients shows the shorter Shockley-Read-Hall recombination lifetime as misfit dislocations for growth on GaAs degrade the quantum efficiency only at low current injection. The improved performance on GaAs was found to be due to the higher transparency and improved thermal properties of the GaAs substrate.

  16. Study on the high-power semi-insulating GaAs PCSS with quantum well structure

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Luan, Chongbiao; Wang, Bo; Huang, Yupeng

    A high-power semi-insulating GaAs photoconductive semiconductor switch (PCSS) with quantum well structure was fabricated. The AlGaAs layer was deposited on the surface of the GaAs material, and the reflecting film and the antireflection film have been made on the surface of the GaAs and AlGaAs, respectively. When the prepared PCSS worked at a bias voltage of 9.8 kV and triggered by a laser pulse with an incident optical energy of 5.4 mJ, a wavelength of 1064 nm and an optical pulse width of 25 ns, the on-state resistance of the AlGaAs/GaAs PCSS was only 0.45 Ω, and the longevity ofmore » the AlGaAs/GaAs PCSS was larger than 10{sup 6} shots. The results show that this structure reduces the on-state resistance and extends the longevity of the GaAs PCSS.« less

  17. Structural analysis of as-deposited and annealed low-temperature gallium arsenide

    NASA Astrophysics Data System (ADS)

    Matyi, R. J.; Melloch, M. R.; Woodall, J. M.

    1993-04-01

    The structure of GaAs grown at low substrate temperatures (LT-GaAs) by molecular beam epitaxy has been studied using high resolution X-ray diffraction methods. Double crystal rocking curves from the as-deposited LT-GaAs show well defined interference fringes, indicating a high level of structural perfection. Triple crystal diffraction analysis of the as-deposited sample showed significantly less diffuse scattering near the LT-GaAs 004 reciprocal lattice point compared with the substrate 004 reciprocal lattice point, suggesting that despite the incorporation of approximately 1% excess arsenic, the epitaxial layer had superior crystalline perfection than did the GaAs substrate. Triple crystal scans of annealed LT-GaAs showed an increase in the integrated diffuse intensity by approximately a factor of three as the anneal temperature was increased from 700 to 900°C. Analogous to the effects of SiO2 precipitates in annealed Czochralski silicon, the diffuse intensity is attributed to distortions in the epitaxial LT-GaAs lattice by arsenic precipitates.

  18. Electrodeposition of CdSe on GaAs and InP substrates

    NASA Astrophysics Data System (ADS)

    Etcheberry, A.; Cachet, H.; Cortes, R.; Froment, M.

    2001-06-01

    Epitaxial CdSe layers have been electrodeposited on the (1 0 0) and ( 1¯ 1¯ 1¯) faces of GaAs and InP single crystals. Chemical composition and crystalline quality of CdSe have been studied by X-photoelectron spectroscopy, reflection high energy electron diffraction and X-ray diffraction. Influence of the substrate has been pointed out.

  19. Substrate temperature dependence of ZnTe epilayers grown on GaAs(0 0 1) by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Zhao, Jie; Zeng, Yiping; Liu, Chao; Li, Yanbo

    2010-04-01

    ZnTe thin films have been grown on GaAs(0 0 1) substrates at different temperatures with constant Zn and Te beam equivalent pressures (BEPs) by molecular beam epitaxy (MBE). In situ reflection high-energy electron diffraction (RHEED) observation indicates that two-dimensional (2D) growth mode can be established after around one-minute three-dimensional (3D) nucleation by increasing the substrate temperature to 340 °C. We found that Zn desorption from the ZnTe surface is much greater than that of Te at higher temperatures, and estimated the Zn sticking coefficient by the evolution of growth rate. The Zn sticking coefficient decreases from 0.93 to 0.58 as the temperature is elevated from 320 to 400 °C. The ZnTe epilayer grown at 360 °C displays the narrowest full-width at half-maximum (FWHM) of 660 arcsec from (0 0 4) reflection in double-crystal X-ray rocking curve (DCXRC) measurements. The surface morphology of ZnTe epilayers is strongly dependent on the substrate temperature, and the root-mean-square (RMS) roughness diminishes drastically with the increase in temperature.

  20. Scanning capacitance microscopy of ErAs nanoparticles embedded in GaAs pn junctions

    NASA Astrophysics Data System (ADS)

    Park, K. W.; Nair, H. P.; Crook, A. M.; Bank, S. R.; Yu, E. T.

    2011-09-01

    Scanning capacitance microscopy is used to characterize the electronic properties of ErAs nanoparticles embedded in GaAs pn junctions grown by molecular beam epitaxy. Voltage-dependent capacitance images reveal localized variations in subsurface electronic structure near buried ErAs nanoparticles at lateral length scales of 20-30 nm. Numerical modeling indicates that these variations arise from inhomogeneities in charge modulation due to Fermi level pinning behavior associated with the embedded ErAs nanoparticles. Statistical analysis of image data yields an average particle radius of 6-8 nm—well below the direct resolution limit in scanning capacitance microscopy but discernible via analysis of patterns in nanoscale capacitance images.

  1. On the origin of reflectance-anisotropy oscillations during GaAs (0 0 1) homoepitaxy

    NASA Astrophysics Data System (ADS)

    Ortega-Gallegos, J.; Guevara-Macías, L. E.; Ariza-Flores, A. D.; Castro-García, R.; Lastras-Martínez, L. F.; Balderas-Navarro, R. E.; López-Estopier, R. E.; Lastras-Martínez, A.

    2018-05-01

    We report on the first spectroscopic study of reflectance-anisotropy (RA) oscillations during molecular beam epitaxy (MBE) GaAs homoepitaxy. Real-time RA spectra measured during epitaxial growth were carried out with a recently developed rapid RA multichannel spectrometer with 100 ms per spectrum acquisition time. An analysis of the time-resolved RA spectra shows that RA oscillations are mostly due to the periodic modulation of the surface orthorhombic strain associated to surface reconstruction. Results reported here demonstrate the power of real-time RA spectroscopy as a probe for the study of epitaxial growth processes. In particular, given its sub monolayer surface-strain sensitivity, RA spectroscopy results a very convenient tool to study epitaxial growth mechanisms in real-time with sub monolayer resolution. This capability allows for real-time RA spectroscopy to be used as a probe for the in situ, real-time control of epitaxial growth, with the additional advantage of operating in higher pressure systems such as CVD, where RHEED monitoring cannot be implemented.

  2. 830-nm Polarization Controlled Lasing of InGaAs Quantum Wire Vertical-Cavity Surface-Emitting Lasers Grown on (775)B GaAs Substrates by Molecular Beam Epitaxy

    NASA Astrophysics Data System (ADS)

    Higuchi, Yu; Osaki, Shinji; Sasahata, Yoshifumi; Kitada, Takahiro; Shimomura, Satoshi; Ogura, Mutsuo; Hiyamizu, Satoshi

    2007-02-01

    We report the first demonstration of room temperature (RT) current injection lasing of vertical-cavity surface-emitting lasers (VCSELs), with self-organized InGaAs/(GaAs)6(AlAs)1 quantum wires (QWRs) in their active region, grown on (775)B-oriented GaAs substrates by molecular beam epitaxy. A (775)B InGaAs QWR-VCSEL with an aperture diameter of 4 μm lased at a wavelength of 829.7 nm and a threshold current of 0.7 mA at RT. The light output was linearly polarized in the direction parallel to the QWRs due to optical anisotropy of the self-organized (775)B InGaAs QWRs.

  3. Optimization of the interfacial misfit array growth mode of GaSb epilayers on GaAs substrate

    NASA Astrophysics Data System (ADS)

    Benyahia, D.; Kubiszyn, Ł.; Michalczewski, K.; Kębłowski, A.; Martyniuk, P.; Piotrowski, J.; Rogalski, A.

    2018-02-01

    The growth of undoped GaSb epilayers on GaAs (0 0 1) substrates with 2° offcut towards 〈1 1 0〉, by molecular beam epitaxy system (MBE) at low growth temperature is reported. The strain due to the lattice mismatch of 7.78% is relieved spontaneously at the interface by using interfacial misfit array (IMF) growth mode. Three approaches of this technique are investigated. The difference consists in the steps after the growth of GaAs buffer layer. These steps are the desorption of arsenic from the GaAs surface, and the cooling down to the growth temperature, under or without antimony flux. The X-ray analysis and the transmission electron microscopy point out that desorption of arsenic followed by the substrate temperature decreasing under no group V flux leads to the best structural and crystallographic properties in the GaSb layer. It is found that the 2 μm-thick GaSb is 99.8% relaxed, and that the strain is relieved by the formation of a periodic array of 90° pure-edge dislocations along the [1 1 0] direction with a periodicity of 5.6 nm.

  4. Impurity and Defect Interactions in GaAs.

    DTIC Science & Technology

    1984-02-29

    3 VPE a X X ASW 3 vIE 33 34 35 36"M-cVO Wawwmba (CM - Z TS 32 -~ - .35T 2II i I MS . 34 35 3 , b Wovor%~~e (€cm -) X3 FiS.l Characteristic donor peaks ...2). Far infrared photoconductivity measurements on Si doped GaAs grown by molecular beam epitaxy (MBE) indicated that the impurity peak previously...difference is donor species dependent, each hydrogenic transition in a photothermal ionization spectrum contains several closely spaced peaks . Each peak cor

  5. Formation and photoluminescence of GaAs1-xNx dilute nitride achieved by N-implantation and flash lamp annealing

    NASA Astrophysics Data System (ADS)

    Gao, Kun; Prucnal, S.; Skorupa, W.; Helm, M.; Zhou, Shengqiang

    2014-07-01

    In this paper, we present the fabrication of dilute nitride semiconductor GaAs1-xNx by nitrogen-ion-implantation and flash lamp annealing (FLA). N was implanted into the GaAs wafers with atomic concentration of about ximp1 = 0.38% and ximp2 = 0.76%. The GaAs1-xNx layer is regrown on GaAs during FLA treatment in a solid phase epitaxy process. Room temperature near band-edge photoluminescence (PL) has been observed from the FLA treated GaAs1-xNx samples. According to the redshift of the near band-edge PL peak, up to 80% and 44% of the implanted N atoms have been incorporated into the lattice by FLA for ximp1 = 0.38% and ximp2 = 0.76%, respectively. Our investigation shows that ion implantation followed by ultrashort flash lamp treatment, which allows for large scale production, exhibits a promising prospect on bandgap engineering of GaAs based semiconductors.

  6. Interfacial band alignment and structural properties of nanoscale TiO2 thin films for integration with epitaxial crystallographic oriented germanium

    NASA Astrophysics Data System (ADS)

    Jain, N.; Zhu, Y.; Maurya, D.; Varghese, R.; Priya, S.; Hudait, M. K.

    2014-01-01

    We have investigated the structural and band alignment properties of nanoscale titanium dioxide (TiO2) thin films deposited on epitaxial crystallographic oriented Ge layers grown on (100), (110), and (111)A GaAs substrates by molecular beam epitaxy. The TiO2 thin films deposited at low temperature by physical vapor deposition were found to be amorphous in nature, and high-resolution transmission electron microscopy confirmed a sharp heterointerface between the TiO2 thin film and the epitaxially grown Ge with no traceable interfacial layer. A comprehensive assessment on the effect of substrate orientation on the band alignment at the TiO2/Ge heterointerface is presented by utilizing x-ray photoelectron spectroscopy and spectroscopic ellipsometry. A band-gap of 3.33 ± 0.02 eV was determined for the amorphous TiO2 thin film from the Tauc plot. Irrespective of the crystallographic orientation of the epitaxial Ge layer, a sufficient valence band-offset of greater than 2 eV was obtained at the TiO2/Ge heterointerface while the corresponding conduction band-offsets for the aforementioned TiO2/Ge system were found to be smaller than 1 eV. A comparative assessment on the effect of Ge substrate orientation revealed a valence band-offset relation of ΔEV(100) > ΔEV(111) > ΔEV(110) and a conduction band-offset relation of ΔEC(110) > ΔEC(111) > ΔEC(100). These band-offset parameters are of critical importance and will provide key insight for the design and performance analysis of TiO2 for potential high-κ dielectric integration and for future metal-insulator-semiconductor contact applications with next generation of Ge based metal-oxide field-effect transistors.

  7. Concepts for thin-film GaAs concentrator cells. [for solar photovoltaic space power systems

    NASA Technical Reports Server (NTRS)

    Spitzer, M. B.; Gale, R. P.; Mcclelland, R.; King, B.; Dingle, J.

    1989-01-01

    The development of advanced GaAs concentrator solar cells, and in particular, the use of CLEFT (cleavage of lateral epitaxial films for transfer) processes for formation of thin-film structures is reported. The use of CLEFT has made possible processing of the back, and cells with back surface grids are discussed. Data on patterned junction development are presented; such junctions are expected to be useful in back surface applications requiring point contacts, grating structures, and interdigitated back contacts. CLEFT concentrator solar cells with grids on the front and back surfaces are reported here; these cells are 4 microns thick and are bonded to glass covers for support. Air mass zero efficiency of 18.8 percent has been obtained for a CLEFT concentrator operating at 18.5 suns.

  8. Effect of carbon tetrabromide on the morphology of GaAs nanowires.

    PubMed

    Salehzadeh, O; Watkins, S P

    2011-04-22

    Carbon is a commonly used p-type dopant in planar III-V semiconductors, however its use in nanowire (NW) growth has been much less reported. In this work we show that the morphology of gold assisted GaAs NWs can be strongly modified by the presence of CBr(4) vapor during growth by metalorganic vapor phase epitaxy. GaAs NWs were grown under conditions which result in strong tapering and lateral growth at low growth temperatures by the use of triethylgallium (TEGa) instead of the more usual precursor, trimethylgallium (TMGa). Under these conditions, NWs grown in the presence of CBr(4) exhibit higher axial and lower radial growth rates, and negligible tapering compared with NWs grown in the absence of CBr(4) under the same conditions. We attribute this primarily to the suppression of the 2d growth rate by CBr(4), which enhances the axial growth rate of the nanowires. NWs grown with CBr(4) show stacking-fault-free zincblende structure, while the NWs grown without CBr(4) show a high density of stacking faults. This work underlines the striking effects which precursor chemistry can have on nanowire morphology.

  9. Scaling behavior of GaAs and GaMnAs quantum rings grown by droplet epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Placidi, E.; Dipartimento di Fisica, Universita di Roma 'Tor Vergata,' via della Ricerca Scientifica 1, 00133 Roma Italy; Arciprete, F.

    2012-10-01

    The transition from the liquid phase of Ga droplets to the formation of GaAs and GaMnAs quantum rings has been studied as a function of temperature. We show that different aggregation processes involve the GaAs (GaMnAs) island and the droplet formation. Furthermore, the aspect ratio of the islands exhibits an anomalous scaling law related to a tendency to aggregate in the vertical direction.

  10. Effect of in situ annealing on the structural and electrical properties and infrared photodetection of III-Sb on GaAs using interfacial misfit array

    NASA Astrophysics Data System (ADS)

    Jia, Bo Wen; Tan, Kian Hua; Loke, Wan Khai; Wicaksono, Satrio; Yoon, Soon Fatt

    2018-01-01

    This work presents the effects of in situ thermal annealing under antimony overpressure on the structural, electrical, and optical properties of III-Sb (GaSb and InSb) grown on (100) GaAs using an interfacial misfit array to accommodate the lattice mismatch. Both the sample growth and the in situ thermal annealing were carried out in the in the molecular beam epitaxy system, and the temperature of the as-grown sample was increased to exceed its growth temperature during the annealing. X-ray diffraction demonstrates nearly fully relaxed as-grown and annealed III-Sb layers. The optimal annealing temperatures and durations are for 590 °C, 5 min for GaSb and 420 °C, 15 min for InSb, respectively. In situ annealing decreased the surface roughness of the III-Sb layers. X-ray reciprocal space mapping and transmission electron microscopy observation showed stable interfacial misfit arrays, and no interfacial diffusion occurred in the annealed III-Sb layers. A Hall measurement of unintentionally doped III-Sb layers showed greater carrier mobility and a lower carrier concentration in the annealed samples at both 77 and 300 K. In situ annealing improved the photoresponsivity of GaSb and InSb photoconductors grown on GaAs in the near- and mid-infrared ranges, respectively.

  11. Growth of High-Quality GaAs on Ge by Controlling the Thickness and Growth Temperature of Buffer Layer

    NASA Astrophysics Data System (ADS)

    Zhou, Xu-Liang; Pan, Jiao-Qing; Yu, Hong-Yan; Li, Shi-Yan; Wang, Bao-Jun; Bian, Jing; Wang, Wei

    2014-12-01

    High-quality GaAs thin films grown on miscut Ge substrates are crucial for GaAs-based devices on silicon. We investigate the effect of different thicknesses and temperatures of GaAs buffer layers on the crystal quality and surface morphology of GaAs on Ge by metal-organic chemical vapor deposition. Through high resolution x-ray diffraction measurements, it is demonstrated that the full width at half maximum for the GaAs epilayer (Ge substrate) peak could achieve 19.3 (11.0) arcsec. The value of etch pit density could be 4×104 cm-2. At the same time, GaAs surfaces with no pyramid-shaped pits are obtained when the buffer layer growth temperature is lower than 360°C, due to effective inhibition of initial nucleation at terraces of the Ge surface. In addition, it is shown that large island formation at the initial stage of epitaxial growth is a significant factor for the final rough surface and that this initial stage should be carefully controlled when a device quality GaAs surface is desired.

  12. In-situ ellipsometric studies of optical and surface properties of GaAs(100) at elevated temperatures

    NASA Technical Reports Server (NTRS)

    Yao, Huade; Snyder, Paul G.

    1991-01-01

    A rotating-polarizer ellipsometer was attached to an ultrahigh vacuum (UHV) chamber. A GaAs(100) sample was introduced into the UHV chamber and heated at anumber of fixed elevated temperatures, without arsenic overpressure. In-situ spectroscopic ellipsometric (SE) measurements were taken, through a pair of low-strain quartz windows, to monitor the surface changes and measure the pseudodielectric functions at elevated temperatures. Real-time data from GaAs surface covered with native oxide showed clearly the evolution of oxide desorption at approximately 580 C. In addition, surface degradation was found before and after the oxide desorption. An oxide free and smooth GaAs surface was obtained by depositing an arsenic protective coating onto a molecular beam epitaxy grown GaAs surface. The arsenic coating was evaporated immediately prior to SE measurements. A comparison showed that our room temperature data from this GaAs surface, measured in the UHV, are in good agreement with those in the literature obtained by wet-chemical etching. The surface also remained clean and smooth at higher temperatures, so that reliable temperature-dependent dielectric functions were obtained.

  13. Surface ordering of (In,Ga)As quantum dots controlled by GaAs substrate indexes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Zh.M.; Seydmohamadi, Sh.; Lee, J.H.

    Self-organized surface ordering of (In,Ga)As quantum dots in a GaAs matrix was investigated using stacked multiple quantum dot layers prepared by molecular-beam epitaxy. While one-dimensional chain-like ordering is formed on singular and slightly misorientated GaAs(100) surfaces, we report on two-dimensional square-like ordering that appears on GaAs(n11)B, where n is 7, 5, 4, and 3. Using a technique to control surface diffusion, the different ordering patterns are found to result from the competition between anisotropic surface diffusion and anisotropic elastic matrix, a similar mechanism suggested before by Solomon [Appl. Phys. Lett. 84, 2073 (2004)].

  14. Structural Study of GaAs(001):In 4×2 Surface

    NASA Astrophysics Data System (ADS)

    Lee, T.-L.; Zegenhagen, J.; Lyman, P. F.; Bedzyk, M. J.

    1997-03-01

    In a STM and LEED investigation (U. Resch-Esser et al., JVST B 13, 1672 (1995)), the indium-terminated GaAs(001) surface exhibited a (4×2) reconstruction. Based on this study, a dimer model, similar to that proposed by Biegelsen et al. (PRB 41, 5701(1990)) for the (4×2) clean surface, was proposed. However, the detailed local structure of the In ad-atoms was not resolvable from the STM image. In this work, we applied in situ x-ray standing wave (XSW) measurements to determine the surface structure of the GaAs(001) upon the adsorption of In at low coverages. The (4×2)/c(8×2) In-terminated GaAs(001) surface (Θ_In = 0.2 ML) was prepared by MBE. The (004) XSW measurement showed that the In ad-atoms were located 1.61 Åabove the (004) diffraction planes. At higher In coverages (up to 0.6 ML) the In (004) coherent fraction was small. This is consistent with the ladder-type pattern observed by STM at Θ_In > 0.5 ML, which indicated that there were two coexisting surface structures. For Θ_In = 0.2 ML, we found that our off-normal XSW measurements did not agree with the model proposed by Resch-Esser et al.. This work is sponsored by DOE-BES No. W-31-109-ENG-38 and by NSF No. DMR-9632472.

  15. High-efficiency V-band GaAs IMPATT diodes

    NASA Technical Reports Server (NTRS)

    Ma, Y. E.; Benko, E.; Trinh, T.; Erickson, L. P.; Mattord, T. J.

    1984-01-01

    Double-drift GaAs IMPATT diodes were designed for V-band frequency operations and fabricated using molecular-beam epitaxy. The diodes were fabricated in two configurations: (1) circular mesa diodes with silver-plated (integrated) heat sinks: (2) pill-type diodes bonded to diamond heat sinks. Both configurations utilized a miniature quartz-ring package. Output power greater than 1 W CW was achieved at V-band frequencies from diodes on diamond heat sinks. The best conversion efficiency was 13.3 percent at 55.5 GHz with 1 W output power.

  16. Structural and optical properties of GaxIn1-xP layers grown by chemical beam epitaxy

    NASA Astrophysics Data System (ADS)

    Seong, Tae-Yeon; Yang, Jung-Ja; Ryu, Mee Yi; Song, Jong-In; Yu, Phil W.

    1998-05-01

    Chemical beam epitaxial (CBE) GaxIn1-xP layers (x≈0.5) grown on (001) GaAs substrates at temperatures ranging from 490 to 580°C have been investigated using transmission electron diffraction (TED), transmission electron microscopy, and photoluminescence (PL). TED examination revealed the presence of diffuse scattering 1/2{111}B positions, indicating the occurrence of typical CuPt-type ordering in the GaInP CBE layers. As the growth temperature decreased from 580 to 490°C, maxima in the intensity of the diffuse scattering moved from ½{111}B to ½{-1+δ,1-δ,0} positions, where δ is a positive value. As the growth temperature increased from 490 to 550°C, the maxima in the diffuse scattering intensity progressively approached positions of 1/2\\{bar 110\\} , i.e., the value of δ decreased from 0.25 to 0.17. Bandgap reduction (˜45 meV) was observed in the CBE GaInP layers and was attributed to the presence of ordered structures.

  17. Electrical and structural properties of epitaxially deposited chromium thin films

    NASA Astrophysics Data System (ADS)

    Ohashi, M.; Sawabu, M.; Nakanishi, H.; Ohashi, K.; Maeta, K.

    2018-05-01

    We studied the electrical resistance and crystal structure of epitaxial chromium (Cr) films. The lattice constant of the Cr films was larger than that of the bulk Cr because of MgO substrate on which Cr was epitaxially deposited. A chromium oxide layer having a thickness of 1 nm was found on all films from the result of X-ray reflectivity measurements. The electrical resistivity ρ(T) shows metallic behavior for all epitaxial Cr films in contrast with polycrystalline one. However, the magnitude of ρ tends to increase and the antiferromagnetic interaction is suppressed as decreasing thickness of film.

  18. The dependence of the wavelength on MBE growth parameters of GaAs quantum dot in AlGaAs NWs on Si (111) substrate

    NASA Astrophysics Data System (ADS)

    Reznik, R. R.; Shtrom, I. V.; Samsonenko, Yu B.; Khrebtov, A. I.; Soshnikov, I. P.; Cirlin, G. E.

    2017-11-01

    The data on the growth peculiarities and physical properties of GaAs insertions embedded in AlGaAs nanowires grown on Si (111) substrates by Au-assisted molecular beam epitaxy are presented. It is shown that by varying of the growth parameters it is possible to form structures like quantum dots emitting in a wide wavelengths range for both active and barrier parts. The technology proposed opens new possibilities for the integration of direct-band AIIIBV materials on silicon platform.

  19. Numerical analysis of high-power broad-area laser diode with improved heat sinking structure using epitaxial liftoff technique

    NASA Astrophysics Data System (ADS)

    Kim, Younghyun; Sung, Yunsu; Yang, Jung-Tack; Choi, Woo-Young

    2018-02-01

    The characteristics of high-power broad-area laser diodes with the improved heat sinking structure are numerically analyzed by a technology computer-aided design based self-consistent electro-thermal-optical simulation. The high-power laser diodes consist of a separate confinement heterostructure of a compressively strained InGaAsP quantum well and GaInP optical cavity layers, and a 100-μm-wide rib and a 2000-μm long cavity. In order to overcome the performance deteriorations of high-power laser diodes caused by self-heating such as thermal rollover and thermal blooming, we propose the high-power broad-area laser diode with improved heat-sinking structure, which another effective heat-sinking path toward the substrate side is added by removing a bulk substrate. It is possible to obtain by removing a 400-μm-thick GaAs substrate with an AlAs sacrificial layer utilizing well-known epitaxial liftoff techniques. In this study, we present the performance improvement of the high-power laser diode with the heat-sinking structure by suppressing thermal effects. It is found that the lateral far-field angle as well as quantum well temperature is expected to be improved by the proposed heat-sinking structure which is required for high beam quality and optical output power, respectively.

  20. A new structure for comparing surface passivation materials of GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Desalvo, Gregory C.; Barnett, Allen M.

    1989-01-01

    The surface recombination velocity (S sub rec) for bare GaAs is typically as high as 10 to the 6th power to 10 to the 7th power cm/sec, which dramatically lowers the efficiency of GaAs solar cells. Early attempts to circumvent this problem by making an ultra thin junction (xj less than .1 micron) proved unsuccessful when compared to lowering S sub rec by surface passivation. Present day GaAs solar cells use an GaAlAs window layer to passivate the top surface. The advantages of GaAlAs in surface passivation are its high bandgap energy and lattice matching to GaAs. Although GaAlAs is successful in reducing the surface recombination velocity, it has other inherent problems of chemical instability (Al readily oxidizes) and ohmic contact formation. The search for new, more stable window layer materials requires a means to compare their surface passivation ability. Therefore, a device structure is needed to easily test the performance of different passivating candidates. Such a test device is described.

  1. Photoluminescence of Molecular Beam Epitaxy-Grown Mercury Cadmium Telluride: Comparison of HgCdTe/GaAs and HgCdTe/Si Technologies

    NASA Astrophysics Data System (ADS)

    Mynbaev, K. D.; Bazhenov, N. L.; Dvoretsky, S. A.; Mikhailov, N. N.; Varavin, V. S.; Marin, D. V.; Yakushev, M. V.

    2018-05-01

    Properties of HgCdTe films grown by molecular beam epitaxy on GaAs and Si substrates have been studied by performing variable-temperature photoluminescence (PL) measurements. A substantial difference in defect structure between films grown on GaAs (013) and Si (013) substrates was revealed. HgCdTe/GaAs films were mostly free of defect-related energy levels within the bandgap, which was confirmed by PL and carrier lifetime measurements. By contrast, the properties of HgCdTe/Si films are affected by uncontrolled point defects. These could not be always associated with typical "intrinsic" HgCdTe defects, such as mercury vacancies, so consideration of other defects, possibly inherent in HgCdTe/Si structures, was required. The post-growth annealing was found to have a positive effect on the defect structure by reducing the full-widths at half-maximum of excitonic PL lines for both types of films and lowering the concentration of defects specific to HgCdTe/Si.

  2. Study of thermal stability of spontaneously grown superlattice structures by metalorganic vapor phase epitaxy in AlxGa1-xAs/GaAs heterostructure

    NASA Astrophysics Data System (ADS)

    Pradhan, A.; Maitra, T.; Mukherjee, S.; Mukherjee, S.; Satpati, B.; Nayak, A.; Bhunia, S.

    2018-04-01

    Spontaneous superlattice ordering in a length scale larger than an atomic layer has been observed in AlxGa1-xAs layers grown on (100) GaAs substrates by metalorganic vapor phase epitaxy. Transmission electron microscopic image clearly revealed superlattice structures and the selected area electron diffraction showed closely spaced superlattice spots around the main diffraction pattern. High resolution x-ray diffraction showed distinct and sharp superlattice peaks symmetrically positioned around the central (004) Bragg peak and the similar measurement for (002) planes, which is quasi-forbidden for Bragg reflections showed only superlattice peaks. Thermal annealing studies showed the superlattice structure was stable up to 800 °C and disappeared after annealing at 900 °C retaining the crystallinity of the epilayer. Study of inter-diffusivitiesin such superlattice structures has been carried out using high temperaturex-ray diffraction results. Here we present (004) x-ray θ-2θ scans of the AlGaAs/GaAs (100) sample with annealing time for different temperatures. Conclusions regarding interdiffusion in such superlattice structures are drawn from high temperature X-ray measurements.

  3. Nanoepitaxy of GaAs on a Si(001) substrate using a round-hole nanopatterned SiO2 mask.

    PubMed

    Hsu, Chao-Wei; Chen, Yung-Feng; Su, Yan-Kuin

    2012-12-14

    GaAs is grown by metal-organic vapor-phase epitaxy on a 55 nm round-hole patterned Si substrate with SiO(2) as a mask. The threading dislocations, which are stacked on the lowest energy facet plane, move along the SiO(2) walls, reducing the number of dislocations. The etching pit density of GaAs on the 55 nm round-hole patterned Si substrate is about 3.3 × 10(5) cm(-2). Compared with the full width at half maximum measurement from x-ray diffraction and photoluminescence spectra of GaAs on a planar Si(001) substrate, those of GaAs on the 55 nm round-hole patterned Si substrate are reduced by 39.6 and 31.4%, respectively. The improvement in material quality is verified by transmission electron microscopy, field-emission scanning electron microscopy, Hall measurements, Raman spectroscopy, photoluminescence, and x-ray diffraction studies.

  4. Morphology- and orientation-controlled gallium arsenide nanowires on silicon substrates.

    PubMed

    Ihn, Soo-Ghang; Song, Jong-In; Kim, Tae-Wook; Leem, Dong-Seok; Lee, Takhee; Lee, Sang-Geul; Koh, Eui Kwan; Song, Kyung

    2007-01-01

    GaAs nanowires were epitaxially grown on Si(001) and Si(111) substrates by using Au-catalyzed vapor-liquid-solid (VLS) growth in a solid source molecular beam epitaxy system. Scanning electron microscopy analysis revealed that almost all the GaAs nanowires were grown along <111> directions on both Si substrates for growth conditions investigated. The GaAs nanowires had a very uniform diameter along the growth direction. X-ray diffraction data and transmission electron microscopy analysis revealed that the GaAs<111> nanowires had a mixed crystal structure of the hexagonal wurtzite and the cubic zinc-blende. Current-voltage characteristics of junctions formed by the epitaxially grown GaAs nanowires and the Si substrate were investigated by using a current-sensing atomic force microscopy.

  5. Image quality of a pixellated GaAs X-ray detector

    NASA Astrophysics Data System (ADS)

    Sun, G. C.; Makham, S.; Bourgoin, J. C.; Mauger, A.

    2007-02-01

    X-ray detection requires materials with large atomic numbers Z in order to absorb the radiation efficiently. In case of X-ray imaging, fluorescence is a limiting factor for the spatial resolution and contrast at energies above the kα threshold. Since both the energy and yield of the fluorescence of a given material increase with the atomic number, there is an optimum value of Z. GaAs, which can now be epitaxially grown as self-supported thick layers to fulfil the requirements for imaging (good homogeneity of the electronic properties) corresponds to this optimum. Image performances obtained with this material are evaluated in terms of line spread function and modulation transfer function, and a comparison with CsI is made. We evaluate the image contrast obtained for a given object contrast with GaAs and CsI detectors, in the photon energy range of medical applications. Finally, we discuss the minimum object size, which can be detected by these detectors in of mammography conditions. This demonstrates that an object of a given size can be detected using a GaAs detector with a dose at least 100 times lower than using a CsI detector.

  6. High Quality GaAs Growth by MBE on Si Using GeSi Buffers and Prospects for Space Photovoltaics

    NASA Technical Reports Server (NTRS)

    Carlin, J. A.; Ringel, S. A.; Fitzgerald, E. A.; Bulsara, M.

    2005-01-01

    III-V solar cells on Si substrates are of interest for space photovoltaics since this would combine high performance space cells with a strong, lightweight and inexpensive substrate. However, the primary obstacles blocking III-V/Si cells from achieving high performance to date have been fundamental materials incompatabilities, namely the 4% lattice mismatch between GaAs and Si, and the large mismatch in thermal expansion coefficient. In this paper, we report on the molecular beam epitaxial (MBE) growth and properties of GaAs layers and single junction GaAs cells on Si wafers which utilize compositionally graded GeSi Intermediate buffers grown by ultra-high vacuum chemical vapor deposition (UHVCVD) to mitigate the large lattice mismatch between GaAs and Si. Ga As cell structures were found to incorporate a threading dislocation density of 0.9-1.5 x 10 (exp 6) per square centimeter, identical to the underlying relaxed Ge cap of the graded buffer, via a combination of transmission electron microscopy, electron beam induced current, and etch pit density measurements. AlGaAs/GaAs double heterostructures wre grown on the GeSi/Si substrates for time-resolved photoluminescence measurements, which revealed a bulk GaAs minority carrier lifetime in excess of 10 ns, the highest lifetime ever reported for GaAs on Si. A series of growth were performed to ass3ss the impact of a GaAs buffer to a thickness of only 0.1 micrometer. Secondary ion mass spectroscopy studies revealed that there is negligible cross diffusion of Ga, As and Ge at he III-V/Ge interface, identical to our earlier findings for GaAs grown on Ge wafers using MBE. This indicates that there is no need for a buffer to "bury" regions of high autodopjing,a nd that either pn or np configuration cells are easily accomodated by these substrates. Preliminary diodes and single junction Al Ga As heteroface cells were grown and fabricated on the Ge/GeSi/Si substrates for the first time. Diodes fabricated on GaAs, Ge and Ge

  7. Photoreflectance measurements of unintentional impurity concentrations in undoped GaAs

    NASA Astrophysics Data System (ADS)

    Sydor, Michael; Angelo, James; Mitchel, William; Haas, T. W.; Yen, Ming-Yuan

    1989-07-01

    Modulated photoreflectance is used to measure the unintentional impurity concentrations in undoped epitaxial GaAs. A photoreflectance signal above the band gap spreads with the unintentional impurity concentrations and shows well-defined Franz-Keldysh peaks whose separation provide a good measure of the current carrier concentrations. In samples less than 3-micron thick, a photoreflectance signal at the band edge contains a substrate-epilayer interface effect which precludes the analysis of the data by using the customary third derivative functional fits for low electric fields.

  8. Superconducting Ga/GaSe layers grown by van der Waals epitaxy

    NASA Astrophysics Data System (ADS)

    Desrat, W.; Moret, M.; Briot, O.; Ngo, T.-H.; Piot, B. A.; Jabakhanji, B.; Gil, B.

    2018-04-01

    We report on the growth of GaSe films by molecular beam epitaxy on both (111)B GaAs and sapphire substrates. X-ray diffraction reveals the perfect crystallinity of GaSe with the c-axis normal to the substrate surface. The samples grown under Ga rich conditions possess an additional gallium film on top of the monochalcogenide layer. This metallic film shows two normal-to-superconducting transitions which are detected at T c ≈ 1.1 K and 6.0 K. They correspond likely to the β and α-phases of gallium in the form of bulk and droplets respectively. Our results demonstrate that van der Waals epitaxy can lead to future high quality hybrid superconductor/monochalcogenide heterostructures.

  9. Improvement of the Processes of Liquid-Phase Epitaxial Growth of Nanoheteroepitaxial Structures

    NASA Astrophysics Data System (ADS)

    Maronchuk, I. I.; Sanikovich, D. D.; Potapkov, P. V.; Vel‧chenko, A. A.

    2018-05-01

    We have revealed the shortcomings of equipment and technological approaches in growing nanoheteroepitaxial structures with quantum dots by liquid-phase epitaxy. We have developed and fabricated a new vertical barreltype cassette for growing quantum dots and epitaxial layers of various thicknesses in one technological process. A physico-mathematical simulation has been carried out of the processes of liquid-phase epitaxial growth of quantumdimensional structures with the use of the program product SolidWorks (FlowSimulation program). Analysis has revealed the presence of negative factors influencing the growth process of the above structures. The mathematical model has been optimized, and the equipment has been modernized without additional experiments and measurements. The flow dynamics of the process gas in the reactor at various flow rates has been investigated. A method for tuning the thermal equipment has been developed. The calculated and experimental temperature distributions in the process of growing structures with high reproducibility are in good agreement, which confirms the validity of the modernization made.

  10. Photoconductive antennas based on epitaxial films In0.5Ga0.5As on GaAs (1 1 1)A and (1 0 0)A substrates with a metamorphic buffer

    NASA Astrophysics Data System (ADS)

    Kuznetsov, K. A.; Galiev, G. B.; Kitaeva, G. Kh; Kornienko, V. V.; Klimov, E. A.; Klochkov, A. N.; Leontyev, A. A.; Pushkarev, S. S.; Maltsev, P. P.

    2018-07-01

    The terahertz (THz) wave generation by the spiral photoconductive antennas fabricated on the low-temperature and high-temperature grown undoped and Si-doped In0.5Ga0.5As films is studied by the terahertz time-domain spectroscopy method. The In0.5Ga0.5As layers were grown by molecular beam epitaxy on GaAs substrates with (1 0 0) and (1 1 1)A crystallographic orientations utilizing step-graded In x Ga1‑x As metamorphic buffer. The antennas are excited by radiation of Er3+-fiber laser at 1.56 μm wavelength in two regimes: with pulse durations of 2.5 ps or 100 fs. It is found that the THz wave generation is 3–4 times more effective in the case of InGaAs-based antennas on (1 1 1)A GaAs substrates as compared to the (1 0 0) substrates. Power-voltage characteristic of the LT-InGaAs antenna up to and beyond threshold breakdown voltage are reported.

  11. Increasing the quantum efficiency of GaAs solar cells by embedding InAs quantum dots

    NASA Astrophysics Data System (ADS)

    Salii, R. A.; Mintairov, S. A.; Nadtochiy, A. M.; Payusov, A. S.; Brunkov, P. N.; Shvarts, M. Z.; Kalyuzhnyy, N. A.

    2016-11-01

    Development of Metalorganic Vapor Phase Epitaxy (MOVPE) technology of InAs quantum dots (QDs) in GaAs for photovoltaic applications is presented. The growth peculiarities in InAs-GaAs lattice-mismatched system were considered. The photoluminescence (PL) intensity dependences on different growth parameters were obtained. The multimodal distribution of QDs by sizes was found using AFM and PL methods. GaAs solar cell nanoheterostructures with imbedded QD arrays were designed and obtained. Ones have been demonstrated a significant increase of quantum efficiency and photogenerated current of QD solar cells due to photo effect in InAs QD array (0.59 mA/cm2 for AM1.5D and 82 mA/cm2 for AM0).

  12. Structural and optical properties of GaAs(100) with a thin surface layer doped with chromium

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Seredin, P. V., E-mail: paul@phys.vsu.ru; Fedyukin, A. V.; Arsentyev, I. N.

    The aim of this study is to explore the structural and optical properties of single-crystal GaAs(100) doped with Cr atoms by burning them into the substrate at high temperatures. The diffusion of chromium into single-crystal GaAs(100) substrates brings about the formation of a thin (~20–40 μm) GaAs:Cr transition layer. In this case, chromium atoms are incorporated into the gallium-arsenide crystal lattice and occupy the regular atomic sites of the metal sublattice. As the chromium diffusion time is increased, such behavior of the dopant impurity yields changes in the energy structure of GaAs, a decrease in the absorption at free chargemore » carriers, and a lowering of the surface recombination rate. As a result, the photoluminescence signal from the sample is significantly enhanced.« less

  13. Dynamic Curvature and Stress Studies for MBE CdTe on Si and GaAs Substrates

    NASA Astrophysics Data System (ADS)

    Jacobs, R. N.; Jaime Vasquez, M.; Lennon, C. M.; Nozaki, C.; Almeida, L. A.; Pellegrino, J.; Arias, J.; Taylor, C.; Wissman, B.

    2015-09-01

    Infrared focal plane arrays (IRFPA) based on HgCdTe semiconductor alloys have been shown to be ideal for tactical and strategic applications. High density (>1 M pixel), high operability HgCdTe detectors on large area, low-cost composite substrates, such as CdTe-buffered Si or GaAs, are envisioned for next-generation IRFPAs. Thermal expansion mismatch is among various material parameters that govern the structural properties of the final detector layer. It has previously been shown that thermal expansion mismatch plays the dominant role in the residual stress characteristics of these heteroepitaxial structures (Jacobs et al. in J Electron Mater 37:1480, 2008). The wafer curvature (bowing) resulting from residual stress, is a likely source of problems that may occur during subsequent processing. This includes cracking of the film and substrate during post-growth annealing processes or even certain characterization techniques. In this work, we examine dynamic curvature and stress during molecular beam epitaxy (MBE), of CdTe on Si and GaAs substrates. The effect of temperature changes on wafer curvature throughout the growth sequence is documented using a multi-beam optical sensor developed by K-Space Associates. This monitoring technique makes possible the study of growth sequences which employ annealing schemes and/or interlayers to influence the final residual stress state of the heteroepitaxial structures.

  14. Optical and structural properties in type-II InAlAs/AlGaAs quantum dots observed by photoluminescence, X-ray diffraction and transmission electron microscopy

    NASA Astrophysics Data System (ADS)

    Ben Daly, A.; Craciun, D.; Laura Ursu, E.; Lemaître, A.; Maaref, M. A.; Iacomi, F.; Vasile, B. S.; Craciun, V.

    2017-10-01

    We present the effects of AlGaAs alloy composition on InAlAs quantum dots (QDs) optical and structural properties. Photoluminescence (PL) analysis of samples having a variety of aluminium composition values covering type-II transitions clearly in QDs showed the presence of two transitions X-Sh and X-Ph. High-resolution X-ray diffraction (HRXRD) investigations showed that the layers grew epitaxially on the GaAs substrate, with no relaxation regardless the Al content of AlGaAs layer. From the reciprocal space map (RSM) investigation around (004) and (115) diffraction peaks, it was shown that the InAlAs layer is fully strained, the in-plane lattice parameters (a and b, a = b) being identical to those of GaAs substrate, while the c lattice parameter was dependent on the In and Al concentrations, being larger than that of the substrate. High-resolution transmission electronic microscopy (HRTEM) investigations confirmed that films grew epitaxially on the GaAs substrate with no visible dislocations or other major defects within the InAlAs/GaAlAs QDs structure.

  15. SEMICONDUCTOR TECHNOLOGY: GaAs surface wet cleaning by a novel treatment in revolving ultrasonic atomization solution

    NASA Astrophysics Data System (ADS)

    Zaijin, Li; Liming, Hu; Ye, Wang; Ye, Yang; Hangyu, Peng; Jinlong, Zhang; Li, Qin; Yun, Liu; Lijun, Wang

    2010-03-01

    A novel process for the wet cleaning of GaAs surface is presented. It is designed for technological simplicity and minimum damage generated within the GaAs surface. It combines GaAs cleaning with three conditions consisting of (1) removal of thermodynamically unstable species and (2) surface oxide layers must be completely removed after thermal cleaning, and (3) a smooth surface must be provided. Revolving ultrasonic atomization technology is adopted in the cleaning process. At first impurity removal is achieved by organic solvents; second NH4OH:H2O2:H2O = 1:1:10 solution and HCl: H2O2:H2O = 1:1:20 solution in succession to etch a very thin GaAs layer, the goal of the step is removing metallic contaminants and forming a very thin oxidation layer on the GaAs wafer surface; NH4OH:H2O = 1:5 solution is used as the removed oxide layers in the end. The effectiveness of the process is demonstrated by the operation of the GaAs wafer. Characterization of the oxide composition was carried out by X-ray photoelectron spectroscopy. Metal-contamination and surface morphology was observed by a total reflection X-ray fluorescence spectroscopy and atomic force microscope. The research results show that the cleaned surface is without contamination or metal contamination. Also, the GaAs substrates surface is very smooth for epitaxial growth using the rotary ultrasonic atomization technology.

  16. Molecular beam epitaxy-grown wurtzite MgS thin films for solar-blind ultra-violet detection

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lai, Y. H.; He, Q. L.; Department of Physics and William Mong Institute of Nano Science and Technology, The Hong Kong University of Science and Technology, HKSAR, People's Republic of China

    2013-04-29

    Molecular beam epitaxy grown MgS on GaAs(111)B substrate was resulted in wurtzite phase, as demonstrated by detailed structural characterizations. Phenomenological arguments were used to account for why wurtzite phase is preferred over zincblende phase or its most stable rocksalt phase. Results of photoresponse and reflectance measurements performed on wurtzite MgS photodiodes suggest a direct bandgap at around 5.1 eV. Their response peaks at 245 nm with quantum efficiency of 9.9% and enjoys rejection of more than three orders at 320 nm and close to five orders at longer wavelengths, proving the photodiodes highly competitive in solar-blind ultraviolet detection.

  17. InGaAs quantum dots grown on B-type high index GaAs substrates: surface morphologies and optical properties

    NASA Astrophysics Data System (ADS)

    Liang, B. L.; Wang, Zh M.; Mazur, Yu I.; Strelchuck, V. V.; Holmes, K.; Lee, J. H.; Salamo, G. J.

    2006-06-01

    We systematically investigated the correlation between morphological and optical properties of InGaAs self-assembled quantum dots (QDs) grown by solid-source molecular beam epitaxy on GaAs (n 11)B (n = 9, 8, 7, 5, 3, 2) substrates. Remarkably, all InGaAs QDs on GaAs(n 11)B under investigation show optical properties superior to those for ones on GaAs(100) as regards the photoluminescence (PL) linewidth and intensity. The morphology for growth of InGaAs QDs on GaAs (n 11)B, where n = 9, 8, 7, 5, is observed to have a rounded shape with a higher degree of lateral ordering than that on GaAs(100). The optical property and the lateral ordering are best for QDs grown on a (511)B substrate surface, giving a strong correlation between lateral ordering and PL optical quality. Our results demonstrate the potential for high quality InGaAs QDs on GaAs(n 11)B for optoelectronic applications.

  18. Effect of a low-temperature-grown GaAs layer on InAs quantum-dot photoluminescence

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kosarev, A. N.; Chaldyshev, V. V., E-mail: chald.gvg@mail.ioffe.ru; Preobrazhenskii, V. V.

    2016-11-15

    The photoluminescence of InAs semiconductor quantum dots overgrown by GaAs in the low-temperature mode (LT-GaAs) using various spacer layers or without them is studied. Spacer layers are thin GaAs or AlAs layers grown at temperatures normal for molecular-beam epitaxy (MBE). Direct overgrowth leads to photoluminescence disappearance. When using a thin GaAs spacer layer, the photoluminescence from InAs quantum dots is partially recovered; however, its intensity appears lower by two orders of magnitude than in the reference sample in which the quantum-dot array is overgrown at normal temperature. The use of wider-gap AlAs as a spacer-layer material leads to the enhancementmore » of photoluminescence from InAs quantum dots, but it is still more than ten times lower than that of reference-sample emission. A model taking into account carrier generation by light, diffusion and tunneling from quantum dots to the LT-GaAs layer is constructed.« less

  19. Structural and physical properties of InAlAs quantum dots grown on GaAs

    NASA Astrophysics Data System (ADS)

    Vasile, B. S.; Daly, A. Ben; Craciun, D.; Alexandrou, I.; Lazar, S.; Lemaître, A.; Maaref, M. A.; Iacomi, F.; Craciun, V.

    2018-04-01

    Quantum dots (QDs), which have particular physical properties due to the three dimensions confinement effect, could be used in many advanced optoelectronic applications. We investigated the properties of InAlAs/AlGaAs QDs grown by molecular beam epitaxy on GaAs/Al0.5Ga0.5As layers. The optical properties of QDs were studied by low-temperature photoluminescence (PL). Two bandgap transitions corresponding to the X-Sh and X-Ph energy structure were observed. The QDs structure was investigated using high-resolution X-ray diffraction (HRXRD) and high-resolution transmission electron microscopy (HRTEM). HRXRD investigations showed that the layers grew epitaxially on the substrate, with no relaxation. HRTEM investigations confirmed the epitaxial nature of the grown structures. In addition, it was revealed that the In atoms aggregated in some prismatic regions, forming areas of high In concentration, that were still in perfect registry with the substrate.

  20. Electronic structure and dynamics of thin Ge/GaAs(110) heterostructures

    NASA Astrophysics Data System (ADS)

    Haight, R.; Silberman, J. A.

    1990-10-01

    Using angle-resolved picosecond laser photoemission we have investigated both occupied and transiently excited empty states at the surface of Ge grown epitaxially on GaAs(110). We observe a normally unoccupied, Ge layer derived state whose separation from the valence-band maximum of the system is 700±50 meV at six monolayers Ge coverage. The evolution of the electronic structure is followed as a function of coverage and correlated with low-energy electron diffraction. The time dependence of the transiently occupied Ge signal is compared with that of the clean GaAs(110) surface and shows that electrons are prevented from diffusing into the GaAs bulk by the conduction-band offset of 330±40 meV.

  1. Materials Research Society Symposium Proceedings Held in Boston, Massachusetts on 4-6 December 1991. Low Temperature (LT) GaAs and Related Materials. Volume 241.

    DTIC Science & Technology

    1992-09-14

    AIGaAs-GaAs QUANTUM WELLS GROWN ON LOW TEMPERATURE GaAs 239 Y. Hwang, D. Zhang, T. Zhang, M. Mytych, and R.M. Kolbas MOLECULAR BEAM EPITAXY OF LOW...GaA/ quantum wells : 24i howvever, in our case. AIks layers were not introduced. Formation (if these rows is most prolf,.+l influenced hb the diffusimon...regions. Preliminary investigations into this method have been performed using GaAs quantum wells between thick AIGaAs barriers as shown in Fig. 7. This

  2. Domain structure of epitaxial SrRu O3 thin films

    NASA Astrophysics Data System (ADS)

    Herranz, G.; Sánchez, F.; Fontcuberta, J.; García-Cuenca, M. V.; Ferrater, C.; Varela, M.; Angelova, T.; Cros, A.; Cantarero, A.

    2005-05-01

    Growth of multidomains in epitaxial thin-film oxides is known to have a detrimental effect on some functional properties, and, thus, efforts are done to suppress them. It is commonly accepted that optimal properties of the metallic and ferromagnetic SrRuO3 (SRO) epitaxies can only be obtained if vicinal SrTiO3 (001) (STO) substrates are used. It is believed that this results from the suppression of multidomain structure in the SRO film. Here we revise this important issue. Nanometric films of SRO have been grown on STO(001) vicinal substrates with miscut (θV) angles in the ˜0.04°-4° range. Extensive structural analysis by x-ray-reciprocal space maps and μ -Raman spectroscopy indicates that single-domain, orthorhombic, SRO films are already obtained on the almost singular (θV≈0.1°) substrate, and, thus, substrates with large miscut angles are not required to grow twin-free films. In spite of this, transport properties are found to be optimized for films grown on vicinal substrates (θV⩾2°) . We claim that this is the result of the change of the growth mode and the resulting film morphology rather than the change of the domain structure. These findings drive the attention to the relevance of the growth mechanism at the initial stages of film growth, and we discuss its implications in other areas of oxide epitaxies. Moreover, we show that in clamped epitaxies on cubic substrates, in spite of isotropic biaxial substrate-induced strains, films may have an in-plane orthorhombic symmetry which results from the internal degree of freedom defined by rotations of the oxygen octahedrons.

  3. Epitaxial nanowire formation in metamorphic GaAs/GaPAs short-period superlattices

    NASA Astrophysics Data System (ADS)

    Zheng, Nan; Ahrenkiel, S. Phillip

    2017-07-01

    Metamorphic growth presents routes to novel nanomaterials with unique properties that may be suitable for a range of applications. We discuss self-assembled, epitaxial nanowires formed during metalorganic chemical vapor deposition of metamorphic GaAs/GaPAs short-period superlattices. The heterostructures incorporate strain-engineered GaPAs compositional grades on 6°-<111>B miscut GaAs substrates. Lateral diffusion within the SPS into vertically aligned, three-dimensional columns results in nanowires extending along <110>A directions with a lateral period of 70-90 nm. The microstructure is probed by transmission electron microscopy to confirm the presence of coherent GaAs nanowires within GaPAs barriers. The compositional profile is inferred from analysis of {200} dark-field image contrast and <210> lattice images.

  4. INTERNATIONAL CONFERENCE ON SEMICONDUCTOR INJECTION LASERS SELCO-87: Metal-organic vapor phase epitaxy of (GaAl)As for 0.85-μm laser diodes

    NASA Astrophysics Data System (ADS)

    Jacobs, K.; Bugge, F.; Butzke, G.; Lehmann, L.; Schimko, R.

    1988-11-01

    Metal-organic vapor phase epitaxy was used to grow stripe heterolaser diodes that were hitherto fabricated by liquid phase epitaxy. The main relationships between the growth parameters (partial input pressures, temperatures) and the properties of materials (thicknesses, solid-solution compositions, carrier densities) were investigated. The results were in full agreement with the mechanism of growth controlled by a vapor-phase diffusion. The results achieved routinely in the growth of GaAs are reported. It is shown that double heterostructure laser diodes fabricated by metal-organic vapor phase epitaxy compete favorably with those grown so far by liquid phase epitaxy, including their degradation and reliability.

  5. Surface effects of vapour-liquid-solid driven Bi surface droplets formed during molecular-beam-epitaxy of GaAsBi

    PubMed Central

    Steele, J. A.; Lewis, R. A.; Horvat, J.; Nancarrow, M. J. B.; Henini, M.; Fan, D.; Mazur, Y. I.; Schmidbauer, M.; Ware, M. E.; Yu, S.-Q.; Salamo, G. J.

    2016-01-01

    Herein we investigate a (001)-oriented GaAs1−xBix/GaAs structure possessing Bi surface droplets capable of catalysing the formation of nanostructures during Bi-rich growth, through the vapour-liquid-solid mechanism. Specifically, self-aligned “nanotracks” are found to exist trailing the Bi droplets on the sample surface. Through cross-sectional high-resolution transmission electron microscopy the nanotracks are revealed to in fact be elevated above surface by the formation of a subsurface planar nanowire, a structure initiated mid-way through the molecular-beam-epitaxy growth and embedded into the epilayer, via epitaxial overgrowth. Electron microscopy studies also yield the morphological, structural, and chemical properties of the nanostructures. Through a combination of Bi determination methods the compositional profile of the film is shown to be graded and inhomogeneous. Furthermore, the coherent and pure zincblende phase property of the film is detailed. Optical characterisation of features on the sample surface is carried out using polarised micro-Raman and micro-photoluminescence spectroscopies. The important light producing properties of the surface nanostructures are investigated through pump intensity-dependent micro-PL measurements, whereby relatively large local inhomogeneities are revealed to exist on the epitaxial surface for important optical parameters. We conclude that such surface effects must be considered when designing and fabricating optical devices based on GaAsBi alloys. PMID:27377213

  6. Light-Emitting GaAs Nanowires on a Flexible Substrate.

    PubMed

    Valente, João; Godde, Tillmann; Zhang, Yunyan; Mowbray, David J; Liu, Huiyun

    2018-06-18

    Semiconductor nanowire-based devices are among the most promising structures used to meet the current challenges of electronics, optics and photonics. Due to their high surface-to-volume ratio and excellent optical and electrical properties, devices with low power, high efficiency and high density can be created. This is of major importance for environmental issues and economic impact. Semiconductor nanowires have been used to fabricate high performance devices, including detectors, solar cells and transistors. Here, we demonstrate a technique for transferring large-area nanowire arrays to flexible substrates while retaining their excellent quantum efficiency in emission. Starting with a defect-free self-catalyzed molecular beam epitaxy (MBE) sample grown on a Si substrate, GaAs core-shell nanowires are embedded in a dielectric, removed by reactive ion etching and transferred to a plastic substrate. The original structural and optical properties, including the vertical orientation, of the nanowires are retained in the final plastic substrate structure. Nanowire emission is observed for all stages of the fabrication process, with a higher emission intensity observed for the final transferred structure, consistent with a reduction in nonradiative recombination via the modification of surface states. This transfer process could form the first critical step in the development of flexible nanowire-based light-emitting devices.

  7. Process for depositing an oxide epitaxially onto a silicon substrate and structures prepared with the process

    DOEpatents

    McKee, Rodney A.; Walker, Frederick J.

    1993-01-01

    A process and structure involving a silicon substrate utilizes an ultra high vacuum and molecular beam epitaxy (MBE) methods to grow an epitaxial oxide film upon a surface of the substrate. As the film is grown, the lattice of the compound formed at the silicon interface becomes stabilized, and a base layer comprised of an oxide having a sodium chloride-type lattice structure grows epitaxially upon the compound so as to cover the substrate surface. A perovskite may then be grown epitaxially upon the base layer to render a product which incorporates silicon, with its electronic capabilities, with a perovskite having technologically-significant properties of its own.

  8. Engineering Controlled Spalling in (100)-Oriented GaAs for Wafer Reuse

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sweet, Cassi A.; McNeely, Joshua E.; Gorman, Brian

    Controlled spalling offers a way to cleave thin, single-crystal films or devices from wafers, particularly if the fracture planes in the material are oriented parallel to the wafer surface. Unfortunately, misalignment between the favored fracture planes and the wafer surface preferred for photovoltaic growth in (100)-oriented GaAs produces a highly faceted surface when subject to controlled spalling. This highly faceted cleavage surface is problematic in several ways: (1) it can result in large variations of spall depth due to unstable crack propagation; (2) it may introduce defects into the device zone or underlying substrate; and (3) it consumes many micronsmore » of material outside of the device zone. We present the ways in which we have engineered controlled spalling for (100)-oriented GaAs to minimize these effects. We expand the operational window for controlled spalling to avoid spontaneous spalling, find no evidence of dislocation activity in the spalled film or the parent wafer, and reduce facet height and facet height irregularity. Resolving these issues provides a viable path forward for reducing III-V device cost through the controlled spalling of (100)-oriented GaAs devices and subsequent wafer reuse when these processes are combined with a high-throughput growth method such as Hydride Vapor Phase Epitaxy.« less

  9. Low energy dislocation structures in epitaxy

    NASA Technical Reports Server (NTRS)

    Van Der Merwe, Jan H.; Woltersdorf, J.; Jesser, W. A.

    1986-01-01

    The principle of minimum energy was applied to epitaxial interfaces to show the interrelationship beteen misfit, overgrowth thickness and misfit dislocation spacing. The low energy dislocation configurations were presented for selected interfacial geometries. A review of the interfacial energy calculations was made and a critical assessment of the agreement between theory and experiment was presented. Modes of misfit accommodation were presented with emphasis on the distinction between kinetic effects and equilibrium conditions. Two-dimensional and three-dimensional overgrowths were treated together with interdiffusion-modified interfaces, and several models of interfacial structure were treated including the classical and the current models. The paper is concluded by indicating areas of needed investigation into interfacial structure.

  10. High yield of self-catalyzed GaAs nanowire arrays grown on silicon via gallium droplet positioning.

    PubMed

    Plissard, S; Larrieu, G; Wallart, X; Caroff, P

    2011-07-08

    We report and detail a method to achieve growth of vertical self-catalyzed GaAs nanowires directly on Si(111) with a near-perfect vertical yield, using electron-beam-defined arrays of holes in a dielectric layer and molecular beam epitaxy. In our conditions, GaAs nanowires are grown along a vapor-liquid-solid mechanism, using in situ self-forming Ga droplets. The focus of this paper is to understand the role of the substrate preparation and of the pre-growth conditioning. Without changing temperature or the V/III ratio, the yield of vertical nanowires is increased incrementally up to 95%. The possibility to achieve very dense arrays, with center-to-center inter-wire distances less than 100 nm, is demonstrated.

  11. Crystal growth of device quality GaAs in space

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.; Lagowski, J.

    1979-01-01

    The optimization of space processing of GaAs is described. The detailed compositional, structural, and electronic characterization of GaAs on a macro- and microscale and the relationships between growth parameters and the properties of GaAs are among the factors discussed. The key parameters limiting device performance are assessed.

  12. Design optimization of GaAs betavoltaic batteries

    NASA Astrophysics Data System (ADS)

    Chen, Haiyanag; Jiang, Lan; Chen, Xuyuan

    2011-06-01

    GaAs junctions are designed and fabricated for betavoltaic batteries. The design is optimized according to the characteristics of GaAs interface states and the diffusion length in the depletion region of GaAs carriers. Under an illumination of 10 mCi cm-2 63Ni, the open circuit voltage of the optimized batteries is about ~0.3 V. It is found that the GaAs interface states induce depletion layers on P-type GaAs surfaces. The depletion layer along the P+PN+ junction edge isolates the perimeter surface from the bulk junction, which tends to significantly reduce the battery dark current and leads to a high open circuit voltage. The short circuit current density of the optimized junction is about 28 nA cm-2, which indicates a carrier diffusion length of less than 1 µm. The overall results show that multi-layer P+PN+ junctions are the preferred structures for GaAs betavoltaic battery design.

  13. Epitaxial growth of three dimensionally structured III-V photonic crystal via hydride vapor phase epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zheng, Qiye; Kim, Honggyu; Zhang, Runyu

    2015-12-14

    Three-dimensional (3D) photonic crystals are one class of materials where epitaxy, and the resultant attractive electronic properties, would enable new functionalities for optoelectronic devices. Here we utilize self-assembled colloidal templates to fabricate epitaxially grown single crystal 3D mesostructured GaxIn1-xP (GaInP) semiconductor photonic crystals using hydride vapor phase epitaxy (HVPE). The epitaxial relationship between the 3D GaInP and the substrate is preserved during the growth through the complex geometry of the template as confirmed by X-ray diffraction (XRD) and high resolution transmission electron microscopy. XRD reciprocal space mapping of the 3D epitaxial layer further demonstrates the film to be nearly fullymore » relaxed with a negligible strain gradient. Fourier transform infrared spectroscopy reflection measurement indicates the optical properties of the photonic crystal which agree with finite difference time domain simulations. This work extends the scope of the very few known methods for the fabrication of epitaxial III-V 3D mesostructured materials to the well-developed HVPE technique.« less

  14. Epitaxial growth of three dimensionally structured III-V photonic crystal via hydride vapor phase epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zheng, Qiye; Kim, Honggyu; Zhang, Runyu

    2015-12-14

    Three-dimensional (3D) photonic crystals are one class of materials where epitaxy, and the resultant attractive electronic properties, would enable new functionalities for optoelectronic devices. Here we utilize self-assembled colloidal templates to fabricate epitaxially grown single crystal 3D mesostructured Ga{sub x}In{sub 1−x}P (GaInP) semiconductor photonic crystals using hydride vapor phase epitaxy (HVPE). The epitaxial relationship between the 3D GaInP and the substrate is preserved during the growth through the complex geometry of the template as confirmed by X-ray diffraction (XRD) and high resolution transmission electron microscopy. XRD reciprocal space mapping of the 3D epitaxial layer further demonstrates the film to bemore » nearly fully relaxed with a negligible strain gradient. Fourier transform infrared spectroscopy reflection measurement indicates the optical properties of the photonic crystal which agree with finite difference time domain simulations. This work extends the scope of the very few known methods for the fabrication of epitaxial III-V 3D mesostructured materials to the well-developed HVPE technique.« less

  15. Photoluminescence and Band Alignment of Strained GaAsSb/GaAs QW Structures Grown by MBE on GaAs

    PubMed Central

    Sadofyev, Yuri G.; Samal, Nigamananda

    2010-01-01

    An in-depth optimization of growth conditions and investigation of optical properties including discussions on band alignment of GaAsSb/GaAs quantum well (QW) on GaAs by molecular beam epitaxy (MBE) are reported. Optimal MBE growth temperature of GaAsSb QW is found to be 470 ± 10 °C. GaAsSb/GaAs QW with Sb content ~0.36 has a weak type-II band alignment with valence band offset ratio QV ~1.06. A full width at half maximum (FWHM) of ~60 meV in room temperature (RT) photoluminescence (PL) indicates fluctuation in electrostatic potential to be less than 20 meV. Samples grown under optimal conditions do not exhibit any blue shift of peak in RT PL spectra under varying excitation.

  16. Gallium arsenide single crystal solar cell structure and method of making

    NASA Technical Reports Server (NTRS)

    Stirn, Richard J. (Inventor)

    1983-01-01

    A production method and structure for a thin-film GaAs crystal for a solar cell on a single-crystal silicon substrate (10) comprising the steps of growing a single-crystal interlayer (12) of material having a closer match in lattice and thermal expansion with single-crystal GaAs than the single-crystal silicon of the substrate, and epitaxially growing a single-crystal film (14) on the interlayer. The material of the interlayer may be germanium or graded germanium-silicon alloy, with low germanium content at the silicon substrate interface, and high germanium content at the upper surface. The surface of the interface layer (12) is annealed for recrystallization by a pulsed beam of energy (laser or electron) prior to growing the interlayer. The solar cell structure may be grown as a single-crystal n.sup.+ /p shallow homojunction film or as a p/n or n/p junction film. A Ga(Al)AS heteroface film may be grown over the GaAs film.

  17. Structural and optical characterization of GaAs nano-crystals selectively grown on Si nano-tips by MOVPE.

    PubMed

    Skibitzki, Oliver; Prieto, Ivan; Kozak, Roksolana; Capellini, Giovanni; Zaumseil, Peter; Arroyo Rojas Dasilva, Yadira; Rossell, Marta D; Erni, Rolf; von Känel, Hans; Schroeder, Thomas

    2017-03-01

    We present the nanoheteroepitaxial growth of gallium arsenide (GaAs) on nano-patterned silicon (Si) (001) substrates fabricated using a CMOS technology compatible process. The selective growth of GaAs nano-crystals (NCs) was achieved at 570 °C by MOVPE. A detailed structure and defect characterization study of the grown nano-heterostructures was performed using scanning transmission electron microscopy, x-ray diffraction, micro-Raman, and micro-photoluminescence (μ-PL) spectroscopy. The results show single-crystalline, nearly relaxed GaAs NCs on top of slightly, by the SiO 2 -mask compressively strained Si nano-tips (NTs). Given the limited contact area, GaAs/Si nanostructures benefit from limited intermixing in contrast to planar GaAs films on Si. Even though a few growth defects (e.g. stacking faults, micro/nano-twins, etc) especially located at the GaAs/Si interface region were detected, the nanoheterostructures show intensive light emission, as investigated by μ-PL spectroscopy. Achieving well-ordered high quality GaAs NCs on Si NTs may provide opportunities for superior electronic, photonic, or photovoltaic device performances integrated on the silicon technology platform.

  18. Design of epitaxial CdTe solar cells on InSb substrates

    DOE PAGES

    Song, Tao; Kanevce, Ana; Sites, James R.

    2015-11-01

    Epitaxial CdTe has been shown by others to have a radiative recombination rate approaching unity, high carrier concentration, and low defect density. It has, therefore, become an attractive candidate for high-efficiency solar cells, perhaps becoming competitive with GaAs. The choice of substrate is a key design feature for epitaxial CdTe solar cells, and several possibilities (CdTe, Si, GaAs, and InSb) have been investigated by others. All have challenges, and these have generally been addressed through the addition of intermediate layers between the substrate and CdTe absorber. InSb is an attractive substrate choice for CdTe devices, because it has a closemore » lattice match with CdTe, it has low resistivity, and it is easy to contact. However, the valence-band alignment between InSb and p-type CdTe, which can both impede hole current and enhance forward electron current, is not favorable. Three strategies to address the band-offset problem are investigated by numerical simulation: heavy doping of the back part of the CdTe layer, incorporation of an intermediate CdMgTe or CdZnTe layer, and the formation of an InSb tunnel junction. Lastly, wach of these strategies is predicted to be helpful for higher cell performance, but a combination of the first two should be most effective.« less

  19. An observation of direct-gap electroluminescence in GaAs structures with Ge quantum wells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aleshkin, V. Ya.; Dikareva, N. V.; Dubinov, A. A., E-mail: sanya@ipm.sci-nnov.ru

    2015-02-15

    A light-emitting diode structure based on GaAs with eight narrow Ge quantum wells is grown by laser sputtering. An electroluminescence line polarized predominately in the plane parallel to the constituent layers of the structure is revealed. The line corresponds to the direct optical transitions in momentum space in the Ge quantum wells.

  20. Silicon spikes and impurity accumulation at interrupted growth interfaces during molecular-beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    SpringThorpe, A.J.; Moore, W.T.; Majeed, A.

    1993-07-01

    Recent proposals by Wood and Wilson, to explain the formation of impurity spikes at substrate/epitaxial layer interfaces in GaAs prepared by molecular-beam epitaxy (MBE), have been experimentally investigated. Their suggestion that the spikes form due to suboxide transport via reactions that involve the As{sub 2}O{sub 3} released from the substrate during oxide desorption and hot Knudsen cells, is not supported by the experimental data. The same authors have also speculated that there may be significant flux leakage from nominally closed cells. For this to occur, reflection and scattering of flux by inadequately cooled cryoshroud baffle surfaces are necessary. Secondary ionmore » mass spectrometry analyses of interfaces, at which the growth of GaAs and AlAs was interrupted for times up to 30 min, confirm that this takes place. However, flux leakage is only found to be significant for the high vapor pressure group III elements. For these elements, incorporation levels in the range 0.02%-0.1% are found under normal deposition conditions. These results suggest that careful attention should be given to increasing the internal MBE system baffling in order to eliminate cross contamination problems. 14 refs., 2 figs., 1 tab.« less

  1. Use of column V alkyls in organometallic vapor phase epitaxy (OMVPE)

    NASA Technical Reports Server (NTRS)

    Ludowise, M. J.; Cooper, C. B., III

    1982-01-01

    The use of the column V-trialkyls trimethylarsenic (TMAs) and trimethylantimony (TMSb) for the organometallic vapor phase epitaxy (OM-VPE) of III-V compound semiconductors is reviewed. A general discussion of the interaction chemistry of common Group III and Group V reactants is presented. The practical application of TMSb and TMAs for OM-VPE is demonstrated using the growth of GaSb, GaAs(1-y)Sb(y), Al(x)Ga(1-x)Sb, and Ga(1-x)In(x)As as examples.

  2. Structural modifications of silicon-implanted GaAs induced by the athermal annealing technique

    NASA Astrophysics Data System (ADS)

    Qadri, S. B.; Yousuf, M.; Kendziora, C. A.; Nachumi, B.; Fischer, R.; Grun, J.; Rao, M. V.; Tucker, J.; Siddiqui, S.; Ridgway, M. C.

    2004-12-01

    We have used high-resolution X-ray diffraction and Raman spectroscopy to investigate structural modifications inside and outside the focal region of Si-implanted GaAs samples that have been irradiated at high power by a focused short-pulse laser. Si atoms implanted into the GaAs matrix generate exciton-induced local lattice expansion, resulting in a satellite on the lower-angle side of the Bragg peak. After the laser pulse irradiation, surface features inside and outside the focal spot suggest the presence of Bernard convection cells, indicating that a rapid melting and re-crystallization has taken place. Moreover, the laser irradiation induces a compressive strain inside the focal spot, since the satellite appears on the higher-angle side of the Bragg peak. The stress maximizes at the center of the focal spot and extends far outside the irradiated area (approximately 2.5-mm away from the bull’s eye), suggesting the propagation of a laser-induced mechanical wave. The maximum compressive stress inside the focal spot corresponds to 2.7 GPa. Raman spectra inside the focal spot resemble that of pristine GaAs, indicating that rapid melting has introduced significant heterogeneity, with zones of high and low Si concentration. X-ray measurements indicate that areas inside the focal spot and annealed areas outside of the focal spot contain overtones of a minor tetragonal distortion of the lattice, consistent with the observed relaxation of Raman selection rules when compared with the parent zinc-blende structure.

  3. Graphene Substrate for van der Waals Epitaxy of Layer-Structured Bismuth Antimony Telluride Thermoelectric Film.

    PubMed

    Kim, Eun Sung; Hwang, Jae-Yeol; Lee, Kyu Hyoung; Ohta, Hiromichi; Lee, Young Hee; Kim, Sung Wng

    2017-02-01

    Graphene as a substrate for the van der Waals epitaxy of 2D layered materials is utilized for the epitaxial growth of a layer-structured thermoelectric film. Van der Waals epitaxial Bi 0.5 Sb 1.5 Te 3 film on graphene synthesized via a simple and scalable fabrication method exhibits good crystallinity and high thermoelectric transport properties comparable to single crystals. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  4. Superconductivity of Rock-Salt Structure LaO Epitaxial Thin Film.

    PubMed

    Kaminaga, Kenichi; Oka, Daichi; Hasegawa, Tetsuya; Fukumura, Tomoteru

    2018-06-06

    We report a superconducting transition in a LaO epitaxial thin film with the superconducting transition onset temperature ( T c ) at around 5 K. This T c is higher than those of other lanthanum monochalcogenides and opposite to their chemical trend: T c = 0.84, 1.02, and 1.48 K for LaX (X = S, Se, Te), respectively. The carrier control resulted in a dome-shaped T c as a function of electron carrier density. In addition, the T c was significantly sensitive to epitaxial strain in spite of the highly symmetric crystal structure. This rock-salt superconducting LaO could be a building block to design novel superlattice superconductors.

  5. Energetics and Dynamics of GaAs Epitaxial Growth via Quantum Wave Packet Studies

    NASA Technical Reports Server (NTRS)

    Dzegilenko, Fedor N.; Saini, Subhash (Technical Monitor)

    1998-01-01

    The dynamics of As(sub 2) molecule incorporation into the flat Ga-terminated GaAs(100) surface is studied computationally. The time-dependent Schrodinger equation is solved on a two-dimensional potential energy surface obtained using density functional theory calculations. The probabilities of trapping and subsequent dissociation of the molecular As(sub 2) bond are calculated as a function of beam translational energy and vibrational quantum number of As(sub 2).

  6. Photovoltaic effect of ferroelectric Pb(Zr0.52,Ti0.48)O3 deposited on SrTiO3 buffered n-GaAs by laser molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Zhou, Yunxia; Zhu, Jun; Liu, Xingpeng; Wu, Zhipeng

    Ferroelectric Pb(Zr0.52,Ti0.48)O3(PZT) thin film was grown on n-type GaAs (001) substrate with SrTiO3 (STO) buffer layer by laser molecular beam epitaxy (L-MBE). The epitaxial process of the STO was in situ monitored by reflection high-energy electron diffraction (RHEED). The crystallographical growth orientation relationship was revealed to be (002) 〈100〉 PZT//(002) 〈100〉 STO//(001) 〈110〉 GaAs by RHEED and X-ray diffraction (XRD). It was found that a small lattice mismatch between PZT and GaAs with a 45∘ in-plane rotation relationship can be formed by inserting of a buffer layer STO. Besides, the enhanced electrical properties of the heterostructure were obtained with the short-circuit photocurrent increased to 52mA/cm2 and the better power conversation efficiency increased by 20% under AM1.5G (100mW/cm2) illumination. The work could provide a way for the application of this kind of heterostructure with high photocurrent response in optoelectronic thin film devices.

  7. Crystallographic orientation of epitaxial BaTiO3 films: The role of thermal-expansion mismatch with the substrate

    NASA Astrophysics Data System (ADS)

    Srikant, V.; Tarsa, E. J.; Clarke, D. R.; Speck, J. S.

    1995-02-01

    Expitaxial ferroelectric BaTiO3 thin films have been grown on (001) MgO and MgO-buffered (001) GaAs substrates by pulsed laser deposition to explore the effect of substrate lattice parameter. X-ray-diffraction studies showed that the BaTiO3 films on both MgO single-crystal substrates and MgO-buffered (001) GaAs substrates have a cube-on-cube epitaxy; however, for the BaTiO3 films grown on MgO the spacing of the planes parallel to the substrate was close to the c-axis dimension of the unconstrained tetragonal phase, whereas the BaTiO3 films on MgO/GaAs exhibited a spacing closer to the a-axis dimension of the unconstrained tetragonal phase. The cube-on-cube epitaxy was maintained through the heterostructures even when thin epitaxial intermediate buffer layers of SrTiO3 and La(0.5)Sr(0.5)CoO3 were used. The intermediate layers had no effect on the position of the BaTiO3 peak in theta - 2 theta scans. Together, these observations indicate that, for the materials combinations studied, it is the thermal-expansion mismatch between the film and the underlying substrate that determines the crystallographic orientation of the BaTiO3 film. Preliminary measurements indicate that the BaTiO3 films are 'weakly' ferroelectric.

  8. GaAs on Si epitaxy by aspect ratio trapping: Analysis and reduction of defects propagating along the trench direction

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Orzali, Tommaso, E-mail: tommaso.orzali@sematech.org; Vert, Alexey; O'Brien, Brendan

    2015-09-14

    The Aspect Ratio Trapping technique has been extensively evaluated for improving the quality of III-V heteroepitaxial films grown on Si, due to the potential for terminating defects at the sidewalls of SiO{sub 2} patterned trenches that enclose the growth region. However, defects propagating along the trench direction cannot be effectively confined with this technique. We studied the effect of the trench bottom geometry on the density of defects of GaAs fins, grown by metal-organic chemical vapor deposition on 300 mm Si (001) wafers inside narrow (<90 nm wide) trenches. Plan view and cross sectional Scanning Electron Microscopy and Transmission Electron Microscopy, togethermore » with High Resolution X-Ray Diffraction, were used to evaluate the crystal quality of GaAs. The prevalent defects that reach the top surface of GaAs fins are (111) twin planes propagating along the trench direction. The lowest density of twin planes, ∼8 × 10{sup 8 }cm{sup −2}, was achieved on “V” shaped bottom trenches, where GaAs nucleation occurs only on (111) Si planes, minimizing the interfacial energy and preventing the formation of antiphase boundaries.« less

  9. Quantum Dots obtained by LPE from under-saturated In-As liquid phases on GaAs substrates

    NASA Astrophysics Data System (ADS)

    Ortiz, F. E.; Mishurnyi, V.; Gorbatchev, A.; De Anda, F.; Prutskij, T.

    2011-01-01

    In this work we inform about quantum dots (QD) obtained by Liquid Phase Epitaxy (LPE) on GaAs substrates from under-saturated In-As liquid phases. In our processes, we have prepared saturated In-rich liquid phases by dissolving an InAs wafer at one of the temperatures interval from 450 to 414 C for 60 minutes. The contact between In-As liquid phase and the GaAs substrate was always done at a constant temperature of 444 C for 5 seconds. Thus, the growth temperature for most of the samples was higher than the liquidus temperature. We think that the growth driving force is related to a transient process that occurs when the system is trying to reach equilibrium. Under the atom force microscope (AFM) we have observed nano-islands on the surfaces of the samples obtained from under-saturated liquid phases prepared at 438, 432 and 426 C. The 25 K photoluminescence spectrum shows a peak at a 1.33 eV, in addition to the GaAs related line.

  10. Arsenic Flux Dependence of Island Nucleation in InAs(001) Epitaxial Growth

    NASA Astrophysics Data System (ADS)

    Gyure, Mark; Grosse, Frank; Barvosa-Carter, Bill; Ross, Richard; Ratsch, Christian; Owen, James; Zinck, Jenna

    2001-03-01

    In spite of years of research on the epitaxial growth of III-V semiconductor materials, very little is known about basic growth mechanisms. In particular, the effects of As flux on the growth of GaAs and InAs is hardly understood at all even though, for InAs, the effects are clearly noticeable in the regime of interest for device growth. We have investigated the initial stages of InAs(001) epitaxial growth by combining high resolution kinetic Monte Carlo simulations based on ab initio density functional theory and scanning tunneling microscopy. With increasing As pressure, we find that the island number density decreases, consistent with similar recent data for GaAs, but inconsistent with at least one theoretical argument [1] and much of conventional wisdom regarding group III adatom diffusion in the presence of As. We identify the relevant growth mechanisms that depend on the As pressure and find that a higher As deposition rate leads to a decrease in the In adatom density during growth due to a higher incorporation rate for In at island and step edges. This reduces island nucleation and leads to a lower island density. This same mechanism also explains previously observed As flux trends for the step flow transition temperature. [1] J. Tersoff, M.D. Johnson and B.G. Orr, Phys. Rev. Lett. 78, 282 (1997)

  11. Molecular beam epitaxially grown copper indium diselenide and copper gallium diselenide films

    NASA Astrophysics Data System (ADS)

    Yoon, Seokhyun

    2005-12-01

    To eliminate the influence of grain boundaries, CuInSe2 (CIS) and CuGaSe2 (CGS) films were grown on (100) GaAs wafers. The effects of Cu to III metal ratio and dosing with Na on the growth mode and defect properties were studied at two growth temperatures. The impact of post-annealing in Se on the defect structure of CGS film was also studied. Two-dimensional simulations were used to better understand the role of grain boundary on cell performance. For growth at 360°C, the In-rich CIS films were polycrystalline, whereas the Cu-rich CIS films were epitaxial exhibiting a Stranski-Krastanov (S-K) growth mode. It is proposed that a Cu-Se secondary phase enhances the mobility of adatoms, allowing epitaxial growth to a critical thickness, at which point segregation at the nucleation sites became faster the rate of growth. Island structures, embedded in a matrix region, were oriented along the [01-1] directed edges with surface undulations apparent on the matrix surface with dominant {112} crystal planes. At the higher growth temperature of 464°C, the CIS films grew epitaxially without the need of a Cu-Se phase. Both CIS films grown at low and high temperatures were nearly relaxed. The segregation of epitaxial Cu1.5Se was also observed in the Cu-rich, Na-dosed CIS film, which is attributed to a surfactant effect of Na. At a growth temperature of 438°C, CGS films showed a S-K growth mode and nearly pseudomorphic growth. Hemispherical islands with twins were observed in the Ga-rich CGS films and epitaxial Cu1.5Se phase were identified in the top region of the island structure. From the PL analysis of Cu-rich, Na-dosed CGS film after Se-annealing, a new defect level located 20 meV above the valence band edge was identified as NaGa acceptor state. Two-dimensional simulation of the impact of grain boundaries on device performance showed that the short circuit current decreases sharply along with the other device parameters below a critical grain size due to the complete

  12. Specific features of the spectra and relaxation kinetics of long-wavelength photoconductivity in narrow-gap HgCdTe epitaxial films and heterostructures with quantum wells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rumyantsev, V. V., E-mail: rumyantsev@ipmras.ru; Ikonnikov, A. V.; Antonov, A. V.

    2013-11-15

    The spectra and relaxation kinetics of interband photoconductivity are investigated in narrow-gap Hg{sub 1-x}Cd{sub x}Te epitaxial films with x = 0.19-0.23 and in structures with HgCdTe-based quantum wells (QWs), having an interband-transition energy in the range of 30-90 meV, grown by molecular-beam epitaxy on GaAs (013) substrates. A long-wavelength sensitivity band caused by impurities or defects is found in the spectra of the structures with quantum wells in addition to the interband photoconductivity. It is shown that the lifetimes of nonequilibrium carriers in the structures with QWs is less than in bulk samples at the same optical-transition energy. From themore » measured carrier lifetimes, the ampere-watt responsivity and the equivalent noise power for a film with x = 0.19 at a wavelength of 19 {mu}m are estimated. When investigating the relaxation kinetics of the photoconductivity at 4.2 K in high excitation regime, it is revealed that radiative recombination is dominant over other mechanisms of nonequilibrium-carrier recombination.« less

  13. Printed assemblies of GaAs photoelectrodes with decoupled optical and reactive interfaces for unassisted solar water splitting

    DOE PAGES

    Kang, Dongseok; Young, James L.; Lim, Haneol; ...

    2017-03-27

    Despite their excellent photophysical properties and record-high solar-to-hydrogen conversion efficiency, the high cost and limited stability of III-V compound semiconductors prohibit their practical application in solar-driven photoelectrochemical water splitting. Here in this paper we present a strategy for III-V photocatalysis that can circumvent these difficulties via printed assemblies of epitaxially grown compound semiconductors. A thin film stack of GaAs-based epitaxial materials is released from the growth wafer and printed onto a non-native transparent substrate to form an integrated photocatalytic electrode for solar hydrogen generation. The heterogeneously integrated electrode configuration together with specialized epitaxial design serve to decouple the material interfacesmore » for illumination and electrocatalysis. Subsequently, this allows independent control and optimization of light absorption, carrier transport, charge transfer, and material stability. Using this approach, we construct a series-connected wireless tandem system of GaAs photoelectrodes and demonstrate 13.1% solar-to-hydrogen conversion efficiency of unassisted-mode water splitting.« less

  14. Printed assemblies of GaAs photoelectrodes with decoupled optical and reactive interfaces for unassisted solar water splitting

    NASA Astrophysics Data System (ADS)

    Kang, Dongseok; Young, James L.; Lim, Haneol; Klein, Walter E.; Chen, Huandong; Xi, Yuzhou; Gai, Boju; Deutsch, Todd G.; Yoon, Jongseung

    2017-03-01

    Despite their excellent photophysical properties and record-high solar-to-hydrogen conversion efficiency, the high cost and limited stability of III-V compound semiconductors prohibit their practical application in solar-driven photoelectrochemical water splitting. Here we present a strategy for III-V photocatalysis that can circumvent these difficulties via printed assemblies of epitaxially grown compound semiconductors. A thin film stack of GaAs-based epitaxial materials is released from the growth wafer and printed onto a non-native transparent substrate to form an integrated photocatalytic electrode for solar hydrogen generation. The heterogeneously integrated electrode configuration together with specialized epitaxial design serve to decouple the material interfaces for illumination and electrocatalysis. Subsequently, this allows independent control and optimization of light absorption, carrier transport, charge transfer, and material stability. Using this approach, we construct a series-connected wireless tandem system of GaAs photoelectrodes and demonstrate 13.1% solar-to-hydrogen conversion efficiency of unassisted-mode water splitting.

  15. Structural and electrical characteristics of CoGe(2) alloy films deposited heteroepitaxially on GaAs(100) using partially ionized beam deposition

    NASA Astrophysics Data System (ADS)

    Mello, Kevin Edward

    The partially ionized beam deposition system was utilized to deposit CoGesb2 thin films heteroepitaxially on GaAs(100) substrates in a conventional vacuum. The CoGesb2 films were structurally characterized using conventional 2theta diffraction, reflection X-ray pole figure analysis, and alpha particle channeling techniques. Three distinct crystallographic relationships of the CoGesb2 films to the GaAs(100) substrates were observed, dependent upon the substrate temperature and Gesp+ ion energy used during deposition. The CoGesb2(001) (100)sp°GaAs(100) (001) orientation, which has the smallest lattice mismatch to GaAs(100), was found to occur for depositions performed at a substrate temperature during deposition near 280sp°C with approximately 1160 eV Gesp+ ions. Lowering the substrate temperature or reducing the Gesp+ ion energy results in CoGesb2(100) orientation domination with CoGe2(100) (010)sp°GaAs(100) (001) and CoGesb2(100) (001)sp°GaAs(100) (001). Substrate temperature alone was seen to produce only the CoGesb2(100) orientation. For CoGesb2(001) films, additional energy was required from Gesp+ ions in the evaporant stream. Angular yield profiles for axial Hesp{++} ion channeling yielded values for the minimum yield, Ysb{min}, of 25% for the CoGesb2(001) orientation and 34% for the CoGesb2(100) orientation. The critical angle for channeling, Psisb{c}, was measured to be 1.0sp° for both orientations. Channeling theory was used to predict the minimum yield and critical angle for each orientation. The theoretical values agreed qualitatively with the experimentally measured values, and the theory correctly predicted the lower minimum yield for the CoGesb2(001) orientation. Annealing the films to allow for epitaxial grain growth resulted in orientation selection of CoGesb2(001) at the expense of CoGesb2(100), exposing CoGesb2(100) as a metastable orientation. The CoGesb2(001) films were stable up to 500sp°C, 30 minute anneals, showing no orientation changes

  16. Epitaxial solar cells fabrication

    NASA Technical Reports Server (NTRS)

    Daiello, R. V.; Robinson, P. H.; Kressel, H.

    1975-01-01

    Silicon epitaxy has been studied for the fabrication of solar cell structures, with the intent of optimizing efficiency while maintaining suitability for space applications. SiH2CL2 yielded good quality layers and junctions with reproducible impurity profiles. Diode characteristics and lifetimes in the epitaxial layers were investigated as a function of epitaxial growth conditions and doping profile, as was the effect of substrates and epitaxial post-gettering on lifetime. The pyrolytic decomposition of SiH4 was also used in the epitaxial formation of highly doped junction layers on bulk Si wafers. The effects of junction layer thickness and bulk background doping level on cell performance, in particular, open-circuit voltage, were investigated. The most successful solar cells were fabricated with SiH2 CL2 to grow p/n layers on n(+) substrates. The best performance was obtained from a p(+)/p/n/n(+) structure grown with an exponential grade in the n-base layer.

  17. Single quantum dot emission by nanoscale selective growth of InAs on GaAs: A bottom-up approach

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Patella, F.; Arciprete, F.; Placidi, E.

    2008-12-08

    We report on single dot microphotoluminescence ({mu}PL) emission at low temperature and low power from InAs dots grown by molecular beam epitaxy in nanoscale holes of a SiO{sub 2} mask deposited on GaAs(001). By comparing atomic force microscopy measurements with {mu}PL data, we show that the dot sizes inside the nanoholes are smaller than those of the dots nucleated on the extended GaAs surface. PL of dots spans a wide energy range depending on their size and on the thickness and composition of the InGaAs capping layer. Time-resolved PL experiments demonstrate a negligible loss of radiative recombination efficiency, proving highlymore » effective in the site-controlled dot nucleation.« less

  18. Modeling of phase velocity and frequency spectrum of guided Lamb waves in piezoelectric-semiconductor multilayered structures made of AlAs and GaAs

    NASA Astrophysics Data System (ADS)

    Othmani, Cherif; Takali, Farid; Njeh, Anouar

    2017-11-01

    Modeling of guided Lamb waves propagation in piezoelectric-semiconductor multilayered structures made of AlAs and GaAs is evaluated in this paper. Here, the Legendre polynomial method is used to calculate dispersion curves, frequency spectrum and field distributions of guided Lamb waves propagation modes in AlAs, GaAs, AlAs/GaAs and AlAs/GaAs/AlAs-1/2/1 structures. In fact, formulations are given for open-circuit surface. Consequently, the polynomial method is numerically stable according to the total number of layers and the frequency range. This analysis is meaningful for the applications of the piezoelectric-semiconductor multilayered structures made of AlAs and GaAs such as in novel acoustic devices.

  19. Structural and electronic properties of GaAs and GaP semiconductors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rani, Anita; Kumar, Ranjan

    2015-05-15

    The Structural and Electronic properties of Zinc Blende phase of GaAs and GaP compounds are studied using self consistent SIESTA-code, pseudopotentials and Density Functional Theory (DFT) in Local Density Approximation (LDA). The Lattice Constant, Equillibrium Volume, Cohesive Energy per pair, Compressibility and Band Gap are calculated. The band gaps calcultated with DFT using LDA is smaller than the experimental values. The P-V data fitted to third order Birch Murnaghan equation of state provide the Bulk Modulus and its pressure derivatives. Our Structural and Electronic properties estimations are in agreement with available experimental and theoretical data.

  20. Fine Structure of Trious and Excitons in Single GaAs Quantum Dots

    DTIC Science & Technology

    2002-08-30

    RAPID COMMUNICATIONS PHYSICAL REVIEW B 66, 081310~R! ~2002!Fine structure of trions and excitons in single GaAs quantum dots J. G. Tischler, A. S ...fine structure of single localized excitons and trions. DOI: 10.1103/PhysRevB.66.081310 PACS number~ s !: 78.67.Hc, 73.21.2b, 71.35.2yAlthough the...AUTHOR( S ) 5d. PROJECT NUMBER 5e. TASK NUMBER 5f. WORK UNIT NUMBER 7. PERFORMING ORGANIZATION NAME( S ) AND ADDRESS(ES) Naval Research Laboratory

  1. GaAs Solar Cells Grown on Unpolished, Spalled Ge Substrates: Preprint

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cavalli, Alessandro; Johnston, Steven; Sulas, Dana

    Decreasing the cost of single-crystal substrates by wafer reuse techniques has long been sought for III-V solar cells. Controlled spalling of III-V devices is a possible pathway for epitaxial liftoff, which would help reduce costs, but chemo- mechanical polishing after liftoff tends to limit the potential cost savings. Growth on an unpolished spalled surface would be an additional step toward lower costs, but it is crucial to show high efficiency solar cell devices on these unprocessed substrates. In this study, we spalled 2-inch Ge wafers using a Ni stressor layer, and then grew GaAs solar cells by HVPE on themore » spalled Ge surface without any other surface treatment. We show a 12.8% efficient single-junction device, without anti-reflection coating, with quantum efficiency very close to identical devices grown by HVPE on non-spalled GaAs substrates. Demonstrating a high carrier collection on unpolished spalled wafers is a step toward reducing substrate-related liftoff and reuse costs.« less

  2. Molecular beam epitaxial growth and characterization of InSb1 - xNx on GaAs for long wavelength infrared applications

    NASA Astrophysics Data System (ADS)

    Patra, Nimai C.; Bharatan, Sudhakar; Li, Jia; Tilton, Michael; Iyer, Shanthi

    2012-04-01

    Recent research progress and findings in InSbN have attracted great attention due to its use in long wavelength infrared applications. A large bandgap reduction in InSb resulting from high N incorporation with minimal crystal defects is challenging due to relatively small atomic size of N. Hence optimization of growth conditions plays an important role in the growth of high-quality InSbN epilayers for device purposes. In this paper, we report on the correlation of structural, vibrational, electrical, and optical properties of molecular beam epitaxially grown InSbN epilayers grown on GaAs substrates, as a function of varying growth temperatures. Two dimensional growths of InSb and InSbN were confirmed from dynamic reflection high energy electron diffraction patterns and growth parameters were optimized. High crystalline quality of the epilayers is attested to by a low full width at half maximum of 200 arcsec from high resolution x-ray diffraction (HRXRD) scans and by the high intensity and well-resolved InSb longitudinal optical (LO) and 2nd order InSb LO mode observed from micro-Raman spectroscopy. The N incorporation in these InSbN epilayers is estimated to be 1.4% based on HRXRD simulation. X-ray photoelectron spectroscopy (XPS) studies reveal that most of the N present in the layers are in the form of In-N bonding. Variation of the lattice disorder with growth temperature is correlated with the types of N bonding present, the carrier concentration and mobility, observed in the corresponding XPS spectra and Hall measurements, respectively. XPS analysis, HRXRD scans, and Raman spectral analysis indicate that lower growth temperature favors In-N bonding which dictates N incorporation in the substitutional sites and lattice disorder, whereas, high growth temperature promotes the formation of In-N-Sb bonding. The best room temperature and 77 K electrical transport parameters and maximum redshift in the absorption edge have been achieved in the InSbN epilayer grown in

  3. Theoretical investigation of structural, mechanical and electronic properties of GaAs1-xNx alloys under ambient and high pressure

    NASA Astrophysics Data System (ADS)

    Li, Jian; Han, Xiuxun; Dong, Chen; Fan, Changzeng

    2017-12-01

    Using first-principles total energy calculations, we have studied the structural, mechanical and electronic properties of GaAs1-xNx ternary semiconductor alloys with the zinc-blende crystal structure over the whole nitrogen concentration range (with x from 0 to 1) within density functional theory (DFT) framework. To obtain the ideal band gap, we employ the semi-empirical approach called local density approximation plus the multi-orbital mean-field Hubbard model (LDA+U). The calculated results illustrate the varying lattice constants and band gap in GaAs1-xNx alloys as functions of the nitrogen concentration x. According to the pressure dependence of the lattice constants and volume, the higher N concentration alloy exhibits the better anti-compressibility. In addition, an increasing band gap is predicted under 20 GPa pressure for GaAs1-xNx alloys.

  4. GaAs homojunction solar cell development

    NASA Technical Reports Server (NTRS)

    Flood, D. J.; Swartz, C. K.; Hart, R. E., Jr.

    1980-01-01

    The Lincoln Laboratory n(+)/p/p(+) GaAs shallow homojunction cell structure was successfully demonstrated on 2 by 2 cm GaAs substrates. Air mass zero efficiencies of the seven cells produced to date range from 13.6 to 15.6 percent. Current voltage (I-V) characteristics, spectral response, and measurements were made on all seven cells. Preliminary analysis of 1 MeV electron radiation damage data indicate excellent radiation resistance for these cells.

  5. GaAs integrated circuits and heterojunction devices

    NASA Astrophysics Data System (ADS)

    Fowlis, Colin

    1986-06-01

    The state of the art of GaAs technology in the U.S. as it applies to digital and analog integrated circuits is examined. In a market projection, it is noted that whereas analog ICs now largely dominate the market, in 1994 they will amount to only 39 percent vs. 57 percent for digital ICs. The military segment of the market will remain the largest (42 percent in 1994 vs. 70 percent today). ICs using depletion-mode-only FETs can be constructed in various forms, the closest to production being BFL or buffered FET logic. Schottky diode FET logic - a lower power approach - can reach higher complexities and strong efforts are being made in this direction. Enhancement type devices appear essential to reach LSI and VLSI complexity, but process control is still very difficult; strong efforts are under way, both in the U.S. and in Japan. Heterojunction devices appear very promising, although structures are fairly complex, and special fabrication techniques, such as molecular beam epitaxy and MOCVD, are necessary. High-electron-mobility-transistor (HEMT) devices show significant performance advantages over MESFETs at low temperatures. Initial results of heterojunction bipolar transistor devices show promise for high speed A/D converter applications.

  6. The stability of the epitaxially introduced metastable metallic structures of thin layers and multilayers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cadeville, M.C.

    Among the very large number of metallic thin films, sandwiches and multilayers which have been elaborated by epitaxy on various single crystalline substrates during the last decade, few new structures are reported. Limiting to the case of 3d metals, one finds with a great confidence bcc Cobalt, possibly bee Nickel and a non-compact hexagonal (hp) iron. Moreover structures existing at high temperature under ambient pressure are epitaxially stabilized at room temperature (RT) like fcc Cobalt, fcc Iron, fcc and bcc Manganese. The hcp iron which is stable under high pressure at RT would not be epitaxially stabilized at ambient pressuremore » conversely to first findings. The critical thickness of the metastable phase is generally limited to some monolayers in thin films, being slightly increased in sandwiches or multilayers, even if the phenomenological wetting criterion to build superlattices is not satisfied. No increased magnetic moment has been found up to now in the expanded lattices, contrary to band structure calculation predictions. 56 refs.« less

  7. A Kinetic Model for GaAs Growth by Hydride Vapor Phase Epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schulte, Kevin L.; Simon, John; Jain, Nikhil

    2016-11-21

    Precise control of the growth of III-V materials by hydride vapor phase epitaxy (HVPE) is complicated by the fact that the growth rate depends on the concentrations of nearly all inputs to the reactor and also the reaction temperature. This behavior is in contrast to metalorganic vapor phase epitaxy (MOVPE), which in common practice operates in a mass transport limited regime where growth rate and alloy composition are controlled almost exclusively by flow of the Group III precursor. In HVPE, the growth rate and alloy compositions are very sensitive to temperature and reactant concentrations, which are strong functions of themore » reactor geometry. HVPE growth, particularly the growth of large area materials and devices, will benefit from the development of a growth model that can eventually be coupled with a computational fluid dynamics (CFD) model of a specific reactor geometry. In this work, we develop a growth rate law using a Langmuir-Hinshelwood (L-H) analysis, fitting unknown parameters to growth rate data from the literature that captures the relevant kinetic and thermodynamic phenomena of the HVPE process. We compare the L-H rate law to growth rate data from our custom HVPE reactor, and develop quantitative insight into reactor performance, demonstrating the utility of the growth model.« less

  8. Liquid phase epitaxy of binary III–V nanocrystals in thin Si layers triggered by ion implantation and flash lamp annealing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wutzler, Rene, E-mail: r.wutzler@hzdr.de; Rebohle, Lars; Prucnal, Slawomir

    2015-05-07

    The integration of III–V compound semiconductors in Si is a crucial step towards faster and smaller devices in future technologies. In this work, we investigate the formation process of III–V compound semiconductor nanocrystals, namely, GaAs, GaSb, and InP, by ion implantation and sub-second flash lamp annealing in a SiO{sub 2}/Si/SiO{sub 2} layer stack on Si grown by plasma-enhanced chemical vapor deposition. Raman spectroscopy, Rutherford Backscattering spectrometry, and transmission electron microscopy were performed to identify the structural and optical properties of these structures. Raman spectra of the nanocomposites show typical phonon modes of the compound semiconductors. The formation process of themore » III–V compounds is found to be based on liquid phase epitaxy, and the model is extended to the case of an amorphous matrix without an epitaxial template from a Si substrate. It is shown that the particular segregation and diffusion coefficients of the implanted group-III and group-V ions in molten Si significantly determine the final appearance of the nanostructure and thus their suitability for potential applications.« less

  9. Luminescence and Electroluminescence of Nd, Tm and Yb Doped GaAs and some II-Vi Compounds

    DTIC Science & Technology

    1994-02-28

    from the bandgap discontinuity (as was proposed in my publications [1,2]). Also, by using superlattice structure A1GaAs / GaAs: Er / AlGaAs, we could...n ipact ightemiting evic 10 3. The AlGaAs/GaAs: Er/A1GaAs superlattice structure. For the first time we designed the unipolar n’ - superlattice - n...structure as shown in Figure 5. The GaAs: Er/Alo.45Gao.55As superlattice was grown by MBE on an n’ GaAs: Si substrate. It consisted of 60 periods of

  10. GaAs photoconductive semiconductor switch

    DOEpatents

    Loubriel, Guillermo M.; Baca, Albert G.; Zutavern, Fred J.

    1998-01-01

    A high gain, optically triggered, photoconductive semiconductor switch (PCSS) implemented in GaAs as a reverse-biased pin structure with a passivation layer above the intrinsic GaAs substrate in the gap between the two electrodes of the device. The reverse-biased configuration in combination with the addition of the passivation layer greatly reduces surface current leakage that has been a problem for prior PCSS devices and enables employment of the much less expensive and more reliable DC charging systems instead of the pulsed charging systems that needed to be used with prior PCSS devices.

  11. GaAs nanopillar-array solar cells employing in situ surface passivation

    PubMed Central

    Mariani, Giacomo; Scofield, Adam C.; Hung, Chung-Hong; Huffaker, Diana L.

    2013-01-01

    Arrays of III–V direct-bandgap semiconductor nanopillars represent promising photovoltaic candidates due to their inherent high optical absorption coefficients and minimized reflection arising from light trapping, efficient charge collection in the radial direction and the ability to synthesize them on low-cost platforms. However, the increased surface area results in surface states that hamper the power conversion efficiency. Here, we report the first demonstration of GaAs nanopillar-array photovoltaics employing epitaxial passivation with air mass 1.5 global power conversion efficiencies of 6.63%. High-bandgap epitaxial InGaP shells are grown in situ and cap the radial p–n junctions to alleviate surface-state effects. Under light, the photovoltaic devices exhibit open-circuit voltages of 0.44 V, short-circuit current densities of 24.3 mA cm−2 and fill factors of 62% with high external quantum efficiencies >70% across the spectral regime of interest. A novel titanium/indium tin oxide annealed alloy is exploited as transparent ohmic anode. PMID:23422665

  12. Improved resonance characteristics of GaAs beam resonators by epitaxially induced strain

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yamaguchi, H.; Onomitsu, K.; Kato, K.

    2008-06-23

    Micromechanical-beam resonators were fabricated using a strained GaAs film grown on relaxed In{sub 0.1}Ga{sub 0.9}As/In{sub 0.1}Al{sub 0.9}As buffer layers. The natural frequency of the fundamental mode was increased 2.5-4 times by applying tensile strain, showing good agreement with the model calculation assuming strain of 0.35% along the beam. In addition, the Q factor of 19 000 was obtained for the best sample, which is one order of magnitude higher than that for the unstrained resonator. This technique can be widely applied for improving the performance of resonator-based micro-/nanoelectromechanical devices.

  13. STM/STS investigation of edge structure in epitaxial graphene

    NASA Astrophysics Data System (ADS)

    Ridene, M.; Girard, J. C.; Travers, L.; David, C.; Ouerghi, A.

    2012-08-01

    In this paper, we have used low temperature scanning tunneling microscopy and spectroscopy (LT-STM/STS) to study zigzag or armchair edges of epitaxial graphene on 6H-SiC (0001). The monolayer carbon structures exhibit occasionally one-dimensional ridge (1D) in close vicinity to step edge. This ridge exhibits different edges orientations in armchair-zigzag transition which give rise to different local density of states (LDOS) along this 1D structure. This ridge formation is likely explained by residual compressive in-plane stresses.

  14. InAs nanowires grown by metal-organic vapor-phase epitaxy (MOVPE) employing PS/PMMA diblock copolymer nanopatterning.

    PubMed

    Huang, Yinggang; Kim, Tae Wan; Xiong, Shisheng; Mawst, Luke J; Kuech, Thomas F; Nealey, Paul F; Dai, Yushuai; Wang, Zihao; Guo, Wei; Forbes, David; Hubbard, Seth M; Nesnidal, Michael

    2013-01-01

    Dense arrays of indium arsenide (InAs) nanowire materials have been grown by selective-area metal-organic vapor-phase epitaxy (SA-MOVPE) using polystyrene-b-poly(methyl methacrylate) (PS/PMMA) diblock copolymer (DBC) nanopatterning technique, which is a catalyst-free approach. Nanoscale openings were defined in a thin (~10 nm) SiNx layer deposited on a (111)B-oriented GaAs substrate using the DBC process and CF4 reactive ion etching (RIE), which served as a hard mask for the nanowire growth. InAs nanowires with diameters down to ~ 20 nm and micrometer-scale lengths were achieved with a density of ~ 5 × 10(10) cm(2). The nanowire structures were characterized by scanning electron microscopy and transmission electron microscopy, which indicate twin defects in a primary zincblende crystal structure and the absence of threading dislocation within the imaged regions.

  15. Green, yellow and bright red (In,Ga,Al)P-GaP diode lasers grown on high-index GaAs substrates

    NASA Astrophysics Data System (ADS)

    Ledentsov, N. N.; Shchukin, V. A.; Shernyakov, Yu. M.; Kulagina, M. M.; Payusov, A. S.; Gordeev, N. Yu.; Maximov, M. V.; Cherkashin, N. A.

    2017-02-01

    Low threshold current density (<400 A/cm2) injection lasing in (AlxGa1-x)0.5In0.5P-GaAs-based diodes down to the green spectral range (<570 nm) is obtained. The epitaxial structures are grown on high-index (611)A and (211)A GaAs substrates by metal-organic vapor phase epitaxy and contain tensile-strained GaP-enriched insertions aimed at preventing escape of the injected nonequilibrium electrons from the active region. Extended waveguide concept results in a vertical beam divergence with a full width at half maximum of 15o for (611)A substrates. The lasing at 569 nm is realized at 85 K. In the orange-red laser diode structure low threshold current density (200 A/cm2) in the orange spectral range (598 nm) is realized at 85 K. The latter devices demonstrate room temperature lasing at 628 nm at 2 kA/cm2 and a total power above 3W. The red laser diodes grown on (211)A substrates demonstrate vertically multimode lasing far field pattern indicating a lower optical confinement factor for the fundamental mode as compared to the devices grown on (611)A. However the temperature stability of the threshold current and the wavelength stability are significantly higher for (211)A-grown structures in agreement with the conduction band modeling data.

  16. Selective Area Growth of GaAs on Si Patterned Using Nanoimprint Lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Warren, Emily L.; Makoutz, Emily A.; Horowitz, Kelsey A. W.

    Heteroepitaxial selective area growth (SAG) of GaAs on patterned Si substrates is a potential low-cost approach to integrate III-V and Si materials for tandem or multijunction solar cells. The use of nanoscale openings in a dielectric material can minimize nucleation-related defects and allow thinner buffer layers to be used to accommodate lattice mismatch between Si and an epitaxial III-V layer. For photovoltaic applications, the cost of patterning and growth, as well as the impact on the performance of the Si bottom cell must be considered. We present preliminary results on the use of soft nanoimprint lithography (SNIL) to create patternedmore » nucleation templates for the heteroepitaxial SAG of GaAs on Si. We demonstrate that SNIL patterning of passivating layers on the Si substrate improves measured minority carrier properties relative to unprotected Si. Cost modeling of the SNIL process shows that adding a patterning step only adds a minor contribution to the overall cost of a tandem III-V/Si solar cell, and can enable significant savings if it enables thinner buffer layers.« less

  17. Hydride VPE: the unexpected process for the fast growth of GaAs and GaN nanowires with record aspect ratio and polytypism-free crystalline structure

    NASA Astrophysics Data System (ADS)

    André, Yamina; Trassoudaine, Agnès.; Avit, Geoffrey; Lekhal, Kaddour; Ramdani, Mohammed R.; Leroux, Christine; Monier, Guillaume; Varenne, Christelle; Hoggan, Philip; Castelluci, Dominique; Bougerol, Catherine; Réveret, François; Leymarie, Joël.; Petit, Elodie; Dubrovskii, Vladimir G.; Gil, Evelyne

    2013-12-01

    Hydride Vapor Phase Epitaxy (HVPE) makes use of chloride III-Cl and hydride V-H3 gaseous growth precursors. It is known as a near-equilibrium process, providing the widest range of growth rates from 1 to more than 100 μm/h. When it comes to metal catalyst-assisted VLS (vapor-liquid-solid) growth, the physics of HVPE growth is maintained: high dechlorination frequency, high axial growth rate of nanowires (NWs) up to 170 μm/h. The remarkable features of NWs grown by HVPE are the untapered morphology with constant diameter and the stacking fault-free crystalline phase. Record pure zinc blende cubic phase for 20 μm long GaAs NWs with radii of 10 and 5 nm is shown. The absence of wurtzite phase in GaAs NWs grown by HVPE whatever the diameter is discussed with respect to surface energetic grounds and kinetics. Ni assisted, Ni-Au assisted and catalyst-free HVPE growth of wurtzite GaN NWs is also addressed. Micro-photoluminescence spectroscopy analysis revealed GaN nanowires of great optical quality, with a FWHM of 1 meV at 10 K for the neutral donor bound exciton transition.

  18. Scanning microwave microscopy applied to semiconducting GaAs structures

    NASA Astrophysics Data System (ADS)

    Buchter, Arne; Hoffmann, Johannes; Delvallée, Alexandra; Brinciotti, Enrico; Hapiuk, Dimitri; Licitra, Christophe; Louarn, Kevin; Arnoult, Alexandre; Almuneau, Guilhem; Piquemal, François; Zeier, Markus; Kienberger, Ferry

    2018-02-01

    A calibration algorithm based on one-port vector network analyzer (VNA) calibration for scanning microwave microscopes (SMMs) is presented and used to extract quantitative carrier densities from a semiconducting n-doped GaAs multilayer sample. This robust and versatile algorithm is instrument and frequency independent, as we demonstrate by analyzing experimental data from two different, cantilever- and tuning fork-based, microscope setups operating in a wide frequency range up to 27.5 GHz. To benchmark the SMM results, comparison with secondary ion mass spectrometry is undertaken. Furthermore, we show SMM data on a GaAs p-n junction distinguishing p- and n-doped layers.

  19. Kinetics of surfactant-mediated epitaxy of III-V semiconductors

    NASA Astrophysics Data System (ADS)

    Grandjean, N.; Massies, J.

    1996-05-01

    Surfactant-mediated epitaxy (SME) of III-V semiconductors is studied in the case of the GaAs(001) growth using Te as surfactant. To account for the strong surface segregation of Te, a phenomenological exchange mechanism is used. This process explains the reduction of the surface diffusion length evidenced by scanning tunneling microscopy (STM). However, this kinetics effect is observed only for restricted growth conditions: the As surface coverage should be sufficient to allow the exchange process. STM results as well as Monte Carlo simulations clearly show that the group-V element surface coverage plays a key role in the kinetics of SME of III-V semiconductors.

  20. Template-assisted selective epitaxy of III–V nanoscale devices for co-planar heterogeneous integration with Si

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schmid, H., E-mail: sih@zurich.ibm.com; Borg, M.; Moselund, K.

    2015-06-08

    III–V nanoscale devices were monolithically integrated on silicon-on-insulator (SOI) substrates by template-assisted selective epitaxy (TASE) using metal organic chemical vapor deposition. Single crystal III–V (InAs, InGaAs, GaAs) nanostructures, such as nanowires, nanostructures containing constrictions, and cross junctions, as well as 3D stacked nanowires were directly obtained by epitaxial filling of lithographically defined oxide templates. The benefit of TASE is exemplified by the straightforward fabrication of nanoscale Hall structures as well as multiple gate field effect transistors (MuG-FETs) grown co-planar to the SOI layer. Hall measurements on InAs nanowire cross junctions revealed an electron mobility of 5400 cm{sup 2}/V s, while the alongsidemore » fabricated InAs MuG-FETs with ten 55 nm wide, 23 nm thick, and 390 nm long channels exhibit an on current of 660 μA/μm and a peak transconductance of 1.0 mS/μm at V{sub DS} = 0.5 V. These results demonstrate TASE as a promising fabrication approach for heterogeneous material integration on Si.« less

  1. Growth features and spectroscopic structure investigations of nanoprofiled AlN films formed on misoriented GaAs substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Seredin, P. V., E-mail: paul@phys.vsu.ru; Goloshchapov, D. L.; Lenshin, A. S.

    Nanostructured aluminum-nitride films are formed by reactive ion-plasma sputtering onto GaAs substrates with different orientations. The properties of the films are studied via structural analysis, atomic force microscopy, and infrared and visible–ultraviolet spectroscopy. The aluminum-nitride films can have a refractive index in the range of 1.6–4.0 at a wavelength of ~250 nm and an optical band gap of ~5 eV. It is shown that the morphology, surface composition, and optical characteristics of AlN/GaAs heterophase systems can be controlled using misoriented GaAs substrates.

  2. Low-Temperature Silicon Epitaxy by Remote, Plasma - Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Habermehl, Scott Dwight

    abstraction rate. The observed increase in growth rate is concluded to be a manifestation of increased deposition site activation, resulting from the enhanced H abstraction mechanism. Secondary ion mass spectrometry measurements, of H incorporation in the Si films, yield an "effective" activation energy for the abstraction of surface H. A shift in the activation energy between 50 mTorr (0.7 eV) and 500 mTorr (0.3 eV) supports the conclusions for an ion-induced H abstraction mechanism. From this, a chemical sputtering reaction is proposed, whereby impinging ions react with chemisorbed H to form volatile species. Heteroepitaxial Si thin films are deposited upon GaP and GaAs surfaces. AES is used to evaluate the growth mode of Si on GaP(111) and vicinal GaP(100). In both instances, the data indicates a modified layer-plus-island growth mechanism, with possible interfacial alloy mixing. High quality epitaxial growth is observed to proceed on vicinal GaP(100) surfaces beyond the predicted critical thickness for strain relief of 140 A. For GaP(111), defective structures are observed well below the predicted critical thickness. This discrepancy is attributed to low precursor surface diffusion kinetics that are accommodated by the presence of steps on the vicinal surface. For deposition of Si on GaAs(100), disordered structure is observed within the first few monolayers of growth, which is in agreement with the predicted critical thickness for this system of approximately 10 A.

  3. New method for MBE growth of GaAs nanowires on silicon using colloidal Au nanoparticles

    NASA Astrophysics Data System (ADS)

    Bouravleuv, A.; Ilkiv, I.; Reznik, R.; Kotlyar, K.; Soshnikov, I.; Cirlin, G.; Brunkov, P.; Kirilenko, D.; Bondarenko, L.; Nepomnyaschiy, A.; Gruznev, D.; Zotov, A.; Saranin, A.; Dhaka, V.; Lipsanen, H.

    2018-01-01

    We present a new method for the deposition of colloidal Au nanoparticles on the surface of silicon substrates based on short-time Ar plasma treatment without the use of any polymeric layers. The elaborated method is compatible with molecular beam epitaxy, which allowed us to carry out the detailed study of GaAs nanowire synthesis on Si(111) substrates using colloidal Au nanoparticles as seeds for their growth. The results obtained elucidated the causes of the difference between the initial nanoparticle sizes and the diameters of the grown nanowires.

  4. Atomic-scale structure and electronic properties of GaN/GaAs superlattices

    NASA Astrophysics Data System (ADS)

    Goldman, R. S.; Feenstra, R. M.; Briner, B. G.; O'Steen, M. L.; Hauenstein, R. J.

    1996-12-01

    We have investigated the atomic-scale structure and electronic properties of GaN/GaAs superlattices produced by nitridation of a molecular beam epitaxially grown GaAs surface. Using cross-sectional scanning tunneling microscopy (STM) and spectroscopy, we show that the nitrided layers are laterally inhomogeneous, consisting of groups of atomic-scale defects and larger clusters. Analysis of x-ray diffraction data in terms of fractional area of clusters (determined by STM), reveals a cluster lattice constant similar to bulk GaN. In addition, tunneling spectroscopy on the defects indicates a conduction band state associated with an acceptor level of NAs in GaAs. Therefore, we identify the clusters and defects as nearly pure GaN and NAs, respectively. Together, the results reveal phase segregation in these arsenide/nitride structures, in agreement with the large miscibility gap predicted for GaAsN.

  5. Impact of heavy hole-light hole coupling on optical selection rules in GaAs quantum dots

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Belhadj, T.; Amand, T.; Kunz, S.

    2010-08-02

    We report strong heavy hole-light hole mixing in GaAs quantum dots grown by droplet epitaxy. Using the neutral and charged exciton emission as a monitor we observe the direct consequence of quantum dot symmetry reduction in this strain free system. By fitting the polar diagram of the emission with simple analytical expressions obtained from k{center_dot}p theory we are able to extract the mixing that arises from the heavy-light hole coupling due to the geometrical asymmetry of the quantum dot.

  6. Deep-level transient spectroscopy studies of Ni- and Zn-diffused vapor-phase-epitaxy n-GaAs

    NASA Technical Reports Server (NTRS)

    Partin, D. L.; Chen, J. W.; Milnes, A. G.; Vassamillet, L. F.

    1979-01-01

    The paper presents deep-level transient spectroscopy studies of Ni- and Zn-diffused vapor-phase epitaxy n-GaAs. Nickel diffused into VPE n-GaAs reduces the hole diffusion length L sub p from 4.3 to 1.1 microns. Deep-level transient spectroscopy was used to identify energy levels in Ni-diffused GaAs; the as-grown VPE GaAs contains traces of these levels and an electron trap. Ni diffusion reduces the concentration of this level by an amount that matches the increase in concentration of each of the two Ni-related levels. A technique for measuring minority-carrier capture cross sections was developed, which indicates that L sub p in Ni-diffused VPE n-GaAs is controlled by the E sub c - 0.39 eV defect level.

  7. Copper-related defects in In0.53Ga0.47As grown by liquid-phase epitaxy

    NASA Astrophysics Data System (ADS)

    Tilly, L. P.; Grimmeiss, H. G.; Hansson, P. O.

    1993-01-01

    High-purity In0.53Ga0.47As lattice matched to InP was grown by liquid-phase epitaxy and used for the study of Cu-related defects. The samples had a free-electron carrier concentration of n=5.0×1014 cm-3 and an electron mobility of μ77 K=44 000 cm2/V s. A Cu-related acceptor level 25 meV above the valence-band edge was identified using photoluminescence measurements. Comparing the energy position of this shallow acceptor level with the Ev+157.8-meV Cu-acceptor level in GaAs supports the assumption of an internal energy reference level [J. M. Langer, C. Delerue, M. Lannoo, and H. Heinrich, Phys. Rev. B 38, 7723 (1988)] common to GaAs and InxGa1-xAs.

  8. GaAs photoconductive semiconductor switch

    DOEpatents

    Loubriel, G.M.; Baca, A.G.; Zutavern, F.J.

    1998-09-08

    A high gain, optically triggered, photoconductive semiconductor switch (PCSS) implemented in GaAs as a reverse-biased pin structure with a passivation layer above the intrinsic GaAs substrate in the gap between the two electrodes of the device is disclosed. The reverse-biased configuration in combination with the addition of the passivation layer greatly reduces surface current leakage that has been a problem for prior PCSS devices and enables employment of the much less expensive and more reliable DC charging systems instead of the pulsed charging systems that needed to be used with prior PCSS devices. 5 figs.

  9. Characterization of core/shell structures based on CdTe and GaAs nanocrystalline layers deposited on SnO2 microwires

    NASA Astrophysics Data System (ADS)

    Ghimpu, L.; Ursaki, V. V.; Pantazi, A.; Mesterca, R.; Brâncoveanu, O.; Shree, Sindu; Adelung, R.; Tiginyanu, I. M.; Enachescu, M.

    2018-04-01

    We report the fabrication and characterization of SnO2/CdTe and SnO2/GaAs core/shell microstructures. CdTe or GaAs shell layers were deposited by radio-frequency (RF) magnetron sputtering on core SnO2 microwires synthesized by a flame-based thermal oxidation method. The produced structures were characterized by scanning electron microscopy (SEM), high-resolution scanning transmission electron microscope (HR-STEM), X-ray diffraction (XRD), Raman scattering and FTIR spectroscopy. It was found that the SnO2 core is of the rutile type, while the shells are composed of CdTe or GaAs nanocrystallites of zincblende structure with the dimensions of crystallites in the range of 10-20 nm. The Raman scattering investigations demonstrated that the quality of the porous nanostructured shell is improved by annealing at temperatures of 420-450 °C. The prospects of implementing these microstructures in intrinsic type fiber optic sensors are discussed.

  10. Reduced interface spin polarization by antiferromagnetically coupled Mn segregated to the C o2MnSi /GaAs (001) interface

    NASA Astrophysics Data System (ADS)

    Rath, Ashutosh; Sivakumar, Chockalingam; Sun, C.; Patel, Sahil J.; Jeong, Jong Seok; Feng, J.; Stecklein, G.; Crowell, Paul A.; Palmstrøm, Chris J.; Butler, William H.; Voyles, Paul M.

    2018-01-01

    We have investigated the interfacial structure and its correlation with the calculated spin polarization in C o2MnSi /GaAs(001) lateral spin valves. C o2MnSi (CMS) films were grown on As-terminated c(4 ×4 ) GaAs(100) by molecular beam epitaxy using different first atomic layers: MnSi, Co, and Mn. Atomically resolved Z -contrast scanning transmission electron microscopy (STEM) imaging and electron energy loss spectroscopy (EELS) were used to develop atomic structural models of the CMS/GaAs interfaces that were used as inputs for first-principles calculations to understand the magnetic and electronic properties of the interface. First-principles structures were relaxed and then validated by comparing experimental and simulated high-resolution STEM images. STEM-EELS results show that all three films have similar six atomic layer thick, Mn- and As-rich multilayer interfaces. However, the Co-initiated interface contains a M n2As -like layer, which is antiferromagnetic, and which is not present in the other two interfaces. Density functional theory calculations show a higher degree of interface spin polarization in the Mn- and MnSi-initiated cases, compared to the Co-initiated case, although none of the interfaces are half-metallic. The loss of half-metallicity is attributed, at least in part, to the segregation of Mn at the interface, which leads to the formation of interface states. The implications for the performance of lateral spin valves based on these interfaces are discussed briefly.

  11. Oxidized film structure and method of making epitaxial metal oxide structure

    DOEpatents

    Gan, Shupan [Richland, WA; Liang, Yong [Richland, WA

    2003-02-25

    A stable oxidized structure and an improved method of making such a structure, including an improved method of making an interfacial template for growing a crystalline metal oxide structure, are disclosed. The improved method comprises the steps of providing a substrate with a clean surface and depositing a metal on the surface at a high temperature under a vacuum to form a metal-substrate compound layer on the surface with a thickness of less than one monolayer. The compound layer is then oxidized by exposing the compound layer to essentially oxygen at a low partial pressure and low temperature. The method may further comprise the step of annealing the surface while under a vacuum to further stabilize the oxidized film structure. A crystalline metal oxide structure may be subsequently epitaxially grown by using the oxidized film structure as an interfacial template and depositing on the interfacial template at least one layer of a crystalline metal oxide.

  12. The electronic and optical properties of quaternary GaAs1-x-y N x Bi y alloy lattice-matched to GaAs: a first-principles study.

    PubMed

    Ma, Xiaoyang; Li, Dechun; Zhao, Shengzhi; Li, Guiqiu; Yang, Kejian

    2014-01-01

    First-principles calculations based on density functional theory have been performed for the quaternary GaAs1-x-y N x Bi y alloy lattice-matched to GaAs. Using the state-of-the-art computational method with the Heyd-Scuseria-Ernzerhof (HSE) hybrid functional, electronic, and optical properties were obtained, including band structures, density of states (DOSs), dielectric function, absorption coefficient, refractive index, energy loss function, and reflectivity. It is found that the lattice constant of GaAs1-x-y N x Bi y alloy with y/x =1.718 can match to GaAs. With the incorporation of N and Bi into GaAs, the band gap of GaAs1-x-y N x Bi y becomes small and remains direct. The calculated optical properties indicate that GaAs1-x-y N x Bi y has higher optical efficiency as it has less energy loss than GaAs. In addition, it is also found that the electronic and optical properties of GaAs1-x-y N x Bi y alloy can be further controlled by tuning the N and Bi compositions in this alloy. These results suggest promising applications of GaAs1-x-y N x Bi y quaternary alloys in optoelectronic devices.

  13. Improvements of MCT MBE Growth on GaAs

    NASA Astrophysics Data System (ADS)

    Ziegler, J.; Wenisch, J.; Breiter, R.; Eich, D.; Figgemeier, H.; Fries, P.; Lutz, H.; Wollrab, R.

    2014-08-01

    In recent years, continuous progress has been published in the development of HgCdTe (MCT) infrared (IR) focal plane arrays (FPAs) fabricated by molecular beam epitaxy on GaAs substrates. In this publication, further characterization of the state-of-the art 1280 × 1024 pixel, 15- μm pitch detector fabricated from this material in both the mid-wavelength (MWIR) and long-wavelength (LWIR) IR region will be presented. For MWIR FPAs, the percentage of defective pixel remains below 0.5% up to an operating temperature ( T OP) of around 100 K. For the LWIR FPA, an operability of 99.25% was achieved for a T OP of 76 K. Additionally, the beneficial effect of the inclusion of MCT layers with a graded composition region was investigated and demonstrated on current-voltage ( IV) characteristics on test diodes in a MWIR FPA.

  14. Interface structure and composition of MoO3/GaAs(0 0 1)

    NASA Astrophysics Data System (ADS)

    Sarkar, Anirban; Ashraf, Tanveer; Grafeneder, Wolfgang; Koch, Reinhold

    2018-04-01

    We studied growth, structure, stress, oxidation state as well as surface and interface structure and composition of thermally-evaporated thin MoO3 films on the technologically important III/V-semiconductor substrate GaAs(0 0 1). The MoO3 films grow with Mo in the 6+  oxidation state. The electrical resistance is tunable by the oxygen partial pressure during deposition from transparent insulating to semi-transparant halfmetallic. In the investigated growth temperature range (room temperature to 200 °C) no diffraction spots are detected by x-ray diffraction. However, high resolution transmission electron microscopy reveals the formation of MoO3 nanocrystal grains with diameters of 5–8 nm. At the interface a  ≈3 nm-thick intermediate layer has formed, where the single-crystal lattice of GaAs gradually transforms to the nanocrystalline MoO3 structure. This interpretation is corroborated by our in situ and real-time stress measurements evidencing a two-stage growth process as well as by elemental interface analysis revealing coexistance of Ga, As, Mo, and oxygen in a intermediate layer of 3–4 nm.

  15. Short-period (AlAs)(GaAs) superlattice lasers grown by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Blood, P.; Fletcher, E.D.; Foxon, C.T.

    1988-07-25

    We have used short-period all-binary (AlAs)(GaAs) superlattices with layers as thin as three monolayers to synthesize the barrier and cladding regions of GaAs quantum well lasers grown by molecular beam epitaxy. By studying the threshold current of single- and double-well devices as a function of cavity length and temperature, we conclude that the optical scattering losses are very low, that the gain-current characteristics are similar to alloy barrier devices, and that there is evidence for current leakage by recombination in the barriers.

  16. Epitaxial growth and photoluminescence of hexagonal CdS 1- xSe x alloy films

    NASA Astrophysics Data System (ADS)

    Grün, M.; Gerlach, H.; Breitkopf, Th.; Hetterich, M.; Reznitsky, A.; Kalt, H.; Klingshirn, C.

    1995-01-01

    CdSSe ternary alloy films were grown on GaAs(111) by hot-wall beam epitaxy. The hexagonal crystal phase is obtained. The composition varies from 0 to 40% selenium. Luminescence spectroscopy at low temperatures shows a dominant effect by alloy disorder. Localization of carriers, for example, is still observed at a pulsed optical excitation density of 6 mJ/cm 2. The overall quality of the CdSSe films is sufficient to use them as buffer layers for the growth of hexagonal superlattices.

  17. Micro-opto-mechanical devices and systems using epitaxial lift off

    NASA Technical Reports Server (NTRS)

    Camperi-Ginestet, C.; Kim, Young W.; Wilkinson, S.; Allen, M.; Jokerst, N. M.

    1993-01-01

    The integration of high quality, single crystal thin film gallium arsenide (GaAs) and indium phosphide (InP) based photonic and electronic materials and devices with host microstructures fabricated from materials such as silicon (Si), glass, and polymers will enable the fabrication of the next generation of micro-opto-mechanical systems (MOMS) and optoelectronic integrated circuits. Thin film semiconductor devices deposited onto arbitrary host substrates and structures create hybrid (more than one material) near-monolithic integrated systems which can be interconnected electrically using standard inexpensive microfabrication techniques such as vacuum metallization and photolithography. These integrated systems take advantage of the optical and electronic properties of compound semiconductor devices while still using host substrate materials such as silicon, polysilicon, glass and polymers in the microstructures. This type of materials optimization for specific tasks creates higher performance systems than those systems which must use trade-offs in device performance to integrate all of the function in a single material system. The low weight of these thin film devices also makes them attractive for integration with micromechanical devices which may have difficulty supporting and translating the full weight of a standard device. These thin film devices and integrated systems will be attractive for applications, however, only when the development of low cost, high yield fabrication and integration techniques makes their use economically feasible. In this paper, we discuss methods for alignment, selective deposition, and interconnection of thin film epitaxial GaAs and InP based devices onto host substrates and host microstructures.

  18. Crystal growth of GaAs in space

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.; Lagowski, J.; Pawlowicz, L. M.; Dabkowski, F.; Li, C. J.

    1984-01-01

    It is shown that stoichiometry variations in the GaAs melt during growth constitute the most critical parameter regarding defect formations and their interactions; this defect structure determines all relevant characteristics of GaAs. Convection in the melt leads to stoichiometric variations. Growth in axial magnetic fields reduces convection and permits the study of defect structure. In order to control stoichiometry in space and to accommodate expansion during solidification, a partially confined configuration was developed. A triangular prism is employed to contain the growth melt. This configuration permits the presence of the desired vapor phase in contact with the melt for controlling the melt stoichiometry.

  19. Influence of deposition rate on the structural properties of plasma-enhanced CVD epitaxial silicon.

    PubMed

    Chen, Wanghua; Cariou, Romain; Hamon, Gwenaëlle; Léal, Ronan; Maurice, Jean-Luc; Cabarrocas, Pere Roca I

    2017-03-06

    Solar cells based on epitaxial silicon layers as the absorber attract increasing attention because of the potential cost reduction. In this work, we studied the influence of the deposition rate on the structural properties of epitaxial silicon layers produced by plasma-enhanced chemical vapor deposition (epi-PECVD) using silane as a precursor and hydrogen as a carrier gas. We found that the crystalline quality of epi-PECVD layers depends on their thickness and deposition rate. Moreover, increasing the deposition rate may lead to epitaxy breakdown. In that case, we observe the formation of embedded amorphous silicon cones in the epi-PECVD layer. To explain this phenomenon, we develop a model based on the coupling of hydrogen and built-in strain. By optimizing the deposition conditions to avoid epitaxy breakdown, including substrate temperatures and plasma potential, we have been able to synthesize epi-PECVD layers up to a deposition rate of 8.3 Å/s. In such case, we found that the incorporation of hydrogen in the hydrogenated crystalline silicon can reach 4 at. % at a substrate temperature of 350 °C.

  20. Heterojunction photovoltaics using GaAs nanowires and conjugated polymers.

    PubMed

    Ren, Shenqiang; Zhao, Ni; Crawford, Samuel C; Tambe, Michael; Bulović, Vladimir; Gradecak, Silvija

    2011-02-09

    We demonstrate an organic/inorganic solar cell architecture based on a blend of poly(3-hexylthiophene) (P3HT) and narrow bandgap GaAs nanowires. The measured increase of device photocurrent with increased nanowire loading is correlated with structural ordering within the active layer that enhances charge transport. Coating the GaAs nanowires with TiO(x) shells passivates nanowire surface states and further improves the photovoltaic performance. We find that the P3HT/nanowire cells yield power conversion efficiencies of 2.36% under white LED illumination for devices containing 50 wt % of TiO(x)-coated GaAs nanowires. Our results constitute important progress for the use of nanowires in large area solution processed hybrid photovoltaic cells and provide insight into the role of structural ordering in the device performance.

  1. Multiband corrections for the semi-classical simulation of interband tunneling in GaAs tunnel junctions

    NASA Astrophysics Data System (ADS)

    Louarn, K.; Claveau, Y.; Hapiuk, D.; Fontaine, C.; Arnoult, A.; Taliercio, T.; Licitra, C.; Piquemal, F.; Bounouh, A.; Cavassilas, N.; Almuneau, G.

    2017-09-01

    The aim of this study is to investigate the impact of multiband corrections on the current density in GaAs tunnel junctions (TJs) calculated with a refined yet simple semi-classical interband tunneling model (SCITM). The non-parabolicity of the considered bands and the spin-orbit effects are considered by using a recently revisited SCITM available in the literature. The model is confronted to experimental results from a series of molecular beam epitaxy grown GaAs TJs and to numerical results obtained with a full quantum model based on the non-equilibrium Green’s function formalism and a 6-band k.p Hamiltonian. We emphasize the importance of considering the non-parabolicity of the conduction band by two different measurements of the energy-dependent electron effective mass in N-doped GaAs. We also propose an innovative method to compute the non-uniform electric field in the TJ for the SCITM simulations, which is of prime importance for a successful operation of the model. We demonstrate that, when considering the multiband corrections and this new computation of the non-uniform electric field, the SCITM succeeds in predicting the electrical characteristics of GaAs TJs, and are also in agreement with the quantum model. Besides the fundamental study of the tunneling phenomenon in TJs, the main benefit of this SCITM is that it can be easily embedded into drift-diffusion software, which are the most widely-used simulation tools for electronic and opto-electronic devices such as multi-junction solar cells, tunnel field-effect transistors, or vertical-cavity surface-emitting lasers.

  2. ALD Al2O3 passivation of Lg = 100 nm metamorphic InAlAs/InGaAs HEMTs with Si-doped Schottky layers on GaAs substrates

    NASA Astrophysics Data System (ADS)

    Sun, Bing; Chang, Hudong; Wang, Shengkai; Niu, Jiebin; Liu, Honggang

    2017-12-01

    In0.52Al0.48As/In0.7Ga0.3As metamorphic high-electron-mobility transistors (mHEMTs) on GaAs substrates have been demonstrated. The devices feature an epitaxial structure with Si-doped InP/In0.52Al0.48As Schottky layers, together with an atomic layer deposition (ALD) Al2O3 passivation process. In comparison to the GaAs mHEMTs with plasma enhanced chemical vapor deposition (PECVD) SiN passivation, the devices with ALD Al2O3 passivation exhibit more than one order of magnitude lower gate leakage current (Jg) and much lower contact resistance (RC) and specific contact resistivity (ρC). 100-nm gate length (Lg) In0.52Al0.48As/In0.7Ga0.3As mHEMTs with Si-doped InP/In0.52Al0.48As Schottky layers and ALD Al2O3 passivation exhibit excellent DC and RF characteristics, such as a maximum oscillation frequency (fmax) of 388.2 GHz.

  3. Epitaxial thin films

    DOEpatents

    Hunt, Andrew Tye; Deshpande, Girish; Lin, Wen-Yi; Jan, Tzyy-Jiuan

    2006-04-25

    Epitatial thin films for use as buffer layers for high temperature superconductors, electrolytes in solid oxide fuel cells (SOFC), gas separation membranes or dielectric material in electronic devices, are disclosed. By using CCVD, CACVD or any other suitable deposition process, epitaxial films having pore-free, ideal grain boundaries, and dense structure can be formed. Several different types of materials are disclosed for use as buffer layers in high temperature superconductors. In addition, the use of epitaxial thin films for electrolytes and electrode formation in SOFCs results in densification for pore-free and ideal gain boundary/interface microstructure. Gas separation membranes for the production of oxygen and hydrogen are also disclosed. These semipermeable membranes are formed by high-quality, dense, gas-tight, pinhole free sub-micro scale layers of mixed-conducting oxides on porous ceramic substrates. Epitaxial thin films as dielectric material in capacitors are also taught herein. Capacitors are utilized according to their capacitance values which are dependent on their physical structure and dielectric permittivity. The epitaxial thin films of the current invention form low-loss dielectric layers with extremely high permittivity. This high permittivity allows for the formation of capacitors that can have their capacitance adjusted by applying a DC bias between their electrodes.

  4. Inductively coupled plasma etching of GaAs low loss waveguides for a traveling waveguide polarization converter, using chlorine chemistry

    NASA Astrophysics Data System (ADS)

    Lu, J.; Meng, X.; Springthorpe, A. J.; Shepherd, F. R.; Poirier, M.

    2004-05-01

    A traveling waveguide polarization converter [M. Poirier et al.] has been developed, which involves long, low loss, weakly confined waveguides etched in GaAs (epitaxially grown by molecular beam epitaxy), with electroplated ``T electrodes'' distributed along the etched floor adjacent to the ridge walls, and airbridge interconnect metallization. This article describes the development of the waveguide fabrication, based on inductively coupled plasma (ICP) etching of GaAs using Cl2 chemistry; the special processes required to fabricate the electrodes and metallization [X. Meng et al.], and the device characteristics [M. Poirier et al.], are described elsewhere. The required waveguide has dimensions nominally 4 μm wide and 2.1 μm deep, with dimensional tolerances ~0.1 μm across the wafer and wafer to wafer. A vertical etch profile with very smooth sidewalls and floors is required to enable the plated metal electrodes to be fabricated within 0.1 μm of the ridge. The ridges were fabricated using Cl2 ICP etching and a photoresist mask patterned with an I-line stepper; He backside cooling, combined with an electrostatic chuck, was employed to ensure good heat transfer to prevent resist reticulation. The experimental results showed that the ridge profile is very sensitive to ICP power and platen rf power. High ICP power and low platen power tend to result in more isotropic etching, whereas increasing platen power increases the photoresist etch rate, which causes rougher ridge sidewalls. No strong dependence of GaAs etch rate and ridge profile were observed with small changes in process temperature (chuck temperature). However, when the chuck temperature was decreased from 25 to 0 °C, etch uniformity across a 3 in. wafer improved from 6% to 3%. Photoresist and polymer residues present after the ICP etch were removed using a combination of wet and dry processes. .

  5. Artificially structured thin-film materials and interfaces.

    PubMed

    Narayanamurti, V

    1987-02-27

    The ability to artificially structure new materials on an atomic scale by using advanced crystal growth methods such as molecular beam epitaxy and metal-organic chemical vapor deposition has recently led to the observation of unexpected new physical phenomena and to the creation of entirely new classes of devices. In particular, the growth of materials of variable band gap in technologically important semiconductors such as GaAs, InP, and silicon will be reviewed. Recent results of studies of multilayered structures and interfaces based on the use of advanced characterization techniques such as high-resolution transmission electron microscopy and scanning tunneling microscopy will be presented.

  6. Epitaxial growth of hybrid nanostructures

    NASA Astrophysics Data System (ADS)

    Tan, Chaoliang; Chen, Junze; Wu, Xue-Jun; Zhang, Hua

    2018-02-01

    Hybrid nanostructures are a class of materials that are typically composed of two or more different components, in which each component has at least one dimension on the nanoscale. The rational design and controlled synthesis of hybrid nanostructures are of great importance in enabling the fine tuning of their properties and functions. Epitaxial growth is a promising approach to the controlled synthesis of hybrid nanostructures with desired structures, crystal phases, exposed facets and/or interfaces. This Review provides a critical summary of the state of the art in the field of epitaxial growth of hybrid nanostructures. We discuss the historical development, architectures and compositions, epitaxy methods, characterization techniques and advantages of epitaxial hybrid nanostructures. Finally, we provide insight into future research directions in this area, which include the epitaxial growth of hybrid nanostructures from a wider range of materials, the study of the underlying mechanism and determining the role of epitaxial growth in influencing the properties and application performance of hybrid nanostructures.

  7. Strain-driven growth of GaAs(111) quantum dots with low fine structure splitting

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yerino, Christopher D.; Jung, Daehwan; Lee, Minjoo Larry, E-mail: minjoo.lee@yale.edu

    2014-12-22

    Symmetric quantum dots (QDs) on (111)-oriented surfaces are promising candidates for generating polarization-entangled photons due to their low excitonic fine structure splitting (FSS). However, (111) QDs are difficult to grow. The conventional use of compressive strain to drive QD self-assembly fails to form 3D nanostructures on (111) surfaces. Instead, we demonstrate that (111) QDs self-assemble under tensile strain by growing GaAs QDs on an InP(111)A substrate. Tensile GaAs self-assembly produces a low density of QDs with a symmetric triangular morphology. Coherent, tensile QDs are observed without dislocations, and the QDs luminescence at room temperature. Single QD measurements reveal low FSSmore » with a median value of 7.6 μeV, due to the high symmetry of the (111) QDs. Tensile self-assembly thus offers a simple route to symmetric (111) QDs for entangled photon emitters.« less

  8. High resolution x-ray diffraction analysis of annealed low-temperature gallium arsenide

    NASA Astrophysics Data System (ADS)

    Matyi, R. J.; Melloch, M. R.; Woodall, J. M.

    1992-05-01

    High resolution x-ray diffraction methods have been used to characterize GaAs grown at low substrate temperatures by molecular beam epitaxy and to examine the effects of post-growth annealing on the structure of the layers. Double crystal rocking curves from the as-deposited epitaxial layer show well-defined interference fringes, indicating a high level of structural perfection despite the presence of excess arsenic. Annealing at temperatures from 700 to 900 °C resulted in a decrease in the perpendicular lattice mismatch between the GaAs grown at low temperature and the substrate from 0.133% to 0.016% and a decrease (but not total elimination) of the visibility of the interference fringes. Triple-crystal diffraction scans around the 004 point in reciprocal space exhibited an increase in the apparent mosaic spread of the epitaxial layer with increasing anneal temperature. The observations are explained in terms of the growth of arsenic precipitates in the epitaxial layer.

  9. Strain relaxation induced surface morphology of heterogeneous GaInNAs layers grown on GaAs substrate

    NASA Astrophysics Data System (ADS)

    Gelczuk, Ł.; Jóźwiak, G.; Moczała, M.; Dłużewski, P.; Dąbrowska-Szata, M.; Gotszalk, T. P.

    2017-07-01

    The partially-relaxed heterogeneous GaInNAs layers grown on GaAs substrate by atmospheric pressure vapor phase epitaxy (AP-MOVPE) were investigated by transmission electron microscopy (TEM) and atomic force microscopy (AFM). The planar-view TEM image shows a regular 2D network of misfit dislocations oriented in two orthogonal 〈1 1 0〉 crystallographic directions at the (0 0 1) layer interface. Moreover, the cross-sectional view TEM image reveals InAs-rich and V-shaped precipitates in the near surface region of the GaInNAs epitaxial layer. The resultant undulating surface morphology, known as a cross-hatch pattern, is formed as observed by AFM. The numerical analysis of the AFM image of the GaInNAs layer surface with the well-defined cross-hatch morphology enabled us to determine a lower bound of actual density of misfit dislocations. However, a close correspondence between the asymmetric distribution of interfacial misfit dislocations and undulating surface morphology is observed.

  10. Study of strain boundary conditions and GaAs buffer sizes in InGaAs quantum dots

    NASA Technical Reports Server (NTRS)

    Oyafuso, F.; Klimeck, G.; Boykin, T. B.; Bowen, R. C.; Allmen, P. von

    2003-01-01

    NEMO 3-D has been developed for the simulation of electronic structure in self-assembled InGaAs quantum dots on GaAs substrates. Typical self-assembled quantum dots in that material system contain about 0.5 to 1 million atoms. Effects of strain by the surrounding GaAs buffer modify the electronic structure inside the quantum dot significantly and a large GaAs buffer must be included in the strain and electronic structure.

  11. Coaxial GaAs-AlGaAs core-multishell nanowire lasers with epitaxial gain control

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Stettner, T., E-mail: Thomas.Stettner@wsi.tum.de, E-mail: Gregor.Koblmueller@wsi.tum.de, E-mail: Jonathan.Finley@wsi.tum.de; Zimmermann, P.; Loitsch, B.

    2016-01-04

    We demonstrate the growth and single-mode lasing operation of GaAs-AlGaAs core-multishell nanowires (NW) with radial single and multiple GaAs quantum wells (QWs) as active gain media. When subject to optical pumping lasing emission with distinct s-shaped input-output characteristics, linewidth narrowing and emission energies associated with the confined QWs are observed. Comparing the low temperature performance of QW NW laser structures having 7 coaxial QWs with a nominally identical structure having only a single QW shows that the threshold power density reduces several-fold, down to values as low as ∼2.4 kW/cm{sup 2} for the multiple QW NW laser. This confirms that themore » individual radial QWs are electronically weakly coupled and that epitaxial design can be used to optimize the gain characteristics of the devices. Temperature-dependent investigations show that lasing prevails up to 300 K, opening promising new avenues for efficient III–V semiconductor NW lasers with embedded low-dimensional gain media.« less

  12. Influence of deposition rate on the structural properties of plasma-enhanced CVD epitaxial silicon

    PubMed Central

    Chen, Wanghua; Cariou, Romain; Hamon, Gwenaëlle; Léal, Ronan; Maurice, Jean-Luc; Cabarrocas, Pere Roca i

    2017-01-01

    Solar cells based on epitaxial silicon layers as the absorber attract increasing attention because of the potential cost reduction. In this work, we studied the influence of the deposition rate on the structural properties of epitaxial silicon layers produced by plasma-enhanced chemical vapor deposition (epi-PECVD) using silane as a precursor and hydrogen as a carrier gas. We found that the crystalline quality of epi-PECVD layers depends on their thickness and deposition rate. Moreover, increasing the deposition rate may lead to epitaxy breakdown. In that case, we observe the formation of embedded amorphous silicon cones in the epi-PECVD layer. To explain this phenomenon, we develop a model based on the coupling of hydrogen and built-in strain. By optimizing the deposition conditions to avoid epitaxy breakdown, including substrate temperatures and plasma potential, we have been able to synthesize epi-PECVD layers up to a deposition rate of 8.3 Å/s. In such case, we found that the incorporation of hydrogen in the hydrogenated crystalline silicon can reach 4 at. % at a substrate temperature of 350 °C. PMID:28262840

  13. Localized variations in electronic structure of AlGaN/GaN heterostructures grown by molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Smith, K. V.; Yu, E. T.; Elsass, C. R.; Heying, B.; Speck, J. S.

    2001-10-01

    Local electronic properties in a molecular-beam-epitaxy-grown AlxGa1-xN/GaN heterostructure field-effect transistor epitaxial layer structure are probed using depth-resolved scanning capacitance microscopy. Theoretical analysis of contrast observed in scanning capacitance images acquired over a range of bias voltages is used to assess the possible structural origins of local inhomogeneities in electronic structure, which are shown to be concentrated in areas where Ga droplets had formed on the surface during growth. Within these regions, there are significant variations in the local electronic structure that are attributed to variations in both AlxGa1-xN layer thickness and Al composition. Increased charge trapping is also observed in these regions.

  14. Atomic structure of the GaAs(001)-c(4x4) surface: first-principles evidence for diversity of heterodimer motifs.

    PubMed

    Penev, E; Kratzer, P; Scheffler, M

    2004-10-01

    The GaAs(001)-c(4x4) surface was studied using ab initio atomistic thermodynamics based on density-functional theory calculations. We demonstrate that in a range of stoichiometries, between those of the conventional three As-dimer and the new three Ga-As-dimer models, there exists a diversity of atomic structures featuring Ga-As heterodimers. These results fully explain the experimental scanning tunneling microscopy images and are likely to be relevant also to the c(4x4)-reconstructed (001) surfaces of other III-V semiconductors.

  15. Hybrid functional study of band structures of GaAs1-xNx and GaSb1-xNx alloys

    NASA Astrophysics Data System (ADS)

    Virkkala, Ville; Havu, Ville; Tuomisto, Filip; Puska, Martti J.

    2012-02-01

    Band structures of GaAs1-xNx and GaSb1-xNx alloys are studied in the framework of the density functional theory within the hybrid functional scheme (HSE06). We find that the scheme gives a clear improvement over the traditional (semi)local functionals in describing, in a qualitative agreement with experiments, the bowing of electron energy band gap in GaAs1-xNx alloys. In the case of GaSb1-xNx alloys, the hybrid functional used makes the study of band structures possible ab initio without any empirical parameter fitting. We explain the trends in the band gap reductions in the two materials that result mainly from the positions of the nitrogen-induced states with respect to the bottoms of the bulk conduction bands.

  16. (In,Ga,Al)P-GaP laser diodes grown on high-index GaAs surfaces emitting in the green, yellow and bright red spectral range

    NASA Astrophysics Data System (ADS)

    Ledentsov, N. N.; Shchukin, V. A.; Shernyakov, Yu M.; Kulagina, M. M.; Payusov, A. S.; Gordeev, N. Yu; Maximov, M. V.; Cherkashin, N. A.

    2017-02-01

    We report on low threshold current density (<400 A cm-2) injection lasing in (Al x Ga1-x )0.5In0.5P-GaAs-based diodes down to the green spectral range (<570 nm). The epitaxial structures are grown on high-index (611)A and (211)A GaAs substrates by metal-organic vapor phase epitaxy and contain tensile-strained GaP-enriched insertions aimed at reflection of the injected nonequilibrium electrons preventing their escape from the active region. Extended waveguide concept results in a vertical beam divergence with a full width at half maximum of 15° for (611)A substrates. The lasing at the wavelength of 569 nm is realized at 85 K. In an orange-red laser diode structure low threshold current density (190 A cm-2) in the orange spectral range (598 nm) is realized at 85 K. The latter devices demonstrated room temperature lasing at 628 nm at ˜2 kA cm-2 and a total power above 3 W. The red laser diodes grown on (211)A substrates demonstrated a far field characteristic for vertically multimode lasing indicating a lower optical confinement factor for the fundamental mode as compared to the devices grown on (611)A. However, as expected from previous research, the temperature stability of the threshold current and the wavelength stability were significantly higher for (211)A-grown structures.

  17. Microprocessor design for GaAs technology

    NASA Astrophysics Data System (ADS)

    Milutinovic, Veljko M.

    Recent advances in the design of GaAs microprocessor chips are examined in chapters contributed by leading experts; the work is intended as reading material for a graduate engineering course or as a practical R&D reference. Topics addressed include the methodology used for the architecture, organization, and design of GaAs processors; GaAs device physics and circuit design; design concepts for microprocessor-based GaAs systems; a 32-bit GaAs microprocessor; a 32-bit processor implemented in GaAs JFET; and a direct coupled-FET-logic E/D-MESFET experimental RISC machine. Drawings, micrographs, and extensive circuit diagrams are provided.

  18. The Interfacial Thermal Conductance of Epitaxial Metal-Semiconductor Interfaces

    NASA Astrophysics Data System (ADS)

    Ye, Ning

    -silicon), interfaces with varying levels of disorder (epitaxial and non-epitaxial). The ITC values of silicides-silicon interfaces observed in this study are higher than those of other metallic interfaces to Si found in literature. Most surprisingly, it is experimentally found that ITC values are independent of interfacial quality and substrate orientation. Computationally, it is found that the non-equilibrium atomistic Green's Function technique (NEGF), which is specically designed to simulate coherent elastic phonon transport across interfaces, significantly underpredicts ITC values for CoSi2-Si interfaces, suggesting that energy transport does not occur purely by coherent transmission of phonons, even for epitaxial interfaces. In contrast, the Diffuse Mismatch Model closely mimics the experimentally observed ITC values for CoSi 2-Si, NiSi-Si and TiSi2-Si interfaces, and only slightly overestimating the same for PtSi-Si interfaces. Furthermore, the results also show that ITC is independent of degenerate doping up to doping levels of ≈1 x 1019 cm-3, indicating there is no significant direct electronic transport or transport effects which depend on long-range metal-semiconductor band alignment. Then, I study the effect of phonon band structure on ITC through measurements of epitaxial NiAl1-xGax-GaAs interfaces for varying levels of alloy composition, which independently tunes the mass of the metal's heavy atom without much affect on the lattice structure or interatomic force constants. The ITC values are found to linearly increase with increasing Ga content, consistent with the disappearance of a phonon band gap in NiAl 1-xGax films with increasing Ga content, which enhances the phonon transmission coefficients due to a better density of states overlap between the two (NiAl1-xGax, GaAs) materials. Finally, I study a unique subset of epitaxial rocksalt interfaces between the Group IV metal nitrides (TiN, ZrN, and HfN) to MgO substrates as well as ScN layers. Prior to the currrent

  19. Kinetic modeling of microscopic processes during electron cyclotron resonance microwave plasma-assisted molecular beam epitaxial growth of GaN/GaAs-based heterostructures

    NASA Astrophysics Data System (ADS)

    Bandić, Z. Z.; Hauenstein, R. J.; O'Steen, M. L.; McGill, T. C.

    1996-03-01

    Microscopic growth processes associated with GaN/GaAs molecular beam epitaxy (MBE) are examined through the introduction of a first-order kinetic model. The model is applied to the electron cyclotron resonance microwave plasma-assisted MBE (ECR-MBE) growth of a set of δ-GaNyAs1-y/GaAs strained-layer superlattices that consist of nitrided GaAs monolayers separated by GaAs spacers, and that exhibit a strong decrease of y with increasing T over the range 540-580 °C. This y(T) dependence is quantitatively explained in terms of microscopic anion exchange, and thermally activated N surface-desorption and surface-segregation processes. N surface segregation is found to be significant during GaAs overgrowth of GaNyAs1-y layers at typical GaN ECR-MBE growth temperatures, with an estimated activation energy Es˜0.9 eV. The observed y(T) dependence is shown to result from a combination of N surface segregation/desorption processes.

  20. Structural evolution of epitaxial SrCoO x films near topotactic phase transition

    DOE PAGES

    Jeen, Hyoung Jeen; Lee, Ho Nyung

    2015-12-18

    Control of oxygen stoichiometry in complex oxides via topotactic phase transition is an interesting avenue to not only modifying the physical properties, but utilizing in many energy technologies, such as energy storage and catalysts. However, detailed structural evolution in the close proximity of the topotactic phase transition in multivalent oxides has not been much studied. In this work, we used strontium cobaltites (SrCoO x) epitaxially grown by pulsed laser epitaxy (PLE) as a model system to study the oxidation-driven evolution of the structure, electronic, and magnetic properties. We grew coherently strained SrCoO 2.5thin films and performed post-annealing at various temperaturesmore » for topotactic conversion into the perovskite phase (SrCoO 3-δ). We clearly observed significant changes in electronic transport, magnetism, and microstructure near the critical temperature for the topotactic transformation from the brownmillerite to the perovskite phase. Furthermore, the overall crystallinity was well maintained without much structural degradation, indicating that topotactic phase control can be a useful tool to control the physical properties repeatedly via redox reactions.« less

  1. Development of buffer layer structure for epitaxial growth of (100)/(001)Pb(Zr,Ti)O3-based thin film on (111)Si wafer

    NASA Astrophysics Data System (ADS)

    Hayasaka, Takeshi; Yoshida, Shinya; Tanaka, Shuji

    2017-07-01

    This paper reports on the development of a novel buffer layer structure, (100)SrRuO3/(100)LaNiO3/(111)Pt/(111)CeO2, for the epitaxial growth of a (100)/(001)-oriented Pb(Zr,Ti)O3 (PZT)-based thin film on a (111)Si wafer. (111)Pt and (111)CeO2 were epitaxially grown on (111)Si straightforwardly. Then, the crystal orientation was forcibly changed from (111) to (100) at the LaNiO3 layer owing to its strong (100)-self-orientation property, which enabled the cube-on-cube epitaxial growth of the subsequent (100)SrRuO3 layer and preferentially (100)/(001)-oriented PZT-based thin film. The PZT-based epitaxial thin films were comprehensively characterized in terms of the crystallinity, in-plane epitaxial relationships, piezoelectricity, and so forth. This buffer layer structure for the epitaxial growth of PZT can be applied to piezoelectric micro-electro-mechanical systems (MEMS) vibrating ring gyroscopes.

  2. Adding GaAs Monolayers to InAs Quantum-Dot Lasers on (001) InP

    NASA Technical Reports Server (NTRS)

    Qiu, Yueming; Chacon, Rebecca; Uhl, David; Yang, Rui

    2005-01-01

    In a modification of the basic configuration of InAs quantum-dot semiconductor lasers on (001)lnP substrate, a thin layer (typically 1 to 2 monolayer thick) of GaAs is incorporated into the active region. This modification enhances laser performance: In particular, whereas it has been necessary to cool the unmodified devices to temperatures of about 80 K in order to obtain lasing at long wavelengths, the modified devices can lase at wavelengths of about 1.7 microns or more near room temperature. InAs quantum dots self-assemble, as a consequence of the lattice mismatch, during epitaxial deposition of InAs on ln0.53Ga0.47As/lnP. In the unmodified devices, the quantum dots as thus formed are typically nonuniform in size. Strainenergy relaxation in very large quantum dots can lead to poor laser performance, especially at wavelengths near 2 microns, for which large quantum dots are needed. In the modified devices, the thin layers of GaAs added to the active regions constitute potential-energy barriers that electrons can only penetrate by quantum tunneling and thus reduce the hot carrier effects. Also, the insertion of thin GaAs layer is shown to reduce the degree of nonuniformity of sizes of the quantum dots. In the fabrication of a batch of modified InAs quantum-dot lasers, the thin additional layer of GaAs is deposited as an interfacial layer in an InGaAs quantum well on (001) InP substrate. The device as described thus far is sandwiched between InGaAsPy waveguide layers, then further sandwiched between InP cladding layers, then further sandwiched between heavily Zn-doped (p-type) InGaAs contact layer.

  3. Electronic, structural and chemical properties of GaAs/ZnSe heterovalent interfaces as dependent on MBE growth conditions and ex situ annealing

    NASA Astrophysics Data System (ADS)

    Komissarova, T. A.; Lebedev, M. V.; Sorokin, S. V.; Klimko, G. V.; Sedova, I. V.; Gronin, S. V.; Komissarov, K. A.; Calvet, W.; Drozdov, M. N.; Ivanov, S. V.

    2017-04-01

    A study of electronic, structural and chemical properties of GaAs/ZnSe heterovalent interfaces (HI) in dependence on molecular beam epitaxy (MBE) growth conditions and post-growth annealing was performed. Initial GaAs surface reconstructions ((2 × 4)As or c(4 × 4)As) and ZnSe growth mode (MBE or migration-enhanced epitaxy (MEE)) were varied for different undoped and n-doped heterovalent structures. Although all the structures have low extended defect density (less than 106 cm-2) and rather small (less than 5 nm) atomic interdiffusion at the HI, the structural, chemical and electronic properties of the near-interface area (short-distance interdiffusion effects, dominant chemical bonds, and valence band offset values) as well as electrical properties of the n-GaAs/n-ZnSe heterovalent structures were found to be influenced strongly by the MBE growth conditions and post-growth annealing.

  4. Optical and structural characterisation of epitaxial nanoporous GaN grown by CVD.

    PubMed

    Mena, Josué; Carvajal, Joan J; Martínez, Oscar; Jiménez, Juan; Zubialevich, Vitaly Z; Parbrook, Peter J; Diaz, Francesc; Aguiló, Magdalena

    2017-09-15

    In this paper we study the optical properties of nanoporous gallium nitride (GaN) epitaxial layers grown by chemical vapour deposition on non-porous GaN substrates, using photoluminescence, cathodoluminescence, and resonant Raman scattering, and correlate them with the structural characteristic of these films. We pay special attention to the analysis of the residual strain of the layers and the influence of the porosity in the light extraction. The nanoporous GaN epitaxial layers are under tensile strain, although the strain is progressively reduced as the deposition time and the thickness of the porous layer increases, becoming nearly strain free for a thickness of 1.7 μm. The analysis of the experimental data point to the existence of vacancy complexes as the main source of the tensile strain.

  5. First Principles Electronic Structure of Mn doped GaAs, GaP, and GaN Semiconductors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schulthess, Thomas C; Temmerman, Walter M; Szotek, Zdzislawa

    We present first-principles electronic structure calculations of Mn doped III-V semiconductors based on the local spin-density approximation (LSDA) as well as the self-interaction corrected local spin density method (SIC-LSD). We find that it is crucial to use a self-interaction free approach to properly describe the electronic ground state. The SIC-LSD calculations predict the proper electronic ground state configuration for Mn in GaAs, GaP, and GaN. Excellent quantitative agreement with experiment is found for magnetic moment and p-d exchange in (GaMn)As. These results allow us to validate commonly used models for magnetic semiconductors. Furthermore, we discuss the delicate problem of extractingmore » binding energies of localized levels from density functional theory calculations. We propose three approaches to take into account final state effects to estimate the binding energies of the Mn-d levels in GaAs. We find good agreement between computed values and estimates from photoemisison experiments.« less

  6. Ordered arrays of multiferroic epitaxial nanostructures.

    PubMed

    Vrejoiu, Ionela; Morelli, Alessio; Biggemann, Daniel; Pippel, Eckhard

    2011-01-01

    Epitaxial heterostructures combining ferroelectric (FE) and ferromagnetic (FiM) oxides are a possible route to explore coupling mechanisms between the two independent order parameters, polarization and magnetization of the component phases. We report on the fabrication and properties of arrays of hybrid epitaxial nanostructures of FiM NiFe(2)O(4) (NFO) and FE PbZr(0.52)Ti(0.48)O(3) or PbZr(0.2)Ti(0.8)O(3), with large range order and lateral dimensions from 200 nm to 1 micron. The structures were fabricated by pulsed-laser deposition. High resolution transmission electron microscopy and high angle annular dark-field scanning transmission electron microscopy were employed to investigate the microstructure and the epitaxial growth of the structures. Room temperature ferroelectric and ferrimagnetic domains of the heterostructures were imaged by piezoresponse force microscopy (PFM) and magnetic force microscopy (MFM), respectively. PFM and MFM investigations proved that the hybrid epitaxial nanostructures show ferroelectric and magnetic order at room temperature. Dielectric effects occurring after repeated switching of the polarization in large planar capacitors, comprising ferrimagnetic NiFe2O4 dots embedded in ferroelectric PbZr0.52Ti0.48O3 matrix, were studied. These hybrid multiferroic structures with clean and well defined epitaxial interfaces hold promise for reliable investigations of magnetoelectric coupling between the ferrimagnetic / magnetostrictive and ferroelectric / piezoelectric phases.

  7. Quality-enhanced In{sub 0.3}Ga{sub 0.7}As film grown on GaAs substrate with an ultrathin amorphous In{sub 0.6}Ga{sub 0.4}As buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gao, Fangliang; Li, Guoqiang, E-mail: msgli@scut.edu.cn

    2014-01-27

    Using low-temperature molecular beam epitaxy, amorphous In{sub 0.6}Ga{sub 0.4}As layers have been grown on GaAs substrates to act as buffer layers for the subsequent epitaxial growth of In{sub 0.3}Ga{sub 0.7}As films. It is revealed that the crystallinity of as-grown In{sub 0.3}Ga{sub 0.7}As films is strongly affected by the thickness of the large-mismatched amorphous In{sub 0.6}Ga{sub 0.4}As buffer layer. Given an optimized thickness of 2 nm, this amorphous In{sub 0.6}Ga{sub 0.4}As buffer layer can efficiently release the misfit strain between the In{sub 0.3}Ga{sub 0.7}As epi-layer and the GaAs substrate, trap the threading and misfit dislocations from propagating to the following In{sub 0.3}Ga{submore » 0.7}As epi-layer, and reduce the surface fluctuation of the as-grown In{sub 0.3}Ga{sub 0.7}As, leading to a high-quality In{sub 0.3}Ga{sub 0.7}As film with competitive crystallinity to that grown on GaAs substrate using compositionally graded In{sub x}Ga{sub 1-x}As metamorphic buffer layers. Considering the complexity of the application of the conventional In{sub x}Ga{sub 1-x}As graded buffer layers, this work demonstrates a much simpler approach to achieve high-quality In{sub 0.3}Ga{sub 0.7}As film on GaAs substrate and, therefore, is of huge potential for the InGaAs-based high-efficiency photovoltaic industry.« less

  8. Effect of thermal annealing on the photoluminescence of structures with InGaAs/GaAs quantum wells and a low-temperature GaAs layer δ-doped with Mn

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kalentyeva, I. L.; Vikhrova, O. V., E-mail: istery@rambler.ru; Danilov, Yu. A.

    2016-11-15

    The effects of isochronal thermal annealing (at 325–725°C) on the radiative properties of InGaAs/GaAs nanoheterostructures containing a low-temperature GaAs layer δ-doped with Mn grown by laser deposition are studied. A decrease in the photoluminescence intensity and increase in the ground transition energy are observed upon thermal impact for quantum wells located near the low-temperature GaAs layer. The distribution of Mn atoms in the initial and annealed structures is obtained by secondary-ion mass spectrometry. A qualitative model of the observed effects of thermal annealing on the radiative properties of the structures is discussed; this model takes into account two main processes:more » diffusion of point defects (primarily gallium vacancies) from the GaAs coating layer deep into the structure and Mn diffusion in both directions by the dissociation mechanism. Magnetization studies show that, as a result of thermal annealing, an increase in the proportion of the ferromagnetic phase at room temperature (presumably, MnAs clusters) in the low-temperature GaAs coating layer takes place.« less

  9. Integration of GaAs vertical-cavity surface emitting laser on Si by substrate removal

    NASA Astrophysics Data System (ADS)

    Yeh, Hsi-Jen J.; Smith, John S.

    1994-03-01

    The successful integration of strained quantum well InGaAs vertical-cavity surface-emitting lasers (VCSELs) on both Si and Cu substrates was described using a GaAs substrate removal technique. The GaAs VCSEL structure was metallized and bonded to the Si substrate after growth. The GaAs substrate was then removed by selective chemical wet etching. Finally, the bonded GaAs film metallized on the top (emitting) side and separate lasers were defined. This is the first time a VCSEL had been integrated on a Si substrate with its substrate removed. The performance enhancement of GaAs VCSELs bonded on good thermal conductors are demonstrated.

  10. InGaAsP-based uni-travelling carrier photodiode structure grown by solid source molecular beam epitaxy.

    PubMed

    Natrella, Michele; Rouvalis, Efthymios; Liu, Chin-Pang; Liu, Huiyun; Renaud, Cyril C; Seeds, Alwyn J

    2012-08-13

    We report the first InGaAsP-based uni-travelling carrier photodiode structure grown by Solid Source Molecular Beam Epitaxy; the material contains layers of InGaAsP as thick as 300 nm and a 120 nm thick InGaAs absorber. Large area vertically illuminated test devices have been fabricated and characterised; the devices exhibited 0.1 A/W responsivity at 1550 nm, 12.5 GHz -3 dB bandwidth and -5.8 dBm output power at 10 GHz for a photocurrent of 4.8 mA. The use of Solid Source Molecular Beam Epitaxy enables the major issue associated with the unintentional diffusion of zinc in Metal Organic Vapour Phase Epitaxy to be overcome and gives the benefit of the superior control provided by MBE growth techniques without the costs and the risks of handling toxic gases of Gas Source Molecular Beam Epitaxy.

  11. Characterization and growth of epitaxial layers of Gs exhibiting high resistivity for ionic implantation

    NASA Technical Reports Server (NTRS)

    1979-01-01

    Either classical or low temperature epitaxial growth techniques can be used to control the deposition of buffer layers of GaAs on semiconducting substrates and to obtain the resistivity and purity desired. Techniques developed to study, as a function of thickness, the evolution of mobilities by photoHall, and the spectroscopy of shallow and deep centers by cathodoluminescence and current transients reveal one very pure layer of medium resistivity and high mobility, and another "dead layer" of elevated resistivity far from the surface. The highly resistive layer remains pure over several microns, which appears interesting for implantation.

  12. Arsenic-induced intensity oscillations in reflection high-energy electron diffraction measurements. [during MBE of GaAs and InAs

    NASA Technical Reports Server (NTRS)

    Lewis, B. F.; Fernandez, R.; Grunthaner, F. J.; Madhukar, A.

    1986-01-01

    A technique of arsenic-induced RHEED intensity oscillations has been used to accurately measure arsenic incorporation rates as a function of substrate temperature during the homoepitaxial growths of both GaAs and InAs by molecular beam epitaxy (MBE). Measurements were made at growth temperatures from 350 to 650 C and at arsenic fluxes of 0.1 to 10.0 monolayer/s. The method measures only the arsenic actually incorporated into the growing film and does not include the arsenic lost in splitting the arsenic tetramers or lost by evaporation from the sample.

  13. Defect interactions in GaAs single crystals

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.; Lagowski, J.

    1984-01-01

    The two-sublattice structural configuration of GaAs and deviations from stoichiometry render the generation and interaction of electrically active point defects (and point defect complexes) critically important for device applications and very complex. Of the defect-induced energy levels, those lying deep into the energy band are very effective lifetime ""killers". The level 0.82 eV below the condition band, commonly referred to as EL2, is a major deep level, particularly in melt-grown GaAs. This level is associated with an antisite defect complex (AsGa - VAS). Possible mechanisms of its formation and its annihilation were further developed.

  14. Optical characterization of type-I to type-II band alignment transition in GaAs/Al x Ga1-x As quantum rings grown by droplet epitaxy

    NASA Astrophysics Data System (ADS)

    Su, Linlin; Wang, Ying; Guo, Qinglin; Li, Xiaowei; Wang, Shufang; Fu, Guangsheng; Mazur, Yuriy I.; E Ware, Morgan; Salamo, Gregory J.; Liang, Baolai; Huffaker, Diana L.

    2017-08-01

    Optical properties of GaAs/Al x Ga1-x As quantum rings (QRs) grown on GaAs (1 0 0) by droplet epitaxy have been investigated as a function of the Al-composition in the Al x Ga1-x As barrier. A transition from type-I to type-II band alignment is observed for the QRs via photoluminescence (PL) and time-resolved photoluminescence (TRPL) measurements. While x  ⩽  0.45, the QR PL spectra show a blue-shift and an increasing intensity with increasing Al-composition, revealing the enhancement of quantum confinement in the QRs with type-I band alignment. While x  ⩾  0.60, the characteristic large blue-shift with excitation intensity and the much longer lifetime indicate the realization of a type-II band alignment. Due to the height fluctuation of QR structures grown by droplet epitaxy mode, it is not the large blue-shift of emission energy, but the long lifetime that becomes the more important feature to identify the type-II band alignment.

  15. Excitation and De-Excitation Mechanisms of Er-Doped GaAs and A1GaAs.

    DTIC Science & Technology

    1992-12-01

    AD-A258 814 EXCITATION AND DE -EXCITATION MECHANISMS OF Er-DOPED GaAs AND A1GaAs DISSERTATION David W. Elsaesser, Captain, USAF DTICY. ft £ICTE’’ )AN...0 8 1993U -o Wo- .%Approved for public release; Distribution unlimited 93 1 04 022 AFIT/DS/ENP/92-5 EXCITATION AND DE -EXCITATION MECHANISMS OF Er...public release; Distribution unlimited AFIT/DS/ENP/92D-005 EXCITATION AND DE -EXCITATION MECHANISMS OF Er-DOPED GaAs AND A1GaAs 4 toFlor -- David W

  16. Design Optimization of Ge/GaAs-Based Heterojunction Gate-All-Around (GAA) Arch-Shaped Tunneling Field-Effect Transistor (A-TFET).

    PubMed

    Seo, Jae Hwa; Yoon, Young Jun; Kang, In Man

    2018-09-01

    The Ge/GaAs-based heterojunction gate-all-around (GAA) arch-shaped tunneling field-effect transistor (A-TFET) have been designed and optimized using technology computer-aided design (TCAD) simulations. In our previous work, the silicon-based A-TFET was designed and demonstrated. However, to progress the electrical characteristics of A-TFET, the III-V compound heterojunction structures which has enhanced electrical properties must be adopted. Thus, the germanium with gallium arsenide (Ge/GaAs) is considered as key materials of A-TFET. The proposed device has a Ge-based p-doped source, GaAs-based i-doped channel and GaAs-based n-doped drain. Due to the critical issues of device performances, the doping concentration of source and channel region (Dsource, Dchannel), height of source region (Hsource) and epitaxially grown thickness of channel (tepi) was selected as design optimization variables of Ge/GaAs-based GAA A-TFET. The DC characteristics such as on-state current (ion), off-state current (ioff), subthreshold-swing (S) were of extracted and analyzed. Finally, the proposed device has a gate length (LG) of 90 nm, Dsource 5 × 1019 cm-3, Dchannel of 1018 cm-3, tepi of 4 nm, Hsource of 90 nm, R of 10 nm and demonstrate an ion of 2 mA/μm, S of 12.9 mV/dec.

  17. GaAs Computer Technology

    DTIC Science & Technology

    1992-01-07

    AD-A259 259 FASTC-ID FOREIGN AEROSPACE SCIENCE AND TECHNOLOGY CENTER GaAs COMPUTER TECHNOLOGY (1) by Wang Qiao-yu 93-00999 Distrir bution t,,,Nm ted...FASTC- ID(RS)T-0310-92 HUMAN TRANSLATION FASTC-ID(RS)T-0310-92 7 January 1993 GaAs COMPUTER TECHNOLOGY (1) By: Wang Qiao-yu English pages: 6 Source...the best quality copy available. j C] " ------ GaAs Computer Technology (1) Wang Qiao-yu (Li-Shan Microelectronics Institute) Abstract: The paper

  18. Growth control and design principles of self-assembled quantum dot multiple layer structures for photodetector applications

    NASA Astrophysics Data System (ADS)

    Asano, Tetsuya

    -QDIP with detectivites > 1011 cmHz1/2/W that become competitive with other photodetector technologies in the mid IR (3 -- 5 mum) and long wavelength IR (8 -- 12 mum) ranges with the added advantage of materials stability and normal incidence sensitivity. Extended defect-free and size-uniform MQD structures of shallow InAs on GaAs (001) SAQDs capped with In0.15Ga0.85As strain relief layers and separated by GaAs spacer layer were grown up to 20 periods employing a judicious combination of MBE and migration enhanced epitaxy (MEE) techniques and examined by detailed transmission electron microscopy studies to reveal the absence of detectable extended defects (dislocation density < ˜ 107 /cm2). Photoluminescence studies revealed high optical quality. As our focus was on mid-infrared detectors, the MQD structures were grown in n (GaAs) -- i (MQD) -- n (GaAs) structures providing electron occupancy in at least the quantum confined ground energy states of the SAQDs and thus photodetection based upon transitions to electron excited states. Bias and temperature-dependent dark and photocurrent measurements were carried out for a variety of doping profiles and the electron density spatial distribution was determined from the resulting band bending profiles. It is revealed that almost no free electrons are present in the middle SAQD layers in the 10-period and 20-period n--i--n QDIP structures, indicating the existence of a high density (˜1015/cm3) of negative charges which can be attributed to electrons trapped in deep levels. To examine the nature of these deep traps, samples suitable for deep level transient spectroscopy measurement were synthesized and examined. These studies, carried out for the first time for SAQDs, revealed that the deep traps are dominantly present in the GaAs overgrowth layers grown at 500°C by MBE. For structures involving GaAs overgrowths using MEE at temperatures as low as 350°C, the deep trap density in the GaAs overgrowth layer was found to be

  19. GaAs shallow-homojunction solar cells

    NASA Technical Reports Server (NTRS)

    Fan, J. C. C.

    1981-01-01

    The feasibility of fabricating space resistant, high efficiency, light weight, low cost GaAs shallow homojunction solar cells for space application is investigated. The material preparation of ultrathin GaAs single crystal layers, and the fabrication of efficient GaAs solar cells on bulk GaAs substrates are discussed. Considerable progress was made in both areas, and conversion efficiency about 16% AMO was obtained using anodic oxide as a single layer antireflection coating. A computer design shows that even better cells can be obtained with double layer antireflection coating. Ultrathin, high efficiency solar cells were obtained from GaAs films prepared by the CLEFT process, with conversion efficiency as high as 17% at AMI from a 10 micrometers thick GaAs film. A organometallic CVD was designed and constructed.

  20. Structural Properties of Alternate Monatomic Layered [Fe/Co]n Epitaxial Films on MgO Substrate

    NASA Astrophysics Data System (ADS)

    Chu, In Chang; Saki, Yoshinobu; Kawasaki, Shohei; Doi, Masaaki; Sahashi, Masashi

    2008-06-01

    Body-centered-cubic (bcc) Fe50Co50 material is reported to show a high bulk spin scattering coefficient on current perpendicular to plane-giant magneto-resistance (CPP-GMR) system. But the origin of that phenomenon does not make sure yet. We prepared artificially alternate monatomic layered (AML) [Fe/Co] 41 MLs epitaxial films (Ts: 75, 250 °C) by monatomic deposition method and investigated the topology of AML [Fe/Co]n epitaxial films on MgO substrate with different orientation (001), (011) by the scanning tunnel microscopy (STM) and reflection high energy electron diffraction (RHEED), which we could confirm Frank-van der Merwe (FM) growth mode for AML [Fe/Co]n on MgO(001) and Volmer-Weber (VW) growth mode for that on Mg(011). The roughness of surface, Ra (0.20 nm) of AML [Fe/Co] 41 MLs epitaxial film grown at 75 °C on MgO(001) is smaller than that (0.46 nm) of AML [Fe/Co] grown at 250 °C on MgO(001), which has the large terraces of over 50 nm (Ra: 0.17 nm), even though there are some valleys between large terraces. Moreover we confirmed the structural properties of trilayered epitaxial films with AML [Fe/Co]n (Ra: 0.18 nm) and Fe50Co50 alloy epitaxial film on Au electrode by RHEED before confirming the characteristics of CPP-GMR devices.

  1. Elimination of oval defects in epilayers by using chemical beam epitaxy

    NASA Astrophysics Data System (ADS)

    Tsang, W. T.

    1985-06-01

    One ubiquitous problem that continues to haunt over molecular beam epitaxy (MBE) persistently throughout all these year and still without a good controllable solution is the presence of oval defects in gallium-containing compound semiconductor epilayers. While these defects have not presented major problems for discrete devices, they are likely to be a serious obstacle for integrated circuit applications. We showed that oval defects were present in GaAs and In0.53Ga0.47As epilayers grown by conventional MBE process using elemental Ga and In as group III sources, and either solid As4 or thermally cracked As4 from gas mixtures of trimethylarsine and hydrogen. On the other hand, the use of the chemical beam epitaxy in which the Ga and In were derived by thermal pyrolysis of their metal alkyls at the heated substrate surface resulted reproducibly in epilayers free of oval defects over the entire substrate surface of ˜8 cm diameter (limited by the substrate holder size). On the basis of the present results it is evident that the oval defects were related to the use of elemental Ga melt as the evaporant in conventional MBE.

  2. Structural properties of pressure-induced structural phase transition of Si-doped GaAs by angular-dispersive X-ray diffraction

    NASA Astrophysics Data System (ADS)

    Lin, Kung-Liang; Lin, Chih-Ming; Lin, Yu-Sheng; Jian, Sheng-Rui; Liao, Yen-Fa; Chuang, Yu-Chun; Wang, Chuan-Sheng; Juang, Jenh-Yih

    2016-02-01

    Pressure-induced phase transitions in n-type silicon-doped gallium arsenide (GaAs:Si ) at ambient temperature were investigated by using angular-dispersive X-ray diffraction (ADXRD) under high pressure up to around 18.6 (1) GPa, with a 4:1 (in volume ratio) methanol-ethanol mixture as the pressure-transmitting medium. In situ ADXRD measurements revealed that n-type GaAs:Si starts to transform from zinc- blende structure to an orthorhombic structure [GaAs-II phase], space group Pmm2, at 16.4 (1) GPa. In contrast to previous studies of pure GaAs under pressure, our results show no evidence of structural transition to Fmmm or Cmcm phase. The fitting of volume compression data to the third-order Birch-Murnaghan equation of state yielded that the zero-pressure isothermal bulk moduli and the first-pressure derivatives were 75 (3) GPa and 6.4 (9) for the B3 phase, respectively. After decompressing to the ambient pressure, the GaAs:Si appears to revert to the B3 phase completely. By fitting to the empirical relations, the Knoop microhardness numbers are between H PK = 6.21 and H A = 5.85, respectively, which are substantially smaller than the values of 7-7.5 for pure GaAs reported previously. A discontinuous drop in the pressure-dependent lattice parameter, N- N distances, and V/ V 0 was observed at a pressure of 11.5 (1) GPa, which was tentatively attributed to the pressure-induced dislocation activities in the crystal grown by vertical gradient freeze method.

  3. Demonstrating antiphase domain boundary-free GaAs buffer layer on zero off-cut Si (0 0 1) substrate for interfacial misfit dislocation GaSb film by metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Ha, Minh Thien Huu; Hoang Huynh, Sa; Binh Do, Huy; Nguyen, Tuan Anh; Luc, Quang Ho; Chang, Edward Yi

    2017-08-01

    High quality 40 nm GaSb thin film was grown on the zero off-cut Si (0 0 1)-oriented substrate using metalorganic chemical vapor deposition with the temperature-graded GaAs buffer layer. The growth time of the GaAs nucleation layer, which was deposited at a low temperature of 490 °C, is systematically investigated in this paper. Cross-sections of the high resolution transmission electron microscopy images indicate that the GaAs compound formed 3D-islands first before to quasi-2D islands, and finally formed uniform GaAs layer. The optimum thickness of the 490 °C-GaAs layer was found to be 10 nm to suppress the formation of antiphase domain boundaries (APDs). The thin GaAs nucleation layer had a root-mean-square surface roughness of 0.483 nm. This allows the continued high temperature GaAs buffer layer to be achieved with low threading dislocation density of around 7.1  ×  106 cm-2 and almost invisible APDs. Finally, a fully relaxed GaSb film was grown on the top of the GaAs/Si heterostructure using interfacial misfit dislocation growth mode. These results indicate that the GaSb epitaxial layer can be grown on Si substrate with GaAs buffer layer for future p-channel metal-oxide-semiconductor field effect transistors (MOSFETs) applications.

  4. A novel series of isoreticular metal organic frameworks: realizing metastable structures by liquid phase epitaxy

    PubMed Central

    Liu, Jinxuan; Lukose, Binit; Shekhah, Osama; Arslan, Hasan Kemal; Weidler, Peter; Gliemann, Hartmut; Bräse, Stefan; Grosjean, Sylvain; Godt, Adelheid; Feng, Xinliang; Müllen, Klaus; Magdau, Ioan-Bogdan; Heine, Thomas; Wöll, Christof

    2012-01-01

    A novel class of metal organic frameworks (MOFs) has been synthesized from Cu-acetate and dicarboxylic acids using liquid phase epitaxy. The SURMOF-2 isoreticular series exhibits P4 symmetry, for the longest linker a channel-size of 3 × 3 nm2 is obtained, one of the largest values reported for any MOF so far. High quality, ab-initio electronic structure calculations confirm the stability of a regular packing of (Cu++)2- carboxylate paddle-wheel planes with P4 symmetry and reveal, that the SURMOF-2 structures are in fact metastable, with a fairly large activation barrier for the transition to the bulk MOF-2 structures exhibiting a lower, twofold (P2 or C2) symmetry. The theoretical calculations also allow identifying the mechanism for the low-temperature epitaxial growth process and to explain, why a synthesis of this highly interesting, new class of high-symmetry, metastable MOFs is not possible using the conventional solvothermal process. PMID:23213357

  5. Structural and electrical properties of single crystalline SrZrO3 epitaxially grown on Ge (001)

    NASA Astrophysics Data System (ADS)

    Lim, Z. H.; Ahmadi-Majlan, K.; Grimley, E. D.; Du, Y.; Bowden, M.; Moghadam, R.; LeBeau, J. M.; Chambers, S. A.; Ngai, J. H.

    2017-08-01

    We present structural and electrical characterization of SrZrO3 that has been epitaxially grown on Ge(001) by oxide molecular beam epitaxy. Single crystalline SrZrO3 can be nucleated on Ge via deposition at low temperatures followed by annealing at 550 °C in ultra-high vacuum. Photoemission spectroscopy measurements reveal that SrZrO3 exhibits a type-I band arrangement with respect to Ge, with conduction and valence band offsets of 1.4 eV and 3.66 eV, respectively. Capacitance-voltage and current-voltage measurements on 4 nm thick films reveal low leakage current densities and an unpinned Fermi level at the interface that allows modulation of the surface potential of Ge. Ultra-thin films of epitaxial SrZrO3 can thus be explored as a potential gate dielectric for Ge.

  6. Temperature dependence of stacking faults in catalyst-free GaAs nanopillars.

    PubMed

    Shapiro, Joshua N; Lin, Andrew; Ratsch, Christian; Huffaker, D L

    2013-11-29

    Impressive opto-electronic devices and transistors have recently been fabricated from GaAs nanopillars grown by catalyst-free selective-area epitaxy, but this growth technique has always resulted in high densities of stacking faults. A stacking fault occurs when atoms on the growing (111) surface occupy the sites of a hexagonal-close-pack (hcp) lattice instead of the normal face-centered-cubic (fcc) lattice sites. When stacking faults occur consecutively, the crystal structure is locally wurtzite instead of zinc-blende, and the resulting band offsets are known to negatively impact device performance. Here we present experimental and theoretical evidence that indicate stacking fault formation is related to the size of the critical nucleus, which is temperature dependent. The difference in energy between the hcp and fcc orientation of small nuclei is computed using density-function theory. The minimum energy difference of 0.22 eV is calculated for a nucleus with 21 atoms, so the population of nuclei in the hcp orientation is expected to decrease as the nucleus grows larger. The experiment shows that stacking fault occurrence is dramatically reduced from 22% to 3% by raising the growth temperature from 730 to 790 ° C. These data are interpreted using classical nucleation theory which dictates a larger critical nucleus at higher growth temperature.

  7. The effects of the porous buffer layer and doping with dysprosium on internal stresses in the GaInP:Dy/por-GaAs/GaAs(100) heterostructures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Seredin, P. V.; Gordienko, N. N.; Glotov, A. V.

    2009-08-15

    In structures with a porous buffer layer, residual internal stresses caused by a mismatch between the crystal-lattice parameters of the epitaxial GaInP alloy and the GaAs substrate are redistributed to the porous layer that acts as a buffer and is conducive to disappearance of internal stresses. Doping of the epitaxial layer with dysprosium exerts a similar effect on the internal stresses in the film-substrate structure.

  8. Epitaxial layers of 2122 BCSCO superconductor thin films having single crystalline structure

    NASA Technical Reports Server (NTRS)

    Pandey, Raghvendra K. (Inventor); Raina, Kanwal K. (Inventor); Solayappan, Narayanan (Inventor)

    1995-01-01

    A substantially single phase, single crystalline, highly epitaxial film of Bi.sub.2 CaSr.sub.2 Cu.sub.2 O.sub.8 superconductor which has a T.sub.c (zero resistance) of 83K is provided on a lattice-matched substrate with no intergrowth. This film is produced by a Liquid Phase Epitaxy method which includes the steps of forming a dilute supercooled molten solution of a single phase superconducting mixture of oxides of Bi, Ca, Sr, and Cu having an atomic ratio of about 2:1:2:2 in a nonreactive flux such as KCl, introducing the substrate, e.g., NdGaO.sub.3, into the molten solution at 850.degree. C., cooling the solution from 850.degree. C. to 830.degree. C. to grow the film and rapidly cooling the substrate to room temperature to maintain the desired single phase, single crystalline film structure.

  9. Structure and magnetism of epitaxially strained Pd(001) films on Fe(001): Experiment and theory

    NASA Astrophysics Data System (ADS)

    Fullerton, Eric E.; Stoeffler, D.; Ounadjela, K.; Heinrich, B.; Celinski, Z.; Bland, J. A. C.

    1995-03-01

    We present an experimental and theoretical description of the structure and magnetism of epitaxially strained Pd(001) films on Fe(001) and in Fe/Pd/Fe(001) trilayers. The structure is determined by combining reflection high-energy electron diffraction and x-ray diffraction. For Fe/Au(001) bilayers and Fe/Pd/Au(001) trilayers grown by molecular-beam epitaxy on Ag(001), the Fe and Au layers are well represented by their bulk structure, whereas, thin Pd layers have a face-centered tetragonal structure with an in-plane expansion of 4.2% and an out-of-plane contraction of 7.2% (c/a=0.89). Theoretical ab initio studies of the interfacial structure indicate that the structural ground state of the epitaxially strained Pd layer is well described by a fct structure which maintains the bulk Pd atomic volume with small deviations at the interface. For Fe/Pd/Fe trilayers, the interlayer coupling oscillates with a period of 4 monolayers (ML) on a ferromagnetic background that crosses to weak antiferromagnetic coupling for thicknesses >12 ML of Pd. Strong ferromagnetic coupling observed below 5 ML of Pd indicates that 2 ML of Pd at each interface are ferromagnetically ordered. Theoretical studies of Fe3Pdn superlattices (where n is the number of Pd atomic layers) determine the polarization of the Pd layer and the interlayer magnetic coupling to depend strongly on the c/a ratio of the Pd layers. Modeling of a Pd layer with a constant-volume fct structure and one monolayer interfacial roughness find that the first 2 ML of the Pd is polarized in close agreement with the experimental results. Polarized neutron reflectivity results on an Fe(5.6 ML)/Pd(7 ML)/Au(20 ML) sample determine the average moment per Fe atom of 2.66+/-0.05μB. Calculations for the same structure show that this value is consistent with the induced Pd polarization.

  10. Molecular beam epitaxial growth and characterization of InSb{sub 1-x}N{sub x} on GaAs for long wavelength infrared applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Patra, Nimai C.; Bharatan, Sudhakar; Li, Jia

    2012-04-15

    Recent research progress and findings in InSbN have attracted great attention due to its use in long wavelength infrared applications. A large bandgap reduction in InSb resulting from high N incorporation with minimal crystal defects is challenging due to relatively small atomic size of N. Hence optimization of growth conditions plays an important role in the growth of high-quality InSbN epilayers for device purposes. In this paper, we report on the correlation of structural, vibrational, electrical, and optical properties of molecular beam epitaxially grown InSbN epilayers grown on GaAs substrates, as a function of varying growth temperatures. Two dimensional growthsmore » of InSb and InSbN were confirmed from dynamic reflection high energy electron diffraction patterns and growth parameters were optimized. High crystalline quality of the epilayers is attested to by a low full width at half maximum of 200 arcsec from high resolution x-ray diffraction (HRXRD) scans and by the high intensity and well-resolved InSb longitudinal optical (LO) and 2{sup nd} order InSb LO mode observed from micro-Raman spectroscopy. The N incorporation in these InSbN epilayers is estimated to be 1.4% based on HRXRD simulation. X-ray photoelectron spectroscopy (XPS) studies reveal that most of the N present in the layers are in the form of In-N bonding. Variation of the lattice disorder with growth temperature is correlated with the types of N bonding present, the carrier concentration and mobility, observed in the corresponding XPS spectra and Hall measurements, respectively. XPS analysis, HRXRD scans, and Raman spectral analysis indicate that lower growth temperature favors In-N bonding which dictates N incorporation in the substitutional sites and lattice disorder, whereas, high growth temperature promotes the formation of In-N-Sb bonding. The best room temperature and 77 K electrical transport parameters and maximum redshift in the absorption edge have been achieved in the In

  11. Efficient Ga(As)Sb quantum dot emission in AlGaAs by GaAs intermediate layer

    NASA Astrophysics Data System (ADS)

    Loeber, Thomas Henning; Richter, Johannes; Strassner, Johannes; Heisel, Carina; Kimmle, Christina; Fouckhardt, Henning

    2013-03-01

    Ga(As)Sb quantum dots (QDs) are epitaxially grown in AlGaAs/GaAs in the Stranski-Krastanov mode. In the recent past we achieved Ga(As)Sb QDs in GaAs with an extremely high dot density of 9.8•1010 cm-2 by optimization of growth temperature, Sb/Ga flux pressure ratio, and coverage. Additionally, the QD emission wavelength could be chosen precisely with these growth parameters in the range between 876 and 1035 nm. Here we report a photoluminescence (PL) intensity improvement for the case with AlGaAs barriers. Again growth parameters and layer composition are varied. The aluminium content is varied between 0 and 90%. Reflectance anisotropy spectroscopy (RAS) is used as insitu growth control to determine growth rate, layer thickness, and AlGaAs composition. Ga(As)Sb QDs, directly grown in AlxGa1-xAs emit no PL signal, even with a very low x ≈ 0.1. With additional around 10 nm thin GaAs intermediate layers between the Ga(As)Sb QDs and the AlGaAs barriers PL signals are detected. Samples with 4 QD layers and AlxGa1-xAs/GaAs barriers in between are grown. The thickness and composition of the barriers are changed. Depending on these values PL intensity is more than 4 times as high as in the case with simple GaAs barriers. With these results efficient Ga(As)Sb QD lasers are realized, so far only with pure GaAs barriers. Our index-guided broad area lasers operate continuous-wave (cw) @ 90 K, emit optical powers of more than 2•50 mW and show a differential quantum efficiency of 54% with a threshold current density of 528 A/cm2.

  12. Structure and Magnetism of Nanocrystalline and Epitaxial (Mn,Zn,Fe)3O4

    DTIC Science & Technology

    2012-01-01

    a collection of information if it does not display a currently valid OMB control number. PLEASE DO NOT RETURN YOUR FORM TO THE ABOVE ADDRESS. a ...Park, NC 27709-2211 15. SUBJECT TERMS structure, magnetism, nanocrystalline, films F. J. Wong, A . J. Grutter, J. M. Iwata-Harms, V. V. Mehta, U. S...shifted by 200虠 Oe at low temperatures. No such effect is observed in the epitaxial films. We hypothesize that the presence of a more structurally

  13. Investigation of high efficiency GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Olsen, Larry C.; Dunham, Glen; Addis, F. W.; Huber, Dan; Linden, Kurt

    1989-01-01

    Investigations of basic mechanisms which limit the performance of high efficiency GaAs solar cells are discussed. P/N heteroface structures have been fabricated from MOCVD epiwafers. Typical AM1 efficiencies are in the 21 to 22 percent range, with a SERI measurement for one cell being 21.5 percent. The cells are nominally 1.5 x 1.5 cm in size. Studies have involved photoresponse, T-I-V analyses, and interpretation of data in terms of appropriate models to determine key cell parameters. Results of these studies are utilized to determine future approaches for increasing GaAs solar cell efficiencies.

  14. In situ synchrotron X-ray diffraction study on epitaxial-growth dynamics of III–V semiconductors

    NASA Astrophysics Data System (ADS)

    Takahasi, Masamitu

    2018-05-01

    The application of in situ synchrotron X-ray diffraction (XRD) to the molecular-beam epitaxial (MBE) growth of III–V semiconductors is overviewed along with backgrounds of the diffraction theory and instrumentation. X-rays are sensitive not only to the surface of growing films but also to buried interfacial structures because of their large penetration depth. Moreover, a spatial coherence length up to µm order makes X-rays widely applicable to the characterization of low-dimensional structures, such as quantum dots and wires. In situ XRD studies during growth were performed using an X-ray diffractometer, which was combined with an MBE chamber. X-ray reciprocal space mapping at a speed matching a typical growth rate was achieved using intense X-rays available from a synchrotron light source and an area detector. The importance of measuring the three-dimensional distribution of XRD intensity in a reciprocal space map is demonstrated for the MBE growth of two-, one-, and zero-dimensional structures. A large amount of information about the growth process of two-dimensional InGaAs/GaAs(001) epitaxial films has been provided by three-dimensional X-ray reciprocal mappings, including the anisotropic strain relaxation, the compositional inhomogeneity, and the evolution of surface and interfacial roughness. For one-dimensional GaAs nanowires grown in a Au-catalyzed vapor-liquid–solid mode, the relationship between the diameter of the nanowires and the formation of polytypes has been suggested on the basis of in situ XRD measurements. In situ three-dimensional X-ray reciprocal space mapping is also shown to be useful for determining the lateral and vertical sizes of self-assembled InAs/GaAs(001) quantum dots as well as their internal strain distributions during growth.

  15. Strain tuning of electronic structure in Bi 4Ti 3O 12-LaCoO 3 epitaxial thin films

    DOE PAGES

    Choi, Woo Seok; Lee, Ho Nyung

    2015-05-08

    In this study, we investigated the crystal and electronic structures of ferroelectric Bi 4Ti 3O 12 single-crystalline thin films site-specifically substituted with LaCoO 3 (LCO). The epitaxial films were grown by pulsed laser epitaxy on NdGaO 3 and SrTiO 3 substrates to vary the degree of strain. With increasing the LCO substitution, we observed a systematic increase in the c-axis lattice constant of the Aurivillius phase related with the modification of pseudo-orthorhombic unit cells. These compositional and structural changes resulted in a systematic decrease in the band gap, i.e., the optical transition energy between the oxygen 2p and transition-metal 3dmore » states, based on a spectroscopic ellipsometry study. In particular, the Co 3d state seems to largely overlap with the Ti t 2g state, decreasing the band gap. Interestingly, the applied tensile strain facilitates the band-gap narrowing, demonstrating that epitaxial strain is a useful tool to tune the electronic structure of ferroelectric transition-metal oxides.« less

  16. Epitaxial Growth of BGaAs and BGaInAs by MOCVD: Preprint

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Geisz, J. F.; Friedman, D. J.; Kurtz, S. R.

    2001-10-01

    Presented at the 2001 NCPV Program Review Meeting: Comparison of use of TMB, TEB, and BF3 to diborane for MOCVD growth of BGaInAs. BGaInAs can potentially be used in strain-free high-efficiency III-V solar cells, but the growth of sufficiently high-quality epitaxial BGaInAs using diborane has proven difficult. We compare the use of alternative boron precursors (trimethylboron [TMB], triethylboron [TEB], and boron trifluoride [BF{sub 3}]) with diborane for the MOCVD growth of these boron-containing III-V alloys. We find that TMB and BF{sub 3} do not result in significant boron incorporation into GaAs. TEB does result in boron incorporation in a mannermore » very similar to diborane. Both diborane and TEB incorporate more efficiently using triethylgallium (TEG) rather than trimethylgallium (TMG), making TEG a preferred source of gallium for BGaAs epitaxy. Using TEB together with TEG, a higher boron composition (x = 4%-7%) has been achieved than has been previously reported, but we are still unable to achieve high- quality BGaInAs with the correct composition for solar cell applications.« less

  17. Epitaxy of semiconductor-superconductor nanowires

    NASA Astrophysics Data System (ADS)

    Krogstrup, P.; Ziino, N. L. B.; Chang, W.; Albrecht, S. M.; Madsen, M. H.; Johnson, E.; Nygård, J.; Marcus, C. M.; Jespersen, T. S.

    2015-04-01

    Controlling the properties of semiconductor/metal interfaces is a powerful method for designing functionality and improving the performance of electrical devices. Recently semiconductor/superconductor hybrids have appeared as an important example where the atomic scale uniformity of the interface plays a key role in determining the quality of the induced superconducting gap. Here we present epitaxial growth of semiconductor-metal core-shell nanowires by molecular beam epitaxy, a method that provides a conceptually new route to controlled electrical contacting of nanostructures and the design of devices for specialized applications such as topological and gate-controlled superconducting electronics. Our materials of choice, InAs/Al grown with epitaxially matched single-plane interfaces, and alternative semiconductor/metal combinations allowing epitaxial interface matching in nanowires are discussed. We formulate the grain growth kinetics of the metal phase in general terms of continuum parameters and bicrystal symmetries. The method realizes the ultimate limit of uniform interfaces and seems to solve the soft-gap problem in superconducting hybrid structures.

  18. High throughput vacuum chemical epitaxy

    NASA Astrophysics Data System (ADS)

    Fraas, L. M.; Malocsay, E.; Sundaram, V.; Baird, R. W.; Mao, B. Y.; Lee, G. Y.

    1990-10-01

    We have developed a vacuum chemical epitaxy (VCE) reactor which avoids the use of arsine and allows multiple wafers to be coated at one time. Our vacuum chemical epitaxy reactor closely resembles a molecular beam epitaxy system in that wafers are loaded into a stainless steel vacuum chamber through a load chamber. Also as in MBE, arsenic vapors are supplied as reactant by heating solid arsenic sources thereby avoiding the use of arsine. However, in our VCE reactor, a large number of wafers are coated at one time in a vacuum system by the substitution of Group III alkyl sources for the elemental metal sources traditionally used in MBE. Higher wafer throughput results because in VCE, the metal-alkyl sources for Ga, Al, and dopants can be mixed at room temperature and distributed uniformly though a large area injector to multiple substrates as a homogeneous array of mixed element molecular beams. The VCE reactor that we have built and that we shall describe here uniformly deposits films on 7 inch diameter substrate platters. Each platter contains seven two inch or three 3 inch diameter wafers. The load chamber contains up to nine platters. The vacuum chamber is equipped with two VCE growth zones and two arsenic ovens, one per growth zone. Finally, each oven has a 1 kg arsenic capacity. As of this writing, mirror smooth GaAs films have been grown at up to 4 μm/h growth rate on multiple wafers with good thickness uniformity. The background doping is p-type with a typical hole concentration and mobility of 1 × 10 16/cm 3 and 350 cm 2/V·s. This background doping level is low enough for the fabrication of MESFETs, solar cells, and photocathodes as well as other types of devices. We have fabricated MESFET devices using VCE-grown epi wafers with peak extrinsic transconductance as high as 210 mS/mm for a threshold voltage of - 3 V and a 0.6 μm gate length. We have also recently grown AlGaAs epi layers with up to 80% aluminum using TEAl as the aluminum alkyl source. The Al

  19. Transient GaAs plasmonic metasurfaces at terahertz frequencies

    DOE PAGES

    Yang, Yuanmu; Kamaraju, N.; Campione, Salvatore; ...

    2016-12-09

    Here we demonstrate the ultrafast formation of terahertz (THz) metasurfaces through all-optical creation of spatially modulated carrier density profiles in a deep-subwavelength GaAs film. The switch-on of the transient plasmon mode, governed by the GaAs effective electron mass and electron–phonon interactions, is revealed by structured-optical pump THz probe spectroscopy, on a time scale of 500 fs. By modulating the carrier density using different pump fluences, we observe a wide tuning of the electric dipole resonance of the transient GaAs metasurface from 0.5 THz to 1.7 THz. Furthermore, we numerically demonstrate that the metasurface presented here can be generalized to moremore » complex architectures for realizing functionalities such as perfect absorption, leading to a 30 dB modulation depth. In conclusion, the platform also provides a pathway to achieve ultrafast manipulation of infrared beams in the linear and, potentially, nonlinear regime.« less

  20. Structural Studies of the Initial Stages of Fluoride Epitaxy on Silicon and GERMANIUM(111)

    NASA Astrophysics Data System (ADS)

    Denlinger, Jonathan David

    The epitaxial growth of ionic insulators on semiconductor substrates is of interest due to fundamental issues of interface bonding and structure as well as to potential technological applications. The initial stages of Group IIa fluoride insulator growth on (111) Si and Ge substrates by molecular beam epitaxy are studied with the in situ combination of X-ray Photoelectron Spectroscopy (XPS) and Diffraction (XPD). While XPS probes the electronic structure, XPD reveals atomic structure. In addition, low energy electron diffraction (LEED) is used to probe surface order and a separate study using X-ray standing wave (XSW) fluorescence reveals interface cation bonding sites. Following the formation of a chemically-reacted interface layer in CaF_2 epitaxy on Si(111), the morphology of the subsequent bulk layers is found to be dependent on substrate temperature and incident flux rate. At temperatures >=600 ^circC a transition from three -dimensional island formation at low flux to laminar growth at higher flux is observed with bulk- and interface-resolved XPD. At lower substrate temperatures, laminar growth is observed at all fluxes, but with different bulk nucleation behavior due to changes in the stoichiometry of the interface layer. This new observation of kinetic effects on the initial nucleation in CaF_2 epitaxy has important ramifications for the formation of thicker heterostructures for scientific or device applications. XPS and XPD are also used to identify for the first time, surface core-level species of Ca and F, and a secondary interface-shifted F Auger component arising from a second-layer site directly above interface-layer Ca atoms. The effects of lattice mismatch (from -3% to 8%) are investigated with various growths of Ca_{rm x}Sr _{rm 1-x}F_2 on Si and Ge (111) substrates. Triangulation of (111) and (220) XSW indicates a predominance of 3-fold hollow Sr bonding sites coexisting with 4-fold top sites for monolayers of SrF_2 on Si. XSW and LEED reveal a

  1. Integrated, Flexible, High-efficiency Solar Cells: Epitaxial Lift-Off GaAs Solar Cells and Enabling Substrate Reuse

    DTIC Science & Technology

    2012-08-01

    substrate cells. 3   GaAs CIGS CdTe α-SI Organic Trip. jun. Metam. C-Si Trip. Jun. Ge sub InP Power/Weight  Tradeoff...40   -  AR  coa<ng  ( ZnS /MgF2)150nm...AR  coa<ng  ( ZnS /MgF2)150nm                                      $5   -  HF

  2. Epitaxial solar-cell fabrication, phase 2

    NASA Technical Reports Server (NTRS)

    Daiello, R. V.; Robinson, P. H.; Kressel, H.

    1977-01-01

    Dichlorosilane (SiH2Cl2) was used as the silicon source material in all of the epitaxial growths. Both n/p/p(+) and p/n/n(+) structures were studied. Correlations were made between the measured profiles and the solar cell parameters, especially cell open-circuit voltage. It was found that in order to obtain consistently high open-circuit voltage, the epitaxial techniques used to grow the surface layer must be altered to obtain very abrupt doping profiles in the vicinity of the junction. With these techniques, it was possible to grow reproducibly both p/n/n(+) and n/p/p(+) solar cell structures having open-circuit voltages in the 610- to 630-mV range, with fill-factors in excess of 0.80 and AM-1 efficiencies of about 13%. Combinations and comparisons of epitaxial and diffused surface layers were also made. Using such surface layers, we found that the blue response of epitaxial cells could be improved, resulting in AM-1 short-circuit current densities of about 30 mA/cm sq. The best cells fabricated in this manner had AM-1 efficiency of 14.1%.

  3. Process for growing a film epitaxially upon an oxide surface and structures formed with the process

    DOEpatents

    McKee, Rodney Allen; Walker, Frederick Joseph

    1998-01-01

    A process and structure wherein a film comprised of a perovskite or a spinel is built epitaxially upon a surface, such as an alkaline earth oxide surface, involves the epitaxial build up of alternating constituent metal oxide planes of the perovskite or spinel. The first layer of metal oxide built upon the surface includes a metal element which provides a small cation in the crystalline structure of the perovskite or spinel, and the second layer of metal oxide built upon the surface includes a metal element which provides a large cation in the crystalline structure of the perovskite or spinel. The layering sequence involved in the film build up reduces problems which would otherwise result from the interfacial electrostatics at the first atomic layers, and these oxides can be stabilized as commensurate thin films at a unit cell thickness or grown with high crystal quality to thicknesses of 0.5-0.7 .mu.m for optical device applications.

  4. Process for growing a film epitaxially upon an oxide surface and structures formed with the process

    DOEpatents

    McKee, Rodney A.; Walker, Frederick J.

    1995-01-01

    A process and structure wherein a film comprised of a perovskite or a spinel is built epitaxially upon a surface, such as an alkaline earth oxide surface, involves the epitaxial build up of alternating constituent metal oxide planes of the perovskite or spinel. The first layer of metal oxide built upon the surface includes a metal element which provides a small cation in the crystalline structure of the perovskite or spinel, and the second layer of metal oxide built upon the surface includes a metal element which provides a large cation in the crystalline structure of the perovskite or spinel. The layering sequence involved in the film build up reduces problems which would otherwise result from the interfacial electrostatics at the first atomic layers, and these oxides can be stabilized as commensurate thin films at a unit cell thickness or grown with high crystal quality to thicknesses of 0.5-0.7 .mu.m for optical device applications.

  5. Strain-induced modification of magnetic structure and new magnetic phases in rare-earth epitaxial films

    NASA Astrophysics Data System (ADS)

    Dufour, C.; Dumesnil, K.; Mangin, Ph

    2006-07-01

    Rare earths exhibit complex magnetic phase diagrams resulting from the competition between various contributions to the magnetic energy: exchange, anisotropy and magnetostriction. The epitaxy of a rare-earth film on a substrate induces (i) a clamping to the substrate and (ii) pseudomorphic strains. Both these effects are shown to lead to modifications of the magnetic properties in (0 0 1)Dy, (0 0 1)Tb and (1 1 0)Eu films. In Dy and Tb films, spectacular variations of the Curie temperature have been evidenced. Additionally, Tb films exhibit a new large wavelength magnetic modulation. In Eu films, one of the helical magnetic domains disappears at low temperature whereas the propagation vectors of the other helices are tilted. The link between structural and magnetic properties is underlined via magnetoelastic models. Moreover, molecular beam epitaxy permits the growth of Sm in a metastable dhcp phase. The magnetic structure of dhcp Sm has been elucidated for the first time. In this review, neutron scattering is shown to be a powerful technique to reveal the magnetic structures of rare-earth films.

  6. Fabrication of (In,Ga)As quantum-dot chains on GaAs(100)

    NASA Astrophysics Data System (ADS)

    Wang, Z. M.; Holmes, K.; Mazur, Yu. I.; Salamo, G. J.

    2004-03-01

    Nanostructure evolution during the growth of multilayers of In0.5Ga0.5As/GaAs (100) by molecular-beam epitaxy is investigated to control the formation of lines of quantum dots called quantum-dot chains. It is found that the dot chains can be substantially increased in length by the introduction of growth interruptions during the initial stages of growth of the GaAs spacer layer. Quantum-dot chains that are longer than 5 μm are obtained by adjusting the In0.5Ga0.5As coverage and growth interruptions. The growth procedure is also used to create a template to form InAs dots into chains with a predictable dot density. The resulting dot chains offer the possibility to engineer carrier interaction among dots for novel physical phenomena and potential devices.

  7. Electrical characterisation of deep level defects in Be-doped AlGaAs grown on (100) and (311)A GaAs substrates by MBE

    PubMed Central

    2011-01-01

    The growth of high mobility two-dimensional hole gases (2DHGs) using GaAs-GaAlAs heterostructures has been the subject of many investigations. However, despite many efforts hole mobilities in Be-doped structures grown on (100) GaAs substrate remained considerably lower than those obtained by growing on (311)A oriented surface using silicon as p-type dopant. In this study we will report on the properties of hole traps in a set of p-type Be-doped Al0.29Ga0.71As samples grown by molecular beam epitaxy on (100) and (311)A GaAs substrates using deep level transient spectroscopy (DLTS) technique. In addition, the effect of the level of Be-doping concentration on the hole deep traps is investigated. It was observed that with increasing the Be-doping concentration from 1 × 1016 to 1 × 1017 cm-3 the number of detected electrically active defects decreases for samples grown on (311)A substrate, whereas, it increases for (100) orientated samples. The DLTS measurements also reveal that the activation energies of traps detected in (311)A are lower than those in (100). From these findings it is expected that mobilities of 2DHGs in Be-doped GaAs-GaAlAs devices grown on (311)A should be higher than those on (100). PMID:21711687

  8. Spatial structure of single and interacting Mn acceptors in GaAs

    NASA Astrophysics Data System (ADS)

    Koenraad, Paul

    2005-03-01

    Ferromagnetic semiconductors such as Ga1-xMnxAs are receiving a lot of attention at the moment because of their application in spintronic devices. However, despite intense study of deep acceptors in III-V semiconductors such as MnGa, little information has been obtained on their electronic properties at the atomic scale. Yet the spatial shape of the Mn acceptor state will influence the hole-mediated Mn-Mn coupling and thus all of the magnetic properties of ferromagnetic semiconductors such as Ga1-xMnxAs. This study presents an experimental and theoretical description of the spatial symmetry of the Mn acceptor wave-function in GaAs. We present measurements of the spatial mapping of the anisotropic wavefunction of a hole localized at a Mn acceptor. To achieve this, we have used the STM tip not only to image the Mn acceptor but also to manipulate its charge state A^0/A^- at room temperature. Within an envelope function effective mass model (EFM) the anisotropy in the acceptor wave-function can be traced to the influence of the cubic symmetry of the GaAs crystal which selects specific d-states that mix into the ground state due to the spin-orbit interaction in the valence band. Comparison with calculations based on a tight-binding model (TBM) for the Mn acceptor structure supports this conclusion. Using the same experimental and theoretical approach we furthermore explored the interaction between Mn acceptors directly by analyzing close Mn-Mn pairs, which were separated by less than 2 nm. We will discuss some implications of these results for Mn delta-doped layers grown on differently oriented growth surfaces.

  9. Process for depositing epitaxial alkaline earth oxide onto a substrate and structures prepared with the process

    DOEpatents

    McKee, Rodney A.; Walker, Frederick J.

    1996-01-01

    A process and structure involving a silicon substrate utilize molecular beam epitaxy (MBE) and/or electron beam evaporation methods and an ultra-high vacuum facility to grow a layup of epitaxial alkaline earth oxide films upon the substrate surface. By selecting metal constituents for the oxides and in the appropriate proportions so that the lattice parameter of each oxide grown closely approximates that of the substrate or base layer upon which oxide is grown, lattice strain at the film/film or film/substrate interface of adjacent films is appreciably reduced or relieved. Moreover, by selecting constituents for the oxides so that the lattice parameters of the materials of adjacent oxide films either increase or decrease in size from one parameter to another parameter, a graded layup of films can be grown (with reduced strain levels therebetween) so that the outer film has a lattice parameter which closely approximates that of, and thus accomodates the epitaxial growth of, a pervoskite chosen to be grown upon the outer film.

  10. Covalent attachment of TAT peptides and thiolated alkyl molecules on GaAs surfaces.

    PubMed

    Cho, Youngnam; Ivanisevic, Albena

    2005-07-07

    Four TAT peptide fragments were used to functionalize GaAs surfaces by adsorption from solution. In addition, two well-studied alkylthiols, mercaptohexadecanoic acid (MHA) and 1-octadecanethiol (ODT) were utilized as references to understand the structure of the TAT peptide monolayer on GaAs. The different sequences of TAT peptides were employed in recognition experiments where a synthetic RNA sequence was tested to verify the specific interaction with the TAT peptide. The modified GaAs surfaces were characterized by atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS), and Fourier transform infrared reflection absorption spectroscopy (FT-IRRAS). AFM studies were used to compare the surface roughness before and after functionalization. XPS allowed us to characterize the chemical composition of the GaAs surface and conclude that the monolayers composed of different sequences of peptides have similar surface chemistries. Finally, FT-IRRAS experiments enabled us to deduce that the TAT peptide monolayers have a fairly ordered and densely packed alkyl chain structure. The recognition experiments showed preferred interaction of the RNA sequence toward peptides with high arginine content.

  11. Growth of quantum three-dimensional structure of InGaAs emitting at 1 μm applicable for a broadband near-infrared light source

    NASA Astrophysics Data System (ADS)

    Ozaki, Nobuhiko; Kanehira, Shingo; Hayashi, Yuma; Ohkouchi, Shunsuke; Ikeda, Naoki; Sugimoto, Yoshimasa; Hogg, Richard A.

    2017-11-01

    We obtained a high-intensity and broadband emission centered at 1 μm from InGaAs quantum three-dimensional (3D) structures grown on a GaAs substrate using molecular beam epitaxy. An InGaAs thin layer grown on GaAs with a thickness close to the critical layer thickness is normally affected by strain as a result of the lattice mismatch and introduced misfit dislocations. However, under certain growth conditions for the In concentration and growth temperature, the growth mode of the InGaAs layer can be transformed from two-dimensional to 3D growth. We found the optimal conditions to obtain a broadband emission from 3D structures with a high intensity and controlled center wavelength at 1 μm. This method offers an alternative approach for fabricating a broadband near-infrared light source for telecommunication and medical imaging systems such as for optical coherence tomography.

  12. Manipulation of Dirac cones in intercalated epitaxial graphene

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, Minsung; Tringides, Michael C.; Hershberger, Matthew T.

    Graphene is an intriguing material in view of its unique Dirac quasi-particles, and the manipulation of its electronic structure is important in material design and applications. Here, we theoretically investigate the electronic band structure of epitaxial graphene on SiC with intercalation of rare earth metal ions (e.g., Yb and Dy) using first-principles calculations. We can use the intercalation to control the coupling of the constituent components (buffer layer, graphene, and substrate), resulting in strong modification of the graphene band structure. We also demonstrate that the metal-intercalated epitaxial graphene has tunable band structures by controlling the energies of Dirac cones asmore » well as the linear and quadratic band dispersion depending on the intercalation layer and density. Thus, the metal intercalation is a viable method to manipulate the electronic band structure of the epitaxial graphene, which can enhance the functional utility and controllability of the material.« less

  13. Manipulation of Dirac cones in intercalated epitaxial graphene

    DOE PAGES

    Kim, Minsung; Tringides, Michael C.; Hershberger, Matthew T.; ...

    2017-07-12

    Graphene is an intriguing material in view of its unique Dirac quasi-particles, and the manipulation of its electronic structure is important in material design and applications. Here, we theoretically investigate the electronic band structure of epitaxial graphene on SiC with intercalation of rare earth metal ions (e.g., Yb and Dy) using first-principles calculations. We can use the intercalation to control the coupling of the constituent components (buffer layer, graphene, and substrate), resulting in strong modification of the graphene band structure. We also demonstrate that the metal-intercalated epitaxial graphene has tunable band structures by controlling the energies of Dirac cones asmore » well as the linear and quadratic band dispersion depending on the intercalation layer and density. Thus, the metal intercalation is a viable method to manipulate the electronic band structure of the epitaxial graphene, which can enhance the functional utility and controllability of the material.« less

  14. First-principles study of structural, electronic, and optical properties of surface defects in GaAs(001) - β2(2x4)

    NASA Astrophysics Data System (ADS)

    Bacuyag, Dhonny; Escaño, Mary Clare Sison; David, Melanie; Tani, Masahiko

    2018-06-01

    We performed first-principles calculations based on density functional theory (DFT) to investigate the role of point defects in the structural, electronic, and optical properties of the GaAs(001)- β2(2x4). In terms of structural properties, AsGa is the most stable defect structure, consistent with experiments. With respect to the electronic structure, band structures revealed the existence of sub-band and midgap states for all defects. The induced sub-bands and midgap states originated from the redistributions of charges towards these defects and neighboring atoms. The presence of these point defects introduced deep energy levels characteristic of EB3 (0.97 eV), EL4 (0.52 eV), and EL2 (0.82 eV) for AsGa, GaAs, GaV, respectively. The optical properties are found to be strongly related to these induced gap states. The calculated onset values in the absorption spectra, corresponding to the energy gaps, confirmed the absorption below the known bulk band gap of 1.43 eV. These support the possible two-step photoabsorption mediated by midgap states as observed in experiments.

  15. LEC GaAs for integrated circuit applications

    NASA Technical Reports Server (NTRS)

    Kirkpatrick, C. G.; Chen, R. T.; Homes, D. E.; Asbeck, P. M.; Elliott, K. R.; Fairman, R. D.; Oliver, J. D.

    1984-01-01

    Recent developments in liquid encapsulated Czochralski techniques for the growth of semiinsulating GaAs for integrated circuit applications have resulted in significant improvements in the quality and quantity of GaAs material suitable for device processing. The emergence of high performance GaAs integrated circuit technologies has accelerated the demand for high quality, large diameter semiinsulating GaAs substrates. The new device technologies, including digital integrated circuits, monolithic microwave integrated circuits and charge coupled devices have largely adopted direct ion implantation for the formation of doped layers. Ion implantation lends itself to good uniformity and reproducibility, high yield and low cost; however, this technique also places stringent demands on the quality of the semiinsulating GaAs substrates. Although significant progress was made in developing a viable planar ion implantation technology, the variability and poor quality of GaAs substrates have hindered progress in process development.

  16. Resonant tunneling structures based on epitaxial graphene on SiC

    NASA Astrophysics Data System (ADS)

    Nguyen, V. Hung; Bournel, A.; Dollfus, P.

    2011-12-01

    Recently some experiments have suggested that graphene epitaxially grown on SiC can exhibit an energy bandgap of 260 meV, which enhances the potential of this material for electronic applications. On this basis, we propose to use spatial doping to generate graphene-on-SiC double-barrier structures. The non-equilibrium Green's function technique for solving the massive Dirac model is applied to highlight typical transport phenomena such as the electron confinement and the resonant tunneling effects. The I-V characteristics of graphene resonant tunneling diodes were then investigated and the effect of different device parameters was discussed. It is finally shown that this kind of double-barrier junction provides an efficient way to confine the charge carriers in graphene and to design graphene resonant tunneling structures.

  17. Spontaneous perpendicular exchange bias effect in L10-MnGa/FeMn bilayers grown by molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Zhao, X. P.; Lu, J.; Mao, S. W.; Yu, Z. F.; Wei, D. H.; Zhao, J. H.

    2018-01-01

    We report on the spontaneous perpendicular exchange bias effect in as-grown L10-MnGa/FeMn bilayers. An FeMn layer with different thicknesses is introduced as an antiferromagnetic layer to couple with single-crystalline ferromagnetic L10-MnGa, which is epitaxially grown on a GaAs (001) substrate by molecular-beam epitaxy. The perpendicular exchange bias shows a strong dependence on both the thickness of the FeMn layer and the measurement temperature. A large spontaneous perpendicular exchange bias up to 8.9 kOe is achieved in L10-MnGa/FeMn bilayers at 5 K without any external magnetic treatment. The corresponding effective interfacial exchange energy Jeff is estimated to be 1.4 mJ/m2. The spontaneous perpendicular exchange bias effect in the (001) textured L10-MnGa/FeMn bilayers paves the way for spintronic devices based on exchange biased perpendicularly magnetized materials.

  18. Spectrally resolved localized states in GaAs 1– xBi x

    DOE PAGES

    Christian, Theresa M.; Alberi, Kirstin; Beaton, Daniel A.; ...

    2017-02-01

    In this study, the role of localized states and their influence on the broader band structure remains a crucial question in understanding the band structure evolution in GaAs 1-xBi x. Here in this work, we present clear spectroscopic observations of recombination at several localized states in GaAs 1-xBi x. Sharp and recognizable photoluminescence features appear in multiple samples and redshift as a function of GaBi fraction between x = 0.16% and 0.4% at a linearized rate of 34 meV per % Bi, weaker than the redshift associated with band-to-band recombination. Interpreting these results in terms of radiative recombination between localizedmore » holes and free electrons sheds light on the relative movement of the conduction band minimum and the characteristics of localized bismuth-related trap states in GaAs 1-xBi x alloys.« less

  19. GaAs Spectrometer for Electron Spectroscopy at Europa

    NASA Astrophysics Data System (ADS)

    Lioliou, G.; Barnett, A. M.

    2016-12-01

    We propose a GaAs based electron spectrometer for a hypothetical future mission orbiting Europa. Previous observations at Europa's South Pole with the Hubble Space Telescope of hydrogen Lyman-α and oxygen OI 130.4 nm emissions were consistent with water vapor plumes [Roth et al., 2014, Science 343, 171]. Future observations and analysis of plumes on Europa could provide information about its subsurface structure and the distribution of liquid water within its icy shells [Rhoden at al. 2015, Icarus 253, 169]. In situ low energy (1keV - 100keV) electron spectroscopy along with UV imaging either in situ or with the Hubble Space Telescope Wide Field Camera 3 or similar would allow verification of the auroral observations being due to electron impact excitation of water vapor plumes. The proposed spectrometer includes a novel GaAs p+-i-n+ photodiode and a custom-made charge-sensitive preamplifier. The use of an early prototype GaAs detector for direct electron spectroscopy has already been demonstrated in ground based applications [Barnett et al., 2012, J. Instrum. 7, P09012]. Based on previous radiation hardness measurements of GaAs, the expected duration of the mission without degradation of the detector performance is estimated to be 4 months. Simulations and laboratory experiments characterising the detection performance of the proposed system are presented.

  20. Structure and Properties of VO2 and Titanium Dioxide Based Epitaxial Heterostructures Integrated with Silicon and Sapphire Substrates

    NASA Astrophysics Data System (ADS)

    Bayati, Mohammad Reza

    The main focus of this study was placed on structure-property correlation in TiO2 and VO2 based epitaxial heterostructures where the photochemical and electrical properties were tuned through microstructural engineering. In the framework of domain matching epitaxy, epitaxial growth of TiO2 and VO2 heterostructures on different substrates were explained. The theta-2theta and ϕ scan X-ray diffraction measurements and detailed high resolution electron microscopy studies corroborated our understanding of the epitaxial growth and the crystallographic arrangement across the interfaces. The influence of the laser and substrate variables on structural characteristics of the films was investigated using X-ray photoelectron spectroscopy, room temperature photoluminescence spectroscopy, and UV-Vis spectrophotometry. In addition, morphological studies were performed by atomic force microscopy. Photochemical properties of the heterostructures were assessed through measuring surface wettability characteristics and photocatalytic reaction rate constant of degradation of 4-chlorophenol under ultraviolet and visible irradiations. We also studied electrical properties employing 4-probe measurement technique. The effect of post treatment processes, such as vacuum annealing and laser treatment, on structure and properties was investigated as well. The role of point defects and deviation from the stoichiometry on photochemical and electrical properties was addressed. In this research, TiO2 epilayers with controlled phase structure, defect content, and crystallographic alignments were grown on sapphire and silicon substrates. Integration with silicon was achieved using cubic and tetragonal yttria-stabilized zirconia buffer layers. I was able to tune the phase structure of the TiO2 based heterostructures from pure rutile to pure anatase and establish an epitaxial relationship across the interfaces in each case. These heterostructures were used for two different purposes. First, their

  1. Fabrication and characterization of multi-layer InAs/InGaAs quantum dot p-i-n GaAs solar cells grown on silicon substrates

    NASA Astrophysics Data System (ADS)

    Omri, M.; Sayari, A.; Sfaxi, L.

    2018-01-01

    This paper reports on InAs/InGaAs quantum dot solar cells (QDSCs) deposited by molecular beam epitaxy (MBE) on (001) n-type silicon ( n-Si) substrates. In-situ RHEED measurements show that InAs/InGaAs QDs SC has a high crystalline structure. The dislocation density in the active layer of the InAs/InGaAs QDSC and the lattice mismatch in the GaAs layer can be reduced by using an Si rough surface buffer layer (RSi). To show the effect of the QD layers, a reference SC with the same p-i-n structure as the InAs/InGaAs QDSC, but without InAs QDs, is also grown. The two SCs were studied by sepectroscopic ellipsometry (SE), in the 1-6 eV photon energy range, photoluminescence and photocurrent measurements. The optical constants of the two devices are determined in the photon energy range 1-6 eV from the SE data. The dominant features in the dielectric function spectra at 3 and 4.5 eV are attributed, respectively, to the E 1 and E 2 critical point structures of GaAs and InAs. The low-temperature photoluminescence spectrum of the InAs/InGaAs QDSC shows ground-state emissions, respectively, from the relatively small QDs near 1081 nm and from the large QDs near 1126 nm. Photocurrent measurements confirm the improved absorption performance (up to 1200 nm) of the InAs QDs SC which is ascribed to the optical absorption from the InAs/InGaAs QDs and the Si substrate as demonstrated by SE and photoluminescence measurements.

  2. Segregation of Sb in Ge epitaxial layers and its usage for the selective doping of Ge-based structures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Antonov, A. V.; Drozdov, M. N.; Novikov, A. V., E-mail: anov@ipmras.ru

    2015-11-15

    The segregation of Sb in Ge epitaxial layers grown by the method of molecular beam epitaxy on Ge (001) substrates is investigated. For a growth temperature range of 180–325°C, the temperature dependence is determined for the segregation ratio of Sb in Ge, which shows a sharp increase (by more than three orders of magnitude) with increasing temperature. The strong dependence of the segregation properties of Sb on the growth temperature makes it possible to adapt a method based on the controlled use of segregation developed previously for the doping of Si structures for the selective doping of Ge structures withmore » a donor impurity. Using this method selectively doped Ge:Sb structures, in which the bulk impurity concentration varies by an order of magnitude at distances of 3–5 nm, are obtained.« less

  3. Luminescence studies of HgCdTe- and InAsSb-based quantum-well structures

    NASA Astrophysics Data System (ADS)

    Izhnin, I. I.; Izhnin, A. I.; Fitsych, O. I.; Voitsekhovskii, A. V.; Gorn, D. I.; Semakova, A. A.; Bazhenov, N. L.; Mynbaev, K. D.; Zegrya, G. G.

    2018-04-01

    Results of photoluminescence studies of single-quantum-well HgCdTe-based structures and electroluminescence studies of multiple-quantum-well InAsSb-based structures are reported. HgCdTe structures were grown with molecular beam epitaxy on GaAs substrates. InAsSb-based structures were grown with metal-organic chemical vapor deposition on InAs substrates. The common feature of luminescence spectra of all the structures was the presence of peaks with the energy much larger than that of calculated optical transitions between the first quantization levels for electrons and heavy holes. Possibility of observation of optical transitions between the quantization levels of electrons and first and/or second heavy and light hole levels is discussed in the paper in relation to the specifics of the electronic structure of the materials under consideration.

  4. Crystal growth of device quality GaAs in space

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.; Lagowski, J.

    1985-01-01

    The present program has been aimed at solving the fundamental and technological problems associated with Crystal Growth of Device Quality in Space. The initial stage of the program was devoted strictly to ground-based research. The unsolved problems associated with the growth of bulk GaAs in the presence of gravitational forces were explored. Reliable chemical, structural and electronic characterization methods were developed which would permit the direct relation of the salient materials parameters (particularly those affected by zero gravity conditions) to the electronic characteristics of single crystal GaAs, in turn to device performance. These relationships are essential for the development of optimum approaches and techniques. It was concluded that the findings on elemental semiconductors Ge and Si regarding crystal growth, segregation, chemical composition, defect interactions, and materials properties-electronic properties relationships are not necessarily applicable to GaAs (and to other semiconductor compounds). In many instances totally unexpected relationships were found to prevail.

  5. Blueish green photoluminescence from nitrided GaAs(100) surfaces

    NASA Astrophysics Data System (ADS)

    Shimaoka, Goro; Udagawa, Takashi

    1999-04-01

    Optical and structural studies were made on the Si-doped (100)GaAs surfaces nitrided at a temperature between 650° and 750°C for 15 min in the flowing NH 3 gas. The wavelength of photoluminescence (PL) spectra were observed to be shortened from 820 nm of the GaAs nitrided at 650°C with increasing nitridation temperature. Blueish green PL with wavelengths of approx. 490 nm and 470 nm were emitted from the nitrided surfaces at 700° and 750°C, respectively. Results of AES and SIMS indicated that the surfaces are nitrided as GaAs 1- xN x, (0< x≤1) alloy layer, and the nitrided region also tended to increase as the temperature raised. High-resolution transmission electron microscopic (HRTEM), transmission electron diffraction (TED) and energy dispersive X-ray (EDX) results showed that films peeled off from the nitrided surfaces consisted mainly of hexagonal, wurtzite-type gallium nitride (GaN) with stacking faults and microtwins.

  6. Metal-organic vapor-phase epitaxy-grown ultra-low density InGaAs/GaAs quantum dots exhibiting cascaded single-photon emission at 1.3 μm

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Paul, Matthias, E-mail: m.paul@ihfg.uni-stuttgart.de; Kettler, Jan; Zeuner, Katharina

    By metal-organic vapor-phase epitaxy, we have fabricated InGaAs quantum dots on GaAs substrate with an ultra-low lateral density (<10{sup 7} cm{sup −2}). The photoluminescence emission from the quantum dots is shifted to the telecom O-band at 1.31 μm by an InGaAs strain reducing layer. In time-resolved measurements, we find fast decay times for exciton (∼600 ps) and biexciton (∼300 ps). We demonstrate triggered single-photon emission (g{sup (2)}(0)=0.08) as well as cascaded emission from the biexciton decay. Our results suggest that these quantum dots can compete with their counterparts grown by state-of-the-art molecular beam epitaxy.

  7. GaAs Monolithic Microwave Subsystem Technology Base

    DTIC Science & Technology

    1980-01-01

    To provide a captive source of reliable, high-quality GaAs substrates, a new crystal growth and substrate preparation facility which utilizes a high...Symp. GaAs and Related Compounds, Inst. Phys. Conf. Ser. 24, 6. 20. Wood, Woodcock and Harris (1978) GaAs and Related Compounds, Inst. Phys. Conf

  8. Epitaxial Stabilization of a-PbO2 Structure in MnF2 Layers on Si and GaP

    DTIC Science & Technology

    2001-06-01

    Before the epitaxy, the substrates were dipped in a HF solution and fixed on Si platelets with InGa eutectic . The crystalline quality of the substrates...15 keV. We used a recrystallization annealing (RA) in the 550-700’C range to improve the MnF2 film quality of some epitaxial structures grown at... recrystallization annealing. The inset in Fig. 1(a) shows the RHEED pattern of a 30 nm MnF2 film grown at 100°C and annealed at 550’C. Well-pronounced

  9. Development of epitaxial Al xSc 1-xN for artificially structured metal/semiconductor superlattice metamaterials

    DOE PAGES

    Sands, Timothy D.; Stach, Eric A.; Saha, Bivas; ...

    2015-02-01

    Epitaxial nitride rocksalt metal/semiconductor superlattices are emerging as a novel class of artificially structured materials that have generated significant interest in recent years for their potential application in plasmonic and thermoelectric devices. Though most nitride metals are rocksalt, nitride semiconductors in general have hexagonal crystal structure. We report rocksalt aluminum scandium nitride (Al,Sc)N alloys as the semiconducting component in epitaxial rocksalt metal/semiconductor superlattices. The Al xSc 1-xN alloys when deposited directly on MgO substrates are stabilized in a homogeneous rocksalt (single) phase when x < 0.51. Employing 20 nm TiN as a seed layer on MgO substrates, the homogeneity rangemore » for stabilizing the rocksalt phase has been extended to x < 0.82 for a 120 nm film. The rocksalt Al xSc 1-xN alloys show moderate direct bandgap bowing with a bowing parameter, B = 1.41 ± 0.19 eV. The direct bandgap of metastable rocksalt AlN is extrapolated to be 4.70 ± 0.20 eV. The tunable lattice parameter, bandgap, dielectric permittivity, and electronic properties of rocksalt Al xSc 1-xN alloys enable high quality epitaxial rocksalt metal/Al xSc 1-xN superlattices with a wide range of accessible metamaterials properties.« less

  10. High-Performance GaAs Nanowire Solar Cells for Flexible and Transparent Photovoltaics.

    PubMed

    Han, Ning; Yang, Zai-xing; Wang, Fengyun; Dong, Guofa; Yip, SenPo; Liang, Xiaoguang; Hung, Tak Fu; Chen, Yunfa; Ho, Johnny C

    2015-09-16

    Among many available photovoltaic technologies at present, gallium arsenide (GaAs) is one of the recognized leaders for performance and reliability; however, it is still a great challenge to achieve cost-effective GaAs solar cells for smart systems such as transparent and flexible photovoltaics. In this study, highly crystalline long GaAs nanowires (NWs) with minimal crystal defects are synthesized economically by chemical vapor deposition and configured into novel Schottky photovoltaic structures by simply using asymmetric Au-Al contacts. Without any doping profiles such as p-n junction and complicated coaxial junction structures, the single NW Schottky device shows a record high apparent energy conversion efficiency of 16% under air mass 1.5 global illumination by normalizing to the projection area of the NW. The corresponding photovoltaic output can be further enhanced by connecting individual cells in series and in parallel as well as by fabricating NW array solar cells via contact printing showing an overall efficiency of 1.6%. Importantly, these Schottky cells can be easily integrated on the glass and plastic substrates for transparent and flexible photovoltaics, which explicitly demonstrate the outstanding versatility and promising perspective of these GaAs NW Schottky photovoltaics for next-generation smart solar energy harvesting devices.

  11. The effect of process conditions on the performance of epitaxial InP solar cells

    NASA Technical Reports Server (NTRS)

    Borrego, J. M.; Ghandi, S. K.

    1991-01-01

    Indium phosphide solar cells have a higher resistance to electron irradiation than Si or GaAs cells of comparable junction depth. As a result, there is much interest in the use of this material for space applications. Cells of this material were made in bulk InP by a number of techniques, including ion implantation, direct diffusion in sealed ampoules, and by open tube diffusion. However, it is generally considered that the epitaxial approach will be superior to all of these techniques. The epitaxy of InP is considerably more difficult than that of gallium arsenide, for a number of reasons. Perhaps the most important is the fact that the native oxides of Indium are extremely difficult to remove, as compared to that of Gallium. In addition, thermal treatments for the desorption of these oxides often result in the formation of phosphorus vacancies and free indium on the surface. Thus, inadequate sample preparation before epitaxy, poor reactor cleaning procedures, or poor transition procedures between the growth of successive layers, all give rise to trap phenomena and to high interface recombination velocities. Moreover, the lifetime of the grown material is dominated by the occurrence of native defects, so that it is a strong function of growth parameters. These problems are of special interest to the fabrication of solar cells, where long life-time, combined with the absence of traps, is highly desirable. A study of this problem is described using a non-invasive diagnostic technique which was developed.

  12. Photoelectron and Auger electron diffraction studies of a sulfur-terminated GaAs(001)-(2×6) surface

    NASA Astrophysics Data System (ADS)

    Shimoda, M.; Tsukamoto, S.; Koguchi, N.

    1998-01-01

    Core-level X-ray photoelectron diffraction (XPD) and Auger electron diffraction (AED) have been applied to investigate the sulfur-terminated GaAs(001)-(2×6) surface. No forward scattering peaks were found in the XPD pattern of S 2s emission, indicating that adsorbed S atoms form a single layer on the GaAs substrate. In accordance with the zincblende structure of GaAs, the AED patterns of Ga L 3M 45M 45 and As L 3M 45M 45 emission almost coincide with each other, if one of the emissions is rotated by 90° around the [001] direction. This fact suggests that the diffraction patterns mainly reflect the structure of the bulk GaAs crystal. In order to investigate the surface structure, AED patterns in large polar angles were analyzed with single scattering cluster (SSC) calculations. The best result was obtained with a model cluster where the S-S bond length was set at 0.28 nm, 30% shorter than the corresponding length of the ideal (1×1) structure, and the adsorption height was set at 0.12-0.13 nm, 10% shorter than the ideal interlayer distance of GaAs(001) planes. These values are in good agreement with the results of STM measurements. A modulation of the inter-dimer distance was also found, suggesting the existence of missing dimers.

  13. Gapped electronic structure of epitaxial stanene on InSb(111)

    DOE PAGES

    Xu, Cai-Zhi; Chan, Yang-Hao; Chen, Peng; ...

    2018-01-11

    We report that stanene (single-layer gray tin), with an electronic structure akin to that of graphene but exhibiting a much larger spin-orbit gap, offers a promising platform for room-temperature electronics based on the quantum spin Hall (QSH) effect. This material has received much theoretical attention, but a suitable substrate for stanene growth that results in an overall gapped electronic structure has been elusive; a sizable gap is necessary for room-temperature applications. Here, we report a study of stanene, epitaxially grown on the (111)B-face of indium antimonide (InSb). Angle-resolved photoemission spectroscopy measurements reveal a gap of 0.44 eV, in agreement withmore » our first-principles calculations. Lastly, the results indicate that stanene on InSb(111) is a strong contender for electronic QSH applications.« less

  14. Gapped electronic structure of epitaxial stanene on InSb(111)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xu, Cai-Zhi; Chan, Yang-Hao; Chen, Peng

    We report that stanene (single-layer gray tin), with an electronic structure akin to that of graphene but exhibiting a much larger spin-orbit gap, offers a promising platform for room-temperature electronics based on the quantum spin Hall (QSH) effect. This material has received much theoretical attention, but a suitable substrate for stanene growth that results in an overall gapped electronic structure has been elusive; a sizable gap is necessary for room-temperature applications. Here, we report a study of stanene, epitaxially grown on the (111)B-face of indium antimonide (InSb). Angle-resolved photoemission spectroscopy measurements reveal a gap of 0.44 eV, in agreement withmore » our first-principles calculations. Lastly, the results indicate that stanene on InSb(111) is a strong contender for electronic QSH applications.« less

  15. Diffraction studies of the high pressure phases of GaAs and GaP

    NASA Technical Reports Server (NTRS)

    Baublitz, M., Jr.; Ruoff, A. L.

    1982-01-01

    High pressure structural phase transitions of GaAs and GaP have been studied by energy dispersive X-ray diffraction with the radiation from the Cornell High Energy Synchrotron Source. GaAs began to transform at 172 + or - 7 kbar to an orthorhombic structure possibly belonging to space group Fmmm. GaP transformed to a tetragonal beta-Sn type phase at 215 + or - 8 kbar. Although pressure transmitting media were used to minimize shear stresses in the specimens, the high pressure diffraction results were interpreted as showing evidence for planar defects in the specimens.

  16. Response of GaAs charge storage devices to transient ionizing radiation

    NASA Astrophysics Data System (ADS)

    Hetherington, D. L.; Klem, J. F.; Hughes, R. C.; Weaver, H. T.

    Charge storage devices in which non-equilibrium depletion regions represent stored charge are sensitive to ionizing radiation. This results since the radiation generates electron-hole pairs that neutralize excess ionized dopant charge. Silicon structures, such as dynamic RAM or CCD cells are particularly sensitive to radiation since carrier diffusion lengths in this material are often much longer than the depletion width, allowing collection of significant quantities of charge from quasi-neutral sections of the device. For GaAs the situation is somewhat different in that minority carrier diffusion lengths are shorter than in silicon, and although mobilities are higher, we expect a reduction of radiation sensitivity as suggested by observations of reduced quantum efficiency in GaAs solar cells. Dynamic memory cells in GaAs have potential increased retention times. In this paper, we report the response of a novel GaAs dynamic memory element to transient ionizing radiation. The charge readout technique is nondestructive over a reasonable applied voltage range and is more sensitive to stored charge than a simple capacitor.

  17. Ultra-High Aggregate Bandwidth Two-Dimensional Multiple-Wavelength Diode Laser Arrays

    DTIC Science & Technology

    1993-12-09

    during the growth of the cavity spacer region using the fact that the molecular beam epitaxy growth of GaAs is highly sensitive to the substrate... molecular beam epitaxy (MBE) crystal growth, the GaAs growth rate is highly sensitive to the substrate temperature above 650"C (2], a GaAs/AIGaAs... epitaxial growth technique to make reproducible and repeatable multi-wavelength VCSEL arrays. Our approach to fabricate the spatially graded layer

  18. Room Temperature Sensing Achieved by GaAs Nanowires and oCVD Polymer Coating.

    PubMed

    Wang, Xiaoxue; Ermez, Sema; Goktas, Hilal; Gradečak, Silvija; Gleason, Karen

    2017-06-01

    Novel structures comprised of GaAs nanowire arrays conformally coated with conducting polymers (poly(3,4-ethylenedioxythiophene) (PEDOT) or poly(3,4-ethylenedioxythiophene-co-3-thiophene acetic acid) display both sensitivity and selectivity to a variety of volatile organic chemicals. A key feature is room temperature operation, so that neither a heater nor the power it would consume, is required. It is a distinct difference from traditional metal oxide sensors, which typically require elevated operational temperature. The GaAs nanowires are prepared directly via self-seeded metal-organic chemical deposition, and conducting polymers are deposited on GaAs nanowires using oxidative chemical vapor deposition (oCVD). The range of thickness for the oCVD layer is between 100 and 200 nm, which is controlled by changing the deposition time. X-ray diffraction analysis indicates an edge-on alignment of the crystalline structure of the PEDOT coating layer on GaAs nanowires. In addition, the positive correlation between the improvement of sensitivity and the increasing nanowire density is demonstrated. Furthermore, the effect of different oCVD coating materials is studied. The sensing mechanism is also discussed with studies considering both nanowire density and polymer types. Overall, the novel structure exhibits good sensitivity and selectivity in gas sensing, and provides a promising platform for future sensor design. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Electrochemical Reduction Properties of Extended Space Charge InGaP and GaP Epitaxial Layers

    DOE PAGES

    Parameshwaran, Vijay; Xu, Xiaoqing; Clemens, Bruce

    2016-06-17

    Two lattice-matched epitaxial III-V phosphide films of thicknesses between 400 and 500 nm are grown by metal-organic chemical vapor deposition: InGaP on GaAs and GaP on Si. These structures are designed as photocathodes for solar-driven chemical reduction processes such as the hydrogen evolution reaction (HER) and CO 2 reduction into higher-order hydrocarbons. By using p + substrates and undoped epitaxial layers, an extended space-charge active region is achieved in the electrode with a design analogous to a p-i-n solar cell. When in contact with the methyl viologen MV + / + + redox couple, the InGaP/GaAs and GaP/Si cathodes generatemore » a photovoltage of 388 mV and 274 mV, respectively, under 1 sun illumination. Incident photon-to-current efficiency (IPCE) measurements confirm that the undoped active layers are exclusively performing light absorption and minority carrier diffusion-based charge transfer of high-energy photons. This shows that performance can be significantly boosted with lower-doped substrates. The InGaP/GaAs and GaP/Si electrodes are shown to drive the HER at saturation photocurrent densities of 9.05 mA/cm 2 and 2.34 mA/cm 2, respectively, under 1 sun illumination without a co-catalyst and under a large reduction bias. As a result, thicker films did not show a corresponding increased performance, and can be explained through understanding of crystalline defects and the electrostatics of the junctions.« less

  20. Strained-layer epitaxy of germanium-silicon alloys

    NASA Astrophysics Data System (ADS)

    Bean, J. C.

    1985-10-01

    Strained-layer epitaxy is presented as a developing technique for combining Si with other materials in order to obtain semiconductors with enhanced electronic properties. The method involves applying layers sufficiently thin so that the atoms deposited match the bonding configurations of the substrate crystal. When deposited on Si, a four-fold bonding pattern is retained, with a lowered interfacial energy and augmented stored strain energy in the epitaxial layer. The main problem which remains is building an epitaxial layer thick enough to yield desired epitaxial properties while avoiding a reversion to an unstrained structure. The application of a Ge layer to Si using MBE is described, along with the formation of heterojunction multi-layer superlattices, which can reduce the dislocation effects in some homojunctions. The technique shows promise for developing materials of use as bipolar transistors, optical detectors and fiber optic transmission devices.

  1. Defect structure of epitaxial layers of III nitrides as determined by analyzing the shape of X-ray diffraction peaks

    NASA Astrophysics Data System (ADS)

    Kyutt, R. T.

    2017-04-01

    The shape of X-ray diffraction epitaxial layers with high dislocation densities has been studied experimentally. Measurements with an X-ray diffractometer were performed in double- and triple-crystal setups with both Cu K α and Mo K α radiation. Epitaxial layers (GaN, AlN, AlGaN, ZnO, etc.) with different degrees of structural perfection grown by various methods on sapphire, silicon, and silicon carbide substrates have been examined. The layer thickness varied in the range of 0.5-30 μm. It has been found that the center part of peaks is well approximated by the Voigt function with different Lorentz fractions, while the wing intensity drops faster and may be represented by a power function (with the index that varies from one structure to another). A well-marked dependence on the ordering of dislocations was observed. The drop in intensity in the majority of structures with a regular system and regular threading dislocations was close to the theoretically predicted law Δθ-3; the intensity in films with a chaotic distribution decreased much faster. The dependence of the peak shape on the order of reflection, the diffraction geometry, and the epitaxial layer thickness was also examined.

  2. Enhanced second-harmonic generation from resonant GaAs gratings.

    PubMed

    de Ceglia, D; D'Aguanno, G; Mattiucci, N; Vincenti, M A; Scalora, M

    2011-03-01

    We theoretically study second harmonic generation in nonlinear, GaAs gratings. We find large enhancement of conversion efficiency when the pump field excites the guided mode resonances of the grating. Under these circumstances the spectrum near the pump wavelength displays sharp resonances characterized by dramatic enhancements of local fields and favorable conditions for second-harmonic generation, even in regimes of strong linear absorption at the harmonic wavelength. In particular, in a GaAs grating pumped at 1064 nm, we predict second-harmonic conversion efficiencies approximately 5 orders of magnitude larger than conversion rates achievable in either bulk or etalon structures of the same material.

  3. New Passivation Methods of GaAs.

    DTIC Science & Technology

    1980-01-01

    Fabrication of Thin Nitride Layers on GaAs 33 - 35 CHAPTER 7 Passivation of InGaAsP 36 - 37 CHAPTER 8 Emulsions on GaAs Surfaces 38 - 42 APPENDIX...not yet given any useful results. The deposition of SiO2 by using emulsions is pursued and first results on the possibility of GaAs doping are...glycol-tartaric acid based aqueous solution was used in order to anodically oxidise the gate notch after the source and drain ohmic contacts were formed

  4. Thermal stress cycling of GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Janousek, B. K.; Francis, R. W.; Wendt, J. P.

    1985-01-01

    A thermal cycling experiment was performed on GaAs solar cells to establish the electrical and structural integrity of these cells under the temperature conditions of a simulated low-Earth orbit of 3-year duration. Thirty single junction GaAs cells were obtained and tests were performed to establish the beginning-of-life characteristics of these cells. The tests consisted of cell I-V power output curves, from which were obtained short-circuit current, open circuit voltage, fill factor, and cell efficiency, and optical micrographs, spectral response, and ion microprobe mass analysis (IMMA) depth profiles on both the front surfaces and the front metallic contacts of the cells. Following 5,000 thermal cycles, the performance of the cells was reexamined in addition to any factors which might contribute to performance degradation. It is established that, after 5,000 thermal cycles, the cells retain their power output with no loss of structural integrity or change in physical appearance.

  5. Sn nanothreads in GaAs: experiment and simulation

    NASA Astrophysics Data System (ADS)

    Semenikhin, I.; Vyurkov, V.; Bugaev, A.; Khabibullin, R.; Ponomarev, D.; Yachmenev, A.; Maltsev, P.; Ryzhii, M.; Otsuji, T.; Ryzhii, V.

    2016-12-01

    The gated GaAs structures like the field-effect transistor with the array of the Sn nanothreads was fabricated via delta-doping of vicinal GaAs surface by Sn atoms with a subsequent regrowth. That results in the formation of the chains of Sn atoms at the terrace edges. Two device models were developed. The quantum model accounts for the quantization of the electron energy spectrum in the self-consistent two-dimensional electric potential, herewith the electron density distribution in nanothread arrays for different gate voltages is calculated. The classical model ignores the quantization and electrons are distributed in space according to 3D density of states and Fermi-Dirac statistics. It turned out that qualitatively both models demonstrate similar behavior, nevertheless, the classical one is in better quantitative agreement with experimental data. Plausibly, the quantization could be ignored because Sn atoms are randomly placed along the thread axis. The terahertz hot-electron bolometers (HEBs) could be based on the structure under consideration.

  6. Mechanisms Determining the Structure of Gold-Catalyzed GaAs Nanowires Studied by in Situ X-ray Diffraction

    DOE PAGES

    Takahasi, Masamitu; Kozu, Miwa; Sasaki, Takuo; ...

    2015-09-02

    The evolution of polytypism during GaAs nanowire growth was investigated with in situ X-ray diffraction. The growth of nanowires was found to start with the formation of zincblende structure, followed by the growth of wurtzite structure. The growth process was well reproduced by a simulation based on a layer-by-layer nucleation model. The good agreement between the measured and simulated results confirms that nucleation costs higher energy for the stackings changing the crystal structure than for those conserving the preceding structure. The transition in prevalent structure can be accounted for by the change of local growth conditions related to the shapemore » of triple phase line rather than by the change in supersaturation level, which quickly reaches steady state after starting growth.« less

  7. GaAs monolayer: Excellent SHG responses and semi metallic to metallic transition modulated by vacancy effect

    NASA Astrophysics Data System (ADS)

    Rozahun, Ilmira; Bahti, Tohtiaji; He, Guijie; Ghupur, Yasenjan; Ablat, Abduleziz; Mamat, Mamatrishat

    2018-05-01

    Monolayer materials are considered as a promising candidate for novel applications due to their attractive magnetic, electronic and optical properties. Investigation on nonlinear optical (NLO) properties and effect of vacancy on monolayer materials are vital to property modulations of monolayers and extending their applications. In this work, with the aid of first-principles calculations, the crystal structure, electronic, magnetic, and optical properties of GaAs monolayers with the vacancy were investigated. The result shows gallium arsenic (GaAs) monolayer produces a strong second harmonic generation (SHG) response. Meanwhile, the vacancy strongly affects structural, electronic, magnetic and optical properties of GaAs monolayers. Furthermore, arsenic vacancy (VAs) brings semi metallic to metallic transition, while gallium vacancy (VGa) causes nonmagnetic to magnetic conversion. Our result reveals that GaAs monolayer possesses application potentials in Nano-amplifying modulator and Nano-optoelectronic devices, and may provide useful guidance in designing new generation of Nano-electronic devices.

  8. Ferromagnetism and the electronic band structure in (Ga,Mn)(Bi,As) epitaxial layers

    NASA Astrophysics Data System (ADS)

    Yastrubchak, O.; Sadowski, J.; Gluba, L.; Domagala, J. Z.; Rawski, M.; Żuk, J.; Kulik, M.; Andrearczyk, T.; Wosinski, T.

    2014-08-01

    Impact of Bi incorporation into (Ga,Mn)As layers on their electronic- and band-structures as well as their magnetic and structural properties has been studied. Homogenous (Ga,Mn)(Bi,As) layers of high structural perfection have been grown by the low-temperature molecular-beam epitaxy technique. Post-growth annealing treatment of the layers results in an improvement of their structural and magnetic properties and an increase in the hole concentration in the layers. The modulation photoreflectance spectroscopy results are consistent with the valence-band model of hole-mediated ferromagnetism in the layers. This material combines the properties of (Ga,Mn)As and Ga(Bi,As) ternary compounds and offers the possibility of tuning its electrical and magnetic properties by controlling the alloy composition.

  9. Manipulation of Dirac cones in metal-intercalated epitaxial graphene

    NASA Astrophysics Data System (ADS)

    Wang, Cai-Zhuang; Kim, Minsung; Tringides, Michael; Ho, Kai-Ming

    Graphene is one of the most attractive materials from both fundamental and practical points of view due to its characteristic Dirac cones. The electronic property of graphene can be modified through the interaction with substrate or another graphene layer as illustrated in few-layer epitaxial graphene. Recently, metal intercalation became an effective method to manipulate the electronic structure of graphene by modifying the coupling between the constituent layers. In this work, we show that the Dirac cones of epitaxial graphene can be manipulated by intercalating rare-earth metals. We demonstrate that rare-earth metal intercalated epitaxial graphene has tunable band structures and the energy levels of Dirac cones as well as the linear or quadratic band dispersion can be controlled depending on the location of the intercalation layer and density. Our results could be important for applications and characterizations of the intercalated epitaxial graphene. Supported by the U.S. DOE-BES under Contract No. DE-AC02-07CH11358.

  10. Epitaxial effects in thin films of high-Tc cuprates with the K2NiF4 structure

    NASA Astrophysics Data System (ADS)

    Naito, Michio; Sato, Hisashi; Tsukada, Akio; Yamamoto, Hideki

    2018-03-01

    La2-xSrxCuO4 (LSCO) and La2-xBaxCuO4 (LBCO) have been recognized as the archetype materials of "hole-doped" high-Tc superconductors. Their crystal structures are relatively simple with a small number of constituent cation elements. In addition, the doping level can be varied by the chemical substitution over a wide range enough to obtain the full spectrum of doping-dependent electronic and magnetic properties. These attractive features have dedicated many researchers to thin-film growth of LSCO and LBCO. The critical temperature (Tc) of LSCO and LBCO is sensitive to strain as manifested by a positive pressure coefficient of Tc in bulk samples. In general, films are strained if they are grown on lattice-mismatched substrates (epitaxial strain). Early attempts (before 1997) at the growth of LSCO and LBCO films resulted in depressed Tc below 30 K as they were grown on a commonly used SrTiO3 substrate (in-plane lattice parameter asub = 3.905 Å): the in-plane lattice parameters of LSCO and LBCO are ≤3.80 Å, and hence tensile epitaxial strain is introduced. The situation was changed by the use of LaSrAlO4 substrates with a slightly shorter in-plane lattice constant (asub = 3.756 Å). On LaSrAlO4 substrates, the Tc reaches 45 K in La1.85Sr0.15CuO4, 47 K in La1.85Ba0.15CuO4, and 56 K in ozone-oxidized La2CuO4+δ films, substantially higher than the Tc's of the bulk compounds. The Tc increase in La1.85Sr0.15CuO4 films on LaSrAlO4 and decrease on SrTiO3 are semi-quantitatively in accord with the phenomenological estimations based on the anisotropic strain coefficients of Tc (dTc/dεi). In this review article, we describe the growth and properties of films of cuprates having the K2NiF4 structure, mainly focusing on the increase/decrease of Tc by epitaxial strain and quasi-stable phase formation by epitaxial stabilization. We further extract the structural and/or physical parameters controlling Tc toward microscopic understanding of the variation of Tc by epitaxial strain.

  11. Epitaxial BiFeO3 thin films fabricated by chemical solution deposition

    NASA Astrophysics Data System (ADS)

    Singh, S. K.; Kim, Y. K.; Funakubo, H.; Ishiwara, H.

    2006-04-01

    Epitaxial BiFeO3 (BFO) thin films were fabricated on (001)-, (110)-, and (111)-oriented single-crystal SrRuO3(SRO )/SrTiO3(STO) structures by chemical solution deposition. X-ray diffraction indicates the formation of an epitaxial single-phase perovskite structure and pole figure measurement confirms the cube-on-cube epitaxial relationship of BFO ‖SRO‖STO. Chemical-solution-deposited BFO films have a rhombohedral structure with lattice parameter of 0.395nm, which is the same structure as that of a bulk single crystal. The remanent polarization of approximately 50μC/cm2 was observed in BFO (001) thin films at 80K.

  12. Structural ordering and interface morphology in symmetrically strained(GaIn)As/Ga(PAs) superlattices grown on off-oriented GaAs(100)

    NASA Astrophysics Data System (ADS)

    Giannini, C.; Tapfer, L.; Zhuang, Y.; de Caro, L.; Marschner, T.; Stolz, W.

    1997-02-01

    In this work we investigate the structural properties of symmetrically strained (GaIn)As/GaAs/Ga(PAs)/GaAs superlattices by means of x-ray diffraction, reciprocal-space mapping, and x-ray reflectivity. The multilayers were grown by metalorganic vapor-phase epitaxy on (001) GaAs substrates intentionally off-oriented towards one of the nearest <110> directions. High-resolution triple-crystal reciprocal-space maps recorded for different azimuth angles in the vicinity of the (004) Bragg diffraction clearly show a double periodicity of the x-ray peak intensity that can be ascribed to a lateral and a vertical periodicity occurring parallel and perpendicular to the growth surface. Moreover, from the intensity modulation of the satellite peaks, a lateral-strain gradient within the epilayer unit cell is found, varying from a tensile to a compressive strain. Thus, the substrate off-orientation promotes a lateral modulation of the layer thickness (ordered interface roughness) and of the lattice strain, giving rise to laterally ordered macrosteps. In this respect, contour maps of the specular reflected beam in the vicinity of the (000) reciprocal lattice point were recorded in order to inspect the vertical and lateral interface roughness correlation. A semiquantitative analysis of our results shows that the interface morphology and roughness is greatly influenced by the off-orientation angle and the lateral strain distribution. Two mean spatial wavelengths can be determined, one corresponding exactly to the macrostep periodicity and the other indicating a further interface waviness along the macrosteps. The same spatial periodicities were found on the surface by atomic-force-microscopy images confirming the x-ray results and revealing a strong vertical correlation of the interfaces up to the outer surface.

  13. Electrolyte for EC-V profiling of InP and GaAs based structures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Faur, M.; Faur, M.; Goradia, M.

    Electrochemical C-V (EC-V) profiling is the most often used and convenient method for accurate majority carrier concentration depth profiling of semiconductors. Although, according to the authors, FAP is the best electrolyte for accurate profiling of InP structures, it does not work well with other III-V compounds. To overcome this, recently, the authors have developed a new electrolyte, which they call UNIEL (UNIversal ELectrolyte), which works well with all the materials. However, as with the FAP electrolyte, the presence of HF makes the UNIEL incompatible with the electrochemical cell of Polaron EC-V profilers manufactured by BIO-RAD. By slightly modifying the electrochemicalmore » cell configuration the authors are able to use both the FAP and UNIEL electrolytes, without destroying the calomel electrode. Recently, they have, nevertheless, experimented with variations of the UNIEL with no HF content for EC-V profiling of structures based on InP and GaAs. Presently available results are presented here.« less

  14. Submilliampere continuous-wave room-temperature lasing operation of a GaAs mushroom structure surface-emitting laser

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Y.J.; Dziura, T.G.; Wang, S.C.

    1990-05-07

    We report a GaAs mushroom structure surface-emitting laser at 900 nm with submilliampere (0.2--0.5 mA) threshold under room-temperature cw operation for the first time. The very low threshold current was achieved on devices which consisted of a 2--4 {mu}m diameter active region formed by chemical selective etching, and sandwiched between two Al{sub 0.05}Ga{sub 0.95} As/ Al{sub 0.53}Ga{sub 0.47} As distributed Bragg reflectors of very high reflectivity (98--99%) grown by metalorganic chemical vapor deposition.

  15. Submilliampere continuous-wave room-temperature lasing operation of a GaAs mushroom structure surface-emitting laser

    NASA Astrophysics Data System (ADS)

    Yang, Ying Jay; Dziura, Thaddeus G.; Wang, S. C.; Hsin, Wei; Wang, Shyh

    1990-05-01

    We report a GaAs mushroom structure surface-emitting laser at 900 nm with submilliampere (0.2-0.5 mA) threshold under room-temperature cw operation for the first time. The very low threshold current was achieved on devices which consisted of a 2-4 μm diameter active region formed by chemical selective etching, and sandwiched between two Al0.05Ga0.95 As/ Al0.53Ga0.47 As distributed Bragg reflectors of very high reflectivity (98-99%) grown by metalorganic chemical vapor deposition.

  16. Optical Properties of InGaAsN/GaAs Quantum Well and Quantum Dot Structures for Longwavelength Emission

    DTIC Science & Technology

    2000-06-23

    when Nitrogen concentration is increased [91. In molecular beam epitaxy (MBE) one of the reasons of this is the surface quality degradation due to the...cavity surface emitting laser ( VCSEL ) emitting at 1.18 /tm was also reported [7 1. The main problem in the InGaAsN epitaxy is a large difference in the...vertical cavity surface emitting lasers ( VCSELs ). This stimulates attempts to fabricate high quality 1.3 /tm lasers on GaAs substrates. The best results

  17. Electrical isolation, thermal stability and rf loss in a multilayer GaAs planar doped barrier diode structure bombarded by H+ and Fe+ ions

    NASA Astrophysics Data System (ADS)

    Vo, V. T.; Koon, K. L.; Hu, Z. R.; Dharmasiri, C. N.; Subramaniam, S. C.; Rezazadeh, A. A.

    2004-04-01

    Electrical isolation in multilayer GaAs planar doped barrier (PDB) diode structures produced by H+ and Fe+ ion implantation were investigated. For an H+ bombardment with a dose of 1×1015cm-2, a sheet resistivity as high as 3×108 Ω/sq and thermal stability up to 400 °C has been achieved. For samples bombarded by Fe+ ions, a similar high sheet resistivity has also been achieved although a longer annealing time (15 min) and a higher annealing temperature (550 °C) were needed. The rf dissipation losses of coplanar waveguide (CPW) "thru" lines fabricated on bombarded multilayer PDBD structure samples were also examined. The measured rf losses were 1.65 dB/cm at 10 GHz and 3 dB/cm at 40 GHz, similar to the values that a CPW line exhibits on a semi-isolating GaAs substrate.

  18. Electronic Properties of Grain Boundaries in GaAs: A Study of Oriented Bicrystals Prepared by Epitaxial Lateral Overgrowth.

    DTIC Science & Technology

    1984-05-10

    overgrowth from a spoke 90 pattern of radial stripe openings at 1 intervals on an Si0 2 coated (110) surface. Bright regions are GaAs and dark regions are Si0...the dark current for such an ideal device is given by Idark - Io[exp(eVbi/AokT) - 1] , (11-l) where Io is a proportionality constant describing the...recombination and leakage currents which contribute to an increased dark current. The value of Voc is determined by the built-in junction barrier height and the

  19. Interface dynamics and crystal phase switching in GaAs nanowires

    NASA Astrophysics Data System (ADS)

    Jacobsson, Daniel; Panciera, Federico; Tersoff, Jerry; Reuter, Mark C.; Lehmann, Sebastian; Hofmann, Stephan; Dick, Kimberly A.; Ross, Frances M.

    2016-03-01

    Controlled formation of non-equilibrium crystal structures is one of the most important challenges in crystal growth. Catalytically grown nanowires are ideal systems for studying the fundamental physics of phase selection, and could lead to new electronic applications based on the engineering of crystal phases. Here we image gallium arsenide (GaAs) nanowires during growth as they switch between phases as a result of varying growth conditions. We find clear differences between the growth dynamics of the phases, including differences in interface morphology, step flow and catalyst geometry. We explain these differences, and the phase selection, using a model that relates the catalyst volume, the contact angle at the trijunction (the point at which solid, liquid and vapour meet) and the nucleation site of each new layer of GaAs. This model allows us to predict the conditions under which each phase should be observed, and use these predictions to design GaAs heterostructures. These results could apply to phase selection in other nanowire systems.

  20. Interface dynamics and crystal phase switching in GaAs nanowires.

    PubMed

    Jacobsson, Daniel; Panciera, Federico; Tersoff, Jerry; Reuter, Mark C; Lehmann, Sebastian; Hofmann, Stephan; Dick, Kimberly A; Ross, Frances M

    2016-03-17

    Controlled formation of non-equilibrium crystal structures is one of the most important challenges in crystal growth. Catalytically grown nanowires are ideal systems for studying the fundamental physics of phase selection, and could lead to new electronic applications based on the engineering of crystal phases. Here we image gallium arsenide (GaAs) nanowires during growth as they switch between phases as a result of varying growth conditions. We find clear differences between the growth dynamics of the phases, including differences in interface morphology, step flow and catalyst geometry. We explain these differences, and the phase selection, using a model that relates the catalyst volume, the contact angle at the trijunction (the point at which solid, liquid and vapour meet) and the nucleation site of each new layer of GaAs. This model allows us to predict the conditions under which each phase should be observed, and use these predictions to design GaAs heterostructures. These results could apply to phase selection in other nanowire systems.

  1. Hybrid type-I InAs/GaAs and type-II GaSb/GaAs quantum dot structure with enhanced photoluminescence

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ji, Hai-Ming; Institute of Semiconductors, Chinese Academy of Sciences, Beijing 100083; Liang, Baolai, E-mail: bliang@cnsi.ucla.edu

    2015-03-09

    We investigate the photoluminescence (PL) properties of a hybrid type-I InAs/GaAs and type-II GaSb/GaAs quantum dot (QD) structure grown in a GaAs matrix by molecular beam epitaxy. This hybrid QD structure exhibits more intense PL with a broader spectral range, compared with control samples that contain only InAs or GaSb QDs. This enhanced PL performance is attributed to additional electron and hole injection from the type-I InAs QDs into the adjacent type-II GaSb QDs. We confirm this mechanism using time-resolved and power-dependent PL. These hybrid QD structures show potential for high efficiency QD solar cell applications.

  2. Analysis of Bi Distribution in Epitaxial GaAsBi by Aberration-Corrected HAADF-STEM

    NASA Astrophysics Data System (ADS)

    Baladés, N.; Sales, D. L.; Herrera, M.; Tan, C. H.; Liu, Y.; Richards, R. D.; Molina, S. I.

    2018-04-01

    The Bi content in GaAs/GaAs1 - x Bi x /GaAs heterostructures grown by molecular beam epitaxy at a substrate temperature close to 340 °C is investigated by aberration-corrected high-angle annular dark-field techniques. The analysis at low magnification of high-angle annular dark-field scanning transmission electron microscopy images, corroborated by EDX analysis, revealed planar defect-free layers and a non-homogeneous Bi distribution at the interfaces and within the GaAsBi layer. At high magnification, the qHAADF analysis confirmed the inhomogeneous distribution and Bi segregation at the GaAsBi/GaAs interface at low Bi flux and distorted dumbbell shape in areas with higher Bi content. At higher Bi flux, the size of the Bi gathering increases leading to roughly equiaxial Bi-rich particles faceted along zinc blende {111} and uniformly dispersed around the matrix and interfaces. FFT analysis checks the coexistence of two phases in some clusters: a rhombohedral pure Bi (rh-Bi) one surrounded by a zinc blende GaAs1 - x Bi x matrix. Clusters may be affecting to the local lattice relaxation and leading to a partially relaxed GaAsBi/GaAs system, in good agreement with XRD analysis.

  3. Phosphine Functionalization GaAs(111)A Surfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Traub, M.; Biteen, J; Michalak, D

    Phosphorus-functionalized GaAs surfaces have been prepared by exposure of Cl-terminated GaAs(111)A surfaces to triethylphosphine (PEt3) or trichlorophosphine (PCl3), or by the direct functionalization of the native-oxide terminated GaAs(111)A surface with PCl3. The presence of phosphorus on each functionalized surface was confirmed by X-ray photoelectron spectroscopy. High-resolution, soft X-ray photoelectron spectroscopy was used to evaluate the As and Ga 3d regions of such surfaces. On PEt3 treated surfaces, the Ga 3d spectra exhibited a bulk Ga peak as well as peaks that were shifted to 0.35, 0.92 and 1.86 eV higher binding energy. These peaks were assigned to residual Cl-terminated Gamore » surface sites, surficial Ga2O and surficial Ga2O3, respectively. For PCl3-treated surfaces, the Ga 3d spectra displayed peaks ascribable to bulk Ga(As), Ga2O, and Ga2O3, as well as a peak shifted 0.30 eV to higher binding energy relative to the bulk signal. A peak corresponding to Ga(OH)3, observed on the Cl-terminated surface, was absent from all of the phosphine-functionalized surfaces. After reaction of the Cl-terminated GaAs(111)A surface with PCl3 or PEt3, the As 3d spectral region was free of As oxides and As0. Although native oxide-terminated GaAs surfaces were free of As oxides after reaction with PCl3, such surfaces contained detectable amounts of As0. Photoluminescence measurements indicted that phosphine-functionalized surfaces prepared from Cl-terminated GaAs(111)A surfaces had better electrical properties than the native-oxide capped GaAs(111)A surface, while the native-oxide covered surface treated with PCl3 showed no enhancement in PL intensity.« less

  4. Nitridation of porous GaAs by an ECR ammonia plasma

    NASA Astrophysics Data System (ADS)

    Naddaf, M.; Hullavarad, S. S.; Ganesan, V.; Bhoraskar, S. V.

    2006-02-01

    The effect of surface porosity of GaAs on the nature of growth of GaN, by use of plasma nitridation of GaAs, has been investigated. Porous GaAs samples were prepared by anodic etching of n-type (110) GaAs wafers in HCl solution. Nitridation of porous GaAs samples were carried out by using an electron-cyclotron resonance-induced ammonia plasma. The formation of mixed phases of GaN was investigated using the grazing angle x-ray diffraction method. A remarkable improvement in the intensity of photoluminescence (PL) compared with that of GaN synthesized by direct nitriding of GaAs surface has been observed. The PL intensity of nitrided porous GaAs at the temperature of 380 °C was found to be about two orders of magnitude higher as compared with the directly nitrided GaAs at the temperature of 500 °C. The changes in the morphology of nitrided porous GaAs have been investigated using both scanning electron microscopy and atomic force microscopy.

  5. Design of quantum efficiency measurement system for variable doping GaAs photocathode

    NASA Astrophysics Data System (ADS)

    Chen, Liang; Yang, Kai; Liu, HongLin; Chang, Benkang

    2008-03-01

    To achieve high quantum efficiency and good stability has been a main direction to develop GaAs photocathode recently. Through early research, we proved that variable doping structure is executable and practical, and has great potential. In order to optimize variable doping GaAs photocathode preparation techniques and study the variable doping theory deeply, a real-time quantum efficiency measurement system for GaAs Photocathode has been designed. The system uses FPGA (Field-programmable gate array) device, and high speed A/D converter to design a high signal noise ratio and high speed data acquisition card. ARM (Advanced RISC Machines) core processor s3c2410 and real-time embedded system are used to obtain and show measurement results. The measurement precision of photocurrent could reach 1nA, and measurement range of spectral response curve is within 400~1000nm. GaAs photocathode preparation process can be real-time monitored by using this system. This system could easily be added other functions to show the physic variation of photocathode during the preparation process more roundly in the future.

  6. Resistance of domain walls created by means of a magnetic force microscope in transversally magnetized epitaxial Fe wires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hassel, C.; Stienen, S.; Roemer, F. M.

    2009-07-20

    Magnetic domain walls are created in a controllable way in transversally magnetized epitaxial Fe wires on GaAs(110) by approaching a magnetic force microscope (MFM) tip. The electrical resistance-change due to the addition of these domain walls is measured. The anisotropic magnetoresistance as well as the intrinsic domain wall resistance contribute to the resistance-change. The efficiency of this procedure is proven by MFM images, which are obtained subsequent to the domain wall creation at a larger sample-to-probe distance. The contribution of the anisotropic magnetoresistance is calculated using micromagnetic calculations, thus making it possible to quantify the intrinsic domain wall resistance.

  7. Chip-Scale Controlled Storage All-Optical Memory

    DTIC Science & Technology

    2007-02-01

    half width at half maximum KHZ kilo Hertz KK Kramers-Kronig LH light hole MBE molecular beam epitaxy MHz mega Hertz MZI Mach-Zehnder...waveguide geometry. The sample used in experiments 1 and 2 consists of 15 GaAs (135Å)/Al0.3Ga0.7As(150 Å) QWs grown by molecular beam epitaxy (MBE...We developed the capability to grow GaAs QWs on (110)-oriented substrates using molecular beam epitaxy in a very short amount of time. The very

  8. Angle Resolved Photoelectron and Auger Electron Diffraction as a Structural Probe for Surfaces, Interfaces, and Epitaxial Films.

    NASA Astrophysics Data System (ADS)

    Li, Hong

    The recently developed techniques of angle-resolved photoelectron and Auger electron diffraction (ARXPD/AED) have shown promise in identifying the structures of epitaxial films. This is due to the realization that electrons scattered by other atoms are enhanced along the forward direction. In this dissertation research, we have further investigated the capabilities of the ARXPD/AED technique. First, the complete polar angle distribution of the Auger electron intensity from Cu(001) was measured from the (100) to the (110) azimuth. The presentation of the ARAED in the form of a contour map clearly shows the relationship of the constructive and destructive interference of electron scattering to the crystallographic index of the crystal. Secondly, the angular distributions of electron emissions with initial states of 3p, 3d, 4d, and the Auger emission with electron kinetic energies ranging from 348 eV to 1477 eV were measured for single crystal Ag(001). The results show that all of these electron emissions have similar electron forward scattering enhancements along the directions of nearest and next nearest neighbour atoms in the crystal. The forward scattering enhancements do not shift as the electron kinectic energy changes. The ARXPD/AED combined with low energy electron diffraction (LEED) has been demonstrated to be a very powerful technique in probing both the long range order and the short range order of the epitaxial films. The epitaxial films studied include Co on Cu(001), Fe on Ag(001), Co on Ag(001), and Co on an ultra-thin film of Fe(001), which was epitaxially grown on Ag(001). We find that up to 20 ML thickness of high quality metastable fcc Co can be stabilized on Cu(001) at room temperature. We have directly verified that the Fe on Ag(001) is bcc. The Co on Ag(001) is neither bcc nor fcc for coverages of less than 3 ML. Thick films of Co on Ag(001) are disordered, of which a very small portion has a local structure of bcc. The bcc Co phases has been

  9. Study of InGaAs-based modulation doped field effect transistor structures using variable-angle spectroscopic ellipsometry

    NASA Technical Reports Server (NTRS)

    Alterovitz, S. A.; Sieg, R. M.; Yao, H. D.; Snyder, P. G.; Woollam, J. A.; Pamulapati, J.; Bhattacharya, P. K.; Sekula-Moise, P. A.

    1991-01-01

    Variable-angle spectroscopic ellipsometry was used to estimate the thicknesses of all layers within the optical penetration depth of InGaAs-based modulation doped field effect transistor structures. Strained and unstrained InGaAs channels were made by molecular beam epitaxy (MBE) on InP substrates and by metal-organic chemical vapor deposition on GaAs substrates. In most cases, ellipsometrically determined thicknesses were within 10% of the growth-calibration results. The MBE-made InGaAs strained layers showed large strain effects, indicating a probable shift in the critical points of their dielectric function toward the InP lattice-matched concentration.

  10. GaAs VLSI technology and circuit elements for DSP

    NASA Astrophysics Data System (ADS)

    Mikkelson, James M.

    1990-10-01

    Recent progress in digital GaAs circuit performance and complexity is presented to demonstrate the current capabilities of GaAs components. High density GaAs process technology and circuit design techniques are described and critical issues for achieving favorable complexity speed power and cost tradeoffs are reviewed. Some DSP building blocks are described to provide examples of what types of DSP systems could be implemented with present GaAs technology. DIGITAL GaAs CIRCUIT CAPABILITIES In the past few years the capabilities of digital GaAs circuits have dramatically increased to the VLSI level. Major gains in circuit complexity and power-delay products have been achieved by the use of silicon-like process technologies and simple circuit topologies. The very high speed and low power consumption of digital GaAs VLSI circuits have made GaAs a desirable alternative to high performance silicon in hardware intensive high speed system applications. An example of the performance and integration complexity available with GaAs VLSI circuits is the 64x64 crosspoint switch shown in figure 1. This switch which is the most complex GaAs circuit currently available is designed on a 30 gate GaAs gate array. It operates at 200 MHz and dissipates only 8 watts of power. The reasons for increasing the level of integration of GaAs circuits are similar to the reasons for the continued increase of silicon circuit complexity. The market factors driving GaAs VLSI are system design methodology system cost power and reliability. System designers are hesitant or unwilling to go backwards to previous design techniques and lower levels of integration. A more highly integrated system in a lower performance technology can often approach the performance of a system in a higher performance technology at a lower level of integration. Higher levels of integration also lower the system component count which reduces the system cost size and power consumption while improving the system reliability

  11. Method of varying a characteristic of an optical vertical cavity structure formed by metalorganic vapor phase epitaxy

    DOEpatents

    Hou, Hong Q.; Coltrin, Michael E.; Choquette, Kent D.

    2001-01-01

    A process for forming an array of vertical cavity optical resonant structures wherein the structures in the array have different detection or emission wavelengths. The process uses selective area growth (SAG) in conjunction with annular masks of differing dimensions to control the thickness and chemical composition of the materials in the optical cavities in conjunction with a metalorganic vapor phase epitaxy (MOVPE) process to build these arrays.

  12. Structural analysis of LaVO3 thin films under epitaxial strain

    NASA Astrophysics Data System (ADS)

    Meley, H.; Karandeep, Oberson, L.; de Bruijckere, J.; Alexander, D. T. L.; Triscone, J.-M.; Ghosez, Ph.; Gariglio, S.

    2018-04-01

    Rare earth vanadate perovskites exhibit a phase diagram in which two different types of structural distortions coexist: the strongest, the rotation of the oxygen octahedra, comes from the small tolerance factor of the perovskite cell (t = 0.88 for LaVO3) and the smaller one comes from inter-site d-orbital interactions manifesting as a cooperative Jahn-Teller effect. Epitaxial strain acts on octahedral rotations and crystal field symmetry to alter this complex lattice-orbit coupling. In this study, LaVO3 thin film structures have been investigated by X-ray diffraction and scanning transmission electron microscopy. The analysis shows two different orientations of octahedral tilt patterns, as well as two distinct temperature behaviors, for compressive and tensile film strain states. Ab initio calculations capture the strain effect on the tilt pattern orientation in agreement with experimental data.

  13. Solar heating of GaAs nanowire solar cells.

    PubMed

    Wu, Shao-Hua; Povinelli, Michelle L

    2015-11-30

    We use a coupled thermal-optical approach to model the operating temperature rise in GaAs nanowire solar cells. We find that despite more highly concentrated light absorption and lower thermal conductivity, the overall temperature rise in a nanowire structure is no higher than in a planar structure. Moreover, coating the nanowires with a transparent polymer can increase the radiative cooling power by 2.2 times, lowering the operating temperature by nearly 7 K.

  14. Solar heating of GaAs nanowire solar cells

    DOE PAGES

    Wu, Shao-Hua; Povinelli, Michelle L.

    2015-09-25

    We use a coupled thermal-optical approach to model the operating temperature rise in GaAs nanowire solar cells. Our findings show that despite more highly concentrated light absorption and lower thermal conductivity, the overall temperature rise in a nanowire structure is no higher than in a planar structure. Moreover, coating the nanowires with a transparent polymer can increase the radiative cooling power by 2.2 times, lowering the operating temperature by nearly 7 K.

  15. Depth-resolved magnetic and structural analysis of relaxing epitaxial Sr 2 CrReO 6

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lucy, J. M.; Hauser, A. J.; Liu, Y.

    2015-03-01

    Structural relaxation in a Sr2CrReO6 epitaxial film, which exhibits strong spin-orbit coupling, leads to depth-dependent magnetism. We combine two depth-resolved synchrotron x-ray techniques, two-dimensional reciprocal space mapping and x-ray magnetic circular dichroism, to quantitatively determine this effect. An 800 nm thick film of Sr2CrReO6, grown with tensile epitaxial strain on SrCr0:5Nb0:5O3(225 nm)/LSAT, relaxes away from the Sr2CrReO6/SrCr0:5Nb0:5O3 interface to its bulk lattice parameters, with much of the film being fully relaxed. Grazing incidence xray diffraction measurements of the film elucidate the in-plane strain relaxation near the film- substrate interface while depth-resolved x-ray magnetic circular dichroism at the Re L edgemore » reveals the magnetic contributions of the Re site. The smooth relaxation of the film near the interface correlates with changes in the magnetic anisotropy. This provides a systematic and powerful way to probe the depth-varying structural and magnetic properties of a complex oxide with synchrotronsource x-ray techniques.« less

  16. Orientation and temperature dependent adsorption of H 2S on GaAs: Valence band photoemission

    NASA Astrophysics Data System (ADS)

    Ranke, W.; Kuhr, H. J.; Finster, J.

    A cylindrically shaped GaAs single crystal was used to study the adsorption of H 2S on the six inequivalent orientations (001), (113), (111), (110), (111) and (113) by angle resolved valence band photoelectron spectroscopy and surface dipole measurements. Adsorption at 150 K on the surface prepared by molecular beam epitaxy (MBE) yields similar adsorbate induced emission on all orientations which were ascribed to SH radicals. On (110), where preferential adsorption occurs additional features from molecular H 2S are observed. The adsorbate spectra at 720 K are ascribed to atomic sulphur. On the surface prepared by ion bombardment and annealing, defect enhanced adsorption occurs in the range (111)-(113). The adsorbate spectra are very similar to those on the MBE surface at 720 K. Thus, no new species are adsorbed on defects but only sticking probability and penetration capability are increased.

  17. Performance of epitaxial back surface field cells

    NASA Technical Reports Server (NTRS)

    Brandhorst, H. W., Jr.; Baraona, C. R.; Swartz, C. K.

    1973-01-01

    Epitaxial back surface field structures were formed by depositing a 10 micron thick 10 Omega-cm epitaxial silicon layer onto substrates with resistivities of 0.01, 0.1, 1.0 and 10 Omega-cm. A correlation between cell open-circuit voltage and substrate resistivity was observed and was compared to theory. The cells were also irradiated with 1 MeV electrons to a fluence of 5 X 10 to the 15th power e/cm2. The decrease of cell open-circuit voltage was in excellent agreement with theoretical predictions and the measured short circuit currents were within 2% of the prediction. Calculations are presented of optimum cell performance as functions of epitaxial layer thickness, radiation fluence and substrate diffusion length.

  18. Material growth and characterization directed toward improving III-V heterojunction solar cells

    NASA Technical Reports Server (NTRS)

    Stefanakos, E. K.; Alexander, W. E.; Collis, W.; Abul-Fadl, A.

    1979-01-01

    In addition to the existing materials growth laboratory, the photolithographic facility and the device testing facility were completed. The majority of equipment for data acquisition, solar cell testing, materials growth and device characterization were received and are being put into operation. In the research part of the program, GaAs and GaA1As layers were grown reproducibly on GaAs substrates. These grown layers were characterized as to surface morphology, thickness and thickness uniformity. The liquid phase epitaxial growth process was used to fabricate p-n junctions in Ga(1-x)A1(x)As. Sequential deposition of two alloy layers was accomplished and detailed analysis of the effect of substrate quality and dopant on the GaA1As layer quality is presented. Finally, solar cell structures were formed by growing a thin p-GaA1As layer upon an epitaxial n-GaA1As layer. The energy gap corresponding to the long wavelength cutoff of the spectral response characteristic was 1.51-1.63 eV. Theoretical calculations of the spectral response were matched to the measured response.

  19. A Study of the Crystal Structure of Co40Fe40B20 Epitaxial Films on a Bi2Te3 Topological Insulator

    NASA Astrophysics Data System (ADS)

    Kaveev, A. K.; Suturin, S. M.; Sokolov, N. S.; Kokh, K. A.; Tereshchenko, O. E.

    2018-03-01

    Laser molecular-beam epitaxy has been used to form Co40Fe40B20 layers on Bi2Te3 topological insulator substrates, and their growth conditions have been studied. The possibility of growing epitaxial ferromagnetic layers on the surface of a topological insulator is demonstrated for the first time. The CoFeB layers have a body-centered cubic crystal structure with the (111) crystal plane parallel to the (0001) plane of Bi2Te3. 3D mapping in the reciprocal space of high-energy electron-diffraction patterns made it possible to determine the epitaxial relationships between the film and the substrate.

  20. Thermal conductivity of Bi2(SexTe1-x)3 alloy films grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Yoo, Taehee; Lee, Eungkyu; Dong, Sining; Li, Xiang; Liu, Xinyu; Furdyna, Jacek K.; Dobrowolska, Margaret; Luo, Tengfei

    2017-06-01

    We studied the thermal conductivity of Bi2Se3, Bi2Te3, and their alloy Bi2(SexTe1-x)3 at room temperature using time-domain thermoreflectance measurements. The Bi2(SexTe1-x)3 films with various concentrations of Se and Te prepared by molecular beam epitaxy on GaAs substrates were investigated to study the dependence of thermal conductivity on film composition. We observed that the Bi2(SexTe1-x)3 ternary alloys can have much lower thermal conductivity values compared to those of Bi2Se3 and Bi2Te3. These results may provide useful information for developing and engineering low thermal conductivity materials for thermoelectric applications.

  1. Efficient Interlayer Relaxation and Transition of Excitons in Epitaxial and Non-epitaxial MoS2/WS2 Heterostructures

    DOE PAGES

    Yu, Yifei; Hu, Shi; Su, Liqin; ...

    2014-12-03

    Semiconductor heterostructurs provide a powerful platform for the engineering of excitons. Here we report on the excitonic properties of two-dimensional (2D) heterostructures that consist of monolayer MoS2 and WS2 stacked epitaxially or non-epitaxially in the vertical direction. We find similarly efficient interlayer relaxation and transition of excitons in both the epitaxial and non-epitaxial heterostructures. This is manifested by a two orders of magnitude decrease in the photoluminescence and an extra absorption peak at low energy region of both heterostructures. The MoS2/WS2 heterostructures show weak interlayer coupling and essentially act as an atomic-scale heterojunction with the intrinsic band structures of themore » two monolayers largely preserved. They are particularly promising for the applications that request efficient dissociation of excitons and strong light absorption, including photovoltaics, solar fuels, photodetectors, and optical modulators. Our results also indicate that 2D heterostructures promise to provide capabilities to engineer excitons from the atomic level without concerns of interfacial imperfection.« less

  2. GaAs Substrates for High-Power Diode Lasers

    NASA Astrophysics Data System (ADS)

    Mueller, Georg; Berwian, Patrick; Buhrig, Eberhard; Weinert, Berndt

    GaAs substrate crystals with low dislocation density (Etch-Pit Density (EPD) < 500,^-2) and Si-doping ( ~10^18,^-3) are required for the epitaxial production of high-power diode-lasers. Large-size wafers (= 3 mathrm{in} -> >=3,) are needed for reducing the manufacturing costs. These requirements can be fulfilled by the Vertical Bridgman (VB) and Vertical Gradient Freeze (VGF) techniques. For that purpose we have developed proper VB/VGF furnaces and optimized the thermal as well as the physico-chemical process conditions. This was strongly supported by extensive numerical process simulation. The modeling of the VGF furnaces and processes was made by using a new computer code called CrysVUN++, which was recently developed in the Crystal Growth Laboratory in Erlangen.GaAs crystals with diameters of 2 and 3in were grown in pyrolytic Boron Nitride (pBN) crucibles having a small-diameter seed section and a conical part. Boric oxide was used to fully encapsulate the crystal and the melt. An initial silicon content in the GaAs melt of c (melt) = 3 x10^19,^-3 has to be used in order to achieve a carrier concentration of n = (0.8- 2) x10^18,^-3, which is the substrate specification of the device manufacturer of the diode-laser. The EPD could be reduced to values between 500,^-2 and 50,^-2 with a Si-doping level of 8 x10^17 to 1 x10^18,^-3. Even the 3in wafers have rather large dislocation-free areas. The lowest EPDs ( <100,^-2) are achieved for long seed wells of the crucible.

  3. All zinc-blende GaAs/(Ga,Mn)As core-shell nanowires with ferromagnetic ordering.

    PubMed

    Yu, Xuezhe; Wang, Hailong; Pan, Dong; Zhao, Jianhua; Misuraca, Jennifer; von Molnár, Stephan; Xiong, Peng

    2013-04-10

    Combining self-catalyzed vapor-liquid-solid growth of GaAs nanowires and low-temperature molecular-beam epitaxy of (Ga,Mn)As, we successfully synthesized all zinc-blende (ZB) GaAs/(Ga,Mn)As core-shell nanowires on Si(111) substrates. The ZB GaAs nanowire cores are first fabricated at high temperature by utilizing the Ga droplets as the catalyst and controlling the triple phase line nucleation, then the (Ga,Mn)As shells are epitaxially grown on the side facets of the GaAs core at low temperature. The growth window for the pure phase GaAs/(Ga,Mn)As core-shell nanowires is found to be very narrow. Both high-resolution transmission electron microscopy and scanning electron microscopy observations confirm that all-ZB GaAs/(Ga,Mn)As core-shell nanowires with smooth side surface are obtained when the Mn concentration is not more than 2% and the growth temperature is 245 °C or below. Magnetic measurements with different applied field directions provide strong evidence for ferromagnetic ordering in the all-ZB GaAs/(Ga,Mn)As nanowires. The hybrid nanowires offer an attractive platform to explore spin transport and device concepts in fully epitaxial all-semiconductor nanospintronic structures.

  4. Design and fabrication of GaAs OMIST photodetector

    NASA Astrophysics Data System (ADS)

    Kang, Xuejun; Lin, ShiMing; Liao, Qiwei; Gao, Junhua; Liu, Shi'an; Cheng, Peng; Wang, Hongjie; Zhang, Chunhui; Wang, Qiming

    1998-08-01

    We designed and fabricated GaAs OMIST (Optical-controlled Metal-Insulator-Semiconductor Thyristor) device. Using oxidation of AlAs layer that is grown by MBE forms the Ultra- Thin semi-Insulating layer (UTI) of the GAAS OMIST. The accurate control and formation of high quality semi-insulating layer (AlxOy) are the key processes for fabricating GaAs OMIST. The device exhibits a current-controlled negative resistance region in its I-V characteristics. When illuminated, the major effect of optical excitation is the reduction of the switching voltage. If the GaAs OMIST device is biased at a voltage below its dark switching voltage Vs, sufficient incident light can switch OMIST from high impedance low current 'off' state to low impedance high current 'on' state. The absorbing material of OMIST is GaAS, so if the wavelength of incident light within 600 to approximately 850 nm can be detected effectively. It is suitable to be used as photodetector for digital optical data process. The other attractive features of GaAs OMIST device include suitable conducted current, switching voltage and power levels for OEIC, high switch speed and high sensitivity to light or current injection.

  5. Structure and strain relaxation mechanisms of ultrathin epitaxial Pr2O3 films on Si(111)

    NASA Astrophysics Data System (ADS)

    Schroeder, T.; Lee, T.-L.; Libralesso, L.; Joumard, I.; Zegenhagen, J.; Zaumseil, P.; Wenger, C.; Lupina, G.; Lippert, G.; Dabrowski, J.; Müssig, H.-J.

    2005-04-01

    The structure of ultrathin epitaxial Pr2O3 films on Si(111) was studied by synchrotron radiation-grazing incidence x-ray diffraction. The oxide film grows as hexagonal Pr2O3 phase with its (0001) plane attached to the Si(111) substrate. The hexagonal (0001) Pr2O3 plane matches the in-plane symmetry of the hexagonal Si(111) surface unit cell by aligning the ⟨101¯0⟩Pr2O3 along the ⟨112¯⟩ Si directions. The small lattice mismatch of 0.5% results in the growth of pseudomorphic oxide films of high crystalline quality with an average domain size of about 50 nm. The critical thickness tc for pseudomorphic growth amounts to 3.0±0.5nm. The relaxation of the oxide film from pseudomorphism to bulk behavior beyond tc causes the introduction of misfit dislocations, the formation of an in-plane small angle mosaicity structure, and the occurence of a phase transition towards a (111) oriented cubic Pr2O3 film structure. The observed phase transition highlights the influence of the epitaxial interface energy on the stability of Pr2O3 phases on Si(111). A mechanism is proposed which transforms the hexagonal (0001) into the cubic (111) Pr2O3 epilayer structure by rearranging the oxygen network but leaving the Pr sublattice almost unmodified.

  6. Characteristics of GaAs with inverted thermal conversion

    NASA Technical Reports Server (NTRS)

    Kang, C. H.; Lagowski, J.; Gatos, H. C.

    1987-01-01

    GaAs crystals exhibiting inverted thermal conversion (ITC) of resistivity were investigated in conjunction with standard semiinsulating (SI) GaAs regarding characteristics important in device processing. It was established that dislocation density and Si implant activation are unaffected by transformation to the ITC state. However, in ITC GaAs the controlled increase of the EL2 (native midgap donor) concentration during annealing makes it possible to attain resistivities one order of magnitude greater (e.g., about 10 to the 9th ohm cm of 300 K) than those attained in standard SI GaAs (e.g., 10 to the 7th-10 to the 8th ohm cm).

  7. Enhanced magnetic and thermoelectric properties in epitaxial polycrystalline SrRuO3 thin films.

    PubMed

    Woo, Sungmin; Lee, Sang A; Mun, Hyeona; Choi, Young Gwan; Zhung, Chan June; Shin, Soohyeon; Lacotte, Morgane; David, Adrian; Prellier, Wilfrid; Park, Tuson; Kang, Won Nam; Lee, Jong Seok; Kim, Sung Wng; Choi, Woo Seok

    2018-03-01

    Transition metal oxide thin films show versatile electric, magnetic, and thermal properties which can be tailored by deliberately introducing macroscopic grain boundaries via polycrystalline solids. In this study, we focus on the modification of magnetic and thermal transport properties by fabricating single- and polycrystalline epitaxial SrRuO 3 thin films using pulsed laser epitaxy. Using the epitaxial stabilization technique with an atomically flat polycrystalline SrTiO 3 substrate, an epitaxial polycrystalline SrRuO 3 thin film with the crystalline quality of each grain comparable to that of its single-crystalline counterpart is realized. In particular, alleviated compressive strain near the grain boundaries due to coalescence is evidenced structurally, which induced the enhancement of ferromagnetic ordering of the polycrystalline epitaxial thin film. The structural variations associated with the grain boundaries further reduce the thermal conductivity without deteriorating the electronic transport, and lead to an enhanced thermoelectric efficiency in the epitaxial polycrystalline thin films, compared with their single-crystalline counterpart.

  8. Group-III nitride VCSEL structures grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Ng, HockMin; Moustakas, Theodore D.

    2000-07-01

    III-nitride VCSEL structures designed for electron-beam pumping have been grown by molecular beam epitaxy (MBE). The structures consist of a sapphire substrate on which an AlN/GaN distributed Bragg reflector (DBR) with peak reflectance >99% at 402 nm is deposited. The active region consists of a 2-(lambda) cavity with 25 In0.1Ga0.9N/GaN multiquantum wells (MQWs) whose emission coincides with the high reflectance region of the DBR. The thicknesses of the InGaN wells and the GaN barriers are 35 angstrom and 75 angstrom respectively. The top reflector consists of a silver metallic mirror which prevents charging effects during electron-beam pumping. The structure was pumped from the top- side with a cw electron-beam using a modified cathodoluminescence (CL) system mounted on a scanning electron microscope chamber. Light output was collected from the polished sapphire substrate side. Measurements performed at 100 K showed intense emission at 407 nm with narrowing of the linewidth with increasing beam current. A narrow emission linewidth of 0.7 nm was observed indicating the onset of stimulated emission.

  9. Linear thermal expansion coefficient determination using in situ curvature and temperature dependent X-ray diffraction measurements applied to metalorganic vapor phase epitaxy-grown AlGaAs

    NASA Astrophysics Data System (ADS)

    Maaßdorf, A.; Zeimer, U.; Grenzer, J.; Weyers, M.

    2013-07-01

    AlxGa1-xAs grown on GaAs is known to be almost perfectly lattice matched with a maximum lattice mismatch of 0.14% at room temperature and even less at temperatures of 700 °C-800 °C. However, as layer structures for edge-emitting diode lasers exhibit an increasing overall thickness of several microns of AlxGa1-xAs, e.g., diode lasers comprising a super-large optical cavity, the accumulated elastic strain energy increases as well. Depending on the growth temperature the formation energy of dislocations can be reached, which is limiting the pseudomorphic growth. In this regard, the thermal expansion coefficient difference between layer and substrate is an important parameter. We utilize in situ curvature measurements during growth of AlxGa1-xAs by metal-organic vapour phase epitaxy to determine the thermal expansion coefficient α. The curvature change with increasing layer thickness, as well as with wafer temperature at constant layer thickness is used to assess α. This is compared to ex situ temperature dependent X-ray diffraction measurements to obtain α. All determined values for α are in good agreement, yielding αAlAs=4.1×10-6 K-1 for a given GaAs linear thermal expansion coefficient of αGaAs=5.73×10-6 K-1.

  10. Tunneling effect on double potential barriers GaAs and PbS

    NASA Astrophysics Data System (ADS)

    Prastowo, S. H. B.; Supriadi, B.; Ridlo, Z. R.; Prihandono, T.

    2018-04-01

    A simple model of transport phenomenon tunnelling effect through double barrier structure was developed. In this research we concentrate on the variation of electron energy which entering double potential barriers to transmission coefficient. The barriers using semiconductor materials GaAs (Galium Arsenide) with band-gap energy 1.424 eV, distance of lattice 0.565 nm, and PbS (Lead Sulphide) with band gap energy 0.41 eV distance of lattice is 18 nm. The Analysisof tunnelling effect on double potentials GaAs and PbS using Schrodinger’s equation, continuity, and matrix propagation to get transmission coefficient. The maximum energy of electron that we use is 1.0 eV, and observable from 0.0025 eV- 1.0 eV. The shows the highest transmission coefficient is0.9982 from electron energy 0.5123eV means electron can pass the barriers with probability 99.82%. Semiconductor from materials GaAs and PbS is one of selected material to design semiconductor device because of transmission coefficient directly proportional to bias the voltage of semiconductor device. Application of the theoretical analysis of resonant tunnelling effect on double barriers was used to design and develop new structure and combination of materials for semiconductor device (diode, transistor, and integrated circuit).

  11. Epitaxial graphene

    NASA Astrophysics Data System (ADS)

    de Heer, Walt A.; Berger, Claire; Wu, Xiaosong; First, Phillip N.; Conrad, Edward H.; Li, Xuebin; Li, Tianbo; Sprinkle, Michael; Hass, Joanna; Sadowski, Marcin L.; Potemski, Marek; Martinez, Gérard

    2007-07-01

    Graphene multilayers are grown epitaxially on single crystal silicon carbide. This system is composed of several graphene layers of which the first layer is electron doped due to the built-in electric field and the other layers are essentially undoped. Unlike graphite the charge carriers show Dirac particle properties (i.e. an anomalous Berry's phase, weak anti-localization and square root field dependence of the Landau level energies). Epitaxial graphene shows quasi-ballistic transport and long coherence lengths; properties that may persist above cryogenic temperatures. Paradoxically, in contrast to exfoliated graphene, the quantum Hall effect is not observed in high-mobility epitaxial graphene. It appears that the effect is suppressed due to the absence of localized states in the bulk of the material. Epitaxial graphene can be patterned using standard lithography methods and characterized using a wide array of techniques. These favorable features indicate that interconnected room temperature ballistic devices may be feasible for low-dissipation high-speed nanoelectronics.

  12. Fabrication of GaAs symmetric pyramidal mesas prepared by wet-chemical etching using AlAs interlayer

    NASA Astrophysics Data System (ADS)

    Kicin, S.; Cambel, V.; Kuliffayová, M.; Gregušová, D.; Kováčová, E.; Novák, J.; Kostič, I.; Förster, A.

    2002-01-01

    We present a wet-chemical-etching method developed for the preparation of GaAs four-sided pyramid-shaped mesas. The method uses a fast lateral etching of AlAs interlayer that influences the cross-sectional profiles of etched structures. We have tested the method using H3PO4:H2O2:H2O etchant for the (100) GaAs patterning. The sidewalls of the prepared pyramidal structures together with the (100) bottom facet formed the cross-sectional angles 25° and 42° for mask edges parallel, resp. perpendicular to {011} cleavage planes. For mask edges turned in 45° according to the cleavage planes, 42° cross-sectional angles were obtained. Using the method, symmetric and more than 10-μm-high GaAs "Egyptian" pyramids with smooth tilted facets were prepared.

  13. GaAs Solar Cell Radiation Handbook

    NASA Technical Reports Server (NTRS)

    Anspaugh, B. E.

    1996-01-01

    The handbook discusses the history of GaAs solar cell development, presents equations useful for working with GaAs solar cells, describes commonly used instrumentation techniques for assessing radiation effects in solar cells and fundamental processes occurring in solar cells exposed to ionizing radiation, and explains why radiation decreases the electrical performance of solar cells. Three basic elements required to perform solar array degradation calculations: degradation data for GaAs solar cells after irradiation with 1 MeV electrons at normal incidence; relative damage coefficients for omnidirectional electron and proton exposure; and the definition of the space radiation environment for the orbit of interest, are developed and used to perform a solar array degradation calculation.

  14. Suppression of Structural Phase Transition in VO2 by Epitaxial Strain in Vicinity of Metal-insulator Transition

    PubMed Central

    Yang, Mengmeng; Yang, Yuanjun; Bin Hong; Wang, Liangxin; Hu, Kai; Dong, Yongqi; Xu, Han; Huang, Haoliang; Zhao, Jiangtao; Chen, Haiping; Song, Li; Ju, Huanxin; Zhu, Junfa; Bao, Jun; Li, Xiaoguang; Gu, Yueliang; Yang, Tieying; Gao, Xingyu; Luo, Zhenlin; Gao, Chen

    2016-01-01

    Mechanism of metal-insulator transition (MIT) in strained VO2 thin films is very complicated and incompletely understood despite three scenarios with potential explanations including electronic correlation (Mott mechanism), structural transformation (Peierls theory) and collaborative Mott-Peierls transition. Herein, we have decoupled coactions of structural and electronic phase transitions across the MIT by implementing epitaxial strain on 13-nm-thick (001)-VO2 films in comparison to thicker films. The structural evolution during MIT characterized by temperature-dependent synchrotron radiation high-resolution X-ray diffraction reciprocal space mapping and Raman spectroscopy suggested that the structural phase transition in the temperature range of vicinity of the MIT is suppressed by epitaxial strain. Furthermore, temperature-dependent Ultraviolet Photoelectron Spectroscopy (UPS) revealed the changes in electron occupancy near the Fermi energy EF of V 3d orbital, implying that the electronic transition triggers the MIT in the strained films. Thus the MIT in the bi-axially strained VO2 thin films should be only driven by electronic transition without assistance of structural phase transition. Density functional theoretical calculations further confirmed that the tetragonal phase across the MIT can be both in insulating and metallic states in the strained (001)-VO2/TiO2 thin films. This work offers a better understanding of the mechanism of MIT in the strained VO2 films. PMID:26975328

  15. Interface states and internal photoemission in p-type GaAs metal-oxide-semiconductor surfaces

    NASA Technical Reports Server (NTRS)

    Kashkarov, P. K.; Kazior, T. E.; Lagowski, J.; Gatos, H. C.

    1983-01-01

    An interface photodischarge study of p-type GaAs metal-oxide-semiconductor (MOS) structures revealed the presence of deep interface states and shallow donors and acceptors which were previously observed in n-type GaAs MOS through sub-band-gap photoionization transitions. For higher photon energies, internal photoemission was observed, i.e., injection of electrons to the conduction band of the oxide from either the metal (Au) or from the GaAs valence band; the threshold energies were found to be 3.25 and 3.7 + or - 0.1 eV, respectively. The measured photoemission current exhibited a thermal activation energy of about 0.06 eV, which is consistent with a hopping mechanism of electron transport in the oxide.

  16. Critical current and electric transport properties of superconducting epitaxial Nb(Ti)N submicron structures

    NASA Astrophysics Data System (ADS)

    Klimov, A.; Słysz, W.; Guziewicz, M.; Kolkovsky, V.; Wegrzecki, M.; Bar, J.; Marchewka, M.; Seredyński, B.

    2016-12-01

    Critical current and current-voltage characteristics of epitaxial Nb(Ti)N submicron ultrathin structures were measured as function of temperature. For 700-nm-wide bridge we found current-driven vortex de-pinning at low temperatures and thermally activated flux flow closer to the transition temperature, as the limiting factors for the critical current density. For 100-nm-wide meander we observed combination of phase-slip activation and vortex-anti-vortex pair (VAP) thermal excitation. Our Nb(Ti)N meander structure demonstrates high de-pairing critical current densities 107 A/cm2 at low temperatures, but the critical currents are much smaller due to presence of the local constrictions.

  17. In-plane InSb nanowires grown by selective area molecular beam epitaxy on semi-insulating substrate.

    PubMed

    Desplanque, L; Bucamp, A; Troadec, D; Patriarche, G; Wallart, X

    2018-07-27

    In-plane InSb nanostructures are grown on a semi-insulating GaAs substrate using an AlGaSb buffer layer covered with a patterned SiO 2 mask and selective area molecular beam epitaxy. The shape of these nanostructures is defined by the aperture in the silicon dioxide layer used as a selective mask thanks to the use of an atomic hydrogen flux during the growth. Transmission electron microscopy reveals that the mismatch accommodation between InSb and GaAs is obtained in two steps via the formation of an array of misfit dislocations both at the AlGaSb buffer layer/GaAs and at the InSb nanostructures/AlGaSb interfaces. Several micron long in-plane nanowires (NWs) can be achieved as well as more complex nanostructures such as branched NWs. The electrical properties of the material are investigated by the characterization of an InSb NW MOSFET down to 77 K. The resulting room temperature field effect mobility values are comparable with those reported on back-gated MOSFETs based on InSb NWs obtained by vapor liquid solid growth or electrodeposition. This growth method paves the way to the fabrication of complex InSb-based nanostructures.

  18. Removable polytetrafluoroethylene template based epitaxy of ferroelectric copolymer thin films

    NASA Astrophysics Data System (ADS)

    Xia, Wei; Chen, Qiusong; Zhang, Jian; Wang, Hui; Cheng, Qian; Jiang, Yulong; Zhu, Guodong

    2018-04-01

    In recent years ferroelectric polymers have shown their great potentials in organic and flexible electronics. To meet the requirements of high-performance and low energy consumption of novel electronic devices and systems, structural and electrical properties of ferroelectric polymer thin films are expected to be further optimized. One possible way is to realize epitaxial growth of ferroelectric thin films via removable high-ordered polytetrafluoroethylene (PTFE) templates. Here two key parameters in epitaxy process, annealing temperature and applied pressure, are systematically studied and thus optimized through structural and electrical measurements of ferroelectric copolymer thin films. Experimental results indicate that controlled epitaxial growth is realized via suitable combination of both parameters. Annealing temperature above the melting point of ferroelectric copolymer films is required, and simultaneously moderate pressure (around 2.0 MPa here) should be applied. Over-low pressure (around 1.0 MPa here) usually results in the failure of epitaxy process, while over-high pressure (around 3.0 MPa here) often results in residual of PTFE templates on ferroelectric thin films.

  19. Long exciton lifetimes in stacking-fault-free wurtzite GaAs nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Furthmeier, Stephan, E-mail: stephan.furthmeier@ur.de; Dirnberger, Florian; Hubmann, Joachim

    We present a combined photoluminescence and transmission electron microscopy study of single GaAs nanowires. Each wire was characterized both in microscopy and spectroscopy, allowing a direct correlation of the optical and the structural properties. By tuning the growth parameters, the nanowire crystal structure is optimized from a highly mixed zincblende–wurtzite structure to pure wurtzite. We find the latter one to be stacking-fault-free over nanowire lengths up to 4.1 μm. We observe the emission of purely wurtzite nanowires to occur only with polarization directions perpendicular to the wurtzite c{sup ^}-axis, as expected from the hexagonal unit cell symmetry. The free exciton recombinationmore » energy in the wurtzite structure is 1.518 eV at 5 K with a narrow linewidth of 4 meV. Most notably, these pure wurtzite nanowires display long carrier recombination lifetimes of up to 11.2 ns, exceeding reported lifetimes in bulk GaAs and state-of-the-art 2D GaAs/AlGaAs heterostructures.« less

  20. Novel anti-reflection technology for GaAs single-junction solar cells using surface patterning and Au nanoparticles.

    PubMed

    Kim, Youngjo; Lam, Nguyen Dinh; Kim, Kangho; Kim, Sangin; Rotermund, Fabian; Lim, Hanjo; Lee, Jaejin

    2012-07-01

    Single-junction GaAs solar cell structures were grown by low-pressure MOCVD on GaAs (100) substrates. Micro-rod arrays with diameters of 2 microm, 5 microm, and 10 microm were fabricated on the surfaces of the GaAs solar cells via photolithography and wet chemical etching. The patterned surfaces were coated with Au nanoparticles using an Au colloidal solution. Characteristics of the GaAs solar cells with and without the micro-rod arrays and Au nanoparticles were investigated. The short-circuit current density of the GaAs solar cell with 2 microm rod arrays and Au nanoparticles increased up to 34.9% compared to that of the reference cell without micro-rod arrays and Au nanoparticles. The conversion efficiency of the GaAs solar cell that was coated with Au nanoparticles on the patterned surface with micro-rod arrays can be improved from 14.1% to 19.9% under 1 sun AM 1.5G illumination. These results show that micro-rod arrays and Au nanoparticle coating can be applied together in surface patterning to achieve a novel cost-effective anti-reflection technology.

  1. DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ast, D.G.

    Research focused on control of misfit dislocations in strained epitaxial layers of GaAs through prepatterning of the substrate. Patterning and etching trenches into GaAs substrates before epitaxial growth results in nonplanar wafer surface, which makes device fabrication more difficult. Selective ion damaging the substrate prior to growth was investigated. The question of whether the overlayer must or must not be discontinuous was addressed. The third research direction was to extend results from molecular beam epitaxially grown material to organometallic chemical vapor deposition. Effort was increased to study the patterning processes and the damage it introduces into the substrate. The researchmore » program was initiated after the discovery that 500-eV dry etching in GaAs damages the substrate much deeper than the ion range.« less

  2. Growth rate dependence of boron incorporation into BxGa1-xAs layers

    NASA Astrophysics Data System (ADS)

    Detz, H.; MacFarland, D.; Zederbauer, T.; Lancaster, S.; Andrews, A. M.; Schrenk, W.; Strasser, G.

    2017-11-01

    This work provides a comprehensive study of the incorporation behavior of B in growing GaAs under molecular beam epitaxy conditions. Structural characterization of superlattices revealed a strong dependence of the BAs growth rate on the GaAs growth rate used. In general, higher GaAs growth rates lead to a higher apparent BAs growth rate, although lower B cell temperatures showed saturation behavior. Each B cell temperature requires a minimum GaAs growth rate for producing smooth films. The B incorporation into single thick layers was found to be reduced to 75-80% compared to superlattice structures. The p-type carrier densities in 1000 nm thick layers were found to be indirectly proportional to the B content. Furthermore, 500 nm thick BxGa1-xAs layers showed significantly lower carrier concentrations, indicating B segregation on the surface during growth of thicker layers.

  3. Modelling of double air-bridged structured inductor implemented by a GaAs integrated passive device manufacturing process

    NASA Astrophysics Data System (ADS)

    Li, Yang; Yao, Zhao; Zhang, Chun-Wei; Fu, Xiao-Qian; Li, Zhi-Ming; Li, Nian-Qiang; Wang, Cong

    2017-05-01

    In order to provide excellent performance and show the development of a complicated structure in a module and system, this paper presents a double air-bridge-structured symmetrical differential inductor based on integrated passive device technology. Corresponding to the proposed complicated structure, a new manufacturing process fabricated on a high-resistivity GaAs substrate is described in detail. Frequency-independent physical models are presented with lump elements and the results of skin effect-based measurements. Finally, some key features of the inductor are compared; good agreement between the measurements and modeled circuit fully verifies the validity of the proposed modeling approach. Meanwhile, we also present a comparison of different coil turns for inductor performance. The proposed work can provide a good solution for the design, fabrication, modeling, and practical application of radio-frequency modules and systems.

  4. Epitaxial structure and transport in LaTiO3+x films on (001) SrTiO3

    NASA Astrophysics Data System (ADS)

    Kim, K. H.; Norton, D. P.; Budai, J. D.; Chisholm, M. F.; Sales, B. C.; Christen, D. K.; Cantoni, C.

    2003-12-01

    The structure and transport properties of LaTiO3+x epitaxial thin films grown on (001) SrTiO3 by pulsed-laser deposition is examined. Four-circle X-ray diffraction indicates that the films possess the defect perovskite LaTiO3 structure when deposited in vacuum, with the higher X compounds forming at moderate oxygen pressures. The crystal structure of the LaTiO3 films is tetragonal in the epitaxial films, in contrast to the orthorhombic structure observed in bulk materials. A domain structure is observed in the films, consisting of LaTiO3 oriented either with the [110] or [001] directions perpendicular to the substrate surface. Z-contrast scanning transmission electron microscopy reveals that this domain structure is not present in the first few unit cells of the film, but emerges approximately 2-3 nm from the SrTiO3/LaTiO3 interface. Upon increasing the oxygen pressure during growth, a shift in the lattice d-spacing parallel to the substrate surface is observed, and is consistent with the growth of the La2Ti2O7 phase. However, van der Pauw measurements show that the films with the larger d-spacing remain conductive, albeit with a resistivity that is significantly higher than that for the perovskite LaTiO3 films. The transport behavior suggests that the films grown at higher oxygen pressures are LaTiO3+x with 0.4 < x < 0.5. (

  5. Anomalous Hall conductivity and electronic structures of Si-substituted Mn2CoAl epitaxial films

    NASA Astrophysics Data System (ADS)

    Arima, K.; Kuroda, F.; Yamada, S.; Fukushima, T.; Oguchi, T.; Hamaya, K.

    2018-02-01

    We study anomalous Hall conductivity (σAHC) and electronic band structures of Si-substituted Mn2CoAl (Mn2CoAl1 -xSix ). First-principles calculations reveal that the electronic band structure is like a spin-gapless system even after substituting a quaternary element of Si for Al up to x =0.2 in Mn2CoAl1 -xSix . This means that the Si substitution enables the Fermi-level shift without largely changing the electronic structures in Mn2CoAl . By using molecular beam epitaxy techniques, Mn2CoAl1 -xSix epitaxial films can be grown, leading to the systematic control of x (0 ⩽x ⩽0.3 ). In addition to the electrical conductivity, the values of σAHC for the Mn2CoAl1 -xSix films are similar to those in Mn2CoAl films shown in previous reports. We note that a very small σAHC of ˜1.1 S/cm is obtained for x = 0.225, and the sign of σAHC is changed from positive to negative at around x = 0.25. We discuss the origin of the sign reversal of σAHC as a consequence of the Fermi-level shift in Mn2CoAl . Considering the presence of the structural disorder in the Mn2CoAl1 -xSix films, we can conclude that the small value and sign reversal of σAHC are not related to the characteristics of spin-gapless semiconductors.

  6. Dual-junction GaAs solar cells and their application to smart stacked III–V//Si multijunction solar cells

    NASA Astrophysics Data System (ADS)

    Sugaya, Takeyoshi; Tayagaki, Takeshi; Aihara, Taketo; Makita, Kikuo; Oshima, Ryuji; Mizuno, Hidenori; Nagato, Yuki; Nakamoto, Takashi; Okano, Yoshinobu

    2018-05-01

    We report high-quality dual-junction GaAs solar cells grown using solid-source molecular beam epitaxy and their application to smart stacked III–V//Si quadruple-junction solar cells with a two-terminal configuration for the first time. A high open-circuit voltage of 2.94 eV was obtained in an InGaP/GaAs/GaAs triple-junction top cell that was stacked to a Si bottom cell. The short-circuit current density of a smart stacked InGaP/GaAs/GaAs//Si solar cell was in good agreement with that estimated from external quantum efficiency measurements. An efficiency of 18.5% with a high open-circuit voltage of 3.3 V was obtained in InGaP/GaAs/GaAs//Si two-terminal solar cells.

  7. Second harmonic generation in photonic crystal cavities in (111)-oriented GaAs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Buckley, Sonia, E-mail: bucklesm@stanford.edu; Radulaski, Marina; Vučković, Jelena

    2013-11-18

    We demonstrate second harmonic generation at telecommunications wavelengths in photonic crystal cavities in (111)-oriented GaAs. We fabricate 30 photonic crystal structures in both (111)- and (100)-oriented GaAs and observe an increase in generated second harmonic power in the (111) orientation, with the mean power increased by a factor of 3, although there is a large scatter in the measured values. We discuss possible reasons for this increase, in particular, the reduced two photon absorption for transverse electric modes in (111) orientation, as well as a potential increase due to improved mode overlap.

  8. Characterisation of semi-insulating GaAs

    NASA Technical Reports Server (NTRS)

    Walukiewicz, W.; Pawlowicz, L.; Lagowski, J.; Gatos, H. C.

    1982-01-01

    Hole and electron mobilities as functions of temperature and ionised impurity concentration are calculated for GaAs. It is shown that these calculations, when used to analyse electrical properties of semi-insulating GaAs, enable an assessment of the Fermi energy position and ionised impurity concentration to be made. In contrast to previous work, the analysis does not require any phenomenological assumptions.

  9. Technology requirements for GaAs photovoltaic arrays

    NASA Technical Reports Server (NTRS)

    Scott-Monck, J.; Rockey, D.

    1981-01-01

    An analysis based on percent GaAs solar cell weight and cost is performed to assess the utility of this cell for future space missions. It is shown that the GaAs substrate cost and the end-of-life (EOL) advantage the cell can provide over the space qualified silicon solar cell are the dominant factors determining potential use. Examples are presented to show that system level advantages resulting from reduction in solar panel area may warrant the use of GaAs at its current weight and projected initial cost provided the EOL advantage over silicon is at least 20 percent.

  10. Relevance of GaAs(001) surface electronic structure for high frequency dispersion on n-type accumulation capacitance

    NASA Astrophysics Data System (ADS)

    Pi, T. W.; Chen, W. S.; Lin, Y. H.; Cheng, Y. T.; Wei, G. J.; Lin, K. Y.; Cheng, C.-P.; Kwo, J.; Hong, M.

    2017-01-01

    This study investigates the origin of long-puzzled high frequency dispersion on the accumulation region of capacitance-voltage characteristics in an n-type GaAs-based metal-oxide-semiconductor. Probed adatoms with a high Pauling electronegativity, Ag and Au, unexpectedly donate charge to the contacted As/Ga atoms of as-grown α2 GaAs(001)-2 × 4 surfaces. The GaAs surface atoms behave as charge acceptors, and if not properly passivated, they would trap those electrons accumulated at the oxide and semiconductor interface under a positive bias. The exemplified core-level spectra of the Al2O3/n-GaAs(001)-2 × 4 and the Al2O3/n-GaAs(001)-4 × 6 interfaces exhibit remnant of pristine surface As emission, thereby causing high frequency dispersion in the accumulation region. For the p-type GaAs, electrons under a negatively biased condition are expelled from the interface, thereby avoiding becoming trapped.

  11. Structural and electrical properties of single crystalline SrZrO 3 epitaxially grown on Ge (001)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lim, Z. H.; Ahmadi-Majlan, K.; Grimley, E. D.

    We present structural and electrical characterization of SrZrO3 that has been epitaxially grown on Ge(001) by oxide molecular beam epitaxy. Single crystalline SrZrO3 can be nucleated on Ge via deposition at low temperatures followed by annealing at 550 ºC in ultra-high vacuum. Photoemission spectroscopy measurements reveal that SrZrO3 exhibits a type-I band arrangement with respect to Ge, with conduction and valence band offsets of 1.4 eV and 3.65 eV, respectively. As a standalone film, SrZrO3 exhibits several characteristics that are ideal for applications as a gate dielectric on Ge. We find that 4 nm thick films exhibit low leakage currentmore » densities, and a dielectric constant of κ ~ 25 that corresponds to an equivalent oxide thickness of 0.70 nm.« less

  12. Crystal Growth of Device Quality Gaas in Space

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.

    1985-01-01

    The GaAs research evolves about these key thrust areas. The overall program combines: (1) studies of crystal growth on novel approaches to engineering of semiconductor material (i.e., GaAs and related compounds); (2) investigation and correlation of materials properties and electronic characteristics on a macro- and microscale; and (3) investigation of electronic properties and phenomena controlling device applications and device performance. This effort is aimed at the essential ground-based program which would insure successful experimentation with and eventually processing of GaAs in near zero gravity environment. It is believed that this program addresses in a unique way materials engineering aspects which bear directly on the future exploitation of the potential of GaAs and related materials in device and systems applications.

  13. Band lineup of lattice mismatched InSe/GaSe quantum well structures prepared by van der Waals epitaxy: Absence of interfacial dipoles

    NASA Astrophysics Data System (ADS)

    Lang, O.; Klein, A.; Pettenkofer, C.; Jaegermann, W.; Chevy, A.

    1996-10-01

    Epitaxial growth of the strongly lattice mismatched (6.5%) layered chalcogenides InSe and GaSe on each other is obtained with the concept of van der Waals epitaxy as proven by low-energy electron diffraction and scanning tunnel microscope. InSe/GaSe/InSe and GaSe/InSe/GaSe quantum well structures were prepared by molecular beam epitaxy and their interface properties were characterized by soft x-ray photoelectron spectroscopy. Valence and conduction band offsets are determined to be 0.1 and 0.9 eV, respectively, and do not depend on deposition sequence (commutativity). As determined from the measured work functions the interface dipole is 0.05 eV; the band lineup between the two materials is correctly predicted by the Anderson model (electron affinity rule).

  14. Crystal growth of device quality GaAs in space

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.; Lagowski, J.

    1984-01-01

    The crystal growth, device processing and device related properties and phenomena of GaAs are investigated. Our GaAs research evolves about these key thrust areas. The overall program combines: (1) studies of crystal growth on novel approaches to engineering of semiconductor materials (i.e., GaAs and related compounds); (2) investigation and correlation of materials properties and electronic characteristics on a macro- and microscale; (3) investigation of electronic properties and phenomena controlling device applications and device performance. The ground based program is developed which would insure successful experimentation with and eventually processing of GaAs in a near zero gravity environment.

  15. Defects in mercury-cadmium telluride heteroepitaxial structures grown by molecular-beam epitaxy on silicon substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mynbaev, K. D., E-mail: mynkad@mail.ioffe.ru; Zablotsky, S. V.; Shilyaev, A. V.

    Defects in mercury-cadmium-telluride heteroepitaxial structures (with 0.3 to 0.4 molar fraction of cadmium telluride) grown by molecular-beam epitaxy on silicon substrates are studied. The low-temperature photoluminescence method reveals that there are comparatively deep levels with energies of 50 to 60 meV and shallower levels with energies of 20 to 30 meV in the band gap. Analysis of the temperature dependence of the minority carrier lifetime demonstrates that this lifetime is controlled by energy levels with an energy of ∼30 meV. The possible relationship between energy states and crystal-structure defects is discussed.

  16. Theoretical studies on band structure and optical gain of GaInAsN/GaAs /GaAs cylindrical quantum dot

    NASA Astrophysics Data System (ADS)

    Mal, Indranil; Samajdar, Dip Prakash; John Peter, A.

    2018-07-01

    Electronic band structure, effective masses, band offsets and optical gain of Ga0.661In0.339N0.0554As0.9446/GaAs quantum dot systems are investigated using 10 band k·p Hamiltonian for various nitrogen and indium concentrations. The calculations include the effects of strain generated due to the lattice mismatch and the effective band gap of GaInAsN/GaAs heterostructures. The variation of conduction band, light hole and heavy hole band offsets with indium and nitrogen compositions in the alloy are obtained. The band structure of Ga0.661In0.339N0.0554As0.9446/GaAs quantum dot is found in the crystal directions Δ (100) and Λ (111) using 10 band k·p Hamiltonian. The optical gain of the cylindrical quantum dot structures as functions of surface carrier concentration and the dot radius is investigated. Our results show that the tensile strain of 1.34% generates a band gap of 0.59 eV and the compressive strain of 2.2% produces a band gap of 1.28 eV and the introduction of N atoms has no effect on the spin orbit split off band. The variation of optical gain with the dot size and the carrier concentration indicates that the optical gain increases with the decrease in the radius of the quantum dot. The results may be useful for the potential applications in optical devices.

  17. Structural consequences of hydrogen intercalation of epitaxial graphene on SiC(0001)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Emery, Jonathan D., E-mail: jdemery@anl.gov, E-mail: bedzyk@northwestern.edu; Johns, James E.; McBriarty, Martin E.

    2014-10-20

    The intercalation of various atomic species, such as hydrogen, to the interface between epitaxial graphene (EG) and its SiC substrate is known to significantly influence the electronic properties of the graphene overlayers. Here, we use high-resolution X-ray reflectivity to investigate the structural consequences of the hydrogen intercalation process used in the formation of quasi-free-standing (QFS) EG/SiC(0001). We confirm that the interfacial layer is converted to a layer structurally indistinguishable from that of the overlying graphene layers. This newly formed graphene layer becomes decoupled from the SiC substrate and, along with the other graphene layers within the film, is vertically displacedmore » by ∼2.1 Å. The number of total carbon layers is conserved during the process, and we observe no other structural changes such as interlayer intercalation or expansion of the graphene d-spacing. These results clarify the under-determined structure of hydrogen intercalated QFS-EG/SiC(0001) and provide a precise model to inform further fundamental and practical understanding of the system.« less

  18. GaAs nanowire array solar cells with axial p-i-n junctions.

    PubMed

    Yao, Maoqing; Huang, Ningfeng; Cong, Sen; Chi, Chun-Yung; Seyedi, M Ashkan; Lin, Yen-Ting; Cao, Yu; Povinelli, Michelle L; Dapkus, P Daniel; Zhou, Chongwu

    2014-06-11

    Because of unique structural, optical, and electrical properties, solar cells based on semiconductor nanowires are a rapidly evolving scientific enterprise. Various approaches employing III-V nanowires have emerged, among which GaAs, especially, is under intense research and development. Most reported GaAs nanowire solar cells form p-n junctions in the radial direction; however, nanowires using axial junction may enable the attainment of high open circuit voltage (Voc) and integration into multijunction solar cells. Here, we report GaAs nanowire solar cells with axial p-i-n junctions that achieve 7.58% efficiency. Simulations show that axial junctions are more tolerant to doping variation than radial junctions and lead to higher Voc under certain conditions. We further study the effect of wire diameter and junction depth using electrical characterization and cathodoluminescence. The results show that large diameter and shallow junctions are essential for a high extraction efficiency. Our approach opens up great opportunity for future low-cost, high-efficiency photovoltaics.

  19. Design and implementation of GaAs HBT circuits with ACME

    NASA Technical Reports Server (NTRS)

    Hutchings, Brad L.; Carter, Tony M.

    1993-01-01

    GaAs HBT circuits offer high performance (5-20 GHz) and radiation hardness (500 Mrad) that is attractive for space applications. ACME is a CAD tool specifically developed for HBT circuits. ACME implements a novel physical schematic-capture design technique where designers simultaneously view the structure and physical organization of a circuit. ACME's design interface is similar to schematic capture; however, unlike conventional schematic capture, designers can directly control the physical placement of both function and interconnect at the schematic level. In addition, ACME provides design-time parasitic extraction, complex wire models, and extensions to Multi-Chip Modules (MCM's). A GaAs HBT gate-array and semi-custom circuits have been developed with ACME; several circuits have been fabricated and found to be fully functional .

  20. Growth, structure, and properties of epitaxial thin films of first-principles predicted multiferroic Bi2FeCrO6

    NASA Astrophysics Data System (ADS)

    Nechache, Riad; Harnagea, Catalin; Pignolet, Alain; Normandin, François; Veres, Teodor; Carignan, Louis-Philippe; Ménard, David

    2006-09-01

    The authors report the structural and physical properties of epitaxial Bi2FeCrO6 thin films on epitaxial SrRuO3 grown on (100)-oriented SrTiO3 substrates by pulsed laser ablation. The 300nm thick films exhibit both ferroelectricity and magnetism at room temperature with a maximum dielectric polarization of 2.8μC /cm2 at Emax=82kV/cm and a saturated magnetization of 20emu/cm3 (corresponding to ˜0.26μB per rhombohedral unit cell), with coercive fields below 100Oe. The results confirm the predictions made using ab initio calculations about the existence of multiferroic properties in Bi2FeCrO6.