Sample records for gaas films grown

  1. Structural and optical characteristics of GaAs films grown on Si/Ge substrates

    NASA Astrophysics Data System (ADS)

    Rykov, A. V.; Dorokhin, M. V.; Vergeles, P. S.; Baidus, N. V.; Kovalskiy, V. A.; Yakimov, E. B.; Soltanovich, O. A.

    2018-03-01

    A GaAs/AlAs heterostructure and a GaAs film grown on Si/Ge substrates have been fabricated and studied. A Ge buffer on a silicon substrate was fabricated using the MBE process. A3B5 films were grown by MOCVD at low pressures. Photoluminescence spectroscopy was used to define the optical quality of A3B5 films. Structural properties were investigated using the electron beam induced current method. It was established that despite a rather high density of dislocations on the epitaxial layers, the detected photoluminescence radiation of layers indicates the acceptable crystalline quality of the top GaAs layer.

  2. Properties of CsI, CsBr and GaAs thin films grown by pulsed laser deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Brendel, V M; Garnov, S V; Yagafarov, T F

    2014-09-30

    CsI, CsBr and GaAs thin films have been grown by pulsed laser deposition on glass substrates. The morphology and structure of the films have been studied using X-ray diffraction and scanning electron microscopy. The CsI and CsBr films were identical in stoichiometry to the respective targets and had a polycrystalline structure. Increasing the substrate temperature led to an increase in the density of the films. All the GaAs films differed in stoichiometry from the target. An explanation was proposed for this fact. The present results demonstrate that, when the congruent transport condition is not fulfilled, films identical in stoichiometry tomore » targets can be grown by pulsed laser deposition in the case of materials with a low melting point and thermal conductivity. (interaction of laser radiation with matter)« less

  3. Characterization of structural defects in SnSe2 thin films grown by molecular beam epitaxy on GaAs (111)B substrates

    NASA Astrophysics Data System (ADS)

    Tracy, Brian D.; Li, Xiang; Liu, Xinyu; Furdyna, Jacek; Dobrowolska, Margaret; Smith, David J.

    2016-11-01

    Tin selenide thin films have been grown by molecular beam epitaxy on GaAs (111)B substrates at a growth temperature of 150 °C, and a microstructural study has been carried out, primarily using the technique of transmission electron microscopy. The Se:Sn flux ratio during growth was systematically varied and found to have a strong impact on the resultant crystal structure and quality. Low flux ratios (Se:Sn=3:1) led to defective films consisting primarily of SnSe, whereas high flux ratios (Se:Sn>10:1) gave higher quality, single-phase SnSe2. The structure of the monoselenide films was found to be consistent with the Space Group Pnma with the epitaxial growth relationship of [011]SnSe// [ 1 1 bar 0 ] GaAs, while the diselenide films were consistent with the Space Group P 3 bar m1 , and had the epitaxial growth relationship [ 2 1 bar 1 bar 0 ]SnSe2// [ 1 1 bar 0 ] GaAs.

  4. Growth of semimetallic ErAs films epitaxially embedded in GaAs

    NASA Astrophysics Data System (ADS)

    Crook, Adam M.; Nair, Hari P.; Lee, Jong H.; Ferrer, Domingo A.; Akinwande, Deji; Bank, Seth R.

    2011-10-01

    We present models for the growth and electrical conductivity of ErAs films grown with the nanoparticle-seeded film growth technique. This growth mode overcomes the mismatch in rotational symmetry between the rocksalt ErAs crystal structure and the zincblende GaAs crystal structure. This results in films of ErAs grown through a thin film of GaAs that preserves the symmetry of the substrate. The conductivity of the films, as a function of film thickness, are investigated and a surface roughness model is used to explain observed trends. Transmission electron micrographs confirm the suppression of anti-phase domains. A simple diffusion model is developed to describe the diffusion and incorporation of surface erbium into subsurface ErAs layers and predict potential failure mechanisms of the growth method.

  5. Some optical and electron microscope comparative studies of excimer laser-assisted and nonassisted molecular-beam epitaxically grown thin GaAs films on Si

    NASA Technical Reports Server (NTRS)

    Lao, Pudong; Tang, Wade C.; Rajkumar, K. C.; Guha, S.; Madhukar, A.; Liu, J. K.; Grunthaner, F. J.

    1990-01-01

    The quality of GaAs thin films grown via MBE under pulsed excimer laser irradiation on Si substrates is examined in both laser-irradiated and nonirradiated areas using Raman scattering, Rayleigh scattering, and by photoluminescence (PL), as a function of temperature, and by TEM. The temperature dependence of the PL and Raman peak positions indicates the presence of compressive stress in the thin GaAs films in both laser-irradiated and nonirradiated areas. This indicates incomplete homogeneous strain relaxation by dislocations at the growth temperature. The residual compressive strain at the growth temperature is large enough such that even with the introduction of tensile strain arising from the difference in thermal expansion coefficients of GaAs and Si, a compressive strain is still present at room temperature for these thin GaAs/Si films.

  6. High-efficiency thin-film GaAs solar cells, phase2

    NASA Technical Reports Server (NTRS)

    Yeh, Y. C. M.

    1981-01-01

    Thin GaAs epi-layers with good crystallographic quality were grown using a (100) Si-substrate on which a thin Ge epi-interlayer was grown by CVD from germane. Both antireflection-coated metal oxide semiconductor (AMOS) and n(+)/p homojunction structures were studied. The AMOS cells were fabricated on undoped-GaAs epi-layers deposited on bulk poly-Ge substrates using organo-metallic CVD film-growth, with the best achieved AM1 conversion efficiency being 9.1%. Both p-type and n(+)-type GaAs growth were optimized using 50 ppm dimethyl zinc and 1% hydrogen sulfide, respectively. A direct GaAs deposition method in fabricating ultra-thin top layer, epitaxial n(+)/p shallow homojunction solar cells on (100) GaAs substrates (without anodic thinning) was developed to produce large area (1 sq/cm) cells, with 19.4% AM1 conversion efficiency achieved. Additionally, an AM1 conversion efficiency of 18.4% (17.5% with 5% grid coverage) was achieved for a single crystal GaAs n(+)/p cell grown by OM-CVD on a Ge wafer.

  7. Heavily Sn-doped GaAs with abrupt doping profiles grown by migration-enhanced epitaxy at low temperatures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chavanapranee, Tosaporn; Horikoshi, Yoshiji

    The characteristics of heavily Sn-doped GaAs samples grown at 300 deg. C by a migration-enhanced epitaxy (MEE) technique are investigated in comparison with those of the samples grown by a conventional molecular-beam epitaxy (MBE) at 580 deg. C. While no discernible difference is observed in the low doping regime, the difference in doping characteristics between the MBE- and MEE-grown samples becomes apparent when the doping concentration exceeds 1x10{sup 19} cm{sup -3}. Sn atoms as high as 4x10{sup 21} cm{sup -3} can be incorporated into MEE-grown GaAs films, unlike the MBE-grown samples that have a maximum doping level limited around 1x10{supmore » 19} cm{sup -3}. Due to an effective suppression of Sn segregation in the MEE growth case, high quality GaAs films with abrupt high-concentration Sn-doping profiles are achieved with the doping concentrations of up to 2x10{sup 21} cm{sup -3}. It has been shown that even though a high concentration of Sn atoms is incorporated into the GaAs film, the electron concentration saturates at 6x10{sup 19} cm{sup -3} and then gradually decreases with Sn concentration. The uniform doping limitation, as well as the electron concentration saturation, is discussed by means of Hall-effect measurement, x-ray diffraction, and Raman scattering spectroscopy.« less

  8. Influence of arsenic flow on the crystal structure of epitaxial GaAs grown at low temperatures on GaAs (100) and (111)A substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Galiev, G. B.; Klimov, E. A.; Vasiliev, A. L.

    The influence of arsenic flow in a growth chamber on the crystal structure of GaAs grown by molecular-beam epitaxy at a temperature of 240°C on GaAs (100) and (111)A substrates has been investigated. The flow ratio γ of arsenic As4 and gallium was varied in the range from 16 to 50. GaAs films were either undoped, or homogeneously doped with silicon, or contained three equidistantly spaced silicon δ-layers. The structural quality of the annealed samples has been investigated by transmission electron microscopy. It is established for the first time that silicon δ-layers in “low-temperature” GaAs serve as formation centers ofmore » arsenic precipitates. Their average size, concentration, and spatial distribution are estimated. The dependence of the film structural quality on γ is analyzed. Regions 100–150 nm in size have been revealed in some samples and identified (by X-ray microanalysis) as pores. It is found that, in the entire range of γ under consideration, GaAs films on (111)A substrates have a poorer structural quality and become polycrystalline beginning with a thickness of 150–200 nm.« less

  9. Terahertz-radiation generation and detection in low-temperature-grown GaAs epitaxial films on GaAs (100) and (111)A substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Galiev, G. B.; Pushkarev, S. S., E-mail: s-s-e-r-p@mail.ru; Buriakov, A. M.

    The efficiency of the generation and detection of terahertz radiation in the range up to 3 THz by LT-GaAs films containing equidistant Si doping δ layers and grown by molecular beam epitaxy on GaAs (100) and (111)Ga substrates is studied by terahertz spectroscopy. Microstrip photoconductive antennas are fabricated on the film surface. Terahertz radiation is generated by exposure of the antenna gap to femtosecond optical laser pulses. It is shown that the intensity of terahertz radiation from the photoconductive antenna on LT-GaAs/GaAs (111)Ga is twice as large as the intensity of a similar antenna on LT-GaAs/GaAs(100) and the sensitivity ofmore » the antenna on LT-GaAs/GaAs (111)Ga as a terahertz-radiation detector exceeds that of the antenna on LT-GaAs/GaAs(100) by a factor of 1.4.« less

  10. Defects in GaAs films grown by MOMBE

    NASA Astrophysics Data System (ADS)

    Werner, K.; Heinecke, H.; Weyers, M.; Lüth, H.; Balk, P.

    1987-02-01

    The nature and densities of the defects obtained in MOMBE GaAs films have been studied. In addition to particulate matter deposited on the surface, imperfections in the substrate will lead to defect generation. Furthermore, the rate of generation is strongly affected by the ratio of the pressures of the group III alkyl and the group V hydride in the molecular beams and by the growth temperature, also on defect-free substrates. Doping has no effect on the defect structure of the surface. By proper choice of experimental conditions defect densities below 100 cm -2 may be consistently obtained.

  11. Quality-enhanced In{sub 0.3}Ga{sub 0.7}As film grown on GaAs substrate with an ultrathin amorphous In{sub 0.6}Ga{sub 0.4}As buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gao, Fangliang; Li, Guoqiang, E-mail: msgli@scut.edu.cn

    2014-01-27

    Using low-temperature molecular beam epitaxy, amorphous In{sub 0.6}Ga{sub 0.4}As layers have been grown on GaAs substrates to act as buffer layers for the subsequent epitaxial growth of In{sub 0.3}Ga{sub 0.7}As films. It is revealed that the crystallinity of as-grown In{sub 0.3}Ga{sub 0.7}As films is strongly affected by the thickness of the large-mismatched amorphous In{sub 0.6}Ga{sub 0.4}As buffer layer. Given an optimized thickness of 2 nm, this amorphous In{sub 0.6}Ga{sub 0.4}As buffer layer can efficiently release the misfit strain between the In{sub 0.3}Ga{sub 0.7}As epi-layer and the GaAs substrate, trap the threading and misfit dislocations from propagating to the following In{sub 0.3}Ga{submore » 0.7}As epi-layer, and reduce the surface fluctuation of the as-grown In{sub 0.3}Ga{sub 0.7}As, leading to a high-quality In{sub 0.3}Ga{sub 0.7}As film with competitive crystallinity to that grown on GaAs substrate using compositionally graded In{sub x}Ga{sub 1-x}As metamorphic buffer layers. Considering the complexity of the application of the conventional In{sub x}Ga{sub 1-x}As graded buffer layers, this work demonstrates a much simpler approach to achieve high-quality In{sub 0.3}Ga{sub 0.7}As film on GaAs substrate and, therefore, is of huge potential for the InGaAs-based high-efficiency photovoltaic industry.« less

  12. Homojunction GaAs solar cells grown by close space vapor transport

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Boucher, Jason W.; Ritenour, Andrew J.; Greenaway, Ann L.

    2014-06-08

    We report on the first pn junction solar cells grown by homoepitaxy of GaAs using close space vapor transport (CSVT). Cells were grown both on commercial wafer substrates and on a CSVT absorber film, and had efficiencies reaching 8.1%, open circuit voltages reaching 909 mV, and internal quantum efficiency of 90%. The performance of these cells is partly limited by the electron diffusion lengths in the wafer substrates, as evidenced by the improved peak internal quantum efficiency in devices fabricated on a CSVT absorber film. Unoptimized highly-doped n-type emitters also limit the photocurrent, indicating that thinner emitters with reduced doping,more » and ultimately wider band gap window or surface passivation layers, are required to increase the efficiency.« less

  13. ZnSe Window Layers for GaAs and GaInP2 Solar Cells

    NASA Technical Reports Server (NTRS)

    Olsen, Larry C.

    1997-01-01

    This report concerns studies of the use of n-type ZnSe as a window layer for n/p GaAs and GaInP2 solar cells. Emphasis was placed in this phase of the project on characterizing the interface between n-type ZnSe films grown on epi-GaAs films grown onto single crystal GaAs. Epi-GaAs and heteroepitaxial ZnSe films were grown by MOCVD with a Spire 50OXT Reactor. After growing epitaxial GaAs films on single crystal GaAs wafers, well-oriented crystalline ZnSe films were grown by MOCVD. ZnSe films were grown with substrate temperatures ranging from 250 C to 450 C. Photoluminescence studies carried out by researchers at NASA Lewis determined that the surface recombination velocity at a GaAs surface was significantly reduced after the deposition of a heteroepitaxial layer of ZnSe. The optimum temperature for ZnSe deposition appears to be on the order of 350 C.

  14. Investigation of ZnSe-coated silicon substrates for GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Huber, Daniel A.; Olsen, Larry C.; Dunham, Glen; Addis, F. William

    1993-01-01

    Studies are being carried out to determine the feasibility of using ZnSe as a buffer layer for GaAs solar cells grown on silicon. This study was motivated by reports in the literature indicating ZnSe films had been grown by metallorganic chemical vapor deposition (MOCVD) onto silicon with EPD values of 2 x 10(exp 5) cm(sup -2), even though the lattice mismatch between silicon and ZnSe is 4.16 percent. These results combined with the fact that ZnSe and GaAs are lattice matched to within 0.24 percent suggest that the prospects for growing high efficiency GaAs solar cells onto ZnSe-coated silicon are very good. Work to date has emphasized development of procedures for MOCVD growth of (100) ZnSe onto (100) silicon wafers, and subsequent growth of GaAs films on ZnSe/Si substrates. In order to grow high quality single crystal GaAs with a (100) orientation, which is desirable for solar cells, one must grow single crystal (100) ZnSe onto silicon substrates. A process for growth of (100) ZnSe was developed involving a two-step growth procedure at 450 C. Single crystal, (100) GaAs films were grown onto the (100) ZnSe/Si substrates at 610 C that are adherent and specular. Minority carrier diffusion lengths for the GaAs films grown on ZnSe/Si substrates were determined from photoresponse properties of Al/GaAs Schottky barriers. Diffusion lengths for n-type GaAs films are currently on the order of 0.3 microns compared to 2.0 microns for films grown simultaneously by homoepitaxy.

  15. Low-temperature photoluminescence study of thin epitaxial GaAs films on Ge substrates

    NASA Astrophysics Data System (ADS)

    Brammertz, Guy; Mols, Yves; Degroote, Stefan; Motsnyi, Vasyl; Leys, Maarten; Borghs, Gustaaf; Caymax, Matty

    2006-05-01

    Thin epitaxial GaAs films, with thickness varying from 140 to 1000 nm and different Si doping levels, were grown at 650 °C by organometallic vapor phase epitaxy on Ge substrates and analyzed by low-temperature photoluminescence (PL) spectroscopy. All spectra of thin GaAs on Ge show two different structures, one narrow band-to-band (B2B) structure at an energy of ~1.5 eV and a broad inner-band-gap (IB) structure at an energy of ~1.1 eV. Small strain in the thin GaAs films causes the B2B structure to be separated into a light-hole and a heavy-hole peak. At 2.5 K the good structural quality of the thin GaAs films on Ge can be observed from the narrow excitonic peaks. Peak widths of less than 1 meV are measured. GaAs films with thickness smaller than 200 nm show B2B PL spectra with characteristics of an n-type doping level of approximately 1018 at./cm3. This is caused by heavy Ge diffusion from the substrate into the GaAs at the heterointerface between the two materials. The IB structure observed in all films consists of two Gaussian peaks with energies of 1.04 and 1.17 eV. These deep trapping states arise from Ge-based complexes formed within the GaAs at the Ge-GaAs heterointerface, due to strong diffusion of Ge atoms into the GaAs. Because of similarities with Si-based complexes, the peak at 1.04 eV was identified to be due to a GeGa-GeAs complex, whereas the peak at 1.17 eV was attributed to the GeGa-VGa complex. The intensity of the IB structure decreases strongly as the GaAs film thickness is increased. PL intensity of undoped GaAs films containing antiphase domains (APDs) is four orders of magnitude lower than for similar films without APDs. This reduction in intensity is due to the electrically active Ga-Ga and As-As bonds at the boundaries between the different APDs. When the Si doping level is increased, the PL intensity of the APD-containing films is increased again as well. A film containing APDs with a Si doping level of ~1018 at./cm3 has only a factor 10

  16. Heteroepitaxial growth of Ge films on (100) GaAs by pyrolysis of digermane

    NASA Astrophysics Data System (ADS)

    Eres, Djula; Lowndes, Douglas H.; Tischler, J. Z.; Sharp, J. W.; Geohegan, D. B.; Pennycook, S. J.

    1989-08-01

    Pyrolysis of high-purity digermane (Ge2 H6 ) has been used to grow epitaxial Ge films of high crystalline quality on (100) GaAs substrates in a low-pressure environment. X-ray double-crystal diffractometry shows that fully commensurate, coherently strained epitaxial Ge films can be grown on (100) GaAs at digermane partial pressures of 0.05-40 mTorr for substrate temperatures of 380-600 °C. Amorphous films also were deposited. Information about the crystalline films surface morphology, growth mode, and microstructure was obtained from scanning electron microscopy, cross-section transmission electron microscopy, and in situ reflectivity measurements. The amorphous-to-crystalline transition temperature and the morphology of the crystalline films were both found to depend on deposition conditions (primarily the incidence rate of Ge-bearing species and the substrate temperature). Epitaxial growth rates using digermane were found to be about two orders of magnitude higher than rates using germane (GeH4 ) under similar experimental conditions.

  17. HfO2 Gate Dielectric on (NH4)2S Passivated (100) GaAs Grown by Atomic Layer Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, P.T.; /Stanford U., Materials Sci. Dept.; Sun, Y.

    2007-09-28

    The interface between hafnium oxide grown by atomic layer deposition and (100) GaAs treated with HCl cleaning and (NH{sub 4}){sub 2}S passivation has been characterized. Synchrotron radiation photoemission core level spectra indicated successful removal of the native oxides and formation of passivating sulfides on the GaAs surface. Layer-by-layer removal of the hafnia film revealed a small amount of As{sub 2}O{sub 3} formed at the interface during the dielectric deposition. Traces of arsenic and sulfur out-diffusion into the hafnia film were observed after a 450 C post-deposition anneal, and may be the origins for the electrically active defects. Transmission electron microscopymore » cross section images showed thicker HfO{sub 2} films for a given precursor exposure on S-treated GaAs versus the non-treated sample. In addition, the valence-band and the conduction-band offsets at the HfO{sub 2}/GaAs interface were deduced to be 3.18 eV and a range of 0.87-0.97 eV, respectively. It appears that HCl+(NH{sub 4})2{sub S} treatments provide a superior chemical passivation for GaAs and initial surface for ALD deposition.« less

  18. In-plane dielectric properties of epitaxial Ba{sub 0.7}Sr{sub 0.3}TiO{sub 3} thin films grown on GaAs for tunable device application

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang Zhibin; Hao Jianhua

    2012-09-01

    We have epitaxially deposited ferroelectric Ba{sub 0.7}Sr{sub 0.3}TiO{sub 3} (BST) thin films grown on GaAs substrate via SrTiO{sub 3} buffer layer by laser molecular beam epitaxy. Structural characteristics of the heterostructure were measured by various techniques. The in-plane dielectric properties of the heteroepitaxial structure under different applying frequency were investigated from -190 to 90 Degree-Sign C, indicating Curie temperature of the BST film to be around 52 Degree-Sign C. At room temperature, the dielectric constant of the heterostructure under moderate dc bias field can be tuned by more than 30% and K factor used for frequency agile materials is foundmore » to be close to 8. Our results offer the possibility to combine frequency agile electronics of ferroelectric titanate with the high-performance microwave capabilities of GaAs for room temperature tunable device application.« less

  19. Texture analysis of CoGe2 alloy films grown heteroepitaxially on GaAs(100) using partially ionized beam deposition

    NASA Astrophysics Data System (ADS)

    Mello, K. E.; Murarka, S. P.; Lu, T.-M.; Lee, S. L.

    1997-06-01

    Reflection x-ray pole figure analysis techniques were used to study the heteroepitaxial relationships of the cobalt germanide CoGe2 to GaAs(100). The alloy films were grown using the partially ionized beam deposition technique, in which low energy Ge+ ions are employed to alter the heteroepitaxial orientation of the CoGe2 deposits. The CoGe2[001](100)∥GaAs[100](001) orientation, which has the smallest lattice mismatch, was found to occur for depositions performed at a substrate temperature around 280 °C and with ˜1200 eV Ge+ ions. Lowering the substrate temperature or reducing the Ge+ ion energy leads to CoGe2(100) orientation domination with CoGe2[100](010)∥GaAs[100](001) and CoGe2[100](001)∥GaAs[100](001). Substrate temperature alone was seen to produce only the CoGe2(100) orientation. For CoGe2(001) films, additional energy was required from Ge+ ions in the evaporant stream.

  20. GaAs thin films and methods of making and using the same

    DOEpatents

    Boettcher, Shannon; Ritenour, Andrew; Boucher, Jason; Greenaway, Ann

    2016-06-14

    Disclosed herein are embodiments of methods for making GaAs thin films, such as photovoltaic GaAs thin films. The methods disclosed herein utilize sources, precursors, and reagents that do not produce (or require) toxic gas and that are readily available and relatively low in cost. In some embodiments, the methods are readily scalable for industrial applications and can provide GaAs thin films having properties that are at least comparable to or potentially superior to GaAs films obtained from conventional methods.

  1. A Novel Growth Method To Improve the Quality of GaAs Nanowires Grown by Ga-Assisted Chemical Beam Epitaxy.

    PubMed

    García Núñez, Carlos; Braña, Alejandro F; López, Nair; García, Basilio J

    2018-06-13

    The successful synthesis of high crystalline quality and high aspect ratio GaAs nanowires (NWs) with a uniform diameter is needed to develop advanced applications beyond the limits established by thin film and bulk material properties. Vertically aligned GaAs NWs have been extensively grown by Ga-assisted vapor-liquid-solid (VLS) mechanism on Si(111) substrates, and they have been used as building blocks in photovoltaics, optoelectronics, electronics, and so forth. However, the nucleation of parasitic species such as traces and nanocrystals on the Si substrate surface during the NW growth could affect significantly the controlled nucleation of those NWs, and therefore the resulting performance of NW-based devices. Preventing the nucleation of parasitic species on the Si substrate is a matter of interest, because they could act as traps for gaseous precursors and/or chemical elements during VLS growth, drastically reducing the maximum length of grown NWs, affecting their morphology and structure, and reducing the NW density along the Si substrate surface. This work presents a novel and easy to develop growth method (i.e., without using advanced nanolithography techniques) to prevent the nucleation of parasitic species, while preserving the quality of GaAs NWs even for long duration growths. GaAs NWs are grown by Ga-assisted chemical beam epitaxy on oxidized Si(111) substrates using triethylgallium and tertiarybutylarsine precursors by a two-step-based growth method presented here; this method includes a growth interruption for an oxidation on air between both steps of growth, reducing the nucleation of parasitic crystals on the thicker SiO x capping layer during the second and longer growth step. VLS conditions are preserved overtime, resulting in a stable NW growth rate of around 6 μm/h for growth times up to 1 h. Resulting GaAs NWs have a high aspect ratio of 85 and average radius of 35 nm. We also report on the existence of characteristic reflection high

  2. Structural and optical characterization of GaAs nano-crystals selectively grown on Si nano-tips by MOVPE.

    PubMed

    Skibitzki, Oliver; Prieto, Ivan; Kozak, Roksolana; Capellini, Giovanni; Zaumseil, Peter; Arroyo Rojas Dasilva, Yadira; Rossell, Marta D; Erni, Rolf; von Känel, Hans; Schroeder, Thomas

    2017-03-01

    We present the nanoheteroepitaxial growth of gallium arsenide (GaAs) on nano-patterned silicon (Si) (001) substrates fabricated using a CMOS technology compatible process. The selective growth of GaAs nano-crystals (NCs) was achieved at 570 °C by MOVPE. A detailed structure and defect characterization study of the grown nano-heterostructures was performed using scanning transmission electron microscopy, x-ray diffraction, micro-Raman, and micro-photoluminescence (μ-PL) spectroscopy. The results show single-crystalline, nearly relaxed GaAs NCs on top of slightly, by the SiO 2 -mask compressively strained Si nano-tips (NTs). Given the limited contact area, GaAs/Si nanostructures benefit from limited intermixing in contrast to planar GaAs films on Si. Even though a few growth defects (e.g. stacking faults, micro/nano-twins, etc) especially located at the GaAs/Si interface region were detected, the nanoheterostructures show intensive light emission, as investigated by μ-PL spectroscopy. Achieving well-ordered high quality GaAs NCs on Si NTs may provide opportunities for superior electronic, photonic, or photovoltaic device performances integrated on the silicon technology platform.

  3. LEED and AES characterization of the GaAs(110)-ZnSe interface

    NASA Technical Reports Server (NTRS)

    Tu, D.-W.; Kahn, A.

    1984-01-01

    In this paper, a study is conducted of the composition and structure of epitaxial ZnSe films grown by congruent evaporation on GaAs(110) at a rate of 2 A/min. It is found that the films grown on 300 C GaAs are nearly stoichiometric and form an abrupt interface with the substrate. Films grown at higher temperature (T greater than 350-400 C) are Se rich. The crystallinity of films grown at 300 C is good and their surface atomic geometry is identical to that of a ZnSe crystal. The GaAs-ZnSe interface geometry seems to be dominated by the Se-substrate bonds. The adsorption of Se, during the formation of very thin ZnSe films (2-3 A), produces a (1 x 2) LEED pattern and modifications of the LEED I-V profiles, which probably indicate a change in the substrate atomic relaxation.

  4. Spectroscopic and microscopic investigation of MBE-grown CdTe (211)B epitaxial thin films on GaAs (211)B substrates

    NASA Astrophysics Data System (ADS)

    Özden, Selin; Koc, Mumin Mehmet

    2018-03-01

    CdTe epitaxial thin films, for use as a buffer layer for HgCdTe defectors, were grown on GaAs (211)B using the molecular beam epitaxy method. Wet chemical etching (Everson method) was applied to the epitaxial films using various concentrations and application times to quantify the crystal quality and dislocation density. Surface characterization of the epitaxial films was achieved using Atomic force microscopy and Scanning electron microscopy (SEM) before and after each treatment. The Energy Dispersive X-Ray apparatus of SEM was used to characterize the chemical composition. Untreated CdTe films show smooth surface characteristics with root mean square (RMS) roughnesses of 1.18-3.89 nm. The thicknesses of the CdTe layers formed were calculated via FTIR spectrometry and obtained by ex situ spectroscopic ellipsometry. Raman spectra were obtained for various temperatures. Etch pit densities (EPD) were measured, from which it could be seen that EPD changes between 1.7 × 108 and 9.2 × 108 cm-2 depending on the concentration of the Everson etch solution and treatment time. Structure, shape and depth of pits resulting from each etch pit implementation were also evaluated. Pit widths varying between 0.15 and 0.71 µm with heights varying between 2 and 80 nm were observed. RMS roughness was found to vary by anything from 1.56 to 26 nm.

  5. Effects of substrate on the structure and orientation of ZnO thin film grown by rf-magnetron sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, H. F.; Chua, S. J.; Hu, G. X.

    2007-10-15

    X-ray diffractions, Nomarski microscopy, scanning electron microscopy, and photoluminescence have been used to study the effects of substrate on the structure and orientation of ZnO thin films grown by rf-magnetron sputtering. GaAs(001), GaAs(111), Al{sub 2}O{sub 3}(0002) (c-plane), and Al{sub 2}O{sub 3}(1102) (r-plane) wafers have been selected as substrates in this study. X-ray diffractions reveal that the ZnO film grown on GaAs(001) substrate is purely textured with a high c-axis orientation while that grown on GaAs(111) substrate is a single ZnO(0002) crystal; a polycrystalline structure with a large-single-crystal area of ZnO(0002) is obtained on a c-plane Al{sub 2}O{sub 3} substrate whilemore » a ZnO(1120) single crystal is formed on an r-plane Al{sub 2}O{sub 3} substrate. There is absence of significant difference between the photoluminescence spectra collected from ZnO/GaAs(001), ZnO/GaAs(111), and ZnO/Al{sub 2}O{sub 3}(0002), while the photoluminescence from ZnO/Al{sub 2}O{sub 3}(1102) shows a reduced intensity together with an increased linewidth, which is, likely, due to the increased incorporation of native defects during the growth of ZnO(1120)« less

  6. Supernormal hardness increase of dilute Ga(As, N) thin films

    NASA Astrophysics Data System (ADS)

    Berggren, Jonas; Hanke, Michael; Luna, Esperanza; Trampert, Achim

    2017-03-01

    Hardness of epitaxial GaAs1-xNx films on GaAs(001) with different film thicknesses, varying from 80 to 700 nm, and nitrogen compositions x between zero (pure GaAs) and 0.031, were studied by means of nano-indentation. As a result, a disproportionate and monotonic increase by 17% in hardness was proved in the dilute range from GaAs to GaAs0.969N0.031. We are tracing this observation to solid solution strengthening, an extrinsic effect based on dislocation pinning due to interstitial nitrogen. On the other hand, intrinsic effects related to different electronegativities of As and N (i.e., altered bonding conditions) could be ruled out. Furthermore, in tensilely strained GaAs1-xNx layers, the appearance of cracks acts as the main strain relieving mechanism. A correlation between cracking and hardness reduction is investigated and discussed as a further relaxation pathway.

  7. n-Type Doping of Vapor-Liquid-Solid Grown GaAs Nanowires.

    PubMed

    Gutsche, Christoph; Lysov, Andrey; Regolin, Ingo; Blekker, Kai; Prost, Werner; Tegude, Franz-Josef

    2011-12-01

    In this letter, n-type doping of GaAs nanowires grown by metal-organic vapor phase epitaxy in the vapor-liquid-solid growth mode on (111)B GaAs substrates is reported. A low growth temperature of 400°C is adjusted in order to exclude shell growth. The impact of doping precursors on the morphology of GaAs nanowires was investigated. Tetraethyl tin as doping precursor enables heavily n-type doped GaAs nanowires in a relatively small process window while no doping effect could be found for ditertiarybutylsilane. Electrical measurements carried out on single nanowires reveal an axially non-uniform doping profile. Within a number of wires from the same run, the donor concentrations ND of GaAs nanowires are found to vary from 7 × 10(17) cm(-3) to 2 × 10(18) cm(-3). The n-type conductivity is proven by the transfer characteristics of fabricated nanowire metal-insulator-semiconductor field-effect transistor devices.

  8. GaSb thermophotovoltaic cells grown on GaAs by molecular beam epitaxy using interfacial misfit arrays

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Juang, Bor-Chau, E-mail: bcjuang@ucla.edu; Laghumavarapu, Ramesh B.; Foggo, Brandon J.

    There exists a long-term need for foreign substrates on which to grow GaSb-based optoelectronic devices. We address this need by using interfacial misfit arrays to grow GaSb-based thermophotovoltaic cells directly on GaAs (001) substrates and demonstrate promising performance. We compare these cells to control devices grown on GaSb substrates to assess device properties and material quality. The room temperature dark current densities show similar characteristics for both cells on GaAs and on GaSb. Under solar simulation the cells on GaAs exhibit an open-circuit voltage of 0.121 V and a short-circuit current density of 15.5 mA/cm{sup 2}. In addition, the cells on GaAsmore » substrates maintain 10% difference in spectral response to those of the control cells over a large range of wavelengths. While the cells on GaSb substrates in general offer better performance than the cells on GaAs substrates, the cost-savings and scalability offered by GaAs substrates could potentially outweigh the reduction in performance. By further optimizing GaSb buffer growth on GaAs substrates, Sb-based compound semiconductors grown on GaAs substrates with similar performance to devices grown directly on GaSb substrates could be realized.« less

  9. High efficiency thin-film GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Stirn, R. J.

    1977-01-01

    Several oxidation techniques are discussed which have been found to increase the open circuit (V sub oc) of metal-GaAs Schottky barrier solar cells, the oxide chemistry, attempts to measure surface state parameters, the evolving characteristics of the solar cell as background contamination (has been decreased, but not eliminated), results of focused Nd/YAG laser beam recrystallization of Ge films evaporated onto tungsten, and studies of AMOS solar cells fabricated on sliced polycrystalline GaAs wafers. Also discussed are projected materials availability and costs for GaAs thin-film solar cells.

  10. Metastable bcc phase formation in 3d ferromagnetic transition metal thin films sputter-deposited on GaAs(100) substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Minakawa, Shigeyuki, E-mail: s-minakawa@futamoto.elect.chuo-u.ac.jp; Ohtake, Mitsuru; Futamoto, Masaaki

    2015-05-07

    Co{sub 100−x}Fe{sub x} and Ni{sub 100−y}Fe{sub y} (at. %, x = 0–30, y = 0–60) films of 10 nm thickness are prepared on GaAs(100) substrates at room temperature by using a radio-frequency magnetron sputtering system. The detailed growth behavior is investigated by in-situ reflection high-energy electron diffraction. (100)-oriented Co and Ni single-crystals with metastable bcc structure are formed in the early stage of film growth, where the metastable structure is stabilized through hetero-epitaxial growth. With increasing the thickness up to 2 nm, the Co and the Ni films start to transform into more stable hcp and fcc structures through atomic displacements parallel to bcc(110) slide planes,more » respectively. The stability of bcc phase is improved by adding a small volume of Fe atoms into a Co film. The critical thickness of bcc phase formation is thicker than 10 nm for Co{sub 100−x}Fe{sub x} films with x ≥ 10. On the contrary, the stability of bcc phase for Ni-Fe system is less than that for Co-Fe system. The critical thicknesses for Ni{sub 100−y}Fe{sub y} films with y = 20, 40, and 60 are 1, 3, and 5 nm, respectively. The Co{sub 100−x}Fe{sub x} single-crystal films with metastable bcc structure formed on GaAs(100) substrates show in-plane uniaxial magnetic anisotropies with the easy direction along GaAs[011], similar to the case of Fe film epitaxially grown on GaAs(100) substrate. A Co{sub 100−x}Fe{sub x} film with higher Fe content shows a higher saturation magnetization and a lower coercivity.« less

  11. Demonstrating antiphase domain boundary-free GaAs buffer layer on zero off-cut Si (0 0 1) substrate for interfacial misfit dislocation GaSb film by metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Ha, Minh Thien Huu; Hoang Huynh, Sa; Binh Do, Huy; Nguyen, Tuan Anh; Luc, Quang Ho; Chang, Edward Yi

    2017-08-01

    High quality 40 nm GaSb thin film was grown on the zero off-cut Si (0 0 1)-oriented substrate using metalorganic chemical vapor deposition with the temperature-graded GaAs buffer layer. The growth time of the GaAs nucleation layer, which was deposited at a low temperature of 490 °C, is systematically investigated in this paper. Cross-sections of the high resolution transmission electron microscopy images indicate that the GaAs compound formed 3D-islands first before to quasi-2D islands, and finally formed uniform GaAs layer. The optimum thickness of the 490 °C-GaAs layer was found to be 10 nm to suppress the formation of antiphase domain boundaries (APDs). The thin GaAs nucleation layer had a root-mean-square surface roughness of 0.483 nm. This allows the continued high temperature GaAs buffer layer to be achieved with low threading dislocation density of around 7.1  ×  106 cm-2 and almost invisible APDs. Finally, a fully relaxed GaSb film was grown on the top of the GaAs/Si heterostructure using interfacial misfit dislocation growth mode. These results indicate that the GaSb epitaxial layer can be grown on Si substrate with GaAs buffer layer for future p-channel metal-oxide-semiconductor field effect transistors (MOSFETs) applications.

  12. Photovoltaic Properties of p-Doped GaAs Nanowire Arrays Grown on n-Type GaAs(111)B Substrate

    PubMed Central

    2010-01-01

    We report on the molecular beam epitaxy growth of Au-assisted GaAs p-type-doped NW arrays on the n-type GaAs(111)B substrate and their photovoltaic properties. The samples are grown at different substrate temperature within the range from 520 to 580 °C. It is shown that the dependence of conversion efficiency on the substrate temperature has a maximum at the substrate temperature of 550 °C. For the best sample, the conversion efficiency of 1.65% and the fill factor of 25% are obtained. PMID:20672038

  13. Characterization of reclaimed GaAs substrates and investigation of reuse for thin film InGaAlP LED epitaxial growth

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Englhard, M.; Klemp, C.; Behringer, M.

    This study reports a method to reuse GaAs substrates with a batch process for thin film light emitting diode (TF-LED) production. The method is based on an epitaxial lift-off technique. With the developed reclaim process, it is possible to get an epi-ready GaAs surface without additional time-consuming and expensive grinding/polishing processes. The reclaim and regrowth process was investigated with a one layer epitaxial test structure. The GaAs surface was characterized by an atomic force microscope directly after the reclaim process. The crystal structure of the regrown In{sub 0.5}(Ga{sub 0.45}Al{sub 0.55}){sub 0.5}P (Q{sub 55}) layer was investigated by high resolution x-raymore » diffraction and scanning transmission electron microscopy. In addition, a complete TF-LED grown on reclaimed GaAs substrates was electro-optically characterized on wafer level. The crystal structure of the epitaxial layers and the performance of the TF-LED grown on reclaimed substrates are not influenced by the developed reclaim process. This process would result in reducing costs for LEDs and reducing much arsenic waste for the benefit of a green semiconductor production.« less

  14. Resonant electronic Raman scattering of below-gap states in molecular-beam epitaxy grown and liquid-encapsulated Czochralski grown GaAs

    NASA Astrophysics Data System (ADS)

    Fluegel, B.; Rice, A. D.; Mascarenhas, A.

    2018-05-01

    Resonant electronic Raman (ER) scattering is used to compare the below-gap excitations in molecular-beam epitaxially grown GaAs and in undoped semi-insulating GaAs substrates. The measurement geometry was designed to eliminate common measurement artifacts caused by the high optical transmission below the fundamental absorption edge. In epitaxial GaAs, ER is a clear Raman signal from the two-electron transitions of donors, eliminating an ambiguity encountered in previous results. In semi-insulating GaAs, ER occurs in a much broader dispersive band well below the bound exciton energies. The difference in the two materials may be due to the occupation of the substrate acceptor states in the presence of the midgap state EL2.

  15. Highly efficient single-junction GaAs thin-film solar cell on flexible substrate.

    PubMed

    Moon, Sunghyun; Kim, Kangho; Kim, Youngjo; Heo, Junseok; Lee, Jaejin

    2016-07-20

    There has been much interest in developing a thin-film solar cell because it is lightweight and flexible. The GaAs thin-film solar cell is a top contender in the thin-film solar cell market in that it has a high power conversion efficiency (PCE) compared to that of other thin-film solar cells. There are two common structures for the GaAs solar cell: n (emitter)-on-p (base) and p-on-n. The former performs better due to its high collection efficiency because the electron diffusion length of the p-type base region is much longer than the hole diffusion length of the n-type base region. However, it has been limited to fabricate highly efficient n-on-p single-junction GaAs thin film solar cell on a flexible substrate due to technical obstacles. We investigated a simple and fast epitaxial lift-off (ELO) method that uses a stress originating from a Cr/Au bilayer on a 125-μm-thick flexible substrate. A metal combination of AuBe/Pt/Au is employed as a new p-type ohmic contact with which an n-on-p single-junction GaAs thin-film solar cell on flexible substrate was successfully fabricated. The PCE of the fabricated single-junction GaAs thin-film solar cells reached 22.08% under air mass 1.5 global illumination.

  16. Resonant electronic Raman scattering of below-gap states in molecular-beam epitaxy grown and liquid-encapsulated Czochralski grown GaAs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fluegel, B.; Rice, A. D.; Mascarenhas, A.

    Resonant electronic Raman (ER) scattering is used to compare the below-gap excitations in molecular-beam epitaxially grown GaAs and in undoped semi-insulating GaAs substrates. The measurement geometry was designed to eliminate common measurement artifacts caused by the high optical transmission below the fundamental absorption edge. In epitaxial GaAs, ER is a clear Raman signal from the two-electron transitions of donors, eliminating an ambiguity encountered in previous results. In semi-insulating GaAs, ER occurs in a much broader dispersive band well below the bound exciton energies. Furthermore, the difference in the two materials may be due to the occupation of the substrate acceptormore » states in the presence of the midgap state EL2.« less

  17. Paths to light trapping in thin film GaAs solar cells.

    PubMed

    Xiao, Jianling; Fang, Hanlin; Su, Rongbin; Li, Kezheng; Song, Jindong; Krauss, Thomas F; Li, Juntao; Martins, Emiliano R

    2018-03-19

    It is now well established that light trapping is an essential element of thin film solar cell design. Numerous light trapping geometries have already been applied to thin film cells, especially to silicon-based devices. Less attention has been paid to light trapping in GaAs thin film cells, mainly because light trapping is considered less attractive due to the material's direct bandgap and the fact that GaAs suffers from strong surface recombination, which particularly affects etched nanostructures. Here, we study light trapping structures that are implemented in a high-bandgap material on the back of the GaAs active layer, thereby not perturbing the integrity of the GaAs active layer. We study photonic crystal and quasi-random nanostructures both by simulation and by experiment and find that the photonic crystal structures are superior because they exhibit fewer but stronger resonances that are better matched to the narrow wavelength range where GaAs benefits from light trapping. In fact, we show that a 1500 nm thick cell with photonic crystals achieves the same short circuit current as an unpatterned 4000 nm thick cell. These findings are significant because they afford a sizeable reduction in active layer thickness, and therefore a reduction in expensive epitaxial growth time and cost, yet without compromising performance.

  18. Effect of gamma-ray irradiation on structural properties of GaAsN films grown by metal organic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Klangtakai, Pawinee; Sanorpim, Sakuntam; Wattanawareekul, Atiwat; Suwanyangyaun, Pattana; Srepusharawoot, Pornjuk; Onabe, Kentaro

    2015-05-01

    The effects of gamma-ray irradiation on the structural properties of GaAs1-xNx films (N concentration=1.9 and 5.1 at%) grown by metal organic vapor phase epitaxy on GaAs (001) substrates were investigated. The GaAs1-xNx films were irradiated by gamma rays with irradiation strength of 0-2.0 MGy. Scanning electron microscopy and atomic force microscopy results showed that a gamma ray with a strength of 0, 0.5, 1.0, 1.5, and 2.0 MGy formed holes with a density of 0.0, 8.8, 9.4, 11.5, and 11.9 μm-2, respectively, on the surface of a GaAs0.981N0.019 film with low N content. On the other hand, the irradiated high-N-content GaAs0.949N0.051 film exhibited a cross-hatch pattern, which was induced by partial strain relaxation at high N levels, with a line density of 0.0, 0.21, 0.37, 0.67, and 0.26 μm-1 corresponding to an irradiation strength of 0, 0.5, 1.0, 1.5, and 2.0 MGy, respectively. The high-resolution X-ray diffraction and Raman scattering results revealed an increase in N incorporation and strain relaxation after irradiation. In addition, the GaAs0.949N0.051 films exhibited phase separation, which took place via N out-diffusion across the interface when the irradiation strength exceeded 1.0 MGy. Based on these results, the main cause of structural change was determined to be the irradiation effects including displacement damage and gamma-ray heating.

  19. Rare-earth gate oxides for GaAs MOSFET application

    NASA Astrophysics Data System (ADS)

    Kwon, Kwang-Ho; Yang, Jun-Kyu; Park, Hyung-Ho; Kim, Jongdae; Roh, Tae Moon

    2006-08-01

    Rare-earth oxide films for gate dielectric on n-GaAs have been investigated. The oxide films were e-beam evaporated on S-passivated GaAs, considering interfacial chemical bonding state and energy band structure. Rare-earth oxides such as Gd 2O 3, (Gd xLa 1- x) 2O 3, and Gd-silicate were employed due to high resistivity and no chemical reaction with GaAs. Structural and bonding properties were characterized by X-ray photoemission, absorption, and diffraction. The electrical characteristics of metal-oxide-semiconductor (MOS) diodes were correlated with material properties and energy band structures to guarantee the feasibility for MOS field effect transistor (FET) application. Gd 2O 3 films were grown epitaxially on S-passivated GaAs (0 0 1) at 400 °C. The passivation induced a lowering of crystallization temperature with an epitaxial relationship of Gd 2O 3 (4 4 0) and GaAs (0 0 1). A better lattice matching relation between Gd 2O 3 and GaAs substrate was accomplished by the substitution of Gd with La, which has larger ionic radius. The in-plane relationship of (Gd xLa 1- x) 2O 3 (4 4 0) with GaAs (0 0 1) was found and the epitaxial films showed an improved crystalline quality. Amorphous Gd-silicate film was synthesized by the incorporation of SiO 2 into Gd 2O 3. These amorphous Gd-silicate films excluded defect traps or current flow path due to grain boundaries and showed a relatively larger energy band gap dependent on the contents of SiO 2. Energy band parameters such as Δ EC, Δ EV, and Eg were effectively controlled by the film composition.

  20. Multilayer-Grown Ultrathin Nanostructured GaAs Solar Cells as a Cost-Competitive Materials Platform for III-V Photovoltaics.

    PubMed

    Gai, Boju; Sun, Yukun; Lim, Haneol; Chen, Huandong; Faucher, Joseph; Lee, Minjoo L; Yoon, Jongseung

    2017-01-24

    Large-scale deployment of GaAs solar cells in terrestrial photovoltaics demands significant cost reduction for preparing device-quality epitaxial materials. Although multilayer epitaxial growth in conjunction with printing-based materials assemblies has been proposed as a promising route to achieve this goal, their practical implementation remains challenging owing to the degradation of materials properties and resulting nonuniform device performance between solar cells grown in different sequences. Here we report an alternative approach to circumvent these limitations and enable multilayer-grown GaAs solar cells with uniform photovoltaic performance. Ultrathin single-junction GaAs solar cells having a 300-nm-thick absorber (i.e., emitter and base) are epitaxially grown in triple-stack releasable multilayer assemblies by molecular beam epitaxy using beryllium as a p-type impurity. Microscale (∼500 × 500 μm 2 ) GaAs solar cells fabricated from respective device layers exhibit excellent uniformity (<3% relative) of photovoltaic performance and contact properties owing to the suppressed diffusion of p-type dopant as well as substantially reduced time of epitaxial growth associated with ultrathin device configuration. Bifacial photon management employing hexagonally periodic TiO 2 nanoposts and a vertical p-type metal contact serving as a metallic back-surface reflector together with specialized epitaxial design to minimize parasitic optical losses for efficient light trapping synergistically enable significantly enhanced photovoltaic performance of such ultrathin absorbers, where ∼17.2% solar-to-electric power conversion efficiency under simulated AM1.5G illumination is demonstrated from 420-nm-thick single-junction GaAs solar cells grown in triple-stack epitaxial assemblies.

  1. Periodic Two-Dimensional GaAs and InGaAs Quantum Rings Grown on GaAs (001) by Droplet Epitaxy.

    PubMed

    Tung, Kar Hoo Patrick; Huang, Jian; Danner, Aaron

    2016-06-01

    Growth of ordered GaAs and InGaAs quantum rings (QRs) in a patterned SiO2 nanohole template by molecular beam epitaxy (MBE) using droplet epitaxy (DE) process is demonstrated. DE is an MBE growth technique used to fabricate quantum nanostructures of high crystal quality by supplying group III and group V elements in separate phases. In this work, ordered QRs grown on an ordered nanohole template are compared to self-assembled QRs grown with the same DE technique without the nanohole template. This study allows us to understand and compare the surface kinetics of Ga and InGa droplets when a template is present. It is found that template-grown GaAs QRs form clustered rings which can be attributed to low mobility of Ga droplets resulting in multiple nucleation sites for QR formation when As is supplied. However, the case of template-grown InGaAs QRs only one ring is formed per nanohole; no clustering is observed. The outer QR diameter is a close match to the nanohole template diameter. This can be attributed to more mobile InGa droplets, which coalesce from an Ostwald ripening to form a single large droplet before As is supplied. Thus, well-patterned InGaAs QRs are demonstrated and the kinetics of their growth are better understood which could potentially lead to improvements in the future devices that require the unique properties of patterned QRs.

  2. Deep levels in H-irradiated GaAs1-xNx (x < 0.01) grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Shafi, M.; Mari, R. H.; Khatab, A.; Henini, M.; Polimeni, A.; Capizzi, M.; Hopkinson, M.

    2011-12-01

    Dilute nitride GaAs1-xNx layers have been grown by molecular beam epitaxy with nitrogen concentration ranging from 0.2% to 0.8%. These samples have been studied before and after hydrogen irradiation by using standard deep level transient spectroscopy (DLTS) and high resolution Laplace DLTS techniques. The activation energy, capture cross section and density of the electron traps have been estimated and compared with results obtained in N-free as-grown and H-irradiated bulk GaAs.

  3. High-efficiency thin-film GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Stirn, R. J.

    1979-01-01

    GaAs chemical vapor deposition (CVD) growth on single-crystal GaAs substrates was investigated over a temperature range of 600 to 750 C, As/GA mole-ratio range of 3 to 11, and gas molefraction range 5 x 10 to the minus 9th power to 7x 10 to the minus 7th power for H2S doping. GasAs CVD growth on recrystallized Ge films was investigated for a temperature range of 550 to 700 C, an As/GA mole ratio of 5, and for various H2S mole fraction. The highest efficiency cell observed on these films with 2 mm dots was 4.8% (8% when AR-coated). Improvements in fill factor and opencircuit voltage by about 40% each are required in order to obtain efficiencies of 15% or greater.

  4. Doping assessment in GaAs nanowires.

    PubMed

    Goktas, N Isik; Fiordaliso, E M; LaPierre, R R

    2018-06-08

    Semiconductor nanowires (NWs) are a candidate technology for future optoelectronic devices. One of the critical issues in NWs is the control of impurity doping for the formation of p-n junctions. In this study, beryllium (p-type dopant) and tellurium (n-type dopant) in self-assisted GaAs NWs was studied. The GaAs NWs were grown on (111) Si by molecular beam epitaxy using the self-assisted method. The dopant incorporation in the self-assisted GaAs NWs was investigated using Raman spectroscopy, photoluminescence, secondary ion mass spectrometry and electron holography. Be-doped NWs showed similar carrier concentration as compared to thin film (TF) standards. However, Te-doped NWs showed at least a one order of magnitude lower carrier concentration as compared to TF standards. Dopant incorporation mechanisms in NWs are discussed.

  5. Doping assessment in GaAs nanowires

    NASA Astrophysics Data System (ADS)

    Isik Goktas, N.; Fiordaliso, E. M.; LaPierre, R. R.

    2018-06-01

    Semiconductor nanowires (NWs) are a candidate technology for future optoelectronic devices. One of the critical issues in NWs is the control of impurity doping for the formation of p–n junctions. In this study, beryllium (p-type dopant) and tellurium (n-type dopant) in self-assisted GaAs NWs was studied. The GaAs NWs were grown on (111) Si by molecular beam epitaxy using the self-assisted method. The dopant incorporation in the self-assisted GaAs NWs was investigated using Raman spectroscopy, photoluminescence, secondary ion mass spectrometry and electron holography. Be-doped NWs showed similar carrier concentration as compared to thin film (TF) standards. However, Te-doped NWs showed at least a one order of magnitude lower carrier concentration as compared to TF standards. Dopant incorporation mechanisms in NWs are discussed.

  6. Electrical properties of spin coated ultrathin titanium oxide films on GaAs

    NASA Astrophysics Data System (ADS)

    Dutta, Shankar; Pal, Ramjay; Chatterjee, Ratnamala

    2015-04-01

    In recent years, ultrathin (<50 nm) metal oxide films have been being extensively studied as high-k dielectrics for future metal oxide semiconductor (MOS) technology. This paper discusses deposition of ultrathin TiO2 films (˜10 nm) on GaAs substrates (one sulfur-passivated, another unpassivated) by spin coating technique. The sulfur passivation is done to reduce the surface states of GaAs substrate. After annealing at 400 °C in a nitrogen environment, the TiO2 films are found to be polycrystalline in nature with rutile phase. The TiO2 films exhibit consistent grain size of 10-20 nm with thickness around 10-12 nm. Dielectric constants of the films are found to be 65.4 and 47.1 corresponding to S-passivated and unpassivated substrates, respectively. Corresponding threshold voltages of the MOS structures are measured to be -0.1 V to -0.3 V for the S-passivated and unpassivated samples, respectively. The S-passivated TiO2 film showed improved (lower) leakage current density (5.3 × 10-4 A cm-2 at 3 V) compared to the unpassivated film (1.8 × 10-3 A/cm2 at 3 V). Dielectric breakdown-field of the TiO2 films on S-passivated and unpassivated GaAs samples are found to be 8.4 MV cm-1 and 7.2 MV cm-1 respectively.

  7. The effect of doping on low temperature growth of high quality GaAs nanowires on polycrystalline films

    PubMed Central

    DeJarld, Matt; Teran, Alan; Luengo-Kovac, Marta; Yan, Lifan; Moon, Eun Seong; Beck, Sara; Guillen, Cristina; Sih, Vanessa; Phillips, Jamie; Milunchick, Joanna Mirecki

    2016-01-01

    The increasing demand for miniature autonomous sensors requires low cost integration methods, but to date, material limitations have prevented the direct growth of optically active III-V materials on CMOS devices. We report on the deposition of GaAs nanowires on polycrystalline conductive films to allow for direct integration of optoelectronic devices on dissimilar materials. Undoped, Si-doped, and Be-doped nanowires were grown at Ts=400°C on oxide (indium tin oxide) and metallic (platinum and titanium) films. Be-doping is shown to significantly reduce the nanowire diameter and improve the nanowire aspect ratio to 50:1. Photoluminescence measurements of Be-doped nanowires are 1–2 orders of magnitude stronger than undoped and Si-doped nanowires and have a thermal activation energy of 14meV, which is comparable to nanowires grown on crystalline substrates. Electrical measurements confirm that the metal-semiconductor junction is Ohmic. These results demonstrate the feasibility of integrating nanowire-based optoelectronic devices directly on CMOS chips. PMID:27834310

  8. Reflection high energy electron diffraction study of nitrogen plasma interactions with a GaAs (100) surface

    NASA Astrophysics Data System (ADS)

    Hauenstein, R. J.; Collins, D. A.; Cai, X. P.; O'Steen, M. L.; McGill, T. C.

    1995-05-01

    Effect of a nitrogen electron-cyclotron-resonance (ECR) microwave plasma on near-surface composition, crystal structure, and morphology of the As-stabilized GaAs (100) surface is investigated with the use of digitally image-processed in situ reflection high energy electron diffraction. Nitridation is performed on molecular beam epitaxially (MBE) grown GaAs surfaces near 600 °C under typical conditions for ECR microwave plasma-assisted MBE growth of GaN films on GaAs. Brief plasma exposures (≊3-5 s) are shown to result in a specular, coherently strained, relatively stable, GaN film approximately one monolayer in thickness, which can be commensurately overgrown with GaAs while longer exposures (up to 1 min) result in incommensurate zincblende epitaxial GaN island structures. Specular and nonspecular film formations are explained in terms of N-for-As surface and subsurface anion exchange reactions, respectively. Commensurate growth of ultrathin buried GaN layers in GaAs is achieved.

  9. Structural evolution of dilute magnetic (Sn,Mn)Se films grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Kanzyuba, Vasily; Dong, Sining; Liu, Xinyu; Li, Xiang; Rouvimov, Sergei; Okuno, Hanako; Mariette, Henri; Zhang, Xueqiang; Ptasinska, Sylwia; Tracy, Brian D.; Smith, David J.; Dobrowolska, Margaret; Furdyna, Jacek K.

    2017-02-01

    We describe the structural evolution of dilute magnetic (Sn,Mn)Se films grown by molecular beam epitaxy on GaAs (111) substrates, as revealed by transmission electron microscopy, X-ray diffraction, and X-ray photoelectron spectroscopy. When the Mn concentration is increased, the lattice of the ternary (Sn,Mn)Se films evolves quasi-coherently from a SnSe2 two-dimensional (2D) crystal structure into a more complex quasi-2D lattice rearrangement, ultimately transforming into the magnetically concentrated antiferromagnetic MnSe 3D rock-salt structure as Mn approaches 50 at. % of this material. These structural transformations are expected to underlie the evolution of magnetic properties of this ternary system reported earlier in the literature.

  10. InGaAs quantum dots grown on B-type high index GaAs substrates: surface morphologies and optical properties

    NASA Astrophysics Data System (ADS)

    Liang, B. L.; Wang, Zh M.; Mazur, Yu I.; Strelchuck, V. V.; Holmes, K.; Lee, J. H.; Salamo, G. J.

    2006-06-01

    We systematically investigated the correlation between morphological and optical properties of InGaAs self-assembled quantum dots (QDs) grown by solid-source molecular beam epitaxy on GaAs (n 11)B (n = 9, 8, 7, 5, 3, 2) substrates. Remarkably, all InGaAs QDs on GaAs(n 11)B under investigation show optical properties superior to those for ones on GaAs(100) as regards the photoluminescence (PL) linewidth and intensity. The morphology for growth of InGaAs QDs on GaAs (n 11)B, where n = 9, 8, 7, 5, is observed to have a rounded shape with a higher degree of lateral ordering than that on GaAs(100). The optical property and the lateral ordering are best for QDs grown on a (511)B substrate surface, giving a strong correlation between lateral ordering and PL optical quality. Our results demonstrate the potential for high quality InGaAs QDs on GaAs(n 11)B for optoelectronic applications.

  11. Isolating GaSb membranes grown metamorphically on GaAs substrates using highly selective substrate removal etch processes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lavrova, Olga; Balakrishnan, Ganesh

    2017-02-24

    The etch rates of NH 4OH:H 2O 2 and C 6H 8O 7:H 2O 2 for GaAs and GaSb have been investigated to develop a selective etch for GaAs substrates and to isolate GaSb epilayers grown on GaAs. The NH 4OH:H 2O 2 solution has a greater etch rate differential for the GaSb/GaAs material system than C 6H 8O 7:H 2O 2 solution. The selectivity of NH 4OH:H 2O 2 for GaAs/GaSb under optimized etch conditions has been observed to be as high as 11471 ± 1691 whereas that of C 6H 8O 7:H 2O 2 has been measured upmore » to 143 ± 2. The etch contrast has been verified by isolating 2 μm thick GaSb epi-layers that were grown on GaAs substrates. GaSb membranes were tested and characterized with high-resolution X-Ray diffraction (HR-XRD) and atomic force microscopy (AFM).« less

  12. High-efficiency GaAs and GaInP solar cells grown by all solid-state molecular-beam-epitaxy

    PubMed Central

    2011-01-01

    We report the initial results of GaAs and GaInP solar cells grown by all solid-state molecular-beam-epitaxy (MBE) technique. For GaAs single-junction solar cell, with the application of AlInP as the window layer and GaInP as the back surface field layer, the photovoltaic conversion efficiency of 26% at one sun concentration and air mass 1.5 global (AM1.5G) is realized. The efficiency of 16.4% is also reached for GaInP solar cell. Our results demonstrate that the MBE-grown phosphide-contained III-V compound semiconductor solar cell can be quite comparable to the metal-organic-chemical-vapor-deposition-grown high-efficiency solar cell. PMID:22040124

  13. Velocity surface measurements for ZnO films over /001/-cut GaAs

    NASA Technical Reports Server (NTRS)

    Kim, Yoonkee; Hunt, William D.; Liu, Yongsheng; Jen, Cheng-Kuei

    1994-01-01

    A potential application for a piezoelectic film deposited on a GaAs substrate is the monolithic integration of surface acoustic wave (SAW) devices with GaAs electronics. Knowledge of the SAW properties of the filmed structure is critical for the optimum design of such devices. In this article, the measurements of the velocity surface, which directly affects the SAW diffraction, on the bare and metallized ZnO/SiO2 or Si3N4/GaAs /001/-cut samples are reported using two different techniques: (1) knife-edge laser probe, (2) line-focus-beam scanning acoustic microscope. Comparisons, such as measurement accuracy and tradeoffs, between the former (dry) and the latter (wet) method are given. It is found that near the group of zone axes (110) propagation direction the autocollimating SAW property of the bare GaAs changes into a noncollimating one for the layered structure, but a reversed phenomenon exists near the group of zone axes (100) direction. The passivation layer of SiO2 or Si3N4 (less than 0.2 micrometer thick) and the metallization layer change the relative velocity but do not significantly affect the velocity surface. On the other hand, the passivation layer reduces the propagation loss by 0.5-1.3 dB/microseconds at 240 MHz depending upon the ZnO film thickness. Our SAW propagation measurements agree well with theorectical calculations. We have also obtained the anisotropy factors for samples with ZnO films of 1.6, 2.8, and 4.0 micrometer thickness. Comparisons concerning the piezoelectric coupling and acoustic loss between dc triode and rf magnetron sputtered ZnO films are provided.

  14. Electrical and band structural analyses of Ti1-x Al x O y films grown by atomic layer deposition on p-type GaAs

    NASA Astrophysics Data System (ADS)

    An, Youngseo; Mahata, Chandreswar; Lee, Changmin; Choi, Sungho; Byun, Young-Chul; Kang, Yu-Seon; Lee, Taeyoon; Kim, Jiyoung; Cho, Mann-Ho; Kim, Hyoungsub

    2015-10-01

    Amorphous Ti1-x Al x O y films in the Ti-oxide-rich regime (x  <  0.5) were deposited on p-type GaAs via atomic layer deposition with titanium isopropoxide, trimethylaluminum, and H2O precursor chemistry. The electrical properties and energy band alignments were examined for the resulting materials with their underlying substrates, and significant frequency dispersion was observed in the accumulation region of the Ti-oxide-rich Ti1-x Al x O y films. Although a further reduction in the frequency dispersion and leakage current (under gate electron injection) could be somewhat achieved through a greater addition of Al-oxide in the Ti1-x Al x O y film, the simultaneous decrease in the dielectric constant proved problematic in finding an optimal composition for application as a gate dielectric on GaAs. The spectroscopic band alignment measurements of the Ti-oxide-rich Ti1-x Al x O y films indicated that the band gaps had a rather slow increase with the addition of Al-oxide, which was primarily compensated for by an increase in the valance band offset, while a nearly-constant conduction band offset with a negative electron barrier height was maintained.

  15. Molecular beam epitaxial growth of high-quality InSb on InP and GaAs substrates

    NASA Technical Reports Server (NTRS)

    Oh, J. E.; Bhattacharya, P. K.; Chen, Y. C.; Tsukamoto, S.

    1989-01-01

    Epitaxial layers of InSb were grown on InP and GaAs substrates by molecular beam epitaxy. The dependence of the epilayer quality on flux ratio, J sub Sb4/J sub In, was studied. Deviation from an optimum value of J sub Sb4/J sub In (approx. 2) during growth led to deterioration in the surface morphology and the electrical and crystalline qualities of the films. Room temperature electron mobilities as high as 70,000 and 53,000 sq cm /V-s were measured in InSb layers grown on InP and GaAs substrates, respectively. Unlike the previous results, the conductivity in these films is n-type even at T = 13 K, and no degradation of the electron mobility due to the high density of dislocations was observed. The measured electron mobilities (and carrier concentrations) at 77 K in InSb layers grown on InP and GaAs substrates are 110,000 sq cm/V-s (3 x 10(15) cm(-3)) and 55,000 sq cm/V-s (4.95 x 10(15) cm(-3)), respectively, suggesting their application to electronic devices at cryogenic temperatures.

  16. Doping and electronic properties of GaAs grown by close-spaced vapor transport from powder sources for scalable III–V photovoltaics

    DOE PAGES

    Ritenour, Andrew J.; Boucher, Jason W.; DeLancey, Robert; ...

    2014-09-01

    The high balance-of-system costs of photovoltaic (PV) installations indicate that reductions in cell $/W costs alone are likely insufficient for PV electricity to reach grid parity unless energy conversion efficiency is also increased. Technologies which yield both high-efficiency cells (>25%) and maintain low costs are needed. GaAs and related III-V semiconductors are used in the highest-efficiency single- and multi-junction photovoltaics, but the technology is too expensive for non-concentrated terrestrial applications. This is due in part to the difficulty of scaling the metal-organic chemical vapor deposition (MOCVD) process, which relies on expensive reactors and employs toxic and pyrophoric gas-phase precursors suchmore » as arsine and trimethyl gallium, respectively. In this study, we describe GaAs films made by an alternative close-spaced vapor transport (CSVT) technique which is carried out at atmospheric pressure and requires only bulk GaAs, water vapor, and a temperature gradient in order to deposit crystalline films with similar electronic properties to that of GaAs deposited by MOCVD. CSVT is similar to the vapor transport process used to deposit CdTe thin films and is thus a potentially scalable low-cost route to GaAs thin films.« less

  17. Theoretical and experimental study of highly textured GaAs on silicon using a graphene buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alaskar, Yazeed; Arafin, Shamsul; Lin, Qiyin

    2015-09-01

    A novel heteroepitaxial growth technique, quasi-van der Waals epitaxy, promises the ability to deposit three-dimensional GaAs materials on silicon using two-dimensional graphene as a buffer layer by overcoming the lattice and thermal expansion mismatch. In this study, density functional theory (DFT) simulations were performed to understand the interactions at the GaAs/graphene hetero-interface as well as the growth orientations of GaAs on graphene. To develop a better understanding of the molecular beam epitaxy-grown GaAs films on graphene, samples were characterized by x-ray diffraction (..theta..-2..theta.. scan, ..omega..-scan, grazing incidence XRD and pole figure measurement) and transmission electron microscopy. The realizations of smoothmore » GaAs films with a strong (111) oriented fiber-texture on graphene/silicon using this deposition technique are a milestone towards an eventual demonstration of the epitaxial growth of GaAs on silicon, which is necessary for integrated photonics application.« less

  18. Room temperature lasing of GaAs quantum wire vertical-cavity surface-emitting lasers grown on (7 7 5) B GaAs substrates by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Higuchi, Y.; Osaki, S.; Kitada, T.; Shimomura, S.; Takasuka, Y.; Ogura, M.; Hiyamizu, S.

    2006-06-01

    Self-organized GaAs/(GaAs) 4(AlAs) 2 quantum wires (QWRs) grown on (7 7 5) B-oriented GaAs substrates by molecular beam epitaxy have been applied to an active region of vertical-cavity surface-emitting lasers (VCSELs). The (7 7 5) B GaAs QWR-VCSEL with an aperture diameter of 3 μm lased at a wavelength of 765 nm with a threshold current of 0.38 mA at room temperature. This is the first demonstration of laser operation of the QWR-VCSEL by current injection. The light output was linearly polarized in the direction parallel to the QWRs due to the optical anisotropy of the self-organized (7 7 5) B GaAs QWRs.

  19. High Ms Fe16N2 thin film with Ag under layer on GaAs substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Allard Jr, Lawrence Frederick

    2016-01-01

    (001) textured Fe16N2 thin film with Ag under layer is successfully grown on GaAs substrate using a facing target sputtering (FTS) system. After post annealing, chemically ordered Fe16N2 phase is formed and detected by X-ray diffraction (XRD). High saturation magnetization (Ms) is measured by a vibrating sample magnetometer (VSM). In comparison with Fe16N2 with Ag under layer on MgO substrate and Fe16N2 with Fe under layer on GaAs substrate, the current layer structure shows a higher Ms value, with a magnetically softer feature in contrast to the above cases. In addition, X-ray photoelectron spectroscopy (XPS) is performed to characterize themore » binding energy of N atoms. To verify the role of strain that the FeN layer experiences in the above three structures, Grazing Incidence X-ray Diffraction (GIXRD) is conducted to reveal a large in-plane lattice constant due to the in-plane biaxial tensile strain. INTRODUCTION« less

  20. Growth studies of erbium-doped GaAs deposited by metalorganic vapor phase epitaxy using noval cyclopentadienyl-based erbium sources

    NASA Technical Reports Server (NTRS)

    Redwing, J. M.; Kuech, T. F.; Gordon, D. C.; Vaartstra, B. A.; Lau, S. S.

    1994-01-01

    Erbium-doped GaAS layers were grown by metalorganic vapor phase epitaxy using two new sources, bis(i-propylcyclopentadienyl)cyclopentadienyl erbium and tris(t-butylcyclopentadienyl) erbium. Controlled Er doping in the range of 10(exp 17) - 10(exp 18)/cu cm was achieved using a relatively low source temperature of 90 C. The doping exhibits a second-order dependence on inlet source partial pressure, similar to behavior obtained with cyclopentadienyl Mg dopant sources. Equivalent amounts of oxygen and Er are present in 'as-grown' films indicating that the majority of Er dopants probably exist as Er-O complexes in the material. Er(+3) luminescence at 1.54 micrometers was measured from the as-grown films, but ion implantation of additional oxygen decreases the emission intensity. Electrical compensation of n-type GaAs layers codoped with Er and Si is directly correlated to the Er concentration is proposed to arise from the deep centers associated with Er which are responsible for a broad emission band near 0.90 micrometers present in the photoluminescence spectra of GaAs:Si, Er films.

  1. GaAs Solar Cells Grown on Unpolished, Spalled Ge Substrates: Preprint

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cavalli, Alessandro; Johnston, Steven; Sulas, Dana

    Decreasing the cost of single-crystal substrates by wafer reuse techniques has long been sought for III-V solar cells. Controlled spalling of III-V devices is a possible pathway for epitaxial liftoff, which would help reduce costs, but chemo- mechanical polishing after liftoff tends to limit the potential cost savings. Growth on an unpolished spalled surface would be an additional step toward lower costs, but it is crucial to show high efficiency solar cell devices on these unprocessed substrates. In this study, we spalled 2-inch Ge wafers using a Ni stressor layer, and then grew GaAs solar cells by HVPE on themore » spalled Ge surface without any other surface treatment. We show a 12.8% efficient single-junction device, without anti-reflection coating, with quantum efficiency very close to identical devices grown by HVPE on non-spalled GaAs substrates. Demonstrating a high carrier collection on unpolished spalled wafers is a step toward reducing substrate-related liftoff and reuse costs.« less

  2. Reflection Properties of Metallic Gratings on ZnO Films over GaAs Substrates

    NASA Technical Reports Server (NTRS)

    Hickernell, Fred S.; Kim, Yoonkee; Hunt, William D.

    1994-01-01

    A potential application for piezoelectric film deposited on GaAs substrates is the monolithic integration of surface acoustic wave (SAW) devices with GaAs electronics. Metallic gratings are basic elements required for the construction of such devices, and analyzing the reflectivity and the velocity change due to metallic gratings is often a critical design parameter. In this article, Datta and Hunsinger technique is extended to the case of a multilayered structure, and the developed technique is applied to analyze shorted and open gratings on ZnO films sputtered over (001)-cut (110)-propagating GaAs substrates. The analysis shows that zero reflectivity of shorted gratings can be obtained by a combination of the ZnO film and the metal thickness and the metalization ratio of the grating. Experiments are performed on shorted and an open gratings (with the center frequency of about 180 MHz) for three different metal thicknesses over ZnO films which are 0.8 and 2.6 micrometers thick. From the experiments, zero reflectivity at the resonant frequency of the grating is observed for a reasonable thickness (h/Alpha = 0.5%) of aluminum metalization. The velocity shift between the shorted and the open grating is also measured to be 0.18 MHz and 0.25 MHz for 0.8 and 1.6 micrometers respectively. The measured data show relatively good agreement with theoretical predictions.

  3. Effect of a low-temperature-grown GaAs layer on InAs quantum-dot photoluminescence

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kosarev, A. N.; Chaldyshev, V. V., E-mail: chald.gvg@mail.ioffe.ru; Preobrazhenskii, V. V.

    2016-11-15

    The photoluminescence of InAs semiconductor quantum dots overgrown by GaAs in the low-temperature mode (LT-GaAs) using various spacer layers or without them is studied. Spacer layers are thin GaAs or AlAs layers grown at temperatures normal for molecular-beam epitaxy (MBE). Direct overgrowth leads to photoluminescence disappearance. When using a thin GaAs spacer layer, the photoluminescence from InAs quantum dots is partially recovered; however, its intensity appears lower by two orders of magnitude than in the reference sample in which the quantum-dot array is overgrown at normal temperature. The use of wider-gap AlAs as a spacer-layer material leads to the enhancementmore » of photoluminescence from InAs quantum dots, but it is still more than ten times lower than that of reference-sample emission. A model taking into account carrier generation by light, diffusion and tunneling from quantum dots to the LT-GaAs layer is constructed.« less

  4. Upright and Inverted Single-Junction GaAs Solar Cells Grown by Hydride Vapor Phase Epitaxy

    DOE PAGES

    Simon, John; Schulte, Kevin L.; Jain, Nikhil; ...

    2016-10-19

    Hydride vapor phase epitaxy (HVPE) is a low-cost alternative to conventional metal-organic vapor phase epitaxy (MOVPE) growth of III-V solar cells. In this work, we show continued improvement of the performance of HVPE-grown single-junction GaAs solar cells. We show over an order of magnitude improvement in the interface recombination velocity between GaAs and GaInP layers through the elimination of growth interrupts, leading to increased short-circuit current density and open-circuit voltage compared with cells with interrupts. One-sun conversion efficiencies as high as 20.6% were achieved with this improved growth process. Solar cells grown in an inverted configuration that were removed frommore » the substrate showed nearly identical performance to on-wafer cells, demonstrating the viability of HVPE to be used together with conventional wafer reuse techniques for further cost reduction. As a result, these devices utilized multiple heterointerfaces, showing the potential of HVPE for the growth of complex and high-quality III-V devices.« less

  5. Substrate temperature dependence of ZnTe epilayers grown on GaAs(0 0 1) by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Zhao, Jie; Zeng, Yiping; Liu, Chao; Li, Yanbo

    2010-04-01

    ZnTe thin films have been grown on GaAs(0 0 1) substrates at different temperatures with constant Zn and Te beam equivalent pressures (BEPs) by molecular beam epitaxy (MBE). In situ reflection high-energy electron diffraction (RHEED) observation indicates that two-dimensional (2D) growth mode can be established after around one-minute three-dimensional (3D) nucleation by increasing the substrate temperature to 340 °C. We found that Zn desorption from the ZnTe surface is much greater than that of Te at higher temperatures, and estimated the Zn sticking coefficient by the evolution of growth rate. The Zn sticking coefficient decreases from 0.93 to 0.58 as the temperature is elevated from 320 to 400 °C. The ZnTe epilayer grown at 360 °C displays the narrowest full-width at half-maximum (FWHM) of 660 arcsec from (0 0 4) reflection in double-crystal X-ray rocking curve (DCXRC) measurements. The surface morphology of ZnTe epilayers is strongly dependent on the substrate temperature, and the root-mean-square (RMS) roughness diminishes drastically with the increase in temperature.

  6. ZnO Films on {001}-Cut <110>-Propagating GaAs Substrates for Surface Acoustic Wave Device Applications

    NASA Technical Reports Server (NTRS)

    Kim, Yoonkee; Hunt, William D.; Hickernell, Frederick S.; Higgins, Robert J.; Jen, Cheng-Kuei

    1995-01-01

    A potential application for piezoelectric films on GaAs substrates is the monolithic integration of surface acoustic wave (SAW) devices with GaAs electronics. Knowledge of the SAW properties of the layered structure is critical for the optimum and accurate design of such devices. The acoustic properties of ZnO films sputtered on {001}-cut <110> -propagating GaAs substrates are investigated in this article, including SAW Velocity effective piezoelectric coupling constant, propagation loss. diffraction, velocity surface, and reflectivity of shorted and open metallic gratings. The measurements of these essential SAW properties for the frequency range between 180 and 360 MHz have been performed using a knife-edge laser probe for film thicknesses over the range of 1.6-4 micron and with films or different grain sizes. The high quality of dc triode sputtered films was observed as evidenced by high K(exp 2) and low attenuation. The measurements of the velocity surface, which directly affects the SAW diffraction, on the bare and metalized ZnO on SiO2, or Si3N4 on {001}-cut GaAs samples are reported using two different techniques: 1) knife-edge laser probe, 2) line-focus-beam scanning acoustic microscope. It was found that near the <110> propagation direction, the focusing SAW property of the bare GaAs changes into a nonfocusing one for the layered structure, but a reversed phenomenon exists near the <100> direction. Furthermore, to some extent the diffraction of the substrate can be controlled with the film thickness. The reflectivity of shorted and open gratings are also analyzed and measured. Zero reflectivity is observed for a shorted grating. There is good agreement between the measured data and theoretical values.

  7. Direct observation of anti-phase boundaries in heteroepitaxy of GaSb thin films grown on Si(001) by transmission electron microscopy

    NASA Astrophysics Data System (ADS)

    Woo, S. Y.; Hosseini Vajargah, S.; Ghanad-Tavakoli, S.; Kleiman, R. N.; Botton, G. A.

    2012-10-01

    Unambiguous identification of anti-phase boundaries (APBs) in heteroepitaxial films of GaSb grown on Si has been so far elusive. In this work, we present conventional transmission electron microscopy (TEM) diffraction contrast imaging using superlattice reflections, in conjunction with convergent beam electron diffraction analysis, to determine a change in polarity across APBs in order to confirm the presence of anti-phase disorder. In-depth analysis of anti-phase disorder is further supported with atomic resolution high-angle annular dark-field scanning transmission electron microscopy. The nature of APBs in GaSb is further elucidated by a comparison to previous results for GaAs epilayers grown on Si.

  8. p-type zinc-blende GaN on GaAs substrates

    NASA Astrophysics Data System (ADS)

    Lin, M. E.; Xue, G.; Zhou, G. L.; Greene, J. E.; Morkoç, H.

    1993-08-01

    We report p-type cubic GaN. The Mg-doped layers were grown on vicinal (100) GaAs substrates by plasma-enhanced molecular beam epitaxy. Thermally sublimed Mg was, with N2 carrier gas, fed into an electron-cyclotron resonance source. p-type zinc-blende-structure GaN films were achieved with hole mobilities as high as 39 cm2/V s at room temperature. The cubic nature of the films were confirmed by x-ray diffractometry. The depth profile of Mg was investigated by secondary ions mass spectroscopy.

  9. Epitaxial CuInSe2 thin films grown by molecular beam epitaxy and migration enhanced epitaxy

    NASA Astrophysics Data System (ADS)

    Abderrafi, K.; Ribeiro-Andrade, R.; Nicoara, N.; Cerqueira, M. F.; Gonzalez Debs, M.; Limborço, H.; Salomé, P. M. P.; Gonzalez, J. C.; Briones, F.; Garcia, J. M.; Sadewasser, S.

    2017-10-01

    While CuInSe2 chalcopyrite materials are mainly used in their polycrystalline form to prepare thin film solar cells, epitaxial layers have been used for the characterization of defects. Typically, epitaxial layers are grown by metal-organic vapor phase epitaxy or molecular beam epitaxy (MBE). Here we present epitaxial layers grown by migration enhanced epitaxy (MEE) and compare the materials quality to MBE grown layers. CuInSe2 layers were grown on GaAs (0 0 1) substrates by co-evaporation of Cu, In, and Se using substrate temperatures of 450 °C, 530 °C, and 620 °C. The layers were characterized by high resolution X-ray diffraction (HR-XRD), high-resolution transmission electron microscopy (HRTEM), Raman spectroscopy, and atomic force microscopy (AFM). HR-XRD and HR-TEM show a better crystalline quality of the MEE grown layers, and Raman scattering measurements confirm single phase CuInSe2. AFM shows the previously observed faceting of the (0 0 1) surface into {1 1 2} facets with trenches formed along the [1 1 0] direction. The surface of MEE-grown samples appears smoother compared to MBE-grown samples, a similar trend is observed with increasing growth temperature.

  10. Plasma deposited diamondlike carbon on GaAs and InP

    NASA Technical Reports Server (NTRS)

    Warner, J. D.; Pouch, J. J.; Alterovitz, S. A.; Liu, D. C.; Lanford, W. A.

    1984-01-01

    The properties of diamond like carbon films grown by RF flow discharge 30 kHz plasma using methane are reported. The Cls XPS line shape of films showed localized hybrid carbon bonds as low as 40 to as high as 95 percent. Infrared spectroscopy and N(15) nuclear reaction profiling data indicated 35 to 42 percent hydrogen, depending inversely on deposition temperature. The deposition rate of films on Si falls off exponentially with substrate temperature, and nucleation does not occur above 200 C on GaAs and InP. Optical data of the films showed bandgap values of 2.0 to 2.4 eV increasing monotonically with CH4 flow rate.

  11. Manipulation of morphology and structure of the top of GaAs nanowires grown by molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Li, Lixia; Pan, Dong; Yu, Xuezhe; So, Hyok; Zhao, Jianhua

    2017-10-01

    Self-catalyzed GaAs nanowires (NWs) are grown on Si (111) substrates by molecular-beam epitaxy. The effect of different closing sequences of the Ga and As cell shutters on the morphology and structural phase of GaAs NWs is investigated. For the sequences of closing the Ga and As cell shutters simultaneously or closing the As cell shutter 1 min after closing the Ga cell shutter, the NWs grow vertically to the substrate surface. In contrast, when the As cell shutter is closed first, maintaining the Ga flux is found to be critical for the following growth of GaAs NWs, which can change the growth direction from [111] to < 11\\bar{1}> . The evolution of the morphology and structural phase transition at the tips of these GaAs NWs confirm that the triple-phase-line shift mode is at work even for the growth with different cell shutter closing sequences. Our work will provide new insights for better understanding of the growth mechanism and realizing of the morphology and structure control of the GaAs NWs. Project supported partly by the MOST of China (No. 2015CB921503), the National Natural Science Foundation of China (Nos. 61504133, 61334006, 61404127), and Youth Innovation Promotion Association, CAS (No. 2017156).

  12. Growth features and spectroscopic structure investigations of nanoprofiled AlN films formed on misoriented GaAs substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Seredin, P. V., E-mail: paul@phys.vsu.ru; Goloshchapov, D. L.; Lenshin, A. S.

    Nanostructured aluminum-nitride films are formed by reactive ion-plasma sputtering onto GaAs substrates with different orientations. The properties of the films are studied via structural analysis, atomic force microscopy, and infrared and visible–ultraviolet spectroscopy. The aluminum-nitride films can have a refractive index in the range of 1.6–4.0 at a wavelength of ~250 nm and an optical band gap of ~5 eV. It is shown that the morphology, surface composition, and optical characteristics of AlN/GaAs heterophase systems can be controlled using misoriented GaAs substrates.

  13. Properties of epitaxial BaTiO{sub 3} deposited on GaAs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Contreras-Guerrero, R.; Droopad, R.; Veazey, J. P.

    2013-01-07

    Single crystal BaTiO{sub 3} (BTO) has been grown epitaxially on GaAs using molecular beam epitaxy with a 2 unit cell SrTiO{sub 3} nucleation layer. The oxide film is lattice-matched to GaAs through an in-plane rotation of 45 Degree-Sign relative to the (100) surface leading to c-axis orientation of the BaTiO{sub 3}. X-ray diffraction confirmed the crystallinity and orientation of the oxide film with a full width half maximum of 0.58 Degree-Sign for a 7.5 nm thick layer. Piezoresponse force microscopy was used to characterize the ferroelectric domains in the BaTiO{sub 3} layer, and a coercive voltage of 1-2 V andmore » piezoresponse amplitude {approx}5 pm/V was measured.« less

  14. High yield of self-catalyzed GaAs nanowire arrays grown on silicon via gallium droplet positioning.

    PubMed

    Plissard, S; Larrieu, G; Wallart, X; Caroff, P

    2011-07-08

    We report and detail a method to achieve growth of vertical self-catalyzed GaAs nanowires directly on Si(111) with a near-perfect vertical yield, using electron-beam-defined arrays of holes in a dielectric layer and molecular beam epitaxy. In our conditions, GaAs nanowires are grown along a vapor-liquid-solid mechanism, using in situ self-forming Ga droplets. The focus of this paper is to understand the role of the substrate preparation and of the pre-growth conditioning. Without changing temperature or the V/III ratio, the yield of vertical nanowires is increased incrementally up to 95%. The possibility to achieve very dense arrays, with center-to-center inter-wire distances less than 100 nm, is demonstrated.

  15. Structural properties of GaAsN grown on (001) GaAs by metalorganic molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Ok, Young-Woo; Choi, Chel-Jong; Seong, Tae-Yeon; Uesugi, K.; Suemune, I.

    2001-07-01

    Detailed transmission electron microscopy (TEM) and transmission electron diffraction (TED) examination has been made of metalorganic molecular beam epitaxial GaAsN layers grown on (001) GaAs substrates. TEM results show that lateral composition modulation occurs in the GaAs1-xNx layer (x 6.75%). It is shown that increasing N composition and Se (dopant) concentration leads to poor crystallinity. It is also shown that the addition of Se increases N composition. Atomic force microscopy (AFM) results show that the surfaces of the samples experience a morphological change from faceting to islanding, as the N composition and Se concentration increase. Based on the TEM and AFM results, a simple model is given to explain the formation of the lateral composition modulation.

  16. GaAs shallow-homojunction solar cells

    NASA Technical Reports Server (NTRS)

    Fan, J. C. C.

    1981-01-01

    The feasibility of fabricating space resistant, high efficiency, light weight, low cost GaAs shallow homojunction solar cells for space application is investigated. The material preparation of ultrathin GaAs single crystal layers, and the fabrication of efficient GaAs solar cells on bulk GaAs substrates are discussed. Considerable progress was made in both areas, and conversion efficiency about 16% AMO was obtained using anodic oxide as a single layer antireflection coating. A computer design shows that even better cells can be obtained with double layer antireflection coating. Ultrathin, high efficiency solar cells were obtained from GaAs films prepared by the CLEFT process, with conversion efficiency as high as 17% at AMI from a 10 micrometers thick GaAs film. A organometallic CVD was designed and constructed.

  17. Laser Based Color Film Recorder System With GaAs Microlaser

    NASA Astrophysics Data System (ADS)

    Difrancesco, David J.

    1989-07-01

    In 1984 Pixar's research and development group built and applied to the motion-picture arts at Lucasfilm's ILM facility a three color laser based film scanner/recorder system. The digital film printer is capable of reading and writing 35mm film formats on a variety of film stocks. The system has been used in award-winning special-effects work, and has been operated in a normal production environment since that time. The primary objective was to develop a full color high resolution system, free from scan artifacts, enabling traditionally photographed motion-picture film to be inter-cut with digital raster image photography. Its use is applied to the art of blue-screen traveling-matte cinematography for motion pic-ture special effects. The system was designed using the Pixar Image Computer and conventional gas laser technology as the illumination source. This paper will discuss recent experimental work in the application of GaAs microlaser technology to a digital film printing system of the future.

  18. ZnO films on /001/-cut (110)-propagating GaAs substrates for surface acoustic wave device applications

    NASA Technical Reports Server (NTRS)

    Hickernell, Frederick S.; Higgins, Robert J.; Jen, Cheng-Kuei; Kim, Yoonkee; Hunt, William D.

    1995-01-01

    A potential application for piezoelectric films substrates is the monolithic integration of surface acoustic wave (SAW) devices with GaAs electronics. Knowledge of the SAW properties of the layered structure is critical for the optimum and accurate design of such devices. The acoustic properties of ZnO films sputtered on /001/-cut group of (110) zone axes-propagating GaAs substrates are investigated in this article, including SAW velocity, effective piezoelectric coupling constant, propagation loss, diffraction, velocity surface, and reflectivity of shorted and open metallic gratings. The measurements of these essential SAW properties for the frequency range between 180 and 360 MHz have been performed using a knife-edge laser probe for film thicknesses over the range of 1.6-4 micron and with films of different grain sizes. The high quality of dc triode sputtered films was observed as evidenced by high K(sup 2) and low attenuation. The measurements of the velocity surface, which directly affects the SAW diffraction, on the bare and metalized ZnO on SiO2 or Si3N4 on /001/-cut GaAs samples are reported using two different techniques: (1) knife-edge laser probe, (2) line-focus-beam scanning acoustic microscope. It was found that near the group of (110) zone axes propagation direction, the focusing SAW property of the bare GaAs changes into a nonfocusing one for the layered structure, but a reversed phenomenon exists near the (100) direction. Furthermore, to some extent the diffraction of the substrate can be controlled with the film thickness. The reflectivity of shorted and open gratings are also analyzed and measured. Zero reflectivity is observed for a shorted grating. There is good agreement between the measured data and theoretical values.

  19. Carbon acceptor incorporation in GaAs grown by metalorganic chemical vapor deposition: Arsine versus tertiarybutylarsine

    NASA Astrophysics Data System (ADS)

    Watkins, S. P.; Haacke, G.

    1991-10-01

    Undoped p-type GaAs epilayers were grown by low-pressure metalorganic chemical vapor deposition (MOCVD) at 650 °C and 76 Torr using either arsine or tertiarybutylarsine (TBA), and trimethylgallium (TMG). Extremely high-purity precursors were used in order to eliminate extrinsic doping effects. Carbon acceptors from the TMG were the dominant residual electrical impurities under all growth conditions. Temperature-dependent Hall measurements were used to make a quantitative comparison of the carbon acceptor concentrations for arsine- and TBA-grown epilayers over a range of As partial pressures. For a given group V partial pressure, we report a significant reduction in carbon acceptor incorporation using TBA compared with arsine under identical growth conditions.

  20. Near-infrared cathodoluminescence imaging of defect distributions in In(0.2)Ga(0.8)As/GaAs multiple quantum wells grown on prepatterned GaAs

    NASA Technical Reports Server (NTRS)

    Rich, D. H.; Fajkumar, K. C.; Chen, LI; Madhukar, A.; Grunthaner, F. J.

    1992-01-01

    The defect distribution in a highly strained In(0.2)Ga(0.8)As/GaAs multiple-quantum-well (MQW) structure grown on a patterned GaAs substrate is examined with cathodoluminescence imaging and spectroscopy in the near IR. By spatially correlating the luminescence arising from the MQW exciton recombination (950 nm) with the longer wavelength (1000-1200 nm) luminescence arising from the defect-induced recombination, it is demonstrated that it is possible to determine the regions of highest film quality in both the mesa and valley regions. The present approach enables a judicious determination of the optimal regions to be used for active pixels in InGaAs/GaAs spatial light modulators.

  1. Secondary ion mass spectrometry study of ex situ annealing of epitaxial GaAs grown on Si substrates

    NASA Technical Reports Server (NTRS)

    Radhakrishnan, G.; Mccullough, O.; Cser, J.; Katz, J.

    1988-01-01

    Samples of epitaxial GaAs grown on (100) Si substrates using molecular beam epitaxy were annealed at four different temperatures, from 800 to 950 C. Following annealing, the samples were analyzed using secondary ion mass spectrometry. Depth profiles of Ga, As, and Si reveal optimum conditions for annealing, and place a lower limit on a damage threshold for GaAs/Si substrates.

  2. Heteroepitaxial Growth of Ferromagnetic MnSb(0001) Films on Ge/Si(111) Virtual Substrates.

    PubMed

    Burrows, Christopher W; Dobbie, Andrew; Myronov, Maksym; Hase, Thomas P A; Wilkins, Stuart B; Walker, Marc; Mudd, James J; Maskery, Ian; Lees, Martin R; McConville, Christopher F; Leadley, David R; Bell, Gavin R

    2013-11-06

    Molecular beam epitaxial growth of ferromagnetic MnSb(0001) has been achieved on high quality, fully relaxed Ge(111)/Si(111) virtual substrates grown by reduced pressure chemical vapor deposition. The epilayers were characterized using reflection high energy electron diffraction, synchrotron hard X-ray diffraction, X-ray photoemission spectroscopy, and magnetometry. The surface reconstructions, magnetic properties, crystalline quality, and strain relaxation behavior of the MnSb films are similar to those of MnSb grown on GaAs(111). In contrast to GaAs substrates, segregation of substrate atoms through the MnSb film does not occur, and alternative polymorphs of MnSb are absent.

  3. Photoluminescence of Molecular Beam Epitaxy-Grown Mercury Cadmium Telluride: Comparison of HgCdTe/GaAs and HgCdTe/Si Technologies

    NASA Astrophysics Data System (ADS)

    Mynbaev, K. D.; Bazhenov, N. L.; Dvoretsky, S. A.; Mikhailov, N. N.; Varavin, V. S.; Marin, D. V.; Yakushev, M. V.

    2018-05-01

    Properties of HgCdTe films grown by molecular beam epitaxy on GaAs and Si substrates have been studied by performing variable-temperature photoluminescence (PL) measurements. A substantial difference in defect structure between films grown on GaAs (013) and Si (013) substrates was revealed. HgCdTe/GaAs films were mostly free of defect-related energy levels within the bandgap, which was confirmed by PL and carrier lifetime measurements. By contrast, the properties of HgCdTe/Si films are affected by uncontrolled point defects. These could not be always associated with typical "intrinsic" HgCdTe defects, such as mercury vacancies, so consideration of other defects, possibly inherent in HgCdTe/Si structures, was required. The post-growth annealing was found to have a positive effect on the defect structure by reducing the full-widths at half-maximum of excitonic PL lines for both types of films and lowering the concentration of defects specific to HgCdTe/Si.

  4. Growth of lattice-matched GaInAsP grown on vicinal GaAs(001) substrates within the miscibility gap for solar cells

    DOE PAGES

    Oshima, Ryuji; France, Ryan M.; Geisz, John F.; ...

    2016-10-13

    The growth of quaternary Ga 0.68In 0.32As 0.35P 0.65 by metal-organic vapor phase epitaxy is very sensitive to growth conditions because the composition is within a miscibility gap. In this investigation, we fabricated 1 um-thick lattice-matched GaInAsP films grown on GaAs(001) for application to solar cells. In order to characterize the effect of the surface diffusion of adatoms on the material quality of alloys, the growth temperature and substrate miscut are varied. Transmission electron microscopy and two-dimensional in-situ multi-beam optical stress determine that growth temperatures of 650 degrees C and below enhance the formation of the CuPtB atomic ordering andmore » suppress material decomposition, which is found to occur at the growth surface. The root-mean-square (RMS) roughness is reduced from 33.6 nm for 750 degrees C to 1.62 nm for 650 degrees C, determined by atomic force microscopy. Our initial investigations show that the RMS roughness can be further reduced using increased miscut angle, and substrates miscut toward (111)A, leading to an RMS roughness of 0.56 nm for the sample grown at 600 degrees C on GaAs miscut 6 degrees toward (111)A. Using these conditions, we fabricate an inverted hetero-junction 1.62 eV Ga 0.68In 0.32As 0.35P 0.65 solar cell without an anti-reflection coating with a short-circuit current density, open-circuit voltage, fill factor, and efficiency of 12.23 mA/cm2, 1.12 V, 86.18%, and 11.80%, respectively.« less

  5. Molecular beam epitaxially grown copper indium diselenide and copper gallium diselenide films

    NASA Astrophysics Data System (ADS)

    Yoon, Seokhyun

    2005-12-01

    To eliminate the influence of grain boundaries, CuInSe2 (CIS) and CuGaSe2 (CGS) films were grown on (100) GaAs wafers. The effects of Cu to III metal ratio and dosing with Na on the growth mode and defect properties were studied at two growth temperatures. The impact of post-annealing in Se on the defect structure of CGS film was also studied. Two-dimensional simulations were used to better understand the role of grain boundary on cell performance. For growth at 360°C, the In-rich CIS films were polycrystalline, whereas the Cu-rich CIS films were epitaxial exhibiting a Stranski-Krastanov (S-K) growth mode. It is proposed that a Cu-Se secondary phase enhances the mobility of adatoms, allowing epitaxial growth to a critical thickness, at which point segregation at the nucleation sites became faster the rate of growth. Island structures, embedded in a matrix region, were oriented along the [01-1] directed edges with surface undulations apparent on the matrix surface with dominant {112} crystal planes. At the higher growth temperature of 464°C, the CIS films grew epitaxially without the need of a Cu-Se phase. Both CIS films grown at low and high temperatures were nearly relaxed. The segregation of epitaxial Cu1.5Se was also observed in the Cu-rich, Na-dosed CIS film, which is attributed to a surfactant effect of Na. At a growth temperature of 438°C, CGS films showed a S-K growth mode and nearly pseudomorphic growth. Hemispherical islands with twins were observed in the Ga-rich CGS films and epitaxial Cu1.5Se phase were identified in the top region of the island structure. From the PL analysis of Cu-rich, Na-dosed CGS film after Se-annealing, a new defect level located 20 meV above the valence band edge was identified as NaGa acceptor state. Two-dimensional simulation of the impact of grain boundaries on device performance showed that the short circuit current decreases sharply along with the other device parameters below a critical grain size due to the complete

  6. Far-infrared transmission in GaN, AlN, and AlGaN thin films grown by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ibanez, J.; Hernandez, S.; Alarcon-Llado, E.

    2008-08-01

    We present a far-infrared transmission study on group-III nitride thin films. Cubic GaN and AlN layers and c-oriented wurtzite GaN, AlN, and Al{sub x}Ga{sub 1-x}N (x<0.3) layers were grown by molecular beam epitaxy on GaAs and Si(111) substrates, respectively. The Berreman effect allows us to observe simultaneously the transverse optic and the longitudinal optic phonons of both the cubic and the hexagonal films as transmission minima in the infrared spectra acquired with obliquely incident radiation. We discuss our results in terms of the relevant electromagnetic theory of infrared transmission in cubic and wurtzite thin films. We compare the infrared resultsmore » with visible Raman-scattering measurements. In the case of films with low scattering volumes and/or low Raman efficiencies and also when the Raman signal of the substrate material obscures the weaker peaks from the nitride films, we find that the Berreman technique is particularly useful to complement Raman spectroscopy.« less

  7. Insulators obtained by electron cyclotron resonance plasmas on Si or GaAs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Diniz, J.A.; Doi, I.; Swart, J.W

    2003-03-15

    Silicon oxynitride (SiO{sub x}N{sub y}) and nitride (SiN{sub x}) insulators have been deposited or grown (with or without silane in the gas mixture, respectively) by electron cyclotron resonance (ECR) plasmas on Si and/or GaAs substrates at room temperature (20 deg. C) and low pressures (up to 10 mTorr). Chemical bonding characteristics of the SiO{sub x}N{sub y} and SiN{sub x} films were evaluated using Fourier transform infrared spectrometry (FTIR). The profile measurements determined the film thickness, the deposition (or oxidation) rate and the etch rates in buffered HF (BHF). The refractive indexes and the thicknesses were determined by ellipsometry. The effectivemore » interface charge densities were determined by capacitance-voltage (C-V) measurements. With these processes and analyses, different films were obtained and optimized. Suitable gate insulators for metal-insulator-semiconductor (MIS) devices with low interface charge densities were developed: (a) SiN{sub x} films deposited by ECR-chemical vapor deposition (ECR-PECVD) on GaAs substrates; (b) SiO{sub x}N{sub y} insulators obtained by low-energy molecular nitrogen ion ({sup 28}N{sub 2}{sup +}) implantation (energy of 5 keV and dose of 1x10{sup 15}/cm{sup 2}) in Si substrates prior to high-density O{sub 2} ECR plasma oxidation; and (c) SiO{sub x}N{sub y} insulators grown (without silane in the gas mixture) by O{sub 2}/N{sub 2}/Ar ECR plasma 'oxynitridation'. Furthermore, some SiN{sub x} films also present very good masking characteristics for local oxidation of silicon process.« less

  8. Study of structural properties of cubic InN films on GaAs(001) substrates by molecular beam epitaxy and migration enhanced epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Casallas-Moreno, Y. L.; Perez-Caro, M.; Gallardo-Hernandez, S.

    InN epitaxial films with cubic phase were grown by rf-plasma-assisted molecular beam epitaxy (RF-MBE) on GaAs(001) substrates employing two methods: migration-enhanced epitaxy (MEE) and conventional MBE technique. The films were synthesized at different growth temperatures ranging from 490 to 550 Degree-Sign C, and different In beam fluxes (BEP{sub In}) ranging from 5.9 Multiplication-Sign 10{sup -7} to 9.7 Multiplication-Sign 10{sup -7} Torr. We found the optimum conditions for the nucleation of the cubic phase of the InN using a buffer composed of several thin layers, according to reflection high-energy electron diffraction (RHEED) patterns. Crystallographic analysis by high resolution X-ray diffraction (HR-XRD)more » and RHEED confirmed the growth of c-InN by the two methods. We achieved with the MEE method a higher crystal quality and higher cubic phase purity. The ratio of cubic to hexagonal components in InN films was estimated from the ratio of the integrated X-ray diffraction intensities of the cubic (002) and hexagonal (1011) planes measured by X-ray reciprocal space mapping (RSM). For MEE samples, the cubic phase of InN increases employing higher In beam fluxes and higher growth temperatures. We have obtained a cubic purity phase of 96.4% for a film grown at 510 Degree-Sign C by MEE.« less

  9. Formation and reconstruction of Se nanoislands at the surface of thin epitaxial ZnSe layers grown on GaAs substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kozlovskiy, V. I.; Krivobok, V. S., E-mail: krivobok@lebedev.ru; Kuznetsov, P. I.

    2016-05-15

    Strained epitaxial ZnSe layers are grown on GaAs substrates by the method of vapor-phase epitaxy from metal-organic compounds. It is found that Se nanoislands with a density of 10{sup 8} to 10{sup 9} cm{sup –2} are formed at the surface of such layers. It is established that an increase in the size of Se islands and a decrease in their density take place after completion of growth. Annealing in a H{sub 2} atmosphere at a temperature higher than 260°C leads to the disappearance of Se islands and to a decrease in the surface roughness. It is shown that annealing doesmore » not lead to deterioration of the structural perfection of the epitaxial ZnSe films; rather, annealing gives rise to a decrease in the intensity of impurity–defect luminescence and to an increase in the intensity of intrinsic radiation near the bottom of the exciton band.« less

  10. Growth mechanism of GaAs1-xSbx ternary alloy thin film on MOCVD reactor using TMGa, TDMAAs and TDMASb

    NASA Astrophysics Data System (ADS)

    Suhandi, A.; Tayubi, Y. R.; Arifin, P.

    2016-04-01

    Metal Organic Chemical Vapor Deposition (MOCVD) is a method for growing a solid material (in the form of thin films, especially for semiconductor materials) using vapor phase metal organic sources. Studies on the growth mechanism of GaAs1-xSbx ternary alloy thin solid film in the range of miscibility-gap using metal organic sources trimethylgallium (TMGa), trisdimethylaminoarsenic (TDMAAs), and trisdimethylaminoantimony (TDMASb) on MOCVD reactor has been done to understand the physical and chemical processes involved. Knowledge of the processes that occur during alloy formation is very important to determine the couple of growth condition and growth parameters are appropriate for yield high quality GaAs1-xSbx alloy. The mechanism has been studied include decomposition of metal organic sources and chemical reactions that may occur, the incorporation of the alloy elements forming and the contaminants element that are formed in the gown thin film. In this paper presented the results of experimental data on the growth of GaAs1-xSbx alloy using Vertical-MOCVD reactor to demonstrate its potential in growing GaAs1-xSbx alloy in the range of its miscibility gap.

  11. Structures, Properties and Defects of SrTiO3/GaAs Hetero-interfaces

    NASA Astrophysics Data System (ADS)

    Hong, Liang; Bhatnagar, Kunal; Droopad, Ravi; Öğüt, Serdar; Klie, Robert

    SrTiO3 thin film can be epitaxially grown on GaAs substrate and used as a platform for growing other oxides to create functional metal-oxide-semiconductor devices, where a high-quality SrTiO3/GaAs interface is essential. We studied the structural and electronic properties of SrTiO3/GaAs hetero-interfaces at atomic level using scanning transmission electron microscopy and first-principles calculations. Our results suggest the preferred termination of GaAs (001) is significantly dependent on the oxygen concentration in the first oxide layer. The favorable interface structure is characterized as oxygen-deficient SrO in contact with arsenic and is observed in both experiment and simulation. The electronic properties are calculated and found to be tunable by interfacial defects such as oxygen, gallium and arsenic vacancies. This work was supported by the National Science Foundation (Grant No. DMR-1408427). This work made use of instruments in the Electron Microscopy Service and the High Performance Computing Clusters at University of Illinois at Chicago.

  12. GaAs on Si epitaxy by aspect ratio trapping: Analysis and reduction of defects propagating along the trench direction

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Orzali, Tommaso, E-mail: tommaso.orzali@sematech.org; Vert, Alexey; O'Brien, Brendan

    2015-09-14

    The Aspect Ratio Trapping technique has been extensively evaluated for improving the quality of III-V heteroepitaxial films grown on Si, due to the potential for terminating defects at the sidewalls of SiO{sub 2} patterned trenches that enclose the growth region. However, defects propagating along the trench direction cannot be effectively confined with this technique. We studied the effect of the trench bottom geometry on the density of defects of GaAs fins, grown by metal-organic chemical vapor deposition on 300 mm Si (001) wafers inside narrow (<90 nm wide) trenches. Plan view and cross sectional Scanning Electron Microscopy and Transmission Electron Microscopy, togethermore » with High Resolution X-Ray Diffraction, were used to evaluate the crystal quality of GaAs. The prevalent defects that reach the top surface of GaAs fins are (111) twin planes propagating along the trench direction. The lowest density of twin planes, ∼8 × 10{sup 8 }cm{sup −2}, was achieved on “V” shaped bottom trenches, where GaAs nucleation occurs only on (111) Si planes, minimizing the interfacial energy and preventing the formation of antiphase boundaries.« less

  13. GaAs CLEFT solar cells for space applications. [CVD thin film growth technology

    NASA Technical Reports Server (NTRS)

    Fan, J. C. C.; Mcclelland, R. W.; King, B. D.

    1984-01-01

    Although GaAs solar cells are radiation-resistant and have high conversion efficiencies, there are two major obstacles that such cells must overcome before they can be widely adopted for space applications: GaAs wafers are too expensive and cells made from these wafers are too heavy. The CLEFT process permits the growth of thin single-crystal films on reusable substrates, resulting in a drastic reduction in both cell cost and cell weight. Recent advances in CLEFT technology have made it possible to achieve efficiencies of about 14 percent AM0 for 0.51-sq cm GaAs solar cells 5 microns thick with a 41-mil-thick coverglass. In preliminary experiments efficiencies close to 19 percent AM1 have been obtained for 10-micron-thick cells. It is suggested that the CLEFT technology should yield inexpensive, highly efficient modules with a beginning-of-life specific power close to 1 kW/kg (for a coverglass thickness of 4 mils).

  14. Growth of High-Quality GaAs on Ge by Controlling the Thickness and Growth Temperature of Buffer Layer

    NASA Astrophysics Data System (ADS)

    Zhou, Xu-Liang; Pan, Jiao-Qing; Yu, Hong-Yan; Li, Shi-Yan; Wang, Bao-Jun; Bian, Jing; Wang, Wei

    2014-12-01

    High-quality GaAs thin films grown on miscut Ge substrates are crucial for GaAs-based devices on silicon. We investigate the effect of different thicknesses and temperatures of GaAs buffer layers on the crystal quality and surface morphology of GaAs on Ge by metal-organic chemical vapor deposition. Through high resolution x-ray diffraction measurements, it is demonstrated that the full width at half maximum for the GaAs epilayer (Ge substrate) peak could achieve 19.3 (11.0) arcsec. The value of etch pit density could be 4×104 cm-2. At the same time, GaAs surfaces with no pyramid-shaped pits are obtained when the buffer layer growth temperature is lower than 360°C, due to effective inhibition of initial nucleation at terraces of the Ge surface. In addition, it is shown that large island formation at the initial stage of epitaxial growth is a significant factor for the final rough surface and that this initial stage should be carefully controlled when a device quality GaAs surface is desired.

  15. Three-dimensional lattice rotation in GaAs nanowire growth on hydrogen-silsesquioxane covered GaAs (001) using molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Tran, Dat Q.; Pham, Huyen T.; Higashimine, Koichi; Oshima, Yoshifumi; Akabori, Masashi

    2018-05-01

    We report on crystallographic behaviors of inclined GaAs nanowires (NWs) self-crystallized on GaAs (001) substrate. The NWs were grown on hydrogen-silsesquioxane (HSQ) covered substrates using molecular beam epitaxy (MBE). Commonly, the epitaxial growth of GaAs < 111>B (B-polar) NWs is prominently observed on GaAs (001); however, we yielded a remarkable number of epitaxially grown GaAs < 111>A (A-polar) NWs in addition to the majorly obtained B-polar NWs. Such NW orientations are always accompanied by a typical inclined angle of 35° from (001) plane. NWs with another inclined angle of 74° were additionally observed and attributed to be < 111>-oriented, not in direct epitaxial relation with the substrate. Such 74° NWs' existence is related to first-order three-dimensional (3D) lattice rotation taking place at the very beginning of the growth. It turns out that spatially 60° lattice rotation around < 111> directions at GaAs seeds is essentially in charge of A- and B-polar 74° NWs. Transmission electron microscope observations reveal a high density of twinning in the B-polar NWs and twin-free characteristic in the A-polar NWs.

  16. Short wavelength (visible) GaAs quantum well lasers grown by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Woodbridge, K.; Blood, P.; Fletcher, E.D.

    1984-07-01

    GaAs-AlGaAs multiple quantum well (MQW) injection lasers with well widths from 55 to 13 A have been grown by molecular beam epitaxy and operated at room temperature, showing emission at wavelengths down to 704 nm, the shortest reported for a MQW injection laser with GaAs wells. In a device with 25-A wells some evidence of coupling was apparent when barrier widths were reduced to 40 A. For devices with 80-A barriers there is a difference of about 20 nm between the calculated n = 1 (e--hh) transition wavelength and the lasing wavelength, whereas the calculation agrees with photovoltage absorption measurementsmore » on the same structures.« less

  17. Brillouin Scattering from Opaque Semiconducting Alloys and Thin Films.

    NASA Astrophysics Data System (ADS)

    Krabach, Timothy Norbert

    Brillouin scattering spectra were measured in MBE grown single crystal films of Al_{ rm x}Ga_{rm 1 - x}As alloys and Al_{ rm x}Ga_{rm 1 - x}As-GaAs superlattices on GaAs substrates, and GaAs films grown on miscut Si substrates. To detect the Brillouin shifted light against the strong elastic background present in opaque materials, a tandem multipass Fabry-Perot system was designed and constructed, providing high resolution and contrast. Scattering from both surface and bulk acoustic waves were used to derive information on the elastic and dielectric constants of Al_{rm x}Ga_{rm 1 - x} As alloys. The surface acoustic wave velocities along the (100) and (110) axes were measured for a number of aluminum concentrations. In samples that were transparent to the exciting laser frequency, a leaky surface longitudinal wave was also observed. By fitting to this data, the elastic constants as a function of alloy concentration were found to be c_{11} = 11.88 + 0.05x, c_{12} = 5.38 + 1.6x, and c_{44} = 5.95 - .8x (times 10^{10} dyn/cm ^2). Scattering from bulk acoustic waves was used to measure the complex index of refraction. The results agree very closely with previous ellipsometric data. Spectra were taken on two superlattices and compared to an alloy of the same average aluminum concentration. No differences were seen in the acoustic velocities or the index of refraction. Brillouin spectra taken of GaAs films on Si exhibited peaks due to acoustic waves trapped in the film. The dispersion curves of these modes as a function of wavevector were fitted by using the bulk elastic constants of GaAs and Si. The good fit obtained, and the narrowness of the peaks, are corroborating evidence of the high crystalline quality of these films.

  18. Atomic-scale structural and electronic properties of SrTiO3/GaAs interfaces: A combined STEM-EELS and first-principles study

    NASA Astrophysics Data System (ADS)

    Hong, Liang; Bhatnagar, Kunal; Droopad, Ravi; Klie, Robert F.; Öǧüt, Serdar

    2017-07-01

    The electronic properties of epitaxial oxide thin films grown on compound semiconductors are largely determined by the interfacial atomic structure, as well as the thermodynamic conditions during synthesis. Ferroelectric polarization and Fermi-level pinning in SrTiO3 films have been attributed to the presence of oxygen vacancies at the oxide/semiconductor interface. Here, we present scanning transmission electron microscopy (STEM) and electron energy-loss spectroscopy analyses of GaAs films grown on SrTiO3 combined with first-principles calculations to determine the atomic and electronic structures of the SrTiO3/GaAs interfaces. An atomically abrupt SrO/As interface is observed and the interfacial SrO layer is found to be O-deficient. First-principles density functional theory (DFT) calculations show SrO/Ga and Sr/As interfaces are favorable under O-rich and O-poor conditions, respectively. The SrO/Ga interface is reconstructed via the formation of Ga-Ga dimers while the Sr/As interface is abrupt and consistent with the experiment. DFT calculations further reveal that intrinsic two-dimensional electron gas (2DEG) forms in both SrO/Ga and Sr/As interfaces, and the Fermi level is pinned to the localized 2DEG states. Interfacial O vacancies can enhance the 2DEG density while it is possible for Ga/As vacancies to unpin the Fermi level from the 2DEG states.

  19. Photoconductive antennas based on epitaxial films In0.5Ga0.5As on GaAs (1 1 1)A and (1 0 0)A substrates with a metamorphic buffer

    NASA Astrophysics Data System (ADS)

    Kuznetsov, K. A.; Galiev, G. B.; Kitaeva, G. Kh; Kornienko, V. V.; Klimov, E. A.; Klochkov, A. N.; Leontyev, A. A.; Pushkarev, S. S.; Maltsev, P. P.

    2018-07-01

    The terahertz (THz) wave generation by the spiral photoconductive antennas fabricated on the low-temperature and high-temperature grown undoped and Si-doped In0.5Ga0.5As films is studied by the terahertz time-domain spectroscopy method. The In0.5Ga0.5As layers were grown by molecular beam epitaxy on GaAs substrates with (1 0 0) and (1 1 1)A crystallographic orientations utilizing step-graded In x Ga1‑x As metamorphic buffer. The antennas are excited by radiation of Er3+-fiber laser at 1.56 μm wavelength in two regimes: with pulse durations of 2.5 ps or 100 fs. It is found that the THz wave generation is 3–4 times more effective in the case of InGaAs-based antennas on (1 1 1)A GaAs substrates as compared to the (1 0 0) substrates. Power-voltage characteristic of the LT-InGaAs antenna up to and beyond threshold breakdown voltage are reported.

  20. Progress toward thin-film GaAs solar cells using a single-crystal Si substrate with a Ge interlayer

    NASA Technical Reports Server (NTRS)

    Yeh, Y. C. M.; Wang, K. L.; Zwerdling, S.

    1982-01-01

    Development of a technology for fabricating light-weight, high-efficiency, radiation-resistant solar cells for space applications is reported. The approaches currently adopted are to fabricate shallow homojunction n(+)/p as well as p/n AlGaAs-heteroface GaAs solar cells by organometallic chemical vapor deposition (OM-CVD) on single-crystal Si substrates using in each case, a thin Ge epi-interlayer first grown by CVD. This approach maintains the advantages of the low specific gravity of Si as well as the high efficiency and radiation-resistant properties of the GaAs solar cell which can lead to greatly improved specific power for a solar array. The growth of single-crystal GaAs epilayers on Ge epi-interlayers on Si substrates is investigated. Related solar cell fabrication is reviewed.

  1. Terahertz radiation in In{sub 0.38}Ga{sub 0.62}As grown on a GaAs wafer with a metamorphic buffer layer under femtosecond laser excitation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ponomarev, D. S., E-mail: ponomarev-dmitr@mail.ru; Khabibullin, R. A.; Yachmenev, A. E.

    The results of time-domain spectroscopy of the terahertz (THz) generation in a structure with an In{sub 0.38}Ga{sub 0.62}As photoconductive layer are presented. This structure grown by molecular-beam epitaxy on a GaAs substrate using a metamorphic buffer layer allows THz generation with a wide frequency spectrum (to 6 THz). This is due to the additional contribution of the photo-Dember effect to THz generation. The measured optical-to-terahertz conversion efficiency in this structure is 10{sup –5} at a rather low optical fluence of ~40 μJ/cm{sup 2}, which is higher than that in low-temperature grown GaAs by almost two orders of magnitude.

  2. Scanning tunneling microscope study of GaAs(001) surfaces grown by migration enhanced epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, J.; Gallagher, M.C.; Willis, R.F.

    We report an investigation of the morphology of p-type GaAs(001) surfaces using scanning tunneling microscopy (STM). The substrates were prepared using two methods: migration enhanced epitaxy (MEE) and standard molecular-beam epitaxy (MBE). The STM measurements were performed ex situ using As decapping. Analysis indicates that the overall step density of the MEE samples decreases as the growth temperature is increased. Nominally flat samples grown at 300{degrees}C exhibited step densities of 10.5 steps/1000 {Angstrom} along [ 110] dropping to 2.5 steps at 580{degrees}C. MEE samples exhibited a lower step density than MBE samples. However as-grown surfaces exhibited a larger distribution ofmore » step heights. Annealing the samples reduced the step height distribution exposing fewer atomic layers. Samples grown by MEE at 580{degrees}C and annealed for 2 min displayed the lowest step density and the narrowest step height distribution. All samples displayed an anisotropic step density. We found a ratio of A-type to B-type steps of between 2 and 3 which directly reflects the difference in the incorporation energy at steps. The aspect ratio increased slightly with growth temperature. We found a similar aspect ratio on samples grown by MBE. This indicates that anisotropic growth during MEE, like MBE, is dominated by incorporation kinetics. MEE samples grown at 580{degrees}C and capped immediately following growth exhibited a number of {open_quotes}holes{close_quotes} in the surface. The holes could be eliminated by annealing the surface prior to quenching. 20 refs., 3 figs., 1 tab.« less

  3. Growth and characterization of molecular beam epitaxial GaAs layers on porous silicon

    NASA Technical Reports Server (NTRS)

    Lin, T. L.; Liu, J. K.; Sadwick, L.; Wang, K. L.; Kao, Y. C.

    1987-01-01

    GaAs layers have been grown on porous silicon (PS) substrates with good crystallinity by molecular beam epitaxy. In spite of the surface irregularity of PS substrates, no surface morphology deterioration was observed on epitaxial GaAs overlayers. A 10-percent Rutherford backscattering spectroscopy minimum channeling yield for GaAs-on-PS layers as compared to 16 percent for GaAs-on-Si layers grown under the same condition indicates a possible improvement of crystallinity when GaAs is grown on PS. Transmission electron microscopy reveals that the dominant defects in the GaAs-on-PS layers are microtwins and stacking faults, which originate from the GaAs/PS interface. GaAs is found to penetrate into the PS layers. n-type GaAs/p-type PS heterojunction diodes were fabricated with good rectifying characteristics.

  4. The structure study of thin semiconductor and dielectric films by diffraction of synchrotron radiation

    NASA Astrophysics Data System (ADS)

    Yurjev, G. S.; Fainer, N. I.; Maximovskiy, E. A.; Kosinova, M. L.; Sheromov, M. A.; Rumyantsev, Yu. M.

    1998-02-01

    The structure of semiconductor and dielectric thin (100-300 nm) films was studied by diffraction of synchrotron radiation. The diffraction experiments were performed at both the station "Anomalous scattering" of the storage ring synchrotron facility VEPP-3 and DRON-4 diffractometer. The structure of CdS thin films grown on fused silica, single Si(100) and InP(100) substrates was investigated. The structure of Cu 2S thin films grown on fused silica, single Si(100) substrates and CdS/Si(100)-heterostructure was studied. The structure study was performed on Si 3N 4 films grown on GaAs(100) substrates. The structure of thin BN layers grown on single Si(100) substrates was studied. It was established that structural parameters of above-mentioned thin films coincide on the parameters of JCPDS International Centre for Diffraction Data.

  5. Highly Oriented Atomically Thin Ambipolar MoSe2 Grown by Molecular Beam Epitaxy

    PubMed Central

    2017-01-01

    Transition metal dichalcogenides (TMDCs), together with other two-dimensional (2D) materials, have attracted great interest due to the unique optical and electrical properties of atomically thin layers. In order to fulfill their potential, developing large-area growth and understanding the properties of TMDCs have become crucial. Here, we have used molecular beam epitaxy (MBE) to grow atomically thin MoSe2 on GaAs(111)B. No intermediate compounds were detected at the interface of as-grown films. Careful optimization of the growth temperature can result in the growth of highly aligned films with only two possible crystalline orientations due to broken inversion symmetry. As-grown films can be transferred onto insulating substrates, allowing their optical and electrical properties to be probed. By using polymer electrolyte gating, we have achieved ambipolar transport in MBE-grown MoSe2. The temperature-dependent transport characteristics can be explained by the 2D variable-range hopping (2D-VRH) model, indicating that the transport is strongly limited by the disorder in the film. PMID:28530829

  6. Interface demarcation in GaAs by current pulsing

    NASA Technical Reports Server (NTRS)

    Matthiesen, D. H.; Kafalas, J. A.; Duchene, G. A.; Bellows, A. H.

    1990-01-01

    GTE Laboratories is currently conducting a program to investigate the effect of convection in the melt on the properties of bulk grown gallium arsenide (GaAs). In addition to extensive ground based experimentation, a Get Away Special growth system has been developed to grow two GaAs crystals aboard the Space Shuttle, each with a one inch diameter. In order to perform a complete segregation analysis of the crystals grown in space, it is necessary to measure the interface shape and growth rate as well as the spatial distribution of the selenium dopant. The techniques for interface demarcation in selenium doped GaAs by current pulsing have been developed at GTE Laboratories and successful interface demarcation has been achieved for current pulses ranging from 20 to 90 amps, in both single crystal and polycrystalline regions.

  7. Electrical characterisation of deep level defects in Be-doped AlGaAs grown on (100) and (311)A GaAs substrates by MBE

    PubMed Central

    2011-01-01

    The growth of high mobility two-dimensional hole gases (2DHGs) using GaAs-GaAlAs heterostructures has been the subject of many investigations. However, despite many efforts hole mobilities in Be-doped structures grown on (100) GaAs substrate remained considerably lower than those obtained by growing on (311)A oriented surface using silicon as p-type dopant. In this study we will report on the properties of hole traps in a set of p-type Be-doped Al0.29Ga0.71As samples grown by molecular beam epitaxy on (100) and (311)A GaAs substrates using deep level transient spectroscopy (DLTS) technique. In addition, the effect of the level of Be-doping concentration on the hole deep traps is investigated. It was observed that with increasing the Be-doping concentration from 1 × 1016 to 1 × 1017 cm-3 the number of detected electrically active defects decreases for samples grown on (311)A substrate, whereas, it increases for (100) orientated samples. The DLTS measurements also reveal that the activation energies of traps detected in (311)A are lower than those in (100). From these findings it is expected that mobilities of 2DHGs in Be-doped GaAs-GaAlAs devices grown on (311)A should be higher than those on (100). PMID:21711687

  8. Tolerance of GaAs as an original substrate for HVPE growth of free standing GaN

    NASA Astrophysics Data System (ADS)

    Suzuki, Mio; Sato, T.; Suemasu, T.; Hasegawa, F.

    2004-09-01

    In order to investigate possibility of thick GaN growth on a GaAs substrate by halide vapar phase epitaxy (HVPE), GaN was grown on GaAs(111)/Ti wafer with Ti deposited by E-gun. It was found that surface treatment of the GaAs substrate by HF solution deteriorated greatly the tolerence of GaAs and that Ti can protected GaAs from erosion by NH3. By depositing Ti on GaAs(111)A surface, a millor-like GaN layer could be grown at 1000 °C for 1 hour without serious deterioration of the original GaAs substrate. By increasing the growth rate, a thick free standing GaN will be obtained with GaAs as an original substrate in near future.

  9. Studies of molecular-beam epitaxy growth of GaAs on porous Si substrates

    NASA Technical Reports Server (NTRS)

    Mii, Y. J.; Kao, Y. C.; Wu, B. J.; Wang, K. L.; Lin, T. L.; Liu, J. K.

    1988-01-01

    GaAs has been grown on porous Si directly and on Si buffer layer-porous Si substrates by molecular-beam epitaxy. In the case of GaAs growth on porous Si, transmission electron microscopy (TEM) reveals that the dominant defects in GaAs layers grown on porous Si are microtwins and stacking faults, which originate from the GaAs/porous Si interface. GaAs is found to penetrate into the porous Si layers. By using a thin Si buffer layer (50 nm), GaAs penetration diminishes and the density of microtwins and stacking faults is largely reduced and localized at the GaAs/Si buffer interface. However, there is a high density of threading dislocations remaining. Both Si (100) aligned and four degree tilted substrates have been examined in this study. TEM results show no observable effect of the tilted substrates on the quality of the GaAs epitaxial layer.

  10. GaAs photovoltaics and optoelectronics using releasable multilayer epitaxial assemblies.

    PubMed

    Yoon, Jongseung; Jo, Sungjin; Chun, Ik Su; Jung, Inhwa; Kim, Hoon-Sik; Meitl, Matthew; Menard, Etienne; Li, Xiuling; Coleman, James J; Paik, Ungyu; Rogers, John A

    2010-05-20

    Compound semiconductors like gallium arsenide (GaAs) provide advantages over silicon for many applications, owing to their direct bandgaps and high electron mobilities. Examples range from efficient photovoltaic devices to radio-frequency electronics and most forms of optoelectronics. However, growing large, high quality wafers of these materials, and intimately integrating them on silicon or amorphous substrates (such as glass or plastic) is expensive, which restricts their use. Here we describe materials and fabrication concepts that address many of these challenges, through the use of films of GaAs or AlGaAs grown in thick, multilayer epitaxial assemblies, then separated from each other and distributed on foreign substrates by printing. This method yields large quantities of high quality semiconductor material capable of device integration in large area formats, in a manner that also allows the wafer to be reused for additional growths. We demonstrate some capabilities of this approach with three different applications: GaAs-based metal semiconductor field effect transistors and logic gates on plates of glass, near-infrared imaging devices on wafers of silicon, and photovoltaic modules on sheets of plastic. These results illustrate the implementation of compound semiconductors such as GaAs in applications whose cost structures, formats, area coverages or modes of use are incompatible with conventional growth or integration strategies.

  11. Properties of TiO2 thin films and a study of the TiO2-GaAs interface

    NASA Technical Reports Server (NTRS)

    Chen, C. Y.; Littlejohn, M. A.

    1977-01-01

    Titanium dioxide (TiO2) films prepared by chemical vapor deposition were investigated in this study for the purpose of the application in the GaAs metal-insulator-semiconductor field-effect transistor. The degree of crystallization increases with the deposition temperature. The current-voltage study, utilizing an Al-TiO2-Al MIM structure, reveals that the d-c conduction through the TiO2 film is dominated by the bulk-limited Poole-Frenkel emission mechanism. The dependence of the resistivity of the TiO2 films on the deposition environment is also shown. The results of the capacitance-voltage study indicate that an inversion layer in an n-type substrate can be achieved in the MIS capacitor if the TiO2 films are deposited at a temperature higher than 275 C. A process of low temperature deposition followed by the pattern definition and a higher temperature annealing is suggested for device fabrications. A model, based on the assumption that the surface state densities are continuously distributed in energy within the forbidden band gap, is proposed to interpret the lack of an inversion layer in the Al-TiO2-GaAs MIS structure with the TiO2 films deposited at 200 C.

  12. Spin reorientations in Tb-Fe films grown on polyimide substrates

    NASA Astrophysics Data System (ADS)

    Maneesh, K. Sai; Arout Chelvane, J.; Talapatra, A.; Basumatary, Himalay; Mohanty, J.; Kamat, S. V.

    2018-02-01

    This paper reports the effect of film thickness and rapid thermal annealing on the spin reorientations in Tb-Fe films grown on flexible polyimide substrates. Magnetization studies indicated that the spins reorient from in-plane to out-of-plane direction with increase in film thicknesses. This was confirmed by magnetic force microscopy studies which showed weak featureless contrast for films deposited with lower thickness and a strong out-of-plane contrast for films grown with higher thicknesses. On subsequent rapid thermal annealing all the Tb-Fe films exhibited in-plane magnetic anisotropy. The results were explained based on competition between uniaxial and shape anisotropies, nature of residual stresses as well as nucleation of crystalline Fe phase in an amorphous Tb-Fe matrix on rapid thermal annealing.

  13. Effects of doping impurity and growth orientation on dislocation generation in GaAs crystals grown from the melt: A qualitative finite-element study

    NASA Astrophysics Data System (ADS)

    Zhu, X. A.; Tsai, C. T.

    2000-09-01

    Dislocations in gallium arsenide (GaAs) crystals are generated by excessive thermal stresses induced during the crystal growth process. The presence of dislocations has adverse effects on the performance and reliability of the GaAs-based devices. It is well known that dislocation density can be significantly reduced by doping impurity atoms into a GaAs crystal during its growth process. A viscoplastic constitutive equation that couples the microscopic dislocation density with the macroscopic plastic deformation is employed in a crystallographic finite element model for calculating the dislocation density generated in the GaAs crystal during its growth process. The dislocation density is considered as an internal state variable and the drag stress caused by doping impurity is included in this constitutive equation. A GaAs crystal grown by the vertical Bridgman process is adopted as an example to study the influences of doping impurity and growth orientation on dislocation generation. The calculated results show that doping impurity can significantly reduce the dislocation density generated in the crystal. The level of reduction is also influenced by the growth orientation during the crystal growth process.

  14. Atomically flat platinum films grown on synthetic mica

    NASA Astrophysics Data System (ADS)

    Tanaka, Hiroyuki; Taniguchi, Masateru

    2018-04-01

    Atomically flat platinum thin films were heteroepitaxially grown on synthetic fluorophlogopite mica [KMg3(AlSi3O10)F2] by van der Waals epitaxy. Platinum films deposited on a fluorophlogopite mica substrate by inductively coupled plasma-assisted sputtering with oxygen introduction on a synthetic mica substrate resulted in the growth of twin single-crystalline epitaxial Pt(111) films.

  15. Oxidation of GaAs substrates to enable β-Ga2O3 films for sensors and optoelectronic devices

    NASA Astrophysics Data System (ADS)

    Mao, Howard; Alhalaili, Badriyah; Kaya, Ahmet; Dryden, Daniel M.; Woodall, Jerry M.; Islam, M. Saif

    2017-08-01

    A very simple and inexpensive method for growing β-Ga2O3 films by heating GaAs wafers at high temperature in a furnace was found to contribute to large-area, high-quality β-Ga2O3 nanoscale thin films as well as nanowires depending on the growth conditions. We present the material characterization results including the optical band gap, Schottky barrier height with metal (gold), field ionization and photoconductance of β-Ga2O3 film and nanowires.

  16. Superconducting Ga/GaSe layers grown by van der Waals epitaxy

    NASA Astrophysics Data System (ADS)

    Desrat, W.; Moret, M.; Briot, O.; Ngo, T.-H.; Piot, B. A.; Jabakhanji, B.; Gil, B.

    2018-04-01

    We report on the growth of GaSe films by molecular beam epitaxy on both (111)B GaAs and sapphire substrates. X-ray diffraction reveals the perfect crystallinity of GaSe with the c-axis normal to the substrate surface. The samples grown under Ga rich conditions possess an additional gallium film on top of the monochalcogenide layer. This metallic film shows two normal-to-superconducting transitions which are detected at T c ≈ 1.1 K and 6.0 K. They correspond likely to the β and α-phases of gallium in the form of bulk and droplets respectively. Our results demonstrate that van der Waals epitaxy can lead to future high quality hybrid superconductor/monochalcogenide heterostructures.

  17. Heteroepitaxial growth of Cd(1-x)Mn(x)Te on GaAs by metalorganic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Nouhi, Akbar; Stirn, Richard J.

    1987-01-01

    In this letter, preliminary results are reported of heteroepitaxial growth of the dilute magnetic semiconductor alloy Cd(1-x)Mn(x)Te on GaAs by metalorganic chemical vapor deposition. Dimethylcadmium (DMCd), diethyltellurium (DETe), and tricarbonyl (methylcyclopentadienyl) manganese (TCPMn) were used as source materials. The TCPMn had to be heated to as high as 140 C to provide the required vapor pressure. Films with Mn atomic fractions up to 30 percent have been grown over the temperature range 410-450 C. Results of optical absorption/transmission, photoluminescence, and X-ray diffraction measurements are presented along with a scanning electron micrograph showing good surface morphology of the grown layers.

  18. Optical and structural studies of films grown thermally on zirconium surfaces

    NASA Astrophysics Data System (ADS)

    Morgan, J. M.; McNatt, J. S.; Shepard, M. J.; Farkas, N.; Ramsier, R. D.

    2002-06-01

    Variable angle IR reflection spectroscopy and atomic force microscopy are used to determine the thickness and morphology of films grown thermally on Zr surfaces in air. The density and homogeneity of these films increases with temperature in the range studied (773-873 K) and growth at the highest temperature follows cubic rate law kinetics. We demonstrate a structure-property relationship for these thermally grown films and suggest the application of IR reflectivity as an inspection method during the growth of environmentally passive films on industrial Zr components.

  19. GaAs core--shell nanowires for photovoltaic applications.

    PubMed

    Czaban, Josef A; Thompson, David A; LaPierre, Ray R

    2009-01-01

    We report the use of Te as an n-type dopant in GaAs core-shell p-n junction nanowires for use in photovoltaic devices. Te produced significant change in the morphology of GaAs nanowires grown by the vapor-liquid-solid process in a molecular beam epitaxy system. The increase in radial growth of nanowires due to the surfactant effect of Te had a significant impact on the operating characteristics of photovoltaic devices. A decrease in solar cell efficiency occurred when the Te-doped GaAs growth duration was increased.

  20. Role of many-body effects in the coherent dynamics of excitons in low-temperature-grown GaAs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Webber, D.; Hacquebard, L.; Hall, K. C.

    2015-10-05

    Femtosecond four-wave mixing experiments on low-temperature-grown (LT-) GaAs indicate a polarization-dependent nonlinear optical response at the exciton, which we attribute to Coulomb-mediated coupling between excitons and electron-hole pairs simultaneously excited by the broad-bandwidth laser pulses. Strong suppression of the exciton response through screening by carriers injected by a third pump pulse was observed, an effect that is transient due to rapid carrier trapping. Our findings highlight the need to account for the complex interplay of disorder and many-body effects in the design of ultrafast optoelectronic devices using this material.

  1. In 0.35Ga 0.65P light-emitting diodes grown by gas-source MBE

    NASA Astrophysics Data System (ADS)

    Masselink, W. Ted; Zachau, Martin

    1993-02-01

    This paper describes the growth and optical characteristics of In yGa 1- yP with 0.3< y<0.5, and the LED operation of p-i-n structures in the same materials system. The InGaP is grown using gas-source molecular beam epitaxy (GSMBE). The non-lattice-matched In yGa 1- yP grown on GaAs using GSMBE has a specularly smooth surface morphology through the use of unique strained-layer superlattice (SLS) buffer. We have measured the luminescence, luminescence excitation, and Raman spectra of these undoped films and observe strong excitonic luminescence over the entire composition range investigated. The band gap derived from the luminescence excitation spectra corresponds to that of a fully relaxed InGaP film with no residual strain, which is confirmed by the Raman measurements. Light-emitting diodes with peak (300 K) emission centered at less than 590 nm have been fabricated from p-i-n junctions in In 0.35Ga 0.65P. This alloy is close to that with the largest direct band gap in the In yGa 1- y P system and has lattice mismatch from the GaAs substrate of 1%.

  2. Performance Enhancement of a GaAs Detector with a Vertical Field and an Embedded Thin Low-Temperature Grown Layer

    PubMed Central

    Currie, Marc; Dianat, Pouya; Persano, Anna; Martucci, Maria Concetta; Quaranta, Fabio; Cola, Adriano; Nabet, Bahram

    2013-01-01

    Low temperature growth of GaAs (LT-GaAs) near 200 °C results in a recombination lifetime of nearly 1 ps, compared with approximately 1 ns for regular temperature ∼600 °C grown GaAs (RT-GaAs), making it suitable for ultra high speed detection applications. However, LT-GaAs detectors usually suffer from low responsivity due to low carrier mobility. Here we report electro-optic sampling time response measurements of a detector that employs an AlGaAs heterojunction, a thin layer of LT-GaAs, a channel of RT-GaAs, and a vertical electric field that together facilitate collection of optically generated electrons while suppressing collection of lower mobility holes. Consequently, these devices have detection efficiency near that of RT-GaAs yet provide pulse widths nearly an order of magnitude faster—∼6 ps for a cathode-anode separation of 1.3 μm and ∼12 ps for distances more than 3 μm. PMID:23429510

  3. Transverse spin Seebeck effect versus anomalous and planar Nernst effects in Permalloy thin films.

    PubMed

    Schmid, M; Srichandan, S; Meier, D; Kuschel, T; Schmalhorst, J-M; Vogel, M; Reiss, G; Strunk, C; Back, C H

    2013-11-01

    Transverse magnetothermoelectric effects are studied in Permalloy thin films grown on MgO and GaAs substrates and compared to those grown on suspended SiN(x) membranes. The transverse voltage along platinum strips patterned on top of the Permalloy films is measured versus the external magnetic field as a function of the angle and temperature gradients. After the identification of the contribution of the planar and anomalous Nernst effects, we find an upper limit for the transverse spin Seebeck effect, which is several orders of magnitude smaller than previously reported.

  4. GaAs quantum dots in a GaP nanowire photodetector

    NASA Astrophysics Data System (ADS)

    Kuyanov, P.; McNamee, S. A.; LaPierre, R. R.

    2018-03-01

    We report the structural, optical and electrical properties of GaAs quantum dots (QDs) embedded along GaP nanowires. The GaP nanowires contained p-i-n junctions with 15 consecutively grown GaAs QDs within the intrinsic region. The nanowires were grown by molecular beam epitaxy using the self-assisted vapor-liquid-solid process. The crystal structure of the NWs alternated between twinned ZB and WZ as the composition along the NW alternated between the GaP barriers and the GaAs QDs, respectively, leading to a polytypic structure with a periodic modulation of the NW sidewall facets. Photodetector devices containing QDs showed absorption beyond the bandgap of GaP in comparison to nanowires without QDs. Voltage-dependent measurements suggested a field emission process of carriers from the QDs.

  5. Microstructure of thermally grown and deposited alumina films probed with positrons

    NASA Astrophysics Data System (ADS)

    Somieski, Bertram; Hulett, Lester D.; Xu, Jun; Pint, Bruce A.; Tortorelli, Peter F.; Nielsen, Bent; Asoka-Kumar, Palakkal; Suzuki, Ryoichi; Ohdaira, Toshiyuki

    1999-03-01

    Aluminum oxide films used for corrosion protection of iron and nickel aluminides were generated by substrate oxidation as well as plasma and physical vapor depositions. The films grown by oxidation were crystalline. The others were amorphous. Defect structures of the films were studied by positron spectroscopy techniques. Lifetimes of the positrons, and Doppler broadening of the γ photons generated by their annihilation, were measured as functions of the energies with which they were injected. In this manner, densities and sizes of the defects were determined as functions of depths from the outer surfaces of the films. Alumina films generated by oxidation had high densities of open volume defects, mainly consisting of a few aggregated vacancies. In the outer regions of the films the structures of the defects did not depend on substrate compositions. Positron lifetime measurements, and the S and W parameters extracted from Doppler broadening spectra, showed uniform distributions of defects in the crystalline Al2O3 films grown on nickel aluminide substrates, but these data indicated intermediate layers of higher defect contents at the film/substrate interfaces of oxides grown on iron aluminide substrates. Amorphous films generated by plasma and physical vapor deposition had much larger open volume defects, which caused the average lifetimes of the injected positrons to be significantly longer. The plasma deposited film exhibited a high density of large cavities.

  6. Microstructural studies by TEM of diamond films grown by combustion flame

    NASA Astrophysics Data System (ADS)

    Ma, G.-H. M.; Hirose, Y.; Amanuma, S.; McClure, M.; Prater, J. T.; Glass, J. T.

    Microstructures of diamond films grown in an oxygen-acetylene combustion flame were studied by TEM. The O2/C2H2 gas ratio was fixed and the substrate materials and temperature were varied. High quality diamond films were grown by this method at high growth rates of about 30 micron/hr. A rough surface and high density of secondary nucleation sites and microtwins were observed in the diamond grains grown on molybdenum (Mo) at a substrate temperature of 500 C. When the substrate temperature wass raised to between 500 and 870 C, the defect density was greatly reduced, revealing a low density of stacking faults and dislocations. Diamond films grown on Si substrates did not show the same substrate temperature dependence on defect density, at least not over the same temperature range. However, the same correlation between defect density, secondary nucleation, and surface morphology was observed.

  7. Electrodeposition of Metal on GaAs Nanowires

    NASA Astrophysics Data System (ADS)

    Liu, Chao; Einabad, Omid; Watkins, Simon; Kavanagh, Karen

    2010-10-01

    Copper (Cu) electrical contacts to freestanding gallium arsenide (GaAs) nanowires have been fabricated via electrodeposition. The nanowires are zincblende (111) oriented grown epitaxially on n-type Si-doped GaAs (111)B substrates by gold-catalyzed Vapor Liquid Solid (VLS) growth in a metal organic vapour phase epitaxy (MOVPE) reactor. The epitaxial electrodeposition process, based on previous work with bulk GaAs substrates, consists of a substrate oxide pre-etch in dilute ammonium-hydroxide carried out prior to galvanostatic electrodeposition in a pure Cu sulphate aqueous electrolyte at 20-60^oC. For GaAs nanowires, we find that Cu or Fe has a preference for growth on the gold catalyst avoiding the sidewalls. After removing gold, both metals still prefer to grow only on top of the nanowire, which has the largest potential field.

  8. The growth of low band-gap InAs on (111)B GaAs substrates

    NASA Technical Reports Server (NTRS)

    Welser, R. E.; Guido, L. J.

    1995-01-01

    The use of low band-gap materials is of interest for a number of photovoltaic and optoelectronic applications, such as bottom cells of optimized multijunction solar cell designs, long wavelength light sources, detectors, and thermophotovoltaics. However, low band-gap materials are generally mismatched with respect to lattice constant, thermal expansion coefficient, and chemical bonding to the most appropriate commercially available substrates (Si, Ge, and GaAs). For the specific case of III-V semiconductor heteroepitaxy, one must contend with the strain induced by both lattice constant mismatch at the growth temperature and differences in the rates of mechanical deformation during the cool down cycle. Several experimental techniques have been developed to minimize the impact of these phenomena (i.e., compositional grading, strained layer superlattices, and high-temperature annealing). However, in highly strained systems such as InAs-on-GaAs, three-dimensional island formation and large defect densities (greater than or equal to 10(exp 8)/ cm(exp -2)) tend to limit their applicability. In these particular cases, the surface morphology and defect density must be controlled during the initial stages of nucleation and growth. At the last SPRAT conference, we reported on a study of the evolution of InAs islands on (100) and (111)B GaAs substrates. Growth on the (111)B orientation exhibits a number of advantageous properties as compared to the (100) during these early stages of strained-layer epitaxy. In accordance with a developing model of nucleation and growth, we have deposited thin (60 A - 2500 A), fully relaxed InAs films on (111)B GaAs substrates. Although thicker InAs films are subject to the formation of twin defects common to epitaxy on the (111)B orientation, appropriate control of the growth parameters can greatly minimize their density. Using this knowledge base, InAs films up to 2 microns in thickness with improved morphology and structural quality have

  9. Improved resonance characteristics of GaAs beam resonators by epitaxially induced strain

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yamaguchi, H.; Onomitsu, K.; Kato, K.

    2008-06-23

    Micromechanical-beam resonators were fabricated using a strained GaAs film grown on relaxed In{sub 0.1}Ga{sub 0.9}As/In{sub 0.1}Al{sub 0.9}As buffer layers. The natural frequency of the fundamental mode was increased 2.5-4 times by applying tensile strain, showing good agreement with the model calculation assuming strain of 0.35% along the beam. In addition, the Q factor of 19 000 was obtained for the best sample, which is one order of magnitude higher than that for the unstrained resonator. This technique can be widely applied for improving the performance of resonator-based micro-/nanoelectromechanical devices.

  10. Single-crystalline BaTiO3 films grown by gas-source molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Matsubara, Yuya; Takahashi, Kei S.; Tokura, Yoshinori; Kawasaki, Masashi

    2014-12-01

    Thin BaTiO3 films were grown on GdScO3 (110) substrates by metalorganic gas-source molecular beam epitaxy. Titanium tetra-isopropoxide (TTIP) was used as a volatile precursor that provides a wide growth window of the supplied TTIP/Ba ratio for automatic adjustment of the film composition. Within the growth window, compressively strained films can be grown with excellent crystalline quality, whereas films grown outside of the growth window are relaxed with inferior crystallinity. This growth method will provide a way to study the intrinsic properties of ferroelectric BaTiO3 films and their heterostructures by precise control of the stoichiometry, structure, and purity.

  11. Temperature Dependences of the Product of the Differential Resistance by the Area in MIS-Structures Based on Cd x Hg1- x Te Grown by Molecularbeam Epitaxy on Alternative Si and GaAs Substrates

    NASA Astrophysics Data System (ADS)

    Voitsekhovskii, A. V.; Nesmelov, S. N.; Dzyadukh, S. M.; Varavin, V. S.; Vasil'ev, V. V.; Dvoretskii, S. A.; Mikhailov, N. N.; Yakushev, M. V.; Sidorov, G. Yu.

    2017-06-01

    In a temperature range of 9-200 K, temperature dependences of the differential resistance of space-charge region in the strong inversion mode are experimentally studied for MIS structures based on CdxHg1-xTe (x = 0.22-0.40) grown by molecular-beam epitaxy. The effect of various parameters of structures: the working layer composition, the type of a substrate, the type of insulator coating, and the presence of a near-surface graded-gap layer on the value of the product of differential resistance by the area is studied. It is shown that the values of the product RSCRA for MIS structures based on n-CdHgTe grown on a Si(013) substrate are smaller than those for structures based on the material grown on a GaAs(013) substrate. The values of the product RSCRA for MIS structures based on p-CdHgTe grown on a Si(013) substrate are comparable with the value of the analogous parameter for MIS structures based on p-CdHgTe grown on a GaAs(013) substrate.

  12. BiVO4 thin film photoanodes grown by chemical vapor deposition.

    PubMed

    Alarcón-Lladó, Esther; Chen, Le; Hettick, Mark; Mashouf, Neeka; Lin, Yongjing; Javey, Ali; Ager, Joel W

    2014-01-28

    BiVO4 thin film photoanodes were grown by vapor transport chemical deposition on FTO/glass substrates. By controlling the flow rate, the temperatures of the Bi and V sources (Bi metal and V2O5 powder, respectively), and the temperature of the deposition zone in a two-zone furnace, single-phase monoclinic BiVO4 thin films can be obtained. The CVD-grown films produce global AM1.5 photocurrent densities up to 1 mA cm(-2) in aqueous conditions in the presence of a sacrificial reagent. Front illuminated photocatalytic performance can be improved by inserting either a SnO2 hole blocking layer and/or a thin, extrinsically Mo doped BiVO4 layer between the FTO and the CVD-grown layer. The incident photon to current efficiency (IPCE), measured under front illumination, for BiVO4 grown directly on FTO/glass is about 10% for wavelengths below 450 nm at a bias of +0.6 V vs. Ag/AgCl. For BiVO4 grown on a 40 nm SnO2/20 nm Mo-doped BiVO4 back contact, the IPCE is increased to over 40% at wavelengths below 420 nm.

  13. Gallium arsenide (GaAs) (001) after sublimation of arsenic (As) thin-film cap, by XPS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Engelhard, Mark H.; Lyubinetsky, Andre; Baer, Don R.

    2016-12-01

    Survey and high energy resolution spectra are reported for MBE grown GaAs (001) that had been capped with As. The As cap was removed by heating in situ prior to analysis. The current data expands upon the spectral regions previously reported in Surface Science Spectra. High energy resolution spectral features reported include: 2p, 3s, 3p, 3d, and L3M45M45 peaks for As; 2p, 3s, 3p, 3d, and L3M45M45 peaks for Ga; and the valance band region.

  14. Influence of growth temperature on properties of zirconium dioxide films grown by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Kukli, Kaupo; Ritala, Mikko; Aarik, Jaan; Uustare, Teet; Leskela, Markku

    2002-08-01

    ZrO2 films were grown by atomic layer deposition from ZrCl4 and H2O or a mixture of H2O and H2O2 on Si(100) substrates in the temperature range of 180-600 degC. The films were evaluated in the as-deposited state, in order to follow the effect of deposition temperature on the film quality. The rate of crystal growth increased and the content of residual impurities decreased with increasing temperature. The zirconium-to-oxygen atomic ratio, determined by ion-beam analysis, corresponded to the stoichiometric dioxide regardless of the growth temperature. The effective permittivity of ZrO2 in Al/ZrO2/Si capacitor structures increased from 13-15 in the films grown at 180 degC to 19 in the films grown at 300-600 degC, measured at 100 kHz. The permittivity was relatively high in the crystallized films, compared to the amorphous ones, but rather insensitive to the crystal structure. The permittivity was higher in the films grown using water. The leakage current density tended to be lower and the breakdown field higher in the films grown using hydrogen peroxide.

  15. Group III-nitride thin films grown using MBE and bismuth

    DOEpatents

    Kisielowski, Christian K.; Rubin, Michael

    2002-01-01

    The present invention comprises growing gallium nitride films in the presence of bismuth using MBE at temperatures of about 1000 K or less. The present invention further comprises the gallium nitride films fabricated using the inventive fabrication method. The inventive films may be doped with magnesium or other dopants. The gallium nitride films were grown on sapphire substrates using a hollow anode Constricted Glow Discharge nitrogen plasma source. When bismuth was used as a surfactant, two-dimensional gallium nitride crystal sizes ranging between 10 .mu.m and 20 .mu.m were observed. This is 20 to 40 times larger than crystal sizes observed when GaN films were grown under similar circumstances but without bismuth. It is thought that the observed increase in crystal size is due bismuth inducing an increased surface diffusion coefficient for gallium. The calculated value of 4.7.times.10.sup.-7 cm.sup.2 /sec. reveals a virtual substrate temperature of 1258 K which is 260 degrees higher than the actual one.

  16. Group III-nitride thin films grown using MBE and bismuth

    DOEpatents

    Kisielowski, Christian K.; Rubin, Michael

    2000-01-01

    The present invention comprises growing gallium nitride films in the presence of bismuth using MBE at temperatures of about 1000 K or less. The present invention further comprises the gallium nitride films fabricated using the inventive fabrication method. The inventive films may be doped with magnesium or other dopants. The gallium nitride films were grown on sapphire substrates using a hollow anode Constricted Glow Discharge nitrogen plasma source. When bismuth was used as a surfactant, two-dimensional gallium nitride crystal sizes ranging between 10 .mu.m and 20 .mu.m were observed. This is 20 to 40 times larger than crystal sizes observed when GaN films were grown under similar circumstances but without bismuth. It is thought that the observed increase in crystal size is due bismuth inducing an increased surface diffusion coefficient for gallium. The calculated value of 4.7.times.10.sup.-7 cm.sup.2 /sec. reveals a virtual substrate temperature of 1258 K which is 260 degrees higher than the actual one.

  17. Concepts for thin-film GaAs concentrator cells. [for solar photovoltaic space power systems

    NASA Technical Reports Server (NTRS)

    Spitzer, M. B.; Gale, R. P.; Mcclelland, R.; King, B.; Dingle, J.

    1989-01-01

    The development of advanced GaAs concentrator solar cells, and in particular, the use of CLEFT (cleavage of lateral epitaxial films for transfer) processes for formation of thin-film structures is reported. The use of CLEFT has made possible processing of the back, and cells with back surface grids are discussed. Data on patterned junction development are presented; such junctions are expected to be useful in back surface applications requiring point contacts, grating structures, and interdigitated back contacts. CLEFT concentrator solar cells with grids on the front and back surfaces are reported here; these cells are 4 microns thick and are bonded to glass covers for support. Air mass zero efficiency of 18.8 percent has been obtained for a CLEFT concentrator operating at 18.5 suns.

  18. Laser induced OMCVD growth of AlGaAs on GaAs

    NASA Technical Reports Server (NTRS)

    Wilt, David M.; Warner, Joseph D.; Aron, Paul R.; Pouch, John J.; Hoffman, Richard W., Jr.

    1987-01-01

    A major factor limiting the efficiency of the GaAs-GaAlAs solar cell is the rate of recombination at the GaAs-AlGaAs interface. Evidence has been previously reported which indicates that recombination at this interface can be greatly reduced if the AlGaAs layer is grown at lower than normal temperatures. The authors examine the epitaxial growth of AlGaAs on GaAs using a horizontal OMCVD reactor and an excimer laser operating in the UV (lambda = 193 nm) region. The growth temperatures were 450 and 500 C. The laser beam was utilized in two orientations: 75 deg angle of incidence and parallel to the substrate. Film composition and structure were determined by Auger electron spectroscopy (AES) and transmission electron microscopy (TEM). Auger analysis of epilayers grown at 500 C with the laser impinging show no carbon or oxygen contamination of the epitaxial layers or interfaces. TEM diffraction patterns of these same epilayers exhibit single crystal (100) zone axis patterns.

  19. Structural and electrical characteristics of CoGe(2) alloy films deposited heteroepitaxially on GaAs(100) using partially ionized beam deposition

    NASA Astrophysics Data System (ADS)

    Mello, Kevin Edward

    The partially ionized beam deposition system was utilized to deposit CoGesb2 thin films heteroepitaxially on GaAs(100) substrates in a conventional vacuum. The CoGesb2 films were structurally characterized using conventional 2theta diffraction, reflection X-ray pole figure analysis, and alpha particle channeling techniques. Three distinct crystallographic relationships of the CoGesb2 films to the GaAs(100) substrates were observed, dependent upon the substrate temperature and Gesp+ ion energy used during deposition. The CoGesb2(001) (100)sp°GaAs(100) (001) orientation, which has the smallest lattice mismatch to GaAs(100), was found to occur for depositions performed at a substrate temperature during deposition near 280sp°C with approximately 1160 eV Gesp+ ions. Lowering the substrate temperature or reducing the Gesp+ ion energy results in CoGesb2(100) orientation domination with CoGe2(100) (010)sp°GaAs(100) (001) and CoGesb2(100) (001)sp°GaAs(100) (001). Substrate temperature alone was seen to produce only the CoGesb2(100) orientation. For CoGesb2(001) films, additional energy was required from Gesp+ ions in the evaporant stream. Angular yield profiles for axial Hesp{++} ion channeling yielded values for the minimum yield, Ysb{min}, of 25% for the CoGesb2(001) orientation and 34% for the CoGesb2(100) orientation. The critical angle for channeling, Psisb{c}, was measured to be 1.0sp° for both orientations. Channeling theory was used to predict the minimum yield and critical angle for each orientation. The theoretical values agreed qualitatively with the experimentally measured values, and the theory correctly predicted the lower minimum yield for the CoGesb2(001) orientation. Annealing the films to allow for epitaxial grain growth resulted in orientation selection of CoGesb2(001) at the expense of CoGesb2(100), exposing CoGesb2(100) as a metastable orientation. The CoGesb2(001) films were stable up to 500sp°C, 30 minute anneals, showing no orientation changes

  20. Ellipsometric and optical study of some uncommon insulator films on 3-5 semiconductors

    NASA Technical Reports Server (NTRS)

    Alterovitz, S. A.; Warner, J. D.; Liu, D. C.; Pouch, J. J.

    1985-01-01

    Optical properties of three types of insulating films that show promise in potential applications in the 3-4 semiconductor technology were evaluated, namely a-C:H, BN and CaF2. The plasma deposited a-C:H shows an amorphous behavior with optical energy gaps of approximately 2 to 2.4 eV. These a-C:H films have higher density and/or hardness, higher refractive index and lower optical energy gaps with increasing energy of the particles in the plasma, while the density of states remains unchanged. These results are in agreement, and give a fine-tuned positive confirmation to an existing conjecture on the nature of a-C:H films (1). Ion beam deposited BN films show amorphous behavior with energy gap of 5 eV. These films are nonstoichiometric (B/N approximately 2) and have refractive index, density and/or hardness which are dependent on the deposition conditions. The epitaxially grown CaF2 on GaAs films have optical parameters equal to bulk, but evidence of damage was found in the GaAs at the interface.

  1. Characterization of PLD grown WO3 thin films for gas sensing

    NASA Astrophysics Data System (ADS)

    Boyadjiev, Stefan I.; Georgieva, Velichka; Stefan, Nicolaie; Stan, George E.; Mihailescu, Natalia; Visan, Anita; Mihailescu, Ion N.; Besleaga, Cristina; Szilágyi, Imre M.

    2017-09-01

    Tungsten trioxide (WO3) thin films were grown by pulsed laser deposition (PLD) with the aim to be applied in gas sensors. The films were studied by atomic force microscopy (AFM), X-ray diffraction (XRD), Fourier transform infrared (FTIR) spectroscopy and profilometry. To study the gas sensing behavior of these WO3 films, they were deposited on quartz resonators and the quartz crystal microbalance (QCM) method was applied to analyze their gas sensitivity. Synthesis of tetragonal-WO3 films starting from a target with predominantly monoclinic WO3 phase was observed. The films deposited at 300 °C presented a surface topology favorable for the sorption properties, consisting of a film matrix with protruding craters/cavities. QCM prototype sensors with such films were tested for NO2 sensing. The PLD grown WO3 thin films show good sensitivity and fast reaction at room temperature, even in as-deposited state. With the presented technology, the manufacturing of QCM gas sensors is simple, fast and cost-effective, and it is also suitable for energy-effective portable equipment for on-line monitoring of environmental changes.

  2. Atomic moments in Mn 2CoAl thin films analyzed by X-ray magnetic circular dichroism

    DOE PAGES

    Jamer, M. E.; Assaf, B. A.; Sterbinsky, G. E.; ...

    2014-12-05

    Spin gapless semiconductors are known to be strongly affected by structural disorder when grown epitaxially as thin films. The magnetic properties of Mn 2CoAl thin films grown on GaAs (001) substrates are investigated here as a function of annealing. This study investigates the atomic-specific magnetic moments of Mn and Co atoms measured through X-ray magnetic circular dichroism as a function of annealing and the consequent structural ordering. Results indicate that the structural distortion mainly affects the Mn atoms as seen by the reduction of the magnetic moment from its predicted value.

  3. Fermi energy control of vacancy coalescence and dislocation density in melt-grown GaAs

    NASA Technical Reports Server (NTRS)

    Lagowski, J.; Gatos, H. C.; Lin, D. G.; Aoyama, T.

    1984-01-01

    A striking effect of the Fermi energy on the dislocation density in melt-grown GaAs has been discovered. Thus, a shift of the Fermi energy from 0.1 eV above to 0.2 eV below its intrinsic value (at high temperature, i.e., near 1100 K) increases the dislocation density by as much as five orders of magnitude. The Fermi energy shift was brought about by n-type and p-type doping at a level of about 10 to the 17th per cu cm (under conditions of optimum partial pressure of As, i.e., under optimum melt stoichiometry). This effect must be associated with the fact that the Fermi energy controls the charge state of vacancies (i.e., the occupancy of the associated electronic states) which in turn must control their tendency to coalesce and thus the dislocation density. It appears most likely that gallium vacancies are the critical species.

  4. Design and fabrication of GaAs OMIST photodetector

    NASA Astrophysics Data System (ADS)

    Kang, Xuejun; Lin, ShiMing; Liao, Qiwei; Gao, Junhua; Liu, Shi'an; Cheng, Peng; Wang, Hongjie; Zhang, Chunhui; Wang, Qiming

    1998-08-01

    We designed and fabricated GaAs OMIST (Optical-controlled Metal-Insulator-Semiconductor Thyristor) device. Using oxidation of AlAs layer that is grown by MBE forms the Ultra- Thin semi-Insulating layer (UTI) of the GAAS OMIST. The accurate control and formation of high quality semi-insulating layer (AlxOy) are the key processes for fabricating GaAs OMIST. The device exhibits a current-controlled negative resistance region in its I-V characteristics. When illuminated, the major effect of optical excitation is the reduction of the switching voltage. If the GaAs OMIST device is biased at a voltage below its dark switching voltage Vs, sufficient incident light can switch OMIST from high impedance low current 'off' state to low impedance high current 'on' state. The absorbing material of OMIST is GaAS, so if the wavelength of incident light within 600 to approximately 850 nm can be detected effectively. It is suitable to be used as photodetector for digital optical data process. The other attractive features of GaAs OMIST device include suitable conducted current, switching voltage and power levels for OEIC, high switch speed and high sensitivity to light or current injection.

  5. Crystallographic orientation of epitaxial BaTiO3 films: The role of thermal-expansion mismatch with the substrate

    NASA Astrophysics Data System (ADS)

    Srikant, V.; Tarsa, E. J.; Clarke, D. R.; Speck, J. S.

    1995-02-01

    Expitaxial ferroelectric BaTiO3 thin films have been grown on (001) MgO and MgO-buffered (001) GaAs substrates by pulsed laser deposition to explore the effect of substrate lattice parameter. X-ray-diffraction studies showed that the BaTiO3 films on both MgO single-crystal substrates and MgO-buffered (001) GaAs substrates have a cube-on-cube epitaxy; however, for the BaTiO3 films grown on MgO the spacing of the planes parallel to the substrate was close to the c-axis dimension of the unconstrained tetragonal phase, whereas the BaTiO3 films on MgO/GaAs exhibited a spacing closer to the a-axis dimension of the unconstrained tetragonal phase. The cube-on-cube epitaxy was maintained through the heterostructures even when thin epitaxial intermediate buffer layers of SrTiO3 and La(0.5)Sr(0.5)CoO3 were used. The intermediate layers had no effect on the position of the BaTiO3 peak in theta - 2 theta scans. Together, these observations indicate that, for the materials combinations studied, it is the thermal-expansion mismatch between the film and the underlying substrate that determines the crystallographic orientation of the BaTiO3 film. Preliminary measurements indicate that the BaTiO3 films are 'weakly' ferroelectric.

  6. Lateral epitaxial overgowth of GaAs by organometallic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Gale, R. P.; Mcclelland, R. W.; Fan, J. C. C.; Bozler, C. O.

    1982-01-01

    Lateral epitaxial overgrowth of GaAs by organometallic chemical vapor deposition has been demonstrated. Pyrolytic decomposition of trimethylgallium and arsine, without the use of HCl, was used to deposit GaAs on substrates prepared by coating (110) GaAs wafers with SiO2, then using photolithography to open narrow stripes in the oxide. Lateral overgrowth was seeded by epitaxial deposits formed on the GaAs surfaces exposed by the stripe openings. The extent of lateral overgrowth was investigated as a function of stripe orientation and growth temperature. Ratios of lateral to vertical growth rates greater than five have been obtained. The lateral growth is due to surface-kinetic control for the two-dimensional growth geometry studied. A continuous epitaxial GaAs layer 3 microns thick has been grown over a patterned mask on a GaAs substrate and then cleaved from the substrate.

  7. Wafer-scale layer transfer of GaAs and Ge onto Si wafers using patterned epitaxial lift-off

    NASA Astrophysics Data System (ADS)

    Mieda, Eiko; Maeda, Tatsuro; Miyata, Noriyuki; Yasuda, Tetsuji; Kurashima, Yuichi; Maeda, Atsuhiko; Takagi, Hideki; Aoki, Takeshi; Yamamoto, Taketsugu; Ichikawa, Osamu; Osada, Takenori; Hata, Masahiko; Ogawa, Arito; Kikuchi, Toshiyuki; Kunii, Yasuo

    2015-03-01

    We have developed a wafer-scale layer-transfer technique for transferring GaAs and Ge onto Si wafers of up to 300 mm in diameter. Lattice-matched GaAs or Ge layers were epitaxially grown on GaAs wafers using an AlAs release layer, which can subsequently be transferred onto a Si handle wafer via direct wafer bonding and patterned epitaxial lift-off (ELO). The crystal properties of the transferred GaAs layers were characterized by X-ray diffraction (XRD), photoluminescence, and the quality of the transferred Ge layers was characterized using Raman spectroscopy. We find that, after bonding and the wet ELO processes, the quality of the transferred GaAs and Ge layers remained the same compared to that of the as-grown epitaxial layers. Furthermore, we realized Ge-on-insulator and GaAs-on-insulator wafers by wafer-scale pattern ELO technique.

  8. Field emission from amorphous carbon films grown by electrochemical deposition using methanol liquid

    NASA Astrophysics Data System (ADS)

    Kiyota, H.; Higashi, M.; Kurosu, T.; Iida, M.

    2006-05-01

    The field emission from an amorphous carbon (a-C) film grown by electrochemical deposition has been studied. The deposition of the a-C film was accomplished by applying a direct-current potential to a substrate that was immersed in methanol. Both scanning electron microscopy and Raman results indicate that smooth and homogeneous a-C films are grown on specific substrates such as Ti and Al. Field emission measurements demonstrate excellent emission properties such as threshold fields as low as 5 V/μm. Enhancement factors are estimated to be in the range of 1300-1500; these are attributed to local field enhancements around sp2 carbon clusters that are embedded in the a-C films. Emission properties of a-C films grown on Si exhibit a current saturation under higher applied fields. These saturation characteristics are explained by effects of a potential barrier at the interface between the a-C film and the substrate. The interface barrier is reduced by formation of the Ti interfacial layer, suggesting that the formation of TiC decreases the contact resistance between the substrate and the a-C film. Therefore, an approach to use carbide formation at the interface is verified as useful to improve the emission properties of a-C films.

  9. Defect interactions in GaAs single crystals

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.; Lagowski, J.

    1984-01-01

    The two-sublattice structural configuration of GaAs and deviations from stoichiometry render the generation and interaction of electrically active point defects (and point defect complexes) critically important for device applications and very complex. Of the defect-induced energy levels, those lying deep into the energy band are very effective lifetime ""killers". The level 0.82 eV below the condition band, commonly referred to as EL2, is a major deep level, particularly in melt-grown GaAs. This level is associated with an antisite defect complex (AsGa - VAS). Possible mechanisms of its formation and its annihilation were further developed.

  10. Comparative study of textured and epitaxial ZnO films

    NASA Astrophysics Data System (ADS)

    Ryu, Y. R.; Zhu, S.; Wrobel, J. M.; Jeong, H. M.; Miceli, P. F.; White, H. W.

    2000-06-01

    ZnO films were synthesized by pulsed laser deposition (PLD) on GaAs and α-Al 2O 3 substrates. The properties of ZnO films on GaAs and α-Al 2O 3 have been investigated to determine the differences between epitaxial and textured ZnO films. ZnO films on GaAs show very strong emission features associated with exciton transitions as do ZnO films on α-Al 2O 3, while the crystalline structural qualities for ZnO films on α-Al 2O 3 are much better than those for ZnO films on GaAs. The properties of ZnO films are studied by comparing highly oriented, textured ZnO films on GaAs with epitaxial ZnO films on α-Al 2O 3 synthesized along the c-axis.

  11. Luminescence and Electroluminescence of Nd, Tm and Yb Doped GaAs and some II-Vi Compounds

    DTIC Science & Technology

    1994-02-28

    from the bandgap discontinuity (as was proposed in my publications [1,2]). Also, by using superlattice structure A1GaAs / GaAs: Er / AlGaAs, we could...n ipact ightemiting evic 10 3. The AlGaAs/GaAs: Er/A1GaAs superlattice structure. For the first time we designed the unipolar n’ - superlattice - n...structure as shown in Figure 5. The GaAs: Er/Alo.45Gao.55As superlattice was grown by MBE on an n’ GaAs: Si substrate. It consisted of 60 periods of

  12. Structural, optical and electrical properties of well-ordered ZnO nanowires grown on (1 1 1) oriented Si, GaAs and InP substrates by electrochemical deposition method

    NASA Astrophysics Data System (ADS)

    Pham, Huyen T.; Nguyen, Tam D.; Tran, Dat Q.; Akabori, Masashi

    2017-05-01

    ZnO semiconductors, especially in form of nanomaterials, possess many excellent properties and have been employed in many applications. In this article, we reported the selective area growth of ZnO nanowires on different (1 1 1) oriented Si, GaAs, and first time on InP substrates by electrochemical deposition method without any seed layers, using zinc nitrate hexahydrate precursor in the presence of hexamethylenetetramine. The position, density and orientation of such ZnO nanowires were controlled by the substrate patterning technique using electron-beam lithography. As-synthesized ZnO nanowires grown on patterned substrates show smaller diameter, higher density and better orientation, compared to the one grown on unpatterned substrates. In particular, the ZnO nanowires grown on GaAs patterned substrate indicate the best morphological property, with the average diameter, length and density of about 100 nm, 2.4 µm and 35 µm-2, respectively. The x-ray diffraction and Raman scattering also demonstrate high crystalline quality of our ZnO nanowires. Moreover, as-reported ZnO nanowires are also conductive, which would allow their use in field-effect transistor and other potential nanoscale device applications.

  13. Luminescence of III-IV-V thin film alloys grown by metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Jia, Roger; Zhu, Tony; Bulović, Vladimir; Fitzgerald, Eugene A.

    2018-05-01

    III-IV-V heterovalent alloys have the potential to satisfy the need for infrared bandgap materials that also have lattice constants near GaAs. In this work, significant room temperature photoluminescence is reported for the first time in high quality III-IV-V alloys grown by metalorganic chemical vapor deposition. Pronounced phase separation, a characteristic suspected to quench luminescence in the alloys in the past, was successfully inhibited by a modified growth process. Small scale composition fluctuations were observed in the alloys; higher growth temperatures resulted in fluctuations with a striated morphology, while lower growth temperatures resulted in fluctuations with a speckled morphology. The composition fluctuations cause bandgap narrowing in the alloys—measurements of various compositions of (GaAs)1-x(Ge2)x alloys reveal a maximum energy transition of 0.8 eV under 20% Ge composition rather than a continuously increasing transition with the decreasing Ge composition. Additionally, luminescence intensity decreased with the decreasing Ge composition. The alloys appear to act as a Ge-like solid penetrating a GaAs lattice, resulting in optical properties similar to those of Ge but with a direct-bandgap nature; a decrease in the Ge composition corresponds to a reduction in the light-emitting Ge-like material within the lattice. An energy transition larger than 0.8 eV was obtained through the addition of silicon to the (GaAs)1-x(Ge2)x alloy. The results indicate significant promise for III-IV-V alloys as potential materials for small bandgap optical devices with previously unachievable lattice constants.

  14. Germanium- and tellurium-doped GaAs for non-alloyed p-type and n-type ohmic contacts

    NASA Astrophysics Data System (ADS)

    Park, Joongseo; Barnes, Peter A.; Lovejoy, Michael L.

    1995-08-01

    Epitaxial ohmic contacts to GaAs were grown by liquid phase epitaxy. Heavily Ge-doped GaAs was grown to prepare ohmic contacts to p-GaAs while Te was used for the n-type contacts. Hall measurements were carried out for the samples grown from melts in which the mole fraction of Ge was varied between 1.55 atomic % and 52.2 atomic %, while the Te mole fractions varied between 0.03% and 0.5%. Specific contact resistance, rc, as low as rcp=2.9×10-6 ohm-cm 2 for Ge doping of p=(Na-Nd)=6.0×1019 holes/cm3 was measured for p-contacts and rcn=9.6×10-5 ohm-cm2 was measured for Te doping of n=(Nd-Na)=8.9×1018 electrons/cm3 for GaAs metallized with non-alloyed contacts of Ti/Al.

  15. High Quality GaAs Growth by MBE on Si Using GeSi Buffers and Prospects for Space Photovoltaics

    NASA Technical Reports Server (NTRS)

    Carlin, J. A.; Ringel, S. A.; Fitzgerald, E. A.; Bulsara, M.

    2005-01-01

    III-V solar cells on Si substrates are of interest for space photovoltaics since this would combine high performance space cells with a strong, lightweight and inexpensive substrate. However, the primary obstacles blocking III-V/Si cells from achieving high performance to date have been fundamental materials incompatabilities, namely the 4% lattice mismatch between GaAs and Si, and the large mismatch in thermal expansion coefficient. In this paper, we report on the molecular beam epitaxial (MBE) growth and properties of GaAs layers and single junction GaAs cells on Si wafers which utilize compositionally graded GeSi Intermediate buffers grown by ultra-high vacuum chemical vapor deposition (UHVCVD) to mitigate the large lattice mismatch between GaAs and Si. Ga As cell structures were found to incorporate a threading dislocation density of 0.9-1.5 x 10 (exp 6) per square centimeter, identical to the underlying relaxed Ge cap of the graded buffer, via a combination of transmission electron microscopy, electron beam induced current, and etch pit density measurements. AlGaAs/GaAs double heterostructures wre grown on the GeSi/Si substrates for time-resolved photoluminescence measurements, which revealed a bulk GaAs minority carrier lifetime in excess of 10 ns, the highest lifetime ever reported for GaAs on Si. A series of growth were performed to ass3ss the impact of a GaAs buffer to a thickness of only 0.1 micrometer. Secondary ion mass spectroscopy studies revealed that there is negligible cross diffusion of Ga, As and Ge at he III-V/Ge interface, identical to our earlier findings for GaAs grown on Ge wafers using MBE. This indicates that there is no need for a buffer to "bury" regions of high autodopjing,a nd that either pn or np configuration cells are easily accomodated by these substrates. Preliminary diodes and single junction Al Ga As heteroface cells were grown and fabricated on the Ge/GeSi/Si substrates for the first time. Diodes fabricated on GaAs, Ge and Ge

  16. Room temperature direct band gap emission characteristics of surfactant mediated grown compressively strained Ge films

    NASA Astrophysics Data System (ADS)

    Katiyar, Ajit K.; Grimm, Andreas; Bar, R.; Schmidt, Jan; Wietler, Tobias; Joerg Osten, H.; Ray, Samit K.

    2016-10-01

    Compressively strained Ge films have been grown on relaxed Si0.45Ge0.55 virtual substrates using molecular beam epitaxy in the presence of Sb as a surfactant. Structural characterization has shown that films grown in the presence of surfactant exhibit very smooth surfaces with a relatively higher strain value in comparison to those grown without any surfactant. The variation of strain with increasing Ge layer thickness was analyzed using Raman spectroscopy. The strain is found to be reduced with increasing film thickness due to the onset of island nucleation following Stranski-Krastanov growth mechanism. No phonon assisted direct band gap photoluminescence from compressively strained Ge films grown on relaxed Si0.45Ge0.55 has been achieved up to room temperature. Excitation power and temperature dependent photoluminescence have been studied in details to investigate the origin of different emission sub-bands.

  17. Magnesium doping of efficient GaAs and Ga(0.75)In(0.25)As solar cells grown by metalorganic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Lewis, C. R.; Ford, C. W.; Werthen, J. G.

    1984-01-01

    Magnesium has been substituted for zinc in GaAs and Ga(0.75)In(0.25)As solar cells grown by metalorganic chemical vapor deposition (MOCVD). Bis(cyclopentadienyl)magnesium (Cp2Mg) is used as the MOCVD transport agent for Mg. Full retention of excellent material quality and efficient cell performance results. The substitution of Mg for Zn would enhance the abruptness and reproducibility of doping profiles, and facilitate high temperature processing and operation, due to the much lower diffusion coefficient of Mg, relative to Zn, in these materials.

  18. Magnetic anisotropy and transport properties of 70 nm SrRuO3 films grown on different substrates

    NASA Astrophysics Data System (ADS)

    Wang, X. W.; Zhang, Y. Q.; Meng, H.; Wang, Z. J.; Li, D.; Zhang, Z. D.

    2011-04-01

    Magnetic and transport properties of 70 nm SrRuO3 films grown on (001) SrTiO3, (001) LaAlO3 and (001) MgO have been investigated. A perpendicular magnetic anisotropy is observed in compressive strained films grown on SrTiO3. A weaker perpendicular magnetic anisotropy and a weak in-plane magnetic anisotropy are found in strain-free films grown on MgO and LAO, respectively, possibly due to different growth mechanisms. In addition, metallic behavior is observed in all the as-grown films and the resistivity of the film grown on MgO is lowest (230 μΩ cm at 300 K), which is close to that of bulk single crystal SrRuO3 (about 195 μΩ cm). The relation between structure and properties indicates that the magnetic anisotropy, as well as the magnitude of resistivity of SrRuO3 films, can be effectively tailored by taking advantage of different strains and growth mechanisms induced by growth on different substrates.

  19. Nanostructured light-absorbing crystalline CuIn(1-x)GaxSe2 thin films grown through high flux, low energy ion irradiation

    NASA Astrophysics Data System (ADS)

    Hall, Allen J.; Hebert, Damon; Shah, Amish B.; Bettge, Martin; Rockett, Angus A.

    2013-10-01

    A hybrid effusion/sputtering vacuum system was modified with an inductively coupled plasma (ICP) coil enabling ion assisted physical vapor deposition of CuIn1-xGaxSe2 thin films on GaAs single crystals and stainless steel foils. With <80 W rf power to the ICP coil at 620-740 °C, film morphologies were unchanged compared to those grown without the ICP. At low temperature (600-670 °C) and high rf power (80-400 W), a light absorbing nanostructured highly anisotropic platelet morphology was produced with surface planes dominated by {112}T facets. At 80-400 W rf power and 640-740 °C, both interconnected void and small platelet morphologies were observed while at >270 W and above >715 °C nanostructured pillars with large inter-pillar voids were produced. The latter appeared black and exhibited a strong {112}T texture with interpillar twist angles of ±8°. Application of a negative dc bias of 0-50 V to the film during growth was not found to alter the film morphology or stoichiometry. The results are interpreted as resulting from the plasma causing strong etching favoring formation of {112}T planes and preferential nucleation of new grains, balanced against conventional thermal diffusion and normal growth mechanisms at higher temperatures. The absence of effects due to applied substrate bias suggests that physical sputtering or ion bombardment effects were minimal. The nanostructured platelet and pillar films were found to exhibit less than one percent reflectivity at angles up to 75° from the surface normal.

  20. Green, yellow and bright red (In,Ga,Al)P-GaP diode lasers grown on high-index GaAs substrates

    NASA Astrophysics Data System (ADS)

    Ledentsov, N. N.; Shchukin, V. A.; Shernyakov, Yu. M.; Kulagina, M. M.; Payusov, A. S.; Gordeev, N. Yu.; Maximov, M. V.; Cherkashin, N. A.

    2017-02-01

    Low threshold current density (<400 A/cm2) injection lasing in (AlxGa1-x)0.5In0.5P-GaAs-based diodes down to the green spectral range (<570 nm) is obtained. The epitaxial structures are grown on high-index (611)A and (211)A GaAs substrates by metal-organic vapor phase epitaxy and contain tensile-strained GaP-enriched insertions aimed at preventing escape of the injected nonequilibrium electrons from the active region. Extended waveguide concept results in a vertical beam divergence with a full width at half maximum of 15o for (611)A substrates. The lasing at 569 nm is realized at 85 K. In the orange-red laser diode structure low threshold current density (200 A/cm2) in the orange spectral range (598 nm) is realized at 85 K. The latter devices demonstrate room temperature lasing at 628 nm at 2 kA/cm2 and a total power above 3W. The red laser diodes grown on (211)A substrates demonstrate vertically multimode lasing far field pattern indicating a lower optical confinement factor for the fundamental mode as compared to the devices grown on (611)A. However the temperature stability of the threshold current and the wavelength stability are significantly higher for (211)A-grown structures in agreement with the conduction band modeling data.

  1. Effect of carbon tetrabromide on the morphology of GaAs nanowires.

    PubMed

    Salehzadeh, O; Watkins, S P

    2011-04-22

    Carbon is a commonly used p-type dopant in planar III-V semiconductors, however its use in nanowire (NW) growth has been much less reported. In this work we show that the morphology of gold assisted GaAs NWs can be strongly modified by the presence of CBr(4) vapor during growth by metalorganic vapor phase epitaxy. GaAs NWs were grown under conditions which result in strong tapering and lateral growth at low growth temperatures by the use of triethylgallium (TEGa) instead of the more usual precursor, trimethylgallium (TMGa). Under these conditions, NWs grown in the presence of CBr(4) exhibit higher axial and lower radial growth rates, and negligible tapering compared with NWs grown in the absence of CBr(4) under the same conditions. We attribute this primarily to the suppression of the 2d growth rate by CBr(4), which enhances the axial growth rate of the nanowires. NWs grown with CBr(4) show stacking-fault-free zincblende structure, while the NWs grown without CBr(4) show a high density of stacking faults. This work underlines the striking effects which precursor chemistry can have on nanowire morphology.

  2. Method of fabricating low-dislocation-density epitaxially-grown films with textured surfaces

    DOEpatents

    Li, Qiming; Wang, George T

    2015-01-13

    A method for forming a surface-textured single-crystal film layer by growing the film atop a layer of microparticles on a substrate and subsequently selectively etching away the microparticles to release the surface-textured single-crystal film layer from the substrate. This method is applicable to a very wide variety of substrates and films. In some embodiments, the film is an epitaxial film that has been grown in crystallographic alignment with respect to a crystalline substrate.

  3. Surface structure analysis of BaSi2(100) epitaxial film grown on Si(111) using CAICISS

    NASA Astrophysics Data System (ADS)

    Okasaka, Shouta; Kubo, Osamu; Tamba, Daiki; Ohashi, Tomohiro; Tabata, Hiroshi; Katayama, Mitsuhiro

    2015-05-01

    Geometry and surface structure of a BaSi2(100) film on Si(111) formed by reactive deposition epitaxy (RDE) have been investigated using coaxial impact-collision ion scattering spectroscopy and atomic force microscopy. BaSi2(100) film can be grown only when the Ba deposition rate is sufficiently fast. It is revealed that a BaSi2(100) film grown at 600 °C has better crystallinity than a film grown at 750 °C owing to the mixture of planes other than (100) in the RDE process at higher temperatures. The azimuth angle dependence of the scattering intensity from Ba shows sixfold symmetry, indicating that the minimum height of surface steps on BaSi2(100) is half of the length of unit cell. By comparing the simulated azimuth angle dependences for more than ten surface models with experimental one, it is strongly indicated that the surface of a BaSi2(100) film grown on Si(111) is terminated by Si tetrahedra.

  4. High quality TmIG films with perpendicular magnetic anisotropy grown by sputtering

    NASA Astrophysics Data System (ADS)

    Wu, C. N.; Tseng, C. C.; Yeh, S. L.; Lin, K. Y.; Cheng, C. K.; Fanchiang, Y. T.; Hong, M.; Kwo, J.

    Ferrimagnetic thulium iron garnet (TmIG) films grown on gadolinium gallium garnet substrates recently showed stress-induced perpendicular magnetic anisotropy (PMA), attractive for realization of quantum anomalous Hall effect (QAHE) of topological insulator (TI) films via the proximity effect. Moreover, current induced magnetization switching of Pt/TmIG has been demonstrated for the development of room temperature (RT) spintronic devices. In this work, high quality TmIG films (about 25nm) were grown by sputtering at RT followed by post-annealing. We showed that the film composition is tunable by varying the growth parameters. The XRD results showed excellent crystallinity of stoichiometric TmIG films with an out-of-plane lattice constant of 1.2322nm, a narrow film rocking curve of 0.017 degree, and a film roughness of 0.2 nm. The stoichiometric films exhibited PMA and the saturation magnetization at RT was 109 emu/cm3 (RT bulk value 110 emu/cm3) with a coercive field of 2.7 Oe. In contrast, TmIG films of Fe deficiency showed in-plane magnetic anisotropy. The high quality sputtered TmIG films will be applied to heterostructures with TIs or metals with strong spin-orbit coupling for novel spintronics.

  5. Photoluminescence spectroscopy and the effective mass theory of strained (In,Ga)As/GaAs heterostructures grown on (112)B GaAs substrates

    NASA Technical Reports Server (NTRS)

    Henderson, R. H.; Sun, D.; Towe, E.

    1995-01-01

    The photoluminescence characteristics of pseudomorphic In(0.19)Ga(0.81)As/GaAs quantum well structures grown on both the conventional (001) and the unconventional (112)B GaAs substrate are investigated. It is found that the emission spectra of the structures grown on the (112)B surface exhibit some spectral characteristics not observed on similar structures grown on the (001) surface. A spectral blue shift of the e yields hh1 transition with increasing optical pump intensity is observed for the quantum wells on the (112) surface. This shift is interpreted to be evidence of a strain-induced piezoelectric field. A second spectral feature located within the band gap of the In(0.19)Ga(0.81)As layer is also observed for the (112) structure; this feature is thought to be an impurity-related emission. The expected transition energies of the quantum well structures are calculated using the effective mass theory based on the 4 x 4 Luttinger valence band Hamiltonian, and related strain Hamiltonian.

  6. Probability of twin formation on self-catalyzed GaAs nanowires on Si substrate

    PubMed Central

    2012-01-01

    We attempted to control the incorporation of twin boundaries in self-catalyzed GaAs nanowires (NWs). Self-catalyzed GaAs NWs were grown on a Si substrate under various arsenic pressures using molecular beam epitaxy and the vapor-liquid-solid method. When the arsenic flux is low, wurtzite structures are dominant in the GaAs NWs. On the other hand, zinc blende structures become dominant as the arsenic flux rises. We discussed this phenomenon on the basis of thermodynamics and examined the probability of twin-boundary formation in detail. PMID:23043754

  7. Stress-induced magnetic properties of PLD-grown high-quality ultrathin YIG films

    NASA Astrophysics Data System (ADS)

    Bhoi, Biswanath; Kim, Bosung; Kim, Yongsub; Kim, Min-Kwan; Lee, Jae-Hyeok; Kim, Sang-Koog

    2018-05-01

    Yttrium iron garnet (YIG:Y3Fe5O12) thin films were grown on (111) gadolinium gallium garnet (Gd3Ga5O12, GGG) substrates using pulsed-laser deposition under several different deposition and annealing conditions. X-ray diffraction measurements revealed that the crystallographical orientation of the YIG films is pseudomorphic to and the same as that of the GGG substrate, with a slight rhombohedral distortion along the surface normal. Furthermore, X-ray reciprocal space mapping evidenced that in-situ annealed YIG films during film growth are under compressive strain, whereas ex-situ annealed films have two different regions under compressive and tensile strain. The saturation magnetization ( 4 π M S ) of the films was found to vary, according to the deposition conditions, within the range of 1350 to 1740 G, with a very low coercivity of H C < 5 Oe. From ferromagnetic resonance (FMR) measurements, we estimated the effective saturation magnetization ( 4 π M e f f ) to be 1810 to 2530 G, which are larger than that of single crystalline bulk YIG (˜1750 G). Such high values of 4 π M e f f are attributable to the negative anisotropy field ( H U ) that increases in size with increasing compressive in-plane strain induced in YIG films. The damping constant ( α G ) of the grown YIG films was found to be quite sensitive to the strain employed. The lowest value of α G obtained was 2.8 × 10-4 for the case of negligible strain. These results suggest a means of tailoring H U and α G in the grown YIG films by the engineering of strain for applications in spintronics and magneto-optical devices.

  8. Gettering of donor impurities by V in GaAs and the growth of semi-insulating crystals

    NASA Technical Reports Server (NTRS)

    Ko, K. Y.; Lagowski, J.; Gatos, H. C.

    1989-01-01

    Vanadium added to the GaAs melt getters shallow donor impurities (Si and S) and decreases their concentration in the grown crystals. This gettering is driven by chemical reactions in the melt rather than in the solid. Employing V gettering, reproducibly semi-insulating GaAs were grown by horizontal Bridgman and liquid-encapsulated Czochralski techniques, although V did not introduce any midgap energy levels. The compensation mechanism in these crystals was controlled by the balance between the native midgap donor EL2 and residual shallow acceptors. Vanadium gettering contributed to the reduction of the concentration of shallow donors below the concentration of acceptors. The present findings clarify the long-standing controversy on the role of V in achieving semi-insulating GaAs.

  9. Epitaxial growth and photoluminescence of hexagonal CdS 1- xSe x alloy films

    NASA Astrophysics Data System (ADS)

    Grün, M.; Gerlach, H.; Breitkopf, Th.; Hetterich, M.; Reznitsky, A.; Kalt, H.; Klingshirn, C.

    1995-01-01

    CdSSe ternary alloy films were grown on GaAs(111) by hot-wall beam epitaxy. The hexagonal crystal phase is obtained. The composition varies from 0 to 40% selenium. Luminescence spectroscopy at low temperatures shows a dominant effect by alloy disorder. Localization of carriers, for example, is still observed at a pulsed optical excitation density of 6 mJ/cm 2. The overall quality of the CdSSe films is sufficient to use them as buffer layers for the growth of hexagonal superlattices.

  10. Wafer-Fused Orientation-Patterned GaAs

    DTIC Science & Technology

    2008-02-13

    frequencies utilizing existing industrial foundries. 15. SUBJECT TERMS Orientation-patterned Gallium Arsenide, hydride vapor phase epitaxy, quasi-phase... Gallium Arsenide, hydride vapor phase epitaxy, quasi-phase-matching, nonlinear frequency conversion 1. INTRODUCTION Quasi-phase-matching (QPM)1...and E. Lallier, “Second harmonic generation of CO2 laser using thick quasi-phase-matched GaAs layer grown by hydride vapour phase epitaxy

  11. GaAs Photovoltaics on Polycrystalline Ge Substrates

    NASA Technical Reports Server (NTRS)

    Wilt, David M.; Pal, AnnaMaria T.; McNatt, Jeremiah S.; Wolford, David S.; Landis, Geoffrey A.; Smith, Mark A.; Scheiman, David; Jenkins, Phillip P.; McElroy Bruce

    2007-01-01

    High efficiency III-V multijunction solar cells deposited on metal foil or even polymer substrates can provide tremendous advantages in mass and stowage, particularly for planetary missions. As a first step towards that goal, poly-crystalline p/i/n GaAs solar cells are under development on polycrystalline Ge substrates. Organo Metallic Vapor Phase Epitaxy (OMVPE) parameters for pre-growth bake, nucleation and deposition have been examined. Single junction p/i/n GaAs photovoltaic devices, incorporating InGaP front and back window layers, have been grown and processed. Device performance has shown a dependence upon the thickness of a GaAs buffer layer deposited between the Ge substrate and the active device structure. A thick (2 m) GaAs buffer provides for both increased average device performance as well as reduced sensitivity to variations in grain size and orientation. Illumination under IR light (lambda > 1 micron), the cells showed a Voc, demonstrating the presence of an unintended photoactive junction at the GaAs/Ge interface. The presence of this junction limited the efficiency to approx.13% (estimated with an anti-refection coating) due to the current mismatch and lack of tunnel junction interconnect.

  12. Local electrical properties of thermally grown oxide films formed on duplex stainless steel surfaces

    NASA Astrophysics Data System (ADS)

    Guo, L. Q.; Yang, B. J.; He, J. Y.; Qiao, L. J.

    2018-06-01

    The local electrical properties of thermally grown oxide films formed on ferrite and austenite surfaces of duplex stainless steel at different temperatures were investigated by Current sensing atomic force microscopy, X-ray Photoelectron Spectroscopy (XPS) and Auger Electron Spectroscopy (AES). The current maps and XPS/AES analyses show that the oxide films covering austenite and ferrite surfaces formed at different temperatures exhibit different local electrical characteristics, thickness and composition. The dependence of electrical conductivity of oxide films covering austenite and ferrite surface on the formation temperature is attributed to the film thickness and semiconducting structures, which is intrinsically related to thermodynamics and kinetics process of film grown at different temperature. This is well elucidated by corresponding semiconductor band structures of oxide films formed on austenite and ferrite phases at different temperature.

  13. Epitaxial titanium diboride films grown by pulsed-laser deposition

    NASA Astrophysics Data System (ADS)

    Zhai, H. Y.; Christen, H. M.; Cantoni, C.; Goyal, A.; Lowndes, D. H.

    2002-03-01

    Epitaxial, smooth, and low-resistivity titanium diboride (TiB2) films have been grown on SiC substrates using pulsed-laser deposition. Combined studies from ex situ x-ray diffraction and in situ reflection high-energy electron diffraction indicate the crystallographic alignment between TiB2 and SiC both parallel and normal to the substrate. Atomic force microscopy and scanning electron microscopy studies show that these epitaxial films have a smooth surface, and the resistivity of these films is comparable to that of single-crystal TiB2. Growth of these films is motivated by this material's structural and chemical similarity and lattice match to the newly discovered superconductor MgB2, both to gain further insight into the physical mechanisms of diborides in general and, more specifically, as a component of MgB2-based thin-film heterostructures.

  14. Strain relaxation induced surface morphology of heterogeneous GaInNAs layers grown on GaAs substrate

    NASA Astrophysics Data System (ADS)

    Gelczuk, Ł.; Jóźwiak, G.; Moczała, M.; Dłużewski, P.; Dąbrowska-Szata, M.; Gotszalk, T. P.

    2017-07-01

    The partially-relaxed heterogeneous GaInNAs layers grown on GaAs substrate by atmospheric pressure vapor phase epitaxy (AP-MOVPE) were investigated by transmission electron microscopy (TEM) and atomic force microscopy (AFM). The planar-view TEM image shows a regular 2D network of misfit dislocations oriented in two orthogonal 〈1 1 0〉 crystallographic directions at the (0 0 1) layer interface. Moreover, the cross-sectional view TEM image reveals InAs-rich and V-shaped precipitates in the near surface region of the GaInNAs epitaxial layer. The resultant undulating surface morphology, known as a cross-hatch pattern, is formed as observed by AFM. The numerical analysis of the AFM image of the GaInNAs layer surface with the well-defined cross-hatch morphology enabled us to determine a lower bound of actual density of misfit dislocations. However, a close correspondence between the asymmetric distribution of interfacial misfit dislocations and undulating surface morphology is observed.

  15. Mid-Infrared Spectroscopy Platform Based on GaAs/AlGaAs Thin-Film Waveguides and Quantum Cascade Lasers.

    PubMed

    Sieger, Markus; Haas, Julian; Jetter, Michael; Michler, Peter; Godejohann, Matthias; Mizaikoff, Boris

    2016-03-01

    The performance and versatility of GaAs/AlGaAs thin-film waveguide technology in combination with quantum cascade lasers for mid-infrared spectroscopy in comparison to conventional FTIR spectroscopy is presented. Infrared radiation is provided by a quantum cascade laser (QCL) spectrometer comprising four tunable QCLs providing a wavelength range of 5-11 μm (1925-885 cm(-1)) within a single collimated beam. Epitaxially grown GaAs slab waveguides serve as optical transducer for tailored evanescent field absorption analysis. A modular waveguide mounting accessory specifically designed for on-chip thin-film GaAs waveguides is presented serving as a flexible analytical platform in lieu of conventional attenuated total reflection (ATR) crystals uniquely facilitating macroscopic handling and alignment of such microscopic waveguide structures in real-world application scenarios.

  16. Nucleant layer effect on nanocolumnar ZnO films grown by electrodeposition

    NASA Astrophysics Data System (ADS)

    Tolosa, Maria D. Reyes; Damonte, Laura C.; Brine, Hicham; Bolink, Henk J.; Hernández-Fenollosa, María A.

    2013-03-01

    Different ZnO nanostructured films were electrochemically grown, using an aqueous solution based on ZnCl2, on three types of transparent conductive oxides grow on commercial ITO (In2O3:Sn)-covered glass substrates: (1) ZnO prepared by spin coating, (2) ZnO prepared by direct current magnetron sputtering, and (3) commercial ITO-covered glass substrates. Although thin, these primary oxide layers play an important role on the properties of the nanostructured films grown on top of them. Additionally, these primary oxide layers prevent direct hole combination when used in optoelectronic devices. Structural and optical characterizations were carried out by scanning electron microscopy, atomic force microscopy, and optical transmission spectroscopy. We show that the properties of the ZnO nanostructured films depend strongly on the type of primary oxide-covered substrate used. Previous studies on different electrodeposition methods for nucleation and growth are considered in the final discussion.

  17. Nucleant layer effect on nanocolumnar ZnO films grown by electrodeposition.

    PubMed

    Tolosa, Maria D Reyes; Damonte, Laura C; Brine, Hicham; Bolink, Henk J; Hernández-Fenollosa, María A

    2013-03-23

    Different ZnO nanostructured films were electrochemically grown, using an aqueous solution based on ZnCl2, on three types of transparent conductive oxides grow on commercial ITO (In2O3:Sn)-covered glass substrates: (1) ZnO prepared by spin coating, (2) ZnO prepared by direct current magnetron sputtering, and (3) commercial ITO-covered glass substrates. Although thin, these primary oxide layers play an important role on the properties of the nanostructured films grown on top of them. Additionally, these primary oxide layers prevent direct hole combination when used in optoelectronic devices. Structural and optical characterizations were carried out by scanning electron microscopy, atomic force microscopy, and optical transmission spectroscopy. We show that the properties of the ZnO nanostructured films depend strongly on the type of primary oxide-covered substrate used. Previous studies on different electrodeposition methods for nucleation and growth are considered in the final discussion.

  18. Oxygen in GaAs - Direct and indirect effects

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.; Skowronski, M.; Pawlowicz, L.; Lagowski, J.

    1984-01-01

    Oxygen has profound effects on the key electronic properties and point defects of GaAs crystals. Thus, when added in the growth system, it decreases the free electron concentration and enhances the concentration of deep donors in the resulting crystals. Both of these effects are highly beneficial for achieving semi-insulating material and have been utilized for that purpose. They have been attributed to the tendency of oxygen to getter silicon impurities during crystal growth. Only recently, it has been found that oxygen in GaAs introduces also a midgap level, ELO, with essentially the same activation energy as EL2 but with four times greater electron capture cross section. The present report reassesses the electrical and optical properties of the midgap levels in GaAs crystals grown by the horizontal Bridgman (HB) and the Czochralski-LEC techniques. Emphasis is placed on the identification of the specific effects of ELO.

  19. Positronium formation in SiO2 films grown on Si substrates studied by monoenergetic positron beams

    NASA Astrophysics Data System (ADS)

    Uedono, A.; Wei, L.; Tanigawa, S.; Suzuki, R.; Ohgaki, H.; Mikado, T.; Kawano, T.; Ohji, Y.

    1994-04-01

    The annihilation characteristics of positrons in SiO2 films grown on Si substrates were studied by using monoenergetic positron beams. Doppler broadening profiles of the annihilation radiation and lifetime spectra of positrons were measured as a function of incident positron energy for SiO2 (166 nm)/Si specimens fabricated by thermal oxidation. From the measurements, it was found that about 90% of positrons implanted into the SiO2 film annihilate from positronium (Ps) states. This fact was due to the trapping of positrons by open-space defects and a resultant enhanced formation of Ps in such regions. For the SiO2 film grown at 650 °C, the lifetime of ortho-Ps was found to be shorter than that in the film grown at 1000 °C. This result suggests that the volume of open-space defects in the SiO2 film decreased with decreasing the growth rate of the SiO2 film.

  20. Structural and optical characterization of NiSe film grown by screen-printing method

    NASA Astrophysics Data System (ADS)

    Sharma, Kapil; Sharma, D. K.; Dwivedi, D. K.; Kumar, Vipin

    2018-05-01

    In present investigation NiSe films were grown by economical screen-printing method. Optimum conditions for growing good quality screen-printed films were found. The films were characterized for their structural and optical properties. The polycrystalline nature of films with hexagonal structure was confirmed through XRD analysis. Direct type of optical band gap of 1.75 eV for the NiSe film was confirmed by optical characterization.

  1. Metal-insulator transition characteristics of VO2 thin films grown on Ge(100) single crystals

    NASA Astrophysics Data System (ADS)

    Yang, Z.; Ko, C.; Ramanathan, S.

    2010-10-01

    Phase transitions exhibited by correlated oxides could be of potential relevance to the emerging field of oxide electronics. We report on the synthesis of high-quality VO2 thin films grown on single crystal Ge(100) substrates by physical vapor deposition and their metal-insulator transition (MIT) properties. Thermally triggered MIT is demonstrated with nearly three orders of magnitude resistance change across the MIT with transition temperatures of 67 °C (heating) and 61 °C (cooling). Voltage-triggered hysteretic MIT is observed at room temperature at threshold voltage of ˜2.1 V for ˜100 nm thickness VO2 films. Activation energies for electron transport in the insulating and conducting states are obtained from variable temperature resistance measurements. We further compare the properties of VO2 thin films grown under identical conditions on Si(100) single crystals. The VO2 thin films grown on Ge substrate show higher degree of crystallinity, slightly reduced compressive strain, larger resistance change across MIT compared to those grown on Si. Depth-dependent x-ray photoelectron spectroscopy measurements were performed to provide information on compositional variation trends in the two cases. These results suggest Ge could be a suitable substrate for further explorations of switching phenomena and devices for thin film functional oxides.

  2. Effect of Hydrogen in Zinc Oxide Thin-Film Transistor Grown by Metal Organic Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Jo, Jungyol; Seo, Ogweon; Jeong, Euihyuk; Seo, Hyunseok; Lee, Byeongon; Choi, Yearn-Ik

    2007-04-01

    We studied the transport characteristics of ZnO grown by metal organic chemical vapor deposition (MOCVD) at temperatures between 200 and 500 °C. The crystal quality, measured by X-ray diffraction, improved as the growth temperature increased. However, the mobility measured in the thin-film transistor (TFT) decreased in films grown at higher temperatures. In our experiments, a ZnO TFT grown at 250 °C showed good electrical characteristics, with a 13 cm2 V-1 s-1 mobility and a 103 on/off ratio. We conclude that hydrogen incorporated during MOCVD growth plays an important role in determining the transistor characteristics. This was supported by results of secondary ion mass spectroscopy (SIMS), where a higher hydrogen concentration was observed in films grown at lower temperatures.

  3. Heteroepitaxial growth of GaAs on (100) Ge/Si using migration enhanced epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tanoto, H.; Loke, W. K.; Yoon, S. F.

    In this paper, heteroepitaxial growth of GaAs on nominal (100) Ge/Si substrate was investigated. The root-mean square surface roughness of the sample where the first few monolayers of the GaAs were nucleated by migration enhanced epitaxy (MEE) is four times smaller compared to the sample without such a process, indicating better surface planarity. From the (004) x-ray diffraction rocking curve measurement, the full width at half maximum of the GaAs layer nucleated by MEE is 40% lower compared to that of the GaAs layer without such a process, indicating better crystal quality. Furthermore, it was found that the sample wheremore » the GaAs layer was nucleated by MEE experienced early relaxation. As the MEE process promotes two-dimensional growth, the GaAs layer where nucleation was initiated by such a process has fewer islandlike formations. This leads to a pseudomorphically grown GaAs layer, which experiences higher strain compared to the GaAs layer with more islandlike formations, where most relaxation occurs on the free surface of the islands. Therefore, for the same layer thickness, the GaAs layer on (100) Ge/Si substrate where nucleation was initiated by MEE relaxed first.« less

  4. Integration of GaAs vertical-cavity surface emitting laser on Si by substrate removal

    NASA Astrophysics Data System (ADS)

    Yeh, Hsi-Jen J.; Smith, John S.

    1994-03-01

    The successful integration of strained quantum well InGaAs vertical-cavity surface-emitting lasers (VCSELs) on both Si and Cu substrates was described using a GaAs substrate removal technique. The GaAs VCSEL structure was metallized and bonded to the Si substrate after growth. The GaAs substrate was then removed by selective chemical wet etching. Finally, the bonded GaAs film metallized on the top (emitting) side and separate lasers were defined. This is the first time a VCSEL had been integrated on a Si substrate with its substrate removed. The performance enhancement of GaAs VCSELs bonded on good thermal conductors are demonstrated.

  5. GaAs buffer layer technique for vertical nanowire growth on Si substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xu, Xiaoqing, E-mail: steelxu@stanford.edu; Parizi, Kokab B.; Huo, Yijie

    2014-02-24

    Gold catalyzed vapor-liquid-solid method is widely applied to III–V nanowire (NW) growth on Si substrate. However, the easy oxidation of Si, possible Si contamination in the NWs, high defect density in the NWs, and high sensitivity of the NW morphology to growth conditions largely limit its controllability. In this work, we developed a buffer layer technique by introducing a GaAs thin film with predefined polarity as a template. It is found that samples grown on these buffer layers all have high vertical NW yields in general, due to the single-orientation of the buffer layers. Low temperature buffer with smoother surfacemore » leads to highest yield of vertical NWs, while high temperature (HT) buffer with better crystallinity results in perfect NW quality. The defect-free property we observed here is very promising for optoelectronic device applications based on GaAs NW. Moreover, the buffer layers can eliminate Si contamination by preventing Si-Au alloy formation and by increasing the thickness of the Si diffusion barrier, thus providing more flexibility to vertical NW growth. The buffer layer technique we demonstrated here could be easily extended to other III-V on Si system for electronic and photonic applications.« less

  6. Nucleant layer effect on nanocolumnar ZnO films grown by electrodeposition

    PubMed Central

    2013-01-01

    Different ZnO nanostructured films were electrochemically grown, using an aqueous solution based on ZnCl2, on three types of transparent conductive oxides grow on commercial ITO (In2O3:Sn)-covered glass substrates: (1) ZnO prepared by spin coating, (2) ZnO prepared by direct current magnetron sputtering, and (3) commercial ITO-covered glass substrates. Although thin, these primary oxide layers play an important role on the properties of the nanostructured films grown on top of them. Additionally, these primary oxide layers prevent direct hole combination when used in optoelectronic devices. Structural and optical characterizations were carried out by scanning electron microscopy, atomic force microscopy, and optical transmission spectroscopy. We show that the properties of the ZnO nanostructured films depend strongly on the type of primary oxide-covered substrate used. Previous studies on different electrodeposition methods for nucleation and growth are considered in the final discussion. PMID:23522332

  7. Shubnikov-de Haas measurements of the 2-D electron gas in pseudomorphic In(0.1)Ga(0.9)As grown on GaAs

    NASA Technical Reports Server (NTRS)

    Szydlic, P. P.; Alterovitz, S. A.; Haugland, E. J.; Segall, B.; Henderson, T. S.

    1988-01-01

    Shubnikov-de Hass (SdH) measurements performed on a 200 A layer of pseudomorphic In(0.10)Ga(0.90)As grown by MBE on undoped GaAs with an overlayer of Al(0.15)Ga(0.85)As are presented. These measurements were performed in magnetic fields up to 1.4 tesla at T in the range of 1.4-10 K. It was found that only one subband was populated with a density of 5.8 x 10 to the 11/cm-squared and an effective mass at the Fermi level m(asterisk) = (0.060 + or - 0.001)m(0).

  8. Photoluminescence and Band Alignment of Strained GaAsSb/GaAs QW Structures Grown by MBE on GaAs

    PubMed Central

    Sadofyev, Yuri G.; Samal, Nigamananda

    2010-01-01

    An in-depth optimization of growth conditions and investigation of optical properties including discussions on band alignment of GaAsSb/GaAs quantum well (QW) on GaAs by molecular beam epitaxy (MBE) are reported. Optimal MBE growth temperature of GaAsSb QW is found to be 470 ± 10 °C. GaAsSb/GaAs QW with Sb content ~0.36 has a weak type-II band alignment with valence band offset ratio QV ~1.06. A full width at half maximum (FWHM) of ~60 meV in room temperature (RT) photoluminescence (PL) indicates fluctuation in electrostatic potential to be less than 20 meV. Samples grown under optimal conditions do not exhibit any blue shift of peak in RT PL spectra under varying excitation.

  9. Ferromagnetic resonance and spin-wave resonances in GaMnAsP films

    NASA Astrophysics Data System (ADS)

    Liu, Xinyu; Li, Xiang; Bac, Seul-Ki; Zhang, Xucheng; Dong, Sining; Lee, Sanghoon; Dobrowolska, Margaret; Furdyna, Jacek K.

    2018-05-01

    A series of Ga1-xMnxAs1-yPy films grown by MBE on GaAs (100) substrates was systematically studied by ferromagnetic resonance (FMR). Magnetic anisotropy parameters were obtained by analyzing the angular dependence of the FMR data. The results clearly show that the easy axis of the films shifts from the in-plane [100] direction to the out-of-plane [001], indicating the emergence of a strong tensile-strain-induced perpendicular anisotropy when the P content exceeds y ≈ 0.07. Multiple resonances were observed in Ga1-xMnxAs1-yPy films with thicknesses over 48 nm, demonstrating the existence of exchange-dominated non-propagating spin-wave modes governed by surface anisotropy.

  10. Study on the high-power semi-insulating GaAs PCSS with quantum well structure

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Luan, Chongbiao; Wang, Bo; Huang, Yupeng

    A high-power semi-insulating GaAs photoconductive semiconductor switch (PCSS) with quantum well structure was fabricated. The AlGaAs layer was deposited on the surface of the GaAs material, and the reflecting film and the antireflection film have been made on the surface of the GaAs and AlGaAs, respectively. When the prepared PCSS worked at a bias voltage of 9.8 kV and triggered by a laser pulse with an incident optical energy of 5.4 mJ, a wavelength of 1064 nm and an optical pulse width of 25 ns, the on-state resistance of the AlGaAs/GaAs PCSS was only 0.45 Ω, and the longevity ofmore » the AlGaAs/GaAs PCSS was larger than 10{sup 6} shots. The results show that this structure reduces the on-state resistance and extends the longevity of the GaAs PCSS.« less

  11. Characteristics of Fluorine-doped tin oxide thin films grown by Streaming process for Electrodeless Electrochemical Deposition

    NASA Astrophysics Data System (ADS)

    Yusuf, Gbadebo; Khalilzadeh-Rezaie, Farnood; Cleary, Justin W.; Oladeji, Isaiah O.; Suu, Koukou; Schoenfeld, Winston V.; Peale, Robert E.; Awodugba, Ayodeji O.

    2015-04-01

    This work investigated the characteristics of SnO2: F films grown by Streaming Process for Electrodeless Electrochemical Deposition (SPEED). Stannic chloride (SnCl4) and ammonium fluoride (NH4 F) was dissolved in a mixture of deionized water and organic solvents. The preheated substrate temperature was varied between 450 and 530° C. High quality SnO2: F films were grown at all the substrate temperatures studied. The typical film thickness was 250 nm. XRD shows that the grown films are polycrystalline SnO2 with a tetragonal crystal structure. The average optical transmission of the films was around 93% throughout the wavelength of 400 to 1000 nm. The lowest electrical resistivity achieved was 6 x 10-4 Ω cm. The Hall measurements showed that the film is an n-type semiconductor, with the highest carrier mobility of 8.3 cm2/V.s, and concentration of 1 x 1021 cm-3. The direct band gap was determined to be 4 eV from the transmittance spectrum.

  12. Oxide Ceramic Films Grown on 60 Nitinol for NASA and Department of Defense Applications

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa; Street, Kenneth W.; Lukco, Dorothy; Cytron, Sheldon J.

    2005-01-01

    Both the NASA Glenn Research Center and the U.S. Army Research Laboratory, Development and Engineering Center (ARDEC) have worked to develop oxide ceramic films grown on 60 nitinol (60-wt% nickel and 40-wt% titanium) to decrease friction and increase wear resistance under unlubricated conditions. In general, oxide and nonoxide ceramic films have unique capabilities as mechanical-, chemical-, and thermal-barrier materials in diverse applications, including high-temperature bearings and gas bearings requiring low friction, wear resistance, and chemical stability. All oxide ceramic films grown on 60 nitinol were furnished by ARDEC, and materials and surface characterization and tribological experiments were conducted at Glenn.

  13. Annealing studies of heteroepitaxial InSbN on GaAs grown by molecular beam epitaxy for long-wavelength infrared detectors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Patra, Nimai C.; Bharatan, Sudhakar; Li Jia

    2012-10-15

    We report the effect of annealing on the structural, vibrational, electrical, and optical properties of heteropepitaxially grown InSbN epilayers on GaAs substrate by molecular beam epitaxy for long-wavelength infrared detector applications. As-grown epilayers exhibited high N incorporation in the both substitutional and interstitial sites, with N induced defects as evidenced from high resolution x-ray diffraction, secondary ion mass spectroscopy, and room temperature (RT) micro-Raman studies. The as-grown optical band gap was observed at 0.132 eV ({approx}9.4 {mu}m) and the epilayer exhibited high background carrier concentration at {approx}10{sup 18} cm{sup -3} range with corresponding mobility of {approx}10{sup 3} cm{sup 2}/Vs. Exmore » situ and in situ annealing at 430 Degree-Sign C though led to the loss of N but improved InSb quality due to effective annihilation of N related defects and other lattice defects attested to enhanced InSb LO phonon modes in the corresponding Raman spectra. Further, annealing resulted in the optical absorption edge red shifting to 0.12 eV ({approx}10.3 {mu}m) and the layers were characterized by reduced background carrier concentration in the {approx}10{sup 16} cm{sup -3} range with enhanced mobility in {approx}10{sup 4} cm{sup 2}/Vs range.« less

  14. Scaling behavior of GaAs and GaMnAs quantum rings grown by droplet epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Placidi, E.; Dipartimento di Fisica, Universita di Roma 'Tor Vergata,' via della Ricerca Scientifica 1, 00133 Roma Italy; Arciprete, F.

    2012-10-01

    The transition from the liquid phase of Ga droplets to the formation of GaAs and GaMnAs quantum rings has been studied as a function of temperature. We show that different aggregation processes involve the GaAs (GaMnAs) island and the droplet formation. Furthermore, the aspect ratio of the islands exhibits an anomalous scaling law related to a tendency to aggregate in the vertical direction.

  15. Thin Films and Interfaces of AN Organic Semiconductor: Perylenetetracarboxylic Dianhydride

    NASA Astrophysics Data System (ADS)

    Hirose, Yutaka

    Structural and electronic properties of thin films of an archetype organic molecular semiconductor, 3,4,9,10 -perylenetetracarboxylic dianhydride, (PTCDA) and of their interfaces are investigated. The first part of the thesis focuses on the growth of PTCDA thin films on graphite and GaAs. Molecular order in the direction parallel to the substrate is found to depend critically on the substrate surface properties, as revealed by marked differences in the crystallinity of films grown on graphite and Se-passivated GaAs surfaces (long range order), on the c(4 x 4) GaAs surface (medium range order), and on the (2 x 4)-c(2 x 8) GaAs surface (short range order). These results are discussed in terms of interface bonding between molecules and the substrate. The second part deals with the electronic and chemical structure of PTCDA thin films and the band lineup of the PTCDA/GaAs heterojunction investigated by Ultraviolet - and X-ray Photoemission Spectroscopies. A basic understanding of the valence band structure and chemical states is obtained with the help of a semi-empirical molecular orbital calculation. At the PTCDA/GaAs interface, the PTCDA highest occupied molecular orbital is found to be ~0.7 eV below the GaAs valence band maximum. This result is discussed in light of previous electrical measurements. Third, chemistry of metal deposition on PTCDA is investigated by synchrotron radiation photoemission spectroscopy. Al, Ti, In, and Sn are found to be highly reactive against PTCDA, yielding a considerable interfacial layer with a large density of states in the PTCDA gap. Ag and Au are found to be inert against PTCDA, producing abrupt interfaces. These results are found to be directly correlated with the electrical properties. Finally, chemistry of contacts formed by reversing the sequence of deposition, i.e. PTCDA on reactive metals (In, Sn, and Ti) is explored. The interfacial layers are found to be considerably smaller than for metals on PTCDA, in accordance with the

  16. Optical properties of beryllium-doped GaSb epilayers grown on GaAs substrate

    NASA Astrophysics Data System (ADS)

    Deng, Zhuo; Chen, Baile; Chen, Xiren; Shao, Jun; Gong, Qian; Liu, Huiyun; Wu, Jiang

    2018-05-01

    In this work, the effects of p-type beryllium (Be) doping on the optical properties of GaSb epilayers grown on GaAs substrate by Molecular Beam Epitaxy (MBE) have been studied. Temperature- and excitation power-dependent photoluminescence (PL) measurements were performed on both nominally undoped and intentionally Be-doped GaSb layers. Clear PL emissions are observable even at the temperature of 270 K from both layers, indicating the high material quality. In the Be-doped GaSb layer, the transition energies of main PL features exhibit red-shift up to ∼7 meV, and the peak widths characterized by Full-Width-at-Half-Maximum (FWHM) also decrease. In addition, analysis on the PL integrated intensity in the Be-doped sample reveals a gain of emission signal, as well as a larger carrier thermal activation energy. These distinctive PL behaviors identified in the Be-doped GaSb layer suggest that the residual compressive strain is effectively relaxed in the epilayer, due possibly to the reduction of dislocation density in the GaSb layer with the intentional incorporation of Be dopants. Our results confirm the role of Be as a promising dopant in the improvement of crystalline quality in GaSb, which is a crucial factor for growth and fabrication of high quality strain-free GaSb-based devices on foreign substrates.

  17. Direct observation of oxygen-vacancy-enhanced polarization in a SrTiO 3-buffered ferroelectric BaTiO 3 film on GaAs

    DOE PAGES

    Qiao, Q.; Zhang, Y.; Contreras-Guerrero, Rocio; ...

    2015-11-16

    The integration of functional oxide thin-films on compound semiconductors can lead to a class of reconfigurable spin-based optoelectronic devices if defect-free, fully reversible active layers are stabilized. However, previous first-principles calculations predicted that SrTiO 3 thin filmsgrown on Si exhibit pinned ferroelectric behavior that is not switchable, due to the presence of interfacial vacancies. Meanwhile, piezoresponse force microscopy measurements have demonstrated ferroelectricity in BaTiO 3 grown on semiconductor substrates. The presence of interfacial oxygen vacancies in such complex-oxide/semiconductor systems remains unexplored, and their effect on ferroelectricity is controversial. We also use a combination of aberration-corrected scanning transmission electron microscopy andmore » first-principles density functional theory modeling to examine the role of interfacial oxygen vacancies on the ferroelectricpolarization of a BaTiO 3 thin filmgrown on GaAs. Moreover, we demonstrate that interfacial oxygen vacancies enhance the polar discontinuity (and thus the single domain, out-of-plane polarization pinning in BaTiO 3), and propose that the presence of surface charge screening allows the formation of switchable domains.« less

  18. Photoluminescence characteristics of ZnTe bulk crystal and ZnTe epilayer grown on GaAs substrate by MOVPE

    NASA Astrophysics Data System (ADS)

    Lü, Hai-Yan; Mu, Qi; Zhang, Lei; Lü, Yuan-Jie; Ji, Zi-Wu; Feng, Zhi-Hong; Xu, Xian-Gang; Guo, Qi-Xin

    2015-12-01

    Excitation power and temperature-dependent photoluminescence (PL) spectra of the ZnTe epilayer grown on (100) GaAs substrate and ZnTe bulk crystal are investigated. The measurement results show that both the structures are of good structural quality due to their sharp bound excitonic emissions and absence of the deep level structural defect-related emissions. Furthermore, in contrast to the ZnTe bulk crystal, although excitonic emissions for the ZnTe epilayer are somewhat weak, perhaps due to As atoms diffusing from the GaAs substrate into the ZnTe epilayer and/or because of the strain-induced degradation of the crystalline quality of the ZnTe epilayer, neither the donor-acceptor pair (DAP) nor conduction band-acceptor (e-A) emissions are observed in the ZnTe epilayer. This indicates that by further optimizing the growth process it is possible to obtain a high-crystalline quality ZnTe heteroepitaxial layer that is comparable to the ZnTe bulk crystal. Project supported by the Specialized Research Fund for the Doctoral Program of Higher Education of China (Grant No. 20120131110006), the Key Science and Technology Program of Shandong Province, China (Grant No. 2013GGX10221), the Key Laboratory of Functional Crystal Materials and Device (Shandong University, Ministry of Education), China (Grant No. JG1401), the National Natural Science Foundation of China (Grant No. 61306113), the Major Research Plan of the National Natural Science Foundation of China (Grant No. 91433112), and the Partnership Project for Fundamental Technology Researches of the Ministry of Education, Culture, Sports, Science and Technology, Japan.

  19. Investigation of the fabrication mechanism of self-assembled GaAs quantum rings grown by droplet epitaxy.

    PubMed

    Tong, C Z; Yoon, S F

    2008-09-10

    We have directly imaged the formation of a GaAs quantum ring (QR) using droplet epitaxy followed by annealing in arsenic ambient. Based on the atomic force micrograph measurement and the analysis of surface energy, we determine that the formation of self-assembled GaAs QRs is due to the gallium atom's diffusion and crystallization driven by the gradient of surface energy. The phenomenon that GaAs is etched by the gallium droplets is reported and analyzed. It has been demonstrated that the epitaxy layers, such as AlAs and InGaP, can be used as the etching stop layer and hence can be used to control the shape and height of the QRs.

  20. The ZnSe(110) puzzle - Comparison with GaAs(110)

    NASA Technical Reports Server (NTRS)

    Duke, C. B.; Paton, A.; Kahn, A.; Tu, D.-W.

    1984-01-01

    The surface structure of monocrystalline ZnSe(110) and of 4-5-nm-thick ZnSe(110) layers epitaxially grown on GaAs(110) is investigated by means of elastic LEED and AES; the results are analyzed using the computer programs and R-factor methods of Duke et al. (1981 and 1983), presented in graphs and tables, and compared to those for GaAs(110). Significant differences are attributed to bond-length-conserving outward rotation of Se and inward rotation of Zn in the top layer, with an angle of 4 deg between the actual plane of the cation-anion chain and the truncated bulk surface. The R intensities measured for ZnSe(110) and GaAs(110) are given as Rx = 0.22 and RI = 0.21 and Rx = 0.24 and RI = 0.16, respectively.

  1. High frequency capacitance-voltage characteristics of thermally grown SiO2 films on beta-SiC

    NASA Technical Reports Server (NTRS)

    Tang, S. M.; Berry, W. B.; Kwor, R.; Zeller, M. V.; Matus, L. G.

    1990-01-01

    Silicon dioxide films grown under dry and wet oxidation environment on beta-SiC films have been studied. The beta-SiC films had been heteroepitaxially grown on both on-axis and 2-deg off-axis (001) Si substrates. Capacitance-voltage and conductance-voltage characteristics of metal-oxide-semiconductor structures were measured in a frequency range of 10 kHz to 1 MHz. From these measurements, the interface trap density and the effective fixed oxide charge density were observed to be generally lower for off-axis samples.

  2. Effects of Light Exposure on Dopant Incorporation and Migration in MBE-Grown GaAs(001)

    NASA Astrophysics Data System (ADS)

    Sanders, Charlotte E.; Beaton, D. A.; Alberi, K.

    2015-03-01

    Light-stimulated epitaxy of II-VI semiconducting materials is known to reduce crystalline defect density and enhance substitutional dopant incorporation relative to traditional ``dark'' epitaxial growth. These effects have been speculated to arise from photon-adatom interactions at the growth front, and from involvement in bonding processes by photogenerated carriers; however, a conclusive explanation of the observed effects has yet to be found. We are revisiting this topic, attempting to clarify the mechanisms of light-stimulated epitaxy and to explore its effects on the class of III-V materials. Here we report an ongoing investigation into dopant incorporation and migration in MBE-grown GaAs(001) when the growth front is irradiated during deposition. On the basis of our preliminary findings, and by comparing our new results with results previously obtained for light-stimulated effects on doping of II-VI systems, we can begin to draw conclusions about the mechanisms underlying light-stimulated epitaxy and their potential utility to MBE growth of complex multilayer structures. This work was supported by the DOE Office of Science, Basic Energy Sciences, under contract DE-AC36-08G028308.

  3. QCM gas sensor characterization of ALD-grown very thin TiO2 films

    NASA Astrophysics Data System (ADS)

    Boyadjiev, S.; Georgieva, V.; Vergov, L.; Szilágyi, I. M.

    2018-03-01

    The paper presents a technology for preparation and characterization of titanium dioxide (TiO2) thin films suitable for gas sensor applications. Applying atomic layer deposition (ALD), very thin TiO2 films were deposited on quartz resonators, and their gas sensing properties were studied using the quartz crystal microbalance (QCM) method. The TiO2 thin films were grown using Ti(iOPr)4 and water as precursors. The surface of the films was observed by scanning electron microscopy (SEM), coupled with energy dispersive X-ray analysis (EDX) used for a composition study. The research was focused on the gas-sensing properties of the films. Films of 10-nm thickness were deposited on quartz resonators with Au electrodes and the QCMs were used to build highly sensitive gas sensors, which were tested for detecting NO2. Although very thin, these ALD-grown TiO2 films were sensitive to NO2 already at room temperature and could register as low concentrations as 50 ppm, while the sorption was fully reversible, and the sensors could be fully recovered. With the technology presented, the manufacturing of gas sensors is simple, fast and cost-effective, and suitable for energy-effective portable equipment for real-time environmental monitoring of NO2.

  4. Evaluation of the optical characteristics of c-axis oriented zinc oxide thin films grown by sol gel spin coating technique

    NASA Astrophysics Data System (ADS)

    Baisakh, K.; Behera, S.; Pati, S.

    2018-03-01

    In this work we have systematically studied the optical characteristics of synthesized wurzite zinc oxide thin films exhibiting (002) orientation. Using sol gel spin coating technique zinc oxide thin films are grown on pre cleaned fused quartz substrates. Structural properties of the films are studied using X-ray diffraction analysis. Micro structural analysis and thickness of the grown samples are analyzed using field emission scanning electron microscopy. With an aim to investigate the optical characteristics of the grown zinc oxide thin films the transmission and reflection spectra are evaluated in the ultraviolet-visible (UV-VIS) range. Using envelope method, the refractive index, extinction coefficient, absorption coefficient, band gap energy and the thickness of the synthesized films are estimated from the recorded UV-VIS spectra. An attempt has also been made to study the influence of crystallographic orientation on the optical characteristics of the grown films.

  5. Synthesis of p-type ZnO films

    NASA Astrophysics Data System (ADS)

    Ryu, Y. R.; Zhu, S.; Look, D. C.; Wrobel, J. M.; Jeong, H. M.; White, H. W.

    2000-06-01

    p-Type ZnO obtained by arsenic (As) doping is reported for the first time. Arsenic-doped ZnO (ZnO : As) films have been deposited on (0 0 1)-GaAs substrates by pulsed laser ablation. The process of synthesizing p-type ZnO : As films was performed in an ambient gas of ultra-pure (99.999%) oxygen. The ambient gas pressure was 35 mTorr with the substrate temperature in the range 300-450°C. ZnO films grown at 400°C and 450°C are p-type and As is a good acceptor. The acceptor peak is located at 3.32 eV and its binding energy is about 100 meV. Acceptor concentrations of As atoms in ZnO films were in the range from high 10 17 to high 10 21 atoms/cm 3 as determined by secondary ion mass spectroscopy (SIMS) and Hall effect measurements.

  6. Electron field emission from phase pure nanotube films grown in a methane/hydrogen plasma

    NASA Astrophysics Data System (ADS)

    Küttel, Olivier M.; Groening, Oliver; Emmenegger, Christoph; Schlapbach, Louis

    1998-10-01

    Phase pure nanotube films were grown on silicon substrates by a microwave plasma under conditions which normally are used for the growth of chemical vapor deposited diamond films. However, instead of using any pretreatment leading to diamond nucleation we deposited metal clusters on the silicon substrate. The resulting films contain only nanotubes and also onion-like structures. However, no other carbon allotropes like graphite or amorphous clustered material could be found. The nanotubes adhere very well to the substrates and do not need any further purification step. Electron field emission was observed at fields above 1.5 V/μm and we observed an emission site density up to 104/cm2 at 3 V/μm. Alternatively, we have grown nanotube films by the hot filament technique, which allows to uniformly cover a two inch wafer.

  7. Impact of dislocation densities on n+/p and p+/n junction GaAs diodes and solar cells on SiGe virtual substrates

    NASA Astrophysics Data System (ADS)

    Andre, C. L.; Wilt, D. M.; Pitera, A. J.; Lee, M. L.; Fitzgerald, E. A.; Ringel, S. A.

    2005-07-01

    Recent experimental measurements have shown that in GaAs with elevated threading dislocation densities (TDDs) the electron lifetime is much lower than the hole lifetime [C. L. Andre, J. J. Boeckl, D. M. Wilt, A. J. Pitera, M. L. Lee, E. A. Fitzgerald, B. M. Keyes, and S. A. Ringel, Appl. Phys. Lett. 84, 3884 (2004)]. This lower electron lifetime suggests an increase in depletion region recombination and thus in the reverse saturation current (J0 for an n+/p diode compared with a p+/n diode at a given TDD. To confirm this, GaAs diodes of both polarities were grown on compositionally graded Ge /Si1-xGex/Si (SiGe) substrates with a TDD of 1×106cm-2. It is shown that the ratio of measured J0 values is consistent with the inverse ratio of the expected lifetimes. Using a TDD-dependent lifetime in solar cell current-voltage models we found that the Voc, for a given short-circuit current, also exhibits a poorer TDD tolerance for GaAs n+/p solar cells compared with GaAs p+/n solar cells. Experimentally, the open-circuit voltage (Voc) for the n+/p GaAs solar cell grown on a SiGe substrate with a TDD of ˜1×106cm-2 was ˜880mV which was significantly lower than the ˜980mV measured for a p+/n GaAs solar cell grown on SiGe at the same TDD and was consistent with the solar cell modeling results reported in this paper. We conclude that p+/n polarity GaAs junctions demonstrate superior dislocation tolerance than n+/p configured GaAs junctions, which is important for optimization of lattice-mismatched III-V devices.

  8. High-reliability GaAs image intensifier with unfilmed microchannel plate

    NASA Astrophysics Data System (ADS)

    Bender, Edward J.; Estrera, Joseph P.; Ford, C. E.; Giordana, A.; Glesener, John W.; Lin, P. P.; Nico, A. J.; Sinor, Timothy W.; Smithson, R. H.

    1999-07-01

    Current GaAs image intensifier technology requires that the microchannel plate (MCP) have a thin dielectric film on the side facing the photocathode. This protective coating substantially reduces the amount of outgassing of ions and neutral species from the microchannels. The prevention of MCP outgassing is necessary in order to prevent the `poisoning' of the Cs:O surface on the GaAs photocathode. Many authors have experimented with omitting the MCP coating. The results of such experiments invariably lead to an intensifier with a reported useful life of less than 100 hours, due to contamination of the Cs:O layer on the photocathode. Unfortunately, the MCP film is also a barrier to electron transport within the intensifier. Substantial enhancement of the image intensifier operating parameters is the motivation for the removal of the MCP film. This paper presents results showing for the first time that it is possible to fabricate a long lifetime image intensifier with a single uncoated MCP.

  9. Excitation power dependence of photoluminescence spectra of GaSb type-II quantum dots in GaAs grown by droplet epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kawazu, T., E-mail: KAWAZU.Takuya@nims.go.jp; Noda, T.; Sakuma, Y.

    2016-04-15

    We investigated the excitation power P dependence of photoluminescence (PL) spectra of GaSb type-II quantum dots (QDs) in GaAs grown by droplet epitaxy. We prepared two QD samples annealed at slightly different temperatures (380 {sup o}C and 400 {sup o}C) and carried out PL measurements. The 20 {sup o}C increase of the annealing temperature leads to (1) about 140 and 60 times stronger wetting layer (WL) luminescence at low and high P, (2) about 45% large energy shift of QD luminescence with P, and (3) the different P dependence of the PL intensity ratio between the QD and the WL. These differences ofmore » the PL characteristics are explained by the effects of the WL.« less

  10. Deep level defects in dilute GaAsBi alloys grown under intense UV illumination

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mooney, P. M.; Tarun, Marianne; Beaton, D. A.

    2016-07-21

    Dilute GaAs1-xBix alloys exhibiting narrow band edge photoluminescence (PL) were recently grown by molecular beam epitaxy (MBE) with the growth surface illuminated by intense UV radiation. To investigate whether the improved optical quality of these films results from a reduction in the concentration of deep level defects, p+/n and n+/p junction diodes were fabricated on both the illuminated and dark areas of several samples. Deep Level Transient Spectroscopy (DLTS) measurements show that the illuminated and dark areas of both the n- and p-type GaAs1-xBix epi-layers have similar concentrations of near mid-gap electron and hole traps, in the 1015 cm-3 range.more » Thus the improved PL spectra cannot be explained by a reduction in non-radiative recombination at deep level defects. We note that carrier freeze-out above 35 K is significantly reduced in the illuminated areas of the p-type GaAs1-xBix layers compared to the dark areas, allowing the first DLTS measurements of defect energy levels close to the valence band edge. These defect levels may account for differences in the PL spectra from the illuminated and dark areas of un-doped layers with a similar Bi fraction.« less

  11. Study of subband electronic structure of Si δ-doped GaAs using magnetotransport measurements in tilted magnetic fields

    NASA Astrophysics Data System (ADS)

    Li, G.; Hauser, N.; Jagadish, C.; Antoszewski, J.; Xu, W.

    1996-06-01

    Si δ-doped GaAs grown by metal organic vapor phase epitaxy (MOVPE) is characterized using magnetotransport measurements in tilted magnetic fields. Angular dependence of the longitudinal magnetoresistance (Rxx) vs the magnetic field (B) traces in tilted magnetic fields is used to examine the existence of a quasi-two-dimensional electron gas. The subband electron densities (ni) are obtained applying fast Fourier transform (FFT) analysis to the Rxx vs B trace and using mobility spectrum (MS) analysis of the magnetic field dependent Hall data. Our results show that (1) the subband electron densities remain roughly constant when the tilted magnetic field with an angle <30° measured from the Si δ-doped plane normal is ramped up to 13 T; (2) FFT analysis of the Rxx vs B trace and MS analysis of the magnetic field dependent Hall data both give the comparable results on subband electron densities of Si δ-doped GaAs with low δ-doping concentration, however, for Si δ-doped GaAs with very high δ-doping concentration, the occupation of the lowest subbands cannot be well resolved in the MS analysis; (3) the highest subband electron mobility reported to date of 45 282 cm2/s V is observed in Si δ-doped GaAs at 77 K in the dark; and (4) the subband electron densities of Si δ-doped GaAs grown by MOVPE at 700 °C are comparable to those grown by MBE at temperatures below 600 °C. A detailed study of magnetotransport properties of Si δ-doped GaAs in the parallel magnetic fields is then carried out to further confirm the subband electronic structures revealed by FFT and MS analysis. Our results are compared to theoretical calculation previously reported in literature. In addition, influence of different cap layer structures on subband electronic structures of Si δ-doped GaAs is observed and also discussed.

  12. Gallium arsenide single crystal solar cell structure and method of making

    NASA Technical Reports Server (NTRS)

    Stirn, Richard J. (Inventor)

    1983-01-01

    A production method and structure for a thin-film GaAs crystal for a solar cell on a single-crystal silicon substrate (10) comprising the steps of growing a single-crystal interlayer (12) of material having a closer match in lattice and thermal expansion with single-crystal GaAs than the single-crystal silicon of the substrate, and epitaxially growing a single-crystal film (14) on the interlayer. The material of the interlayer may be germanium or graded germanium-silicon alloy, with low germanium content at the silicon substrate interface, and high germanium content at the upper surface. The surface of the interface layer (12) is annealed for recrystallization by a pulsed beam of energy (laser or electron) prior to growing the interlayer. The solar cell structure may be grown as a single-crystal n.sup.+ /p shallow homojunction film or as a p/n or n/p junction film. A Ga(Al)AS heteroface film may be grown over the GaAs film.

  13. Molecular beam epitaxial growth and structural characterization of ZnS on (001) GaAs

    NASA Technical Reports Server (NTRS)

    Benz, R. G., II; Huang, P. C.; Stock, S. R.; Summers, C. J.

    1988-01-01

    The effect of surface nucleation processes on the quality of ZnS layers grown on (001) GaAs substrates by molecular beam epitaxy is reported. Reflection high energy electron diffraction indicated that nucleation at high temperatures produced more planar surfaces than nucleation at low temperatures, but the crystalline quality as assessed by X-ray double crystal diffractometry is relatively independent of nucleation temperature. A critical factor in layer quality was the initial roughness of the GaAs surfaces.

  14. Nano-Crystalline Diamond Films with Pineapple-Like Morphology Grown by the DC Arcjet vapor Deposition Method

    NASA Astrophysics Data System (ADS)

    Li, Bin; Zhang, Qin-Jian; Shi, Yan-Chao; Li, Jia-Jun; Li, Hong; Lu, Fan-Xiu; Chen, Guang-Chao

    2014-08-01

    A nano-crystlline diamond film is grown by the dc arcjet chemical vapor deposition method. The film is characterized by scanning electron microscopy, high-resolution transmission electron microscopy (HRTEM), x-ray diffraction (XRD) and Raman spectra, respectively. The nanocrystalline grains are averagely with 80 nm in the size measured by XRD, and further proven by Raman and HRTEM. The observed novel morphology of the growth surface, pineapple-like morphology, is constructed by cubo-octahedral growth zones with a smooth faceted top surface and coarse side surfaces. The as-grown film possesses (100) dominant surface containing a little amorphous sp2 component, which is far different from the nano-crystalline film with the usual cauliflower-like morphology.

  15. Giant and reversible enhancement of the electrical resistance of GaAs1-xNx by hydrogen irradiation

    NASA Astrophysics Data System (ADS)

    Alvarez, J.; Kleider, J.-P.; Trotta, R.; Polimeni, A.; Capizzi, M.; Martelli, F.; Mariucci, L.; Rubini, S.

    2011-08-01

    The electrical properties of untreated and hydrogen-irradiated GaAs1-xNx are investigated by conductive-probe atomic force microscopy (CP-AFM). After hydrogen irradiation, the resistance R of GaAs1-xNx increases by more than three orders of magnitude while that of a N-free GaAs reference slightly decreases. Thermal annealing at 550 °C of H-irradiated GaAs1-xNx restores the pristine electrical properties of the as-grown sample thus demonstrating that this phenomenon is fully reversible. These effects are attributed to the nitrogen-hydrogen complexes that passivate N in GaAs1-xNx (thus restoring the energy gap of N-free GaAs) and, moreover, reduce the carrier scattering time by more than one order of magnitude. This opens up a route to the fabrication of planar conductive/resistive/conductive heterostructures with submicrometer spatial resolution, which is also reported here.

  16. Interfacial structure, bonding and composition of InAs and GaSb thin films determined using coherent Bragg rod analysis.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cionca, C.; Walko, D. A.; Yacoby, Y.

    2007-01-01

    We have used Bragg rod x-ray diffraction combined with a direct method of phase retrieval to extract atomic resolution electron-density maps of a complementary series of heteroepitaxial III-V semiconductor samples. From the three-dimensional electron-density maps we derive the monolayer spacings, the chemical compositions, and the characteristics of the bonding for all atomic planes in the film and across the film-substrate interface. InAs films grown on GaSb(001) under two different As conditions (using dimer or tetramer forms) both showed conformal roughness and mixed GaAs/InSb interfacial bonding character. The As tetramer conditions favored InSb bonding at the interface while, in the casemore » of the dimer, the percentages corresponding to GaAs and InSb bonding were equal within the experimental error. The GaSb film grown on InAs(001) displayed significant In and As interdiffusion and had a relatively large fraction of GaAs-like bonds at the interface.« less

  17. MOCVD of Bi2Te3 and Sb2Te3 on GaAs substrates for thin-film thermoelectric applications.

    PubMed

    Kim, Jeong-Hun; Jung, Yong-Chul; Suh, Sang-Hee; Kim, Jin-Sang

    2006-11-01

    Metal organic chemical vapour deposition (MOCVD) has been investigated for growth of Bi2Te3 and Sb2Te3 films on (001) GaAs substrates using trimethylbismuth, triethylantimony and diisopropyltelluride as metal organic sources. The surface morphologies of Bi2Te3 and Sb2Te3 films were strongly dependent on the deposition temperatures as it varies from a step-flow growth mode to island coalescence structures depending on deposition temperature. In-plane carrier concentration and electrical Hall mobility were highly dependent on precursor ratio of VI/V and deposition temperature. By optimizing growth parameters, we could clearly observe an electrically intrinsic region of the carrier concentration over the 240 K in Bi2Te3 films. The high Seebeck coefficient (of -160 microVK(-1) for Bi2Te3 and +110 microVK(-1) for Sb2Te3 films, respectively) and good surface morphologies of these materials are promising for the fabrication of a few nm thick periodic Bi2Te3/Sb2Te3 super lattice structures for thin film thermoelectric device applications.

  18. Structural and magnetic properties of hexagonal Cr1-δTe films grown on CdTe(001) by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Kanazawa, Ken; Yamawaki, Kazuma; Sekita, Naoya; Nishio, Yôtarô; Kuroda, Shinji; Mitome, Masanori; Bando, Yoshio

    2015-04-01

    We investigated the structural and magnetic properties of Cr1-δTe thin films grown on CdTe(001) layers by molecular beam epitaxy (MBE) with systematic variations of the ratio between Cr and Te fluxes and the substrate temperature Ts during the growth. Cr1-δTe of the hexagonal structure (hex-Cr1-δTe) was always formed irrespective of the growth conditions, but the growth orientation was different depending on the Cr/Te flux ratio and Ts. Hex-Cr1-δTe was grown in the [0001] axis in the range of small Cr/Te ratios and high Ts while it was also grown in the direction normal to the (1-102) plane at larger Cr/Te ratios or lower Ts. Hex-Cr1-δTe films grown in the both orientations show ferromagnetism, but they exhibit a clear contrast in the field dependence of perpendicular magnetization at 2 K; a square hysteretic loop in the film grown in the [0001] axis versus a round-shape loop in the film grown in the direction normal to the (1-102) plane. Moreover, the films grown in the [0001] axis at the smallest Cr/Te ratio show variations of ferromagnetic properties with Curie temperature (Tc) and the coercivity (Hc) varying according to the value of Ts.

  19. Characterization of single-crystalline Al films grown on Si(111)

    NASA Astrophysics Data System (ADS)

    Fortuin, A. W.; Alkemade, P. F. A.; Verbruggen, A. H.; Steinfort, A. J.; Zandbergen, H.; Radelaar, S.

    1996-10-01

    Single-crystalline Al films have been grown by molecular beam epitaxy on a (7 × 7) reconstructed Si(111) surface at 50°C. The 100 nm thick Al films were extensively characterized by X-ray diffraction, transmission electron diffraction and microscopy, SIMS, and RBS in combination with ion channeling. The orientational relationship found was Al(111) t' | Si(111) and Al[11¯0] t'| Si[11¯0]. The film is single-crystalline over the entire 4″ Si wafer. TED and TEM showed that the lattice mismatch of 25.3% at room temperature is accommodated at the interface by alignment of every three Si atoms to four Al atoms. Annealing of the film at 400°C for 30 min led to a reduction of defects in the film and an increase at the interface. Furthermore, it increased the Si concentration in the Al film slightly. We regard this deposition method as the most appropriate one among the various techniques for epitaxial growth of Al on Si explored so far.

  20. RHEED oscillations in spinel ferrite epitaxial films grown by conventional planar magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Ojima, T.; Tainosho, T.; Sharmin, S.; Yanagihara, H.

    2018-04-01

    Real-time in situ reflection high energy electron diffraction (RHEED) observations of Fe3O4, γ-Fe2O3, and (Co,Fe)3O4 films on MgO(001) substrates grown by a conventional planar magnetron sputtering was studied. The change in periodical intensity of the specular reflection spot in the RHEED images of three different spinel ferrite compounds grown by two different sputtering systems was examined. The oscillation period was found to correspond to the 1/4 unit cell of each spinel ferrite, similar to that observed in molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) experiments. This suggests that the layer-by-layer growth of spinel ferrite (001) films is general in most physical vapor deposition (PVD) processes. The surfaces of the films were as flat as the surface of the substrate, consistent with the observed layer-by-layer growth process. The observed RHEED oscillation indicates that even a conventional sputtering method can be used to control film thickness during atomic layer depositions.

  1. Zinc Oxide Grown by CVD Process as Transparent Contact for Thin Film Solar Cell Applications

    NASA Astrophysics Data System (ADS)

    Faÿ, S.; Shah, A.

    Metalorganic chemical vapor deposition of ZnO films (MOCVD) [1] started to be comprehensively investigated in the 1980s, when thin film industries were looking for ZnO deposition processes especially useful for large-scale coatings at high growth rates. Later on, when TCO for thin film solar cells started to be developed, another advantage of growing TCO films by the CVD process has been highlighted: the surface roughness. Indeed, a large number of studies on CVD ZnO revealed that an as-grown rough surface cn be obtained with this deposition process [2-4]. A rough surface induces a light scattering effect, which can significantly improve light trapping (and therefore current photo-generation) within thin film silicon solar cells. The CVD process, indeed, directly leads to as-grown rough ZnO films without any post-etching step (the latter is often introduced to obtain a rough surface, when working with as-deposited flat sputtered ZnO). This fact could turn out to be a significant advantage when upscaling the manufacturing process for actual commercial production of thin film solar modules. The zinc and oxygen sources for CVD growth of ZnO films are given in Table 6.1.

  2. Thickness dependence of crystal and optical characterization on ZnO thin film grown by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Baek, Seung-Hye; Lee, Hyun-Jin; Lee, Sung-Nam

    2018-06-01

    We studied the thickness dependence of the crystallographic and optical properties of ZnO thin films grown on c-plane sapphire substrate using atomic layer deposition. High-resolution X-ray diffraction (HR-XRD) revealed two peaks at 34.5° and 36.2° in the initial growth stage of ZnO on the sapphire substrate, corresponding to the (002) and (101) ZnO planes, respectively. However, as the thickness of the ZnO film increased, the XRD intensity of the (002) ZnO peak increased drastically, compared with that of the (101) ZnO peak. This indicated that (002) and (101) ZnO were simultaneously grown on the c-plane sapphire substrate in the initial growth stage, and that (002) ZnO was predominantly grown with the increase in the thickness of ZnO film. The ZnO thin film presented an anisotropic surface structure at the initial stage, whereas the isotropic surface morphology was developed with an increase in the film thickness of ZnO. These observations were consistent with the HR-XRD results.

  3. C-Axis-Oriented Hydroxyapatite Film Grown Using ZnO Buffer Layer

    NASA Astrophysics Data System (ADS)

    Sakoishi, Yasuhiro; Iguchi, Ryo; Nishikawa, Hiroaki; Hontsu, Shigeki; Hayami, Takashi; Kusunoki, Masanobu

    2013-11-01

    A method of fabricating c-axis-oriented hydroxyapatite film on a quartz crystal microbalance (QCM) sensor was investigated. ZnO was used as a template to obtain a hexagonal hydroxyapatite crystal of uniaxial orientation. The ZnO was grown as a c-axis film on a Au/quartz with the surface structure of a QCM sensor. Under optimized conditions, hydroxyapatite was deposited by pulsed laser deposition. X-ray diffraction showed the hydroxyapatite film to be oriented along the c-axis. Because Au and ZnO are applied to many devices, the anisotropic properties of hydroxyapatite may be incorporated into these devices as well as QCM sensors.

  4. Observation of linear I-V curves on vertical GaAs nanowires with atomic force microscope

    NASA Astrophysics Data System (ADS)

    Geydt, P.; Alekseev, P. A.; Dunaevskiy, M.; Lähderanta, E.; Haggrén, T.; Kakko, J.-P.; Lipsanen, H.

    2015-12-01

    In this work we demonstrate the possibility of studying the current-voltage characteristics for single vertically standing semiconductor nanowires on standard AFM equipped by current measuring module in PeakForce Tapping mode. On the basis of research of eight different samples of p-doped GaAs nanowires grown on different GaAs substrates, peculiar electrical effects were revealed. It was found how covering of substrate surface by SiOx layer increases the current, as well as phosphorous passivation of the grown nanowires. Elimination of the Schottky barrier between golden cap and the top parts of nanowires was observed. It was additionally studied that charge accumulation on the shell of single nanowires affects its resistivity and causes the hysteresis loops on I-V curves.

  5. Effects of surface passivation on twin-free GaAs nanosheets.

    PubMed

    Arab, Shermin; Chi, Chun-Yung; Shi, Teng; Wang, Yuda; Dapkus, Daniel P; Jackson, Howard E; Smith, Leigh M; Cronin, Stephen B

    2015-02-24

    Unlike nanowires, GaAs nanosheets exhibit no twin defects, stacking faults, or dislocations even when grown on lattice mismatched substrates. As such, they are excellent candidates for optoelectronic applications, including LEDs and solar cells. We report substantial enhancements in the photoluminescence efficiency and the lifetime of passivated GaAs nanosheets produced using the selected area growth (SAG) method with metal organic chemical vapor deposition (MOCVD). Measurements are performed on individual GaAs nanosheets with and without an AlGaAs passivation layer. Both steady-state photoluminescence and time-resolved photoluminescence spectroscopy are performed to study the optoelectronic performance of these nanostructures. Our results show that AlGaAs passivation of GaAs nanosheets leads to a 30- to 40-fold enhancement in the photoluminescence intensity. The photoluminescence lifetime increases from less than 30 to 300 ps with passivation, indicating an order of magnitude improvement in the minority carrier lifetime. We attribute these enhancements to the reduction of nonradiative recombination due to the compensation of surface states after passivation. The surface recombination velocity decreases from an initial value of 2.5 × 10(5) to 2.7 × 10(4) cm/s with passivation.

  6. Investigation on the compensation effect of residual carbon impurities in low temperature grown Mg doped GaN films

    NASA Astrophysics Data System (ADS)

    Yang, J.; Zhao, D. G.; Jiang, D. S.; Chen, P.; Liu, Z. S.; Le, L. C.; Li, X. J.; He, X. G.; Liu, J. P.; Zhang, S. M.; Wang, H.; Zhu, J. J.; Yang, H.

    2014-04-01

    The influence of unintentionally doped carbon impurities on electrical resistivity and yellow luminescence (YL) of low-temperature (LT) grown Mg doped GaN films is investigated. It is found that the resistivity of Mg doped GaN films are closely related to the residual carbon impurity concentration, which may be attributed to the compensation effect of carbon impurities. The carbon impurity may preferentially form deep donor complex CN-ON resulting from its relatively low formation energy. This complex is an effective compensate center for MgGa acceptors as well as inducing YL in photoluminescence spectra. Thus, the low resistivity LT grown p-type GaN films can be obtained only when the residual carbon impurity concentration is sufficiently low, which can explain why LT P-GaN films with lower resistivity were obtained more easily when relatively higher pressure, temperature, or NH3/TMGa flow rate ratio were used in the LT grown Mg doped GaN films reported in earlier reports.

  7. Ferroelectric PLZT thick films grown by poly(1-vinylpyrrolidone-co-vinyl acetate) (PVP/VA)-modified sol-gel process

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hu, Zhongqiang; Ma, Beihai; Li, Meiya

    2016-03-01

    We report the growth of ferroelectric Pb0.92La0.08Zr0.52Ti0.48O3 (PLZT) thick films using a poly(1-vinylpyrrolidone-co-vinyl acetate) (PVP/VA)-modified sol–gel process. A per-coating thickness of ≈0.66 μm has been demonstrated using PVP/VA-modified solution, which is more than doubled that of the PLZT films grown by PVP-modified method, and nearly 6 times the per-coating thickness of films prepared by conventional sol–gel process. PLZT thick films grown on LNO/Ni substrates exhibited denser microstructure, higher remanent polarization (11 μC/cm 2) and dielectric tunability (45%), lower leakage current density (≈1.2 × 10 -8 A/cm 2), and higher breakdown strength (≈1.6 MV/cm) than those for the samples grown onmore » PtSi substrates. These results demonstrated great potential of using PVP/VA-modified sol–gel process for high power film capacitor applications.« less

  8. Molecular beam epitaxy-grown wurtzite MgS thin films for solar-blind ultra-violet detection

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lai, Y. H.; He, Q. L.; Department of Physics and William Mong Institute of Nano Science and Technology, The Hong Kong University of Science and Technology, HKSAR, People's Republic of China

    2013-04-29

    Molecular beam epitaxy grown MgS on GaAs(111)B substrate was resulted in wurtzite phase, as demonstrated by detailed structural characterizations. Phenomenological arguments were used to account for why wurtzite phase is preferred over zincblende phase or its most stable rocksalt phase. Results of photoresponse and reflectance measurements performed on wurtzite MgS photodiodes suggest a direct bandgap at around 5.1 eV. Their response peaks at 245 nm with quantum efficiency of 9.9% and enjoys rejection of more than three orders at 320 nm and close to five orders at longer wavelengths, proving the photodiodes highly competitive in solar-blind ultraviolet detection.

  9. Reflection high energy electron diffraction and reflectance difference studies of surface anisotropy in InGaAs chemical beam epitaxy on flat and vicinal (001) GaAs

    NASA Astrophysics Data System (ADS)

    Junno, B.; Paulsson, G.; Miller, M.; Samuelson, L.

    1994-03-01

    InGaAs quantum wells (QWs) were grown in a chemical beam epitaxy (CBE) machine with trimethylindium (TMI), triethylgallium (TEG) and tertiarybutylarsine (TBA) as precursors. Growth was monitored in-situ by reflectance difference (RD) and reflection high energy electron diffraction (RHEED), on both flat and vicinal (2° off in the <111> A direction) (001)GaAs substrates. The RD was monitored at 632.8 nm. At this wavelength the RD signal from a GaAs surface is primarily related to the absorption by Ga dimers. When InGaAs had been grown, both the average RD signal and the amplitude of the RD oscillations for the subsequent growth of GaAs increased significantly, compared to GaAs growth on GaAs. This In influence was found to persist even after the growth of 20-30 ML of pure GaAs. As a result we were able to monitor growth oscillations with RD and RHEED simultaneously during growth of quantum wells of InGaAs in GaAs. As a conclusion to these observations we suggest that the group III dimer bond concentration, detected in the RD signal, increases.

  10. A method of producing high quality oxide and related films on surfaces

    NASA Technical Reports Server (NTRS)

    Ruckman, Mark W.; Strongin, Myron; Gao, Yongli

    1991-01-01

    Aluminum oxide or aluminum nitride films were deposited on molecular beam epitaxy (MBE) grown GaAS(100) using a novel cryogenic-based reactive thin film deposition technique. The process involves the condensation of molecular oxygen, ammonia, or other gases normally used for reactive thin film deposition on the substrate before the metal is deposited. The metal vapor is deposited into this layer and reacts with the molecular solid to form the desired compound or a precursor that can be thermally decomposed to generate the desired compound. The films produced by this method are free of impurities, and the low temperatures can be used to control the film and interfacial structure. The process can be easily integrated with existing MBE systems. Ongoing research using the same apparatus suggests that photon or electron irradiation could be used to promote the reactions needed to produce the intended material.

  11. Transient GaAs plasmonic metasurfaces at terahertz frequencies

    DOE PAGES

    Yang, Yuanmu; Kamaraju, N.; Campione, Salvatore; ...

    2016-12-09

    Here we demonstrate the ultrafast formation of terahertz (THz) metasurfaces through all-optical creation of spatially modulated carrier density profiles in a deep-subwavelength GaAs film. The switch-on of the transient plasmon mode, governed by the GaAs effective electron mass and electron–phonon interactions, is revealed by structured-optical pump THz probe spectroscopy, on a time scale of 500 fs. By modulating the carrier density using different pump fluences, we observe a wide tuning of the electric dipole resonance of the transient GaAs metasurface from 0.5 THz to 1.7 THz. Furthermore, we numerically demonstrate that the metasurface presented here can be generalized to moremore » complex architectures for realizing functionalities such as perfect absorption, leading to a 30 dB modulation depth. In conclusion, the platform also provides a pathway to achieve ultrafast manipulation of infrared beams in the linear and, potentially, nonlinear regime.« less

  12. Interface dynamics and crystal phase switching in GaAs nanowires

    NASA Astrophysics Data System (ADS)

    Jacobsson, Daniel; Panciera, Federico; Tersoff, Jerry; Reuter, Mark C.; Lehmann, Sebastian; Hofmann, Stephan; Dick, Kimberly A.; Ross, Frances M.

    2016-03-01

    Controlled formation of non-equilibrium crystal structures is one of the most important challenges in crystal growth. Catalytically grown nanowires are ideal systems for studying the fundamental physics of phase selection, and could lead to new electronic applications based on the engineering of crystal phases. Here we image gallium arsenide (GaAs) nanowires during growth as they switch between phases as a result of varying growth conditions. We find clear differences between the growth dynamics of the phases, including differences in interface morphology, step flow and catalyst geometry. We explain these differences, and the phase selection, using a model that relates the catalyst volume, the contact angle at the trijunction (the point at which solid, liquid and vapour meet) and the nucleation site of each new layer of GaAs. This model allows us to predict the conditions under which each phase should be observed, and use these predictions to design GaAs heterostructures. These results could apply to phase selection in other nanowire systems.

  13. Interface dynamics and crystal phase switching in GaAs nanowires.

    PubMed

    Jacobsson, Daniel; Panciera, Federico; Tersoff, Jerry; Reuter, Mark C; Lehmann, Sebastian; Hofmann, Stephan; Dick, Kimberly A; Ross, Frances M

    2016-03-17

    Controlled formation of non-equilibrium crystal structures is one of the most important challenges in crystal growth. Catalytically grown nanowires are ideal systems for studying the fundamental physics of phase selection, and could lead to new electronic applications based on the engineering of crystal phases. Here we image gallium arsenide (GaAs) nanowires during growth as they switch between phases as a result of varying growth conditions. We find clear differences between the growth dynamics of the phases, including differences in interface morphology, step flow and catalyst geometry. We explain these differences, and the phase selection, using a model that relates the catalyst volume, the contact angle at the trijunction (the point at which solid, liquid and vapour meet) and the nucleation site of each new layer of GaAs. This model allows us to predict the conditions under which each phase should be observed, and use these predictions to design GaAs heterostructures. These results could apply to phase selection in other nanowire systems.

  14. RBS and PIXE analysis of chlorine contamination in ALD-Grown TiN films on silicon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Meersschaut, J.; Witters, T.; Kaeyhkoe, M.

    2013-04-19

    The performance, strengths and limitations of RBS and PIXE for the characterization of trace amounts of Cl in TiN thin films are critically compared. The chlorine atomic concentration in ALD grown TiN thin films on Si is determined for samples grown at temperatures ranging from 350 Degree-Sign C to 550 Degree-Sign C. We show that routine Rutherford backscattering spectrometry measurements (1.5 MeV He{sup +}) and PIXE measurements (1.5 MeV H{sup +}) on 20 nm thick TiN films allow one to determine the Cl content down to 0.3 at% with an absolute statistical accuracy reaching 0.03 at%. Possible improvements to pushmore » the sensitivity limit for both approaches are proposed.« less

  15. Effects of ultrathin oxides in conducting MIS structures on GaAs

    NASA Technical Reports Server (NTRS)

    Childs, R. B.; Ruths, J. M.; Sullivan, T. E.; Fonash, S. J.

    1978-01-01

    Schottky barrier-type GaAs baseline devices (semiconductor surface etched and then immediately metalized) and GaAs conducting metal oxide-semiconductor devices are fabricated and characterized. The baseline surfaces (no purposeful oxide) are prepared by a basic or an acidic etch, while the surface for the MIS devices are prepared by oxidizing after the etch step. The metallizations used are thin-film Au, Ag, Pd, and Al. It is shown that the introduction of purposeful oxide into these Schottky barrier-type structures examined on n-type GaAs modifies the barrier formation, and that thin interfacial layers can modify barrier formation through trapping and perhaps chemical reactions. For Au- and Pd-devices, enhanced photovoltaic performance of the MIS configuration is due to increased barrier height.

  16. High power cascaded mid-infrared InAs/GaSb light emitting diodes on mismatched GaAs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Provence, S. R., E-mail: sydney-provence@uiowa.edu; Ricker, R.; Aytac, Y.

    2015-09-28

    InAs/GaSb mid-wave, cascaded superlattice light emitting diodes are found to give higher radiance when epitaxially grown on mismatched GaAs substrates compared to lattice-matched GaSb substrates. Peak radiances of 0.69 W/cm{sup 2}-sr and 1.06 W/cm{sup 2}-sr for the 100 × 100 μm{sup 2} GaSb and GaAs-based devices, respectively, were measured at 77 K. Measurement of the recombination coefficients shows the shorter Shockley-Read-Hall recombination lifetime as misfit dislocations for growth on GaAs degrade the quantum efficiency only at low current injection. The improved performance on GaAs was found to be due to the higher transparency and improved thermal properties of the GaAs substrate.

  17. Zinc oxide films chemically grown onto rigid and flexible substrates for TFT applications

    NASA Astrophysics Data System (ADS)

    Suchea, M.; Kornilios, N.; Koudoumas, E.

    2010-10-01

    This contribution presents some preliminary results regarding the use of a chemical route for the growth of good quality ZnO thin films that can be used for the fabrication of thin film transistors (TFTs). The films were grown at rather low temperature (60 °C) on glass and PET substrates using non-aqueous (zinc acetate dihydrate in methanol) precursor solution and their surface morphology, crystalline structure, optical transmittance and electrical characteristics were studied. The study indicated that good quality films with desirable ZnO structure onto rigid and flexible substrates can be obtained, using a simple, cheap, low temperature chemical growth method.

  18. Single crystal and polycrystalline GaAs solar cells using AMOS technology

    NASA Technical Reports Server (NTRS)

    Stirn, R. J.; Yeh, Y. C. M.

    1976-01-01

    A description is given of current technology for fabricating single AMOS (antireflection-coated metal oxide semiconductor) solar cells, with attention given to thermal, plasma, and anodic oxidation, native oxide stripping, and X-ray photoelectron spectroscopy results. Some preliminary results are presented on the chemistry and electrical characterization of such cells, and the characteristics of cells fabricated on sliced polycrystalline GaAs wafers are examined. Consideration is also given to the recrystallization of evaporated Ge films for use as low-cost substrates for polycrystalline GaAs solar cells.

  19. Structural and morphological properties of ITO thin films grown by magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Ghorannevis, Z.; Akbarnejad, E.; Ghoranneviss, M.

    2015-10-01

    Physical properties of transparent and conducting indium tin oxide (ITO) thin films grown by radiofrequency (RF) magnetron sputtering are studied systematically by changing deposition time. The X-ray diffraction (XRD) data indicate polycrystalline thin films with grain orientations predominantly along the (2 2 2) and (4 0 0) directions. From atomic force microscopy (AFM) it is found that by increasing the deposition time, the roughness of the film increases. Scanning electron microscopy (SEM) images show a network of a high-porosity interconnected nanoparticles, which approximately have a pore size ranging between 20 and 30 nm. Optical measurements suggest an average transmission of 80 % for the ITO films. Sheet resistances are investigated using four-point probes, which imply that by increasing the film thickness the resistivities of the films decrease to 2.43 × 10-5 Ω cm.

  20. Frequency-tunable continuous-wave terahertz sources based on GaAs plasmonic photomixers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Shang-Hua; Jarrahi, Mona; Electrical Engineering Department, University of California Los Angeles, Los Angeles, California 90095

    2015-09-28

    We present frequency-tunable, continuous-wave terahertz sources based on GaAs plasmonic photomixers, which offer high terahertz radiation power levels at 50% radiation duty cycle. The use of plasmonic contact electrodes enhances photomixer quantum efficiency while maintaining its ultrafast operation by concentrating a large number of photocarriers in close proximity to the device contact electrodes. Additionally, the relatively high thermal conductivity and high resistivity of GaAs allow operation under high optical pump power levels and long duty cycles without reaching the thermal breakdown limit of the photomixer. We experimentally demonstrate continuous-wave terahertz radiation with a radiation frequency tuning range of more thanmore » 2 THz and a record-high radiation power of 17 μW at 1 THz through plasmonic photomixers fabricated on a low temperature grown GaAs substrate at 50% radiation duty cycle.« less

  1. The {alpha}-particle excited scintillation response of the liquid phase epitaxy grown LuAG:Ce thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Prusa, P.; Cechak, T.; Mares, J. A.

    2008-01-28

    Liquid phase epitaxy grown Lu{sub 3}Al{sub 5}O{sub 12}:Ce (LuAG:Ce) 20 {mu}m thick films and plate cut from the bulk Czochralski-grown LuAG:Ce crystal were prepared for comparison of photoelectron yield (PhY) and PhY dependence on shaping time (0.5-10 {mu}s). {sup 241}Am ({alpha} particles) was used for excitation. At the 0.5 {mu}s shaping time, the best film shows comparable PhY with the bulk sample. PhY of bulk material increases noticeably more with shaping time than that of the films. Energy resolution of films is better. Influence of Pb{sup 2+} contamination in the films (from the flux) and antisite Lu{sub Al} defect inmore » bulk material is discussed.« less

  2. Peeling off effects in vertically aligned Fe3C filled carbon nanotubes films grown by pyrolysis of ferrocene

    NASA Astrophysics Data System (ADS)

    Boi, Filippo S.; Medranda, Daniel; Ivaturi, Sameera; Wang, Jiayu; Guo, Jian; Lan, Mu; Wen, Jiqiu; Wang, Shanling; He, Yi; Mountjoy, Gavin; Willis, Maureen A. C.; Xiang, Gang

    2017-06-01

    We report the observation of an unusual self-peeling effect which allows the synthesis of free standing vertically aligned carbon nanotube films filled with large quantities of Fe3C and small quantities of γ-Fe crystals. We demonstrate that this effect depends on the interplay of three main factors: (1) the physical interactions between the chosen substrate surface and grown carbon nanotubes (CNTs), which is fixed by the composition of the used substrate (111 SiO2/Si or quartz), (2) the CNT-CNT Van der Waals interactions, and (3) the differential thermal contraction between the grown CNT film and the used substrate, which is fixed by the cooling rate differences between the grown film and the used quartz or Si/SiO2 substrates. The width and stability of these films are then further increased to cm-scale by addition of small quantities of toluene to the ferrocene precursor.

  3. Thermal conductivity of Bi2(SexTe1-x)3 alloy films grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Yoo, Taehee; Lee, Eungkyu; Dong, Sining; Li, Xiang; Liu, Xinyu; Furdyna, Jacek K.; Dobrowolska, Margaret; Luo, Tengfei

    2017-06-01

    We studied the thermal conductivity of Bi2Se3, Bi2Te3, and their alloy Bi2(SexTe1-x)3 at room temperature using time-domain thermoreflectance measurements. The Bi2(SexTe1-x)3 films with various concentrations of Se and Te prepared by molecular beam epitaxy on GaAs substrates were investigated to study the dependence of thermal conductivity on film composition. We observed that the Bi2(SexTe1-x)3 ternary alloys can have much lower thermal conductivity values compared to those of Bi2Se3 and Bi2Te3. These results may provide useful information for developing and engineering low thermal conductivity materials for thermoelectric applications.

  4. Tandem Solar Cells Using GaAs Nanowires on Si: Design, Fabrication, and Observation of Voltage Addition.

    PubMed

    Yao, Maoqing; Cong, Sen; Arab, Shermin; Huang, Ningfeng; Povinelli, Michelle L; Cronin, Stephen B; Dapkus, P Daniel; Zhou, Chongwu

    2015-11-11

    Multijunction solar cells provide us a viable approach to achieve efficiencies higher than the Shockley-Queisser limit. Due to their unique optical, electrical, and crystallographic features, semiconductor nanowires are good candidates to achieve monolithic integration of solar cell materials that are not lattice-matched. Here, we report the first realization of nanowire-on-Si tandem cells with the observation of voltage addition of the GaAs nanowire top cell and the Si bottom cell with an open circuit voltage of 0.956 V and an efficiency of 11.4%. Our simulation showed that the current-matching condition plays an important role in the overall efficiency. Furthermore, we characterized GaAs nanowire arrays grown on lattice-mismatched Si substrates and estimated the carrier density using photoluminescence. A low-resistance connecting junction was obtained using n(+)-GaAs/p(+)-Si heterojunction. Finally, we demonstrated tandem solar cells based on top GaAs nanowire array solar cells grown on bottom planar Si solar cells. The reported nanowire-on-Si tandem cell opens up great opportunities for high-efficiency, low-cost multijunction solar cells.

  5. (In,Ga,Al)P-GaP laser diodes grown on high-index GaAs surfaces emitting in the green, yellow and bright red spectral range

    NASA Astrophysics Data System (ADS)

    Ledentsov, N. N.; Shchukin, V. A.; Shernyakov, Yu M.; Kulagina, M. M.; Payusov, A. S.; Gordeev, N. Yu; Maximov, M. V.; Cherkashin, N. A.

    2017-02-01

    We report on low threshold current density (<400 A cm-2) injection lasing in (Al x Ga1-x )0.5In0.5P-GaAs-based diodes down to the green spectral range (<570 nm). The epitaxial structures are grown on high-index (611)A and (211)A GaAs substrates by metal-organic vapor phase epitaxy and contain tensile-strained GaP-enriched insertions aimed at reflection of the injected nonequilibrium electrons preventing their escape from the active region. Extended waveguide concept results in a vertical beam divergence with a full width at half maximum of 15° for (611)A substrates. The lasing at the wavelength of 569 nm is realized at 85 K. In an orange-red laser diode structure low threshold current density (190 A cm-2) in the orange spectral range (598 nm) is realized at 85 K. The latter devices demonstrated room temperature lasing at 628 nm at ˜2 kA cm-2 and a total power above 3 W. The red laser diodes grown on (211)A substrates demonstrated a far field characteristic for vertically multimode lasing indicating a lower optical confinement factor for the fundamental mode as compared to the devices grown on (611)A. However, as expected from previous research, the temperature stability of the threshold current and the wavelength stability were significantly higher for (211)A-grown structures.

  6. Hole-dominated transport in InSb nanowires grown on high-quality InSb films

    NASA Astrophysics Data System (ADS)

    Algarni, Zaina; George, David; Singh, Abhay; Lin, Yuankun; Philipose, U.

    2016-12-01

    We have developed an effective strategy for synthesizing p-type indium antimonide (InSb) nanowires on a thin film of InSb grown on glass substrate. The InSb films were grown by a chemical reaction between S b 2 S 3 and I n and were characterized by structural, compositional, and optical studies. Scanning electron microscopy (SEM) and atomic force microscopy (AFM) studies reveal that the surface of the substrate is covered with a polycrystalline InSb film comprised of sub-micron sized InSb islands. Energy dispersive X-ray (EDX) results show that the film is stoichiometric InSb. The optical constants of the InSb film, characterized using a variable-angle spectroscopic ellipsometer (VASE) shows a maximum value for refractive index at 3.7 near 1.8 eV, and the extinction coefficient (k) shows a maximum value 3.3 near 4.1 eV. InSb nanowires were subsequently grown on the InSb film with 20 nm sized Au nanoparticles functioning as the metal catalyst initiating nanowire growth. The InSb nanowires with diameters in the range of 40-60 nm exhibit good crystallinity and were found to be rich in Sb. High concentrations of anions in binary semiconductors are known to introduce acceptor levels within the band gap. This un-intentional doping of the InSb nanowire resulting in hole-dominated transport in the nanowires is demonstrated by the fabrication of a p-channel nanowire field effect transistor. The hole concentration and field effect mobility are estimated to be ≈1.3 × 1017 cm-3 and 1000 cm2 V-1 s-1, respectively, at room temperature, values that are particularly attractive for the technological implications of utilizing p-InSb nanowires in CMOS electronics.

  7. Modified energetics and growth kinetics on H-terminated GaAs (110)

    NASA Astrophysics Data System (ADS)

    Galiana, B.; Benedicto, M.; Díez-Merino, L.; Lorbek, S.; Hlawacek, G.; Teichert, C.; Tejedor, P.

    2013-10-01

    Atomic hydrogen modification of the surface energy of GaAs (110) epilayers, grown at high temperatures from molecular beams of Ga and As4, has been investigated by friction force microscopy (FFM). The reduction of the friction force observed with longer exposures to the H beam has been correlated with the lowering of the surface energy originated by the progressive de-relaxation of the GaAs (110) surface occurring upon H chemisorption. Our results indicate that the H-terminated GaAs (110) epilayers are more stable than the As-stabilized ones, with the minimum surface energy value of 31 meV/Å2 measured for the fully hydrogenated surface. A significant reduction of the Ga diffusion length on the H-terminated surface irrespective of H coverage has been calculated from the FFM data, consistent with the layer-by-layer growth mode and the greater As incorporation coefficient determined from real-time reflection high-energy electron diffraction studies. Arsenic incorporation through direct dissociative chemisorption of single As4 molecules mediated by H on the GaAs (110) surface has been proposed as the most likely explanation for the changes in surface kinetics observed.

  8. Optical properties and carrier dynamics of GaAs/GaInAs multiple-quantum-well shell grown on GaAs nanowire by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Park, Kwangwook; Ravindran, Sooraj; Ju, Gun Wu

    GaAs/GaInAs multiple-quantum-well (MQW) shells having different GaInAs shell width formed on the surface of self-catalyzed GaAs core nanowires (NWs) are grown on (100) Si substrate using molecular beam epitaxy. The photoluminescence emission from GaAs/GaInAs MQW shells and the carrier lifetime could be varied by changing the width of GaInAs shell. Time-resolved photoluminescence measurements showed that the carrier lifetime had a fast and slow decay owing to the mixing of wurtzite and zinc-blende structures of the NWs. Furthermore, strain relaxation caused the carrier lifetime to decrease beyond a certain thickness of GaInAs quantum well shells.

  9. Identification of oxygen-related midgap level in GaAs

    NASA Technical Reports Server (NTRS)

    Lagowski, J.; Lin, D. G.; Gatos, H. C.; Aoyama, T.

    1984-01-01

    An oxygen-related deep level ELO was identified in GaAs employing Bridgman-grown crystals with controlled oxygen doping. The activation energy of ELO is almost the same as that of the dominant midgap level: EL2. This fact impedes the identification of ELO by standard deep level transient spectroscopy. However, it was found that the electron capture cross section of ELO is about four times greater than that of EL2. This characteristic served as the basis for the separation and quantitative investigation of ELO employing detailed capacitance transient measurements in conjunction with reference measurements on crystals grown without oxygen doping and containing only EL2.

  10. Effect of precursor on epitaxially grown of ZnO thin film on p-GaN/sapphire (0 0 0 1) substrate by hydrothermal technique

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sahoo, Trilochan; Ju, Jin-Woo; Kannan, V.

    2008-03-04

    Single crystalline ZnO thin film on p-GaN/sapphire (0 0 0 1) substrate, using two different precursors by hydrothermal route at a temperature of 90 deg. C were successfully grown. The effect of starting precursor on crystalline nature, surface morphology and optical emission of the films were studied. ZnO thin films were grown in aqueous solution of zinc acetate and zinc nitrate. X-ray diffraction analysis revealed that all the thin films were single crystalline in nature and exhibited wurtzite symmetry and c-axis orientation. The thin films obtained with zinc nitrate had a more pitted rough surface morphology compared to the filmmore » grown in zinc acetate. However the thickness of the films remained unaffected by the nature of the starting precursor. Sharp luminescence peaks were observed from the thin films almost at identical energies but deep level emission was slightly prominent for the thin film grown in zinc nitrate.« less

  11. Blueish green photoluminescence from nitrided GaAs(100) surfaces

    NASA Astrophysics Data System (ADS)

    Shimaoka, Goro; Udagawa, Takashi

    1999-04-01

    Optical and structural studies were made on the Si-doped (100)GaAs surfaces nitrided at a temperature between 650° and 750°C for 15 min in the flowing NH 3 gas. The wavelength of photoluminescence (PL) spectra were observed to be shortened from 820 nm of the GaAs nitrided at 650°C with increasing nitridation temperature. Blueish green PL with wavelengths of approx. 490 nm and 470 nm were emitted from the nitrided surfaces at 700° and 750°C, respectively. Results of AES and SIMS indicated that the surfaces are nitrided as GaAs 1- xN x, (0< x≤1) alloy layer, and the nitrided region also tended to increase as the temperature raised. High-resolution transmission electron microscopic (HRTEM), transmission electron diffraction (TED) and energy dispersive X-ray (EDX) results showed that films peeled off from the nitrided surfaces consisted mainly of hexagonal, wurtzite-type gallium nitride (GaN) with stacking faults and microtwins.

  12. GaInNAs Structures Grown by MBE for High-Efficiency Solar Cells: Final Report; 25 June 1999--24 August 2002

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tu, C. W.

    The focus of this work is to improve the quality of GaInNAs by advanced thin-film growth techniques, such as digital-alloy growth techniques and migration-enhanced epitaxy (MEE). The other focus is to further investigate the properties of such materials, which are potentially beneficial for high-efficiency, multijunction solar cells. 400-nm-thick strain-compensated Ga0.92In0.08As/GaN0.03As0.97 short-period superlattices (SPSLs) are grown lattice-matched to GaAs substrates. The photoluminescence (PL) intensity of digital alloys is 3 times higher than that of random alloys at room temperature, and the improvement is even greater at low temperature, by a factor of about 12. The room-temperature PL intensity of the GaInNAsmore » quantum well grown by the strained InAs/GaN0.023As SPSL growth mode is higher by a factor 5 as compare to the continuous growth mode. The SPSL growth method allows for independent adjustment of the In-to-Ga ratio without group III competition. MEE reduces the low-energy tail of PL, and PL peaks become more intense and sharper. The twin peaks photoluminescence of GaNAs grown on GaAs was observed at room temperature. The peaks splitting increase with increase in nitrogen alloy content. The strain-induced splitting of light-hole and heavy-hole bands of tensile-strained GaNAs is proposed as an explanation of such behavior.« less

  13. Control of Defects in Aluminum Gallium Nitride ((Al)GaN) Films on Grown Aluminum Nitride (AlN) Substrates

    DTIC Science & Technology

    2013-02-01

    Nord, J.; Albe, K.; Erhart, P.; Nordlund, K. Modelling of Compound Semiconductors: Analytical Bond-order Potential for Gallium , Nitrogen and Gallium ...Control of Defects in Aluminum Gallium Nitride ((Al)GaN) Films on Grown Aluminum Nitride (AlN) Substrates by Iskander G. Batyrev, Chi-Chin Wu...Aluminum Gallium Nitride ((Al)GaN) Films on Grown Aluminum Nitride (AlN) Substrates Iskander G. Batyrev and N. Scott Weingarten Weapons and

  14. P-doped strontium titanate grown using two target pulsed laser deposition for thin film solar cells

    NASA Astrophysics Data System (ADS)

    Man, Hamdi

    Thin-film solar cells made of Mg-doped SrTiO3 p-type absorbers are promising candidates for clean energy generation. This material shows p-type conductivity and also demonstrates reasonable absorption of light. In addition, p-type SrTiO3 can be deposited as thin films so that the cost can be lower than the competing methods. In this work, Mg-doped SrTiO3 (STO) thin-films were synthesized and analyzed in order to observe their potential to be employed as the base semiconductor in photovoltaic applications. Mg-doped STO thin-films were grown by using pulsed laser deposition (PLD) using a frequency quadrupled Yttrium Aluminum Garnet (YAG) laser and with a substrate that was heated by back surface absorption of infrared (IR) laser light. The samples were characterized using X-ray photoelectron spectroscopy (XPS) and it was observed that Mg atoms were doped successfully in the stoichiometry. Reflection high energy electron diffraction (RHEED) spectroscopy proved that the thin films were polycrystalline. Kelvin Probe work function measurements indicated that the work function of the films were 4.167 eV after annealing. UV/Vis Reflection spectroscopy showed that Mg-doped STO thin-films do not reflect significantly except in the ultraviolet region of the spectrum where the reflection percentage increased up to 80%. Self-doped STO thin-films, Indium Tin Oxide (ITO) thin films and stainless steel foil (SSF) were studied in order to observe their characteristics before employing them in Mg-doped STO based solar cells. Self-doped STO thin films were grown using PLD and the results showed that they are capable of serving as the n-type semiconductor in solar cell applications with oxygen vacancies in their structure and low reflectivity. Indium Tin Oxide thin-films grown by PLD system showed low 25-50 ?/square sheet resistance and very low reflection features. Finally, commercially available stainless steel foil substrates were excellent substrates for the inexpensive growth of

  15. Reduced interface spin polarization by antiferromagnetically coupled Mn segregated to the C o2MnSi /GaAs (001) interface

    NASA Astrophysics Data System (ADS)

    Rath, Ashutosh; Sivakumar, Chockalingam; Sun, C.; Patel, Sahil J.; Jeong, Jong Seok; Feng, J.; Stecklein, G.; Crowell, Paul A.; Palmstrøm, Chris J.; Butler, William H.; Voyles, Paul M.

    2018-01-01

    We have investigated the interfacial structure and its correlation with the calculated spin polarization in C o2MnSi /GaAs(001) lateral spin valves. C o2MnSi (CMS) films were grown on As-terminated c(4 ×4 ) GaAs(100) by molecular beam epitaxy using different first atomic layers: MnSi, Co, and Mn. Atomically resolved Z -contrast scanning transmission electron microscopy (STEM) imaging and electron energy loss spectroscopy (EELS) were used to develop atomic structural models of the CMS/GaAs interfaces that were used as inputs for first-principles calculations to understand the magnetic and electronic properties of the interface. First-principles structures were relaxed and then validated by comparing experimental and simulated high-resolution STEM images. STEM-EELS results show that all three films have similar six atomic layer thick, Mn- and As-rich multilayer interfaces. However, the Co-initiated interface contains a M n2As -like layer, which is antiferromagnetic, and which is not present in the other two interfaces. Density functional theory calculations show a higher degree of interface spin polarization in the Mn- and MnSi-initiated cases, compared to the Co-initiated case, although none of the interfaces are half-metallic. The loss of half-metallicity is attributed, at least in part, to the segregation of Mn at the interface, which leads to the formation of interface states. The implications for the performance of lateral spin valves based on these interfaces are discussed briefly.

  16. Microstructural Characteristics of GeSbTe Thin Films Grown by RF Sputtering

    NASA Astrophysics Data System (ADS)

    Nelson, M. J.; Inglefield, C. E.; Olson, J. K.; Li, H.; Taylor, P. C.

    2004-10-01

    Thin films of GeSbTe are of interest due to their potential use in rewritable optical data storage media and reconfigurable electronics. The amorphous and crystalline phases of GeSbTe exhibit very different reflectivity and electrical conductivity. Films of nominally amorphous Ge_2Sb_2Te5 were grown to various thicknesses using RF sputtering on quartz substrates. The surfaces of the films were analyzed using Atomic Force Microscopy (AFM) and surface roughness measurements were taken. The thicker films had a truly isotropic surface while the thinnest films displayed crystalline features, such as angular steps. Conductivity measurements of the films in both coplanar and sandwich geometries correlate with the AFM data and indicate a high degree of crystallinity during the initial stages of growth. This work was supported by the Air Force Research Laboratory under grant number F29601-03-01-0229 and by Weber State University through the Phyllis Crosby Gardner fellowship.

  17. Comparison of stress states in GaN films grown on different substrates: Langasite, sapphire and silicon

    NASA Astrophysics Data System (ADS)

    Park, Byung-Guon; Saravana Kumar, R.; Moon, Mee-Lim; Kim, Moon-Deock; Kang, Tae-Won; Yang, Woo-Chul; Kim, Song-Gang

    2015-09-01

    We demonstrate the evolution of GaN films on novel langasite (LGS) substrate by plasma-assisted molecular beam epitaxy, and assessed the quality of grown GaN film by comparing the experimental results obtained using LGS, sapphire and silicon (Si) substrates. To study the substrate effect, X-ray diffraction (XRD), scanning electron microscopy (SEM), Raman spectroscopy and photoluminescence (PL) spectra were used to characterize the microstructure and stress states in GaN films. Wet etching of GaN films in KOH solution revealed that the films deposited on GaN/LGS, AlN/sapphire and AlN/Si substrates possess Ga-polarity, while the film deposited on GaN/sapphire possess N-polarity. XRD, Raman and PL analysis demonstrated that a compressive stress exist in the films grown on GaN/LGS, AlN/sapphire, and GaN/sapphire substrates, while a tensile stress appears on AlN/Si substrate. Comparative analysis showed the growth of nearly stress-free GaN films on LGS substrate due to the very small lattice mismatch ( 3.2%) and thermal expansion coefficient difference ( 7.5%). The results presented here will hopefully provide a new framework for the further development of high performance III-nitride-related devices using GaN/LGS heteroepitaxy.

  18. Integration of Multi-Functional Oxide Thin Film Heterostructures with III-V Semiconductors

    NASA Astrophysics Data System (ADS)

    Rahman, Md. Shafiqur

    Integration of multi-functional oxide thin films with semiconductors has attracted considerable attention in recent years due to their potential applications in sensing and logic functionalities that can be incorporated in future system-on-a-chip devices. III-V semiconductor, for example, GaAs, have higher saturated electron velocity and mobility allowing transistors based on GaAs to operate at a much higher frequency with less noise compared to Si. In addition, because of its direct bandgap a number of efficient optical devices are possible and by oxide integrating with other III-V semiconductors the wavelengths can be made tunable through hetero-engineering of the bandgap. This study, based on the use of SrTiO3 (STO) films grown on GaAs (001) substrates by molecular beam epitaxy (MBE) as an intermediate buffer layer for the hetero-epitaxial growth of ferromagnetic La0.7Sr 0.3MnO3 (LSMO) and room temperature multiferroic BiFeO 3 (BFO) thin films and superlattice structures using pulsed laser deposition (PLD). The properties of the multilayer thin films in terms of growth modes, lattice spacing/strain, interface structures and texture were characterized by the in-situ reflection high energy electron diffraction (RHEED). The crystalline quality and chemical composition of the complex oxide heterostructures were investigated by a combination of X-ray diffraction (XRD) and X-ray photoelectron absorption spectroscopy (XPS). Surface morphology, piezo-response with domain structure, and ferroelectric switching observations were carried out on the thin film samples using a scanning probe microscope operated as a piezoresponse force microscopy (PFM) in the contact mode. The magnetization measurements with field cooling exhibit a surprising increment in magnetic moment with enhanced magnetic hysteresis squareness. This is the effect of exchange interaction between the antiferromagnetic BFO and the ferromagnetic LSMO at the interface. The integration of BFO materials with

  19. Highly crystalline MoS{sub 2} thin films grown by pulsed laser deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Serrao, Claudy R.; You, Long; Gadgil, Sushant

    2015-02-02

    Highly crystalline thin films of MoS{sub 2} were prepared over large area by pulsed laser deposition down to a single monolayer on Al{sub 2}O{sub 3} (0001), GaN (0001), and SiC-6H (0001) substrates. X-ray diffraction and selected area electron diffraction studies show that the films are quasi-epitaxial with good out-of-plane texture. In addition, the thin films were observed to be highly crystalline with rocking curve full width half maxima of 0.01°, smooth with a RMS roughness of 0.27 nm, and uniform in thickness based on Raman spectroscopy. From transport measurements, the as-grown films were found to be p-type.

  20. Engineering Controlled Spalling in (100)-Oriented GaAs for Wafer Reuse

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sweet, Cassi A.; McNeely, Joshua E.; Gorman, Brian

    Controlled spalling offers a way to cleave thin, single-crystal films or devices from wafers, particularly if the fracture planes in the material are oriented parallel to the wafer surface. Unfortunately, misalignment between the favored fracture planes and the wafer surface preferred for photovoltaic growth in (100)-oriented GaAs produces a highly faceted surface when subject to controlled spalling. This highly faceted cleavage surface is problematic in several ways: (1) it can result in large variations of spall depth due to unstable crack propagation; (2) it may introduce defects into the device zone or underlying substrate; and (3) it consumes many micronsmore » of material outside of the device zone. We present the ways in which we have engineered controlled spalling for (100)-oriented GaAs to minimize these effects. We expand the operational window for controlled spalling to avoid spontaneous spalling, find no evidence of dislocation activity in the spalled film or the parent wafer, and reduce facet height and facet height irregularity. Resolving these issues provides a viable path forward for reducing III-V device cost through the controlled spalling of (100)-oriented GaAs devices and subsequent wafer reuse when these processes are combined with a high-throughput growth method such as Hydride Vapor Phase Epitaxy.« less

  1. Correlation of process parameters and properties of TiO2 films grown by ion beam sputter deposition from a ceramic target

    NASA Astrophysics Data System (ADS)

    Bundesmann, Carsten; Lautenschläge, Thomas; Spemann, Daniel; Finzel, Annemarie; Mensing, Michael; Frost, Frank

    2017-10-01

    The correlation between process parameters and properties of TiO2 films grown by ion beam sputter deposition from a ceramic target was investigated. TiO2 films were grown under systematic variation of ion beam parameters (ion species, ion energy) and geometrical parameters (ion incidence angle, polar emission angle) and characterized with respect to film thickness, growth rate, structural properties, surface topography, composition, optical properties, and mass density. Systematic variations of film properties with the scattering geometry, namely the scattering angle, have been revealed. There are also considerable differences in film properties when changing the process gas from Ar to Xe. Similar systematics were reported for TiO2 films grown by reactive ion beam sputter deposition from a metal target [C. Bundesmann et al., Appl. Surf. Sci. 421, 331 (2017)]. However, there are some deviations from the previously reported data, for instance, in growth rate, mass density and optical properties.

  2. Structure and sublimation of water ice films grown in vacuo at 120-190 K studied by positron and positronium annihilation.

    PubMed

    Townrow, S; Coleman, P G

    2014-03-26

    The crystalline structure of ∼ 5-20 μm water ice films grown at 165 and 172 K has been probed by measuring the fraction of positrons forming ortho-positronium (ortho-Ps) and decaying into three gamma photons. It has been established that films grown at slower rates (water vapour pressure ≥ 1 mPa) have lower concentrations of lattice defects and closed pores, which act as Ps traps, than those grown at higher rates (vapour pressure ∼ 100 mPa), evidenced by ortho-Ps diffusion lengths being approximately four times greater in the former. By varying the growth temperature between 162 and 182 K it was found that films become less disordered at temperatures above ∼ 172 K, with the ortho-Ps diffusion length rising by ∼ 60%, in this range. The sublimation energy for water ice films grown on copper has been measured to be 0.462(5) eV using the time dependence of positron annihilation parameters from 165 to 195 K, in agreement with earlier studies and with no measurable dependence on growth rate and thermal history.

  3. Theoretical utmost performance of the (1 0 0) long-wave HgCdTe Auger suppressed photodetectors grown on GaAs

    NASA Astrophysics Data System (ADS)

    Martyniuk, P.; Gawron, W.; Madejczyk, P.; Rogalski, A.

    2017-08-01

    The vast majority of HgCdTe detectors designed to detect long wavelength (8-14 μm) infrared radiation must be cooled to achieve the required performance. It must be stressed that cooling requirement is both expensive and bulky and the main objective is to reach higher operating temperature condition preserving near background limited performance and high speed response. In order to reach that goal the thermal generation rate needs to be reduced below the photon generation rate. Except Auger 7, p-type HgCdTe active layers are mostly limited by technology dependent Shockley-Read-Hall generation-recombination processes. One of the ways to reduce of the trap density is a growth of the (1 0 0) HgCdTe epilayers on GaAs substrates. In addition, that orientation allows reaching lower carrier concentration in comparison to the commonly used (1 1 1) orientation (5 × 1015-1016 cm-3). In this paper we report on theoretical utmost performance of (1 0 0) HgCdTe Auger suppressed photodetectors grown on GaAs substrates. (1 0 0) HgCdTe orientation allows to reduce p-type doping to the level of ∼5 × 1014 cm-3 in analyzed long wavelength range. In addition Shockley-Read-Hall traps could be reduced to the level of ∼4.4 × 108 cm-3 resulting in suppression of the dark current by nearly two orders of magnitude within the range ∼20 ÷ 0.31 A/cm2 and detectivity, ∼1010-1011 cmHz1/2/W at temperature 230 K, voltage 200 mV.

  4. Ultra-Smooth ZnS Films Grown on Silicon via Pulsed Laser Deposition

    NASA Astrophysics Data System (ADS)

    Reidy, Christopher; Tate, Janet

    2011-10-01

    Ultra-smooth, high quality ZnS films were grown on (100) and (111) oriented Si wafers via pulsed laser deposition with a KrF excimer laser in UHV (10-9 Torr). The resultant films were examined with optical spectroscopy, electron diffraction, and electron probe microanalysis. The films have an rms roughness of ˜1.5 nm, and the film stoichiometry is approximately Zn:S :: 1:0.87. Additionally, each film exhibits an optical interference pattern which is not a function of probing location on the sample, indicating excellent film thickness uniformity. Motivation for high-quality ZnS films comes from a proposed experiment to measure carrier amplification via impact ionization at the boundary between a wide-gap and a narrow-gap semiconductor. If excited charge carriers in a sufficiently wide-gap harvester can be extracted into a narrow-gap host material, impact ionization may occur. We seek near-perfect interfaces between ZnS, with a direct gap between 3.3 and 3.7 eV, and Si, with an indirect gap of 1.1 eV.

  5. Efficient Ga(As)Sb quantum dot emission in AlGaAs by GaAs intermediate layer

    NASA Astrophysics Data System (ADS)

    Loeber, Thomas Henning; Richter, Johannes; Strassner, Johannes; Heisel, Carina; Kimmle, Christina; Fouckhardt, Henning

    2013-03-01

    Ga(As)Sb quantum dots (QDs) are epitaxially grown in AlGaAs/GaAs in the Stranski-Krastanov mode. In the recent past we achieved Ga(As)Sb QDs in GaAs with an extremely high dot density of 9.8•1010 cm-2 by optimization of growth temperature, Sb/Ga flux pressure ratio, and coverage. Additionally, the QD emission wavelength could be chosen precisely with these growth parameters in the range between 876 and 1035 nm. Here we report a photoluminescence (PL) intensity improvement for the case with AlGaAs barriers. Again growth parameters and layer composition are varied. The aluminium content is varied between 0 and 90%. Reflectance anisotropy spectroscopy (RAS) is used as insitu growth control to determine growth rate, layer thickness, and AlGaAs composition. Ga(As)Sb QDs, directly grown in AlxGa1-xAs emit no PL signal, even with a very low x ≈ 0.1. With additional around 10 nm thin GaAs intermediate layers between the Ga(As)Sb QDs and the AlGaAs barriers PL signals are detected. Samples with 4 QD layers and AlxGa1-xAs/GaAs barriers in between are grown. The thickness and composition of the barriers are changed. Depending on these values PL intensity is more than 4 times as high as in the case with simple GaAs barriers. With these results efficient Ga(As)Sb QD lasers are realized, so far only with pure GaAs barriers. Our index-guided broad area lasers operate continuous-wave (cw) @ 90 K, emit optical powers of more than 2•50 mW and show a differential quantum efficiency of 54% with a threshold current density of 528 A/cm2.

  6. Microstructures and growth mechanisms of GaN films epitaxially grown on AlN/Si hetero-structures by pulsed laser deposition at different temperatures.

    PubMed

    Wang, Wenliang; Yang, Weijia; Lin, Yunhao; Zhou, Shizhong; Li, Guoqiang

    2015-11-13

    2 inch-diameter GaN films with homogeneous thickness distribution have been grown on AlN/Si(111) hetero-structures by pulsed laser deposition (PLD) with laser rastering technique. The surface morphology, crystalline quality, and interfacial property of as-grown GaN films are characterized in detail. By optimizing the laser rastering program, the ~300 nm-thick GaN films grown at 750 °C show a root-mean-square (RMS) thickness inhomogeneity of 3.0%, very smooth surface with a RMS surface roughness of 3.0 nm, full-width at half-maximums (FWHMs) for GaN(0002) and GaN(102) X-ray rocking curves of 0.7° and 0.8°, respectively, and sharp and abrupt AlN/GaN hetero-interfaces. With the increase in the growth temperature from 550 to 850 °C, the surface morphology, crystalline quality, and interfacial property of as-grown ~300 nm-thick GaN films are gradually improved at first and then decreased. Based on the characterizations, the corresponding growth mechanisms of GaN films grown on AlN/Si hetero-structures by PLD with various growth temperatures are hence proposed. This work would be beneficial to understanding the further insight of the GaN films grown on Si(111) substrates by PLD for the application of GaN-based devices.

  7. Microstructures and growth mechanisms of GaN films epitaxially grown on AlN/Si hetero-structures by pulsed laser deposition at different temperatures

    PubMed Central

    Wang, Wenliang; Yang, Weijia; Lin, Yunhao; Zhou, Shizhong; Li, Guoqiang

    2015-01-01

    2 inch-diameter GaN films with homogeneous thickness distribution have been grown on AlN/Si(111) hetero-structures by pulsed laser deposition (PLD) with laser rastering technique. The surface morphology, crystalline quality, and interfacial property of as-grown GaN films are characterized in detail. By optimizing the laser rastering program, the ~300 nm-thick GaN films grown at 750 °C show a root-mean-square (RMS) thickness inhomogeneity of 3.0%, very smooth surface with a RMS surface roughness of 3.0 nm, full-width at half-maximums (FWHMs) for GaN(0002) and GaN(102) X-ray rocking curves of 0.7° and 0.8°, respectively, and sharp and abrupt AlN/GaN hetero-interfaces. With the increase in the growth temperature from 550 to 850 °C, the surface morphology, crystalline quality, and interfacial property of as-grown ~300 nm-thick GaN films are gradually improved at first and then decreased. Based on the characterizations, the corresponding growth mechanisms of GaN films grown on AlN/Si hetero-structures by PLD with various growth temperatures are hence proposed. This work would be beneficial to understanding the further insight of the GaN films grown on Si(111) substrates by PLD for the application of GaN-based devices. PMID:26563573

  8. Effect of growth parameters on crystallinity and properties of ZnO films grown by plasma assisted MOCVD

    NASA Astrophysics Data System (ADS)

    Losurdo, M.; Giangregorio, M. M.; Sacchetti, A.; Capezzuto, P.; Bruno, G.; Malandrino, G.; Fragalà, I. L.

    2007-07-01

    Thin films of ZnO have been grown by plasma assisted metal-organic chemical vapour deposition (PA-MOCVD) using a 13.56 MHz O 2 plasma and the Zn(TTA)•tmed (HTTA=2-thenoyltrifluoroacetone, TMED=N,N,N',N'-tetramethylethylendiamine) precursor. The effects of growth parameters such as the plasma activation, the substrate, the surface temperature, and the ratio of fluxes of precursors on the structure, morphology, and optical and electrical properties of ZnO thin films have been studied. Under a very low plasma power of 20 W, c-axis oriented hexagonal ZnO thin films are grown on hexagonal sapphire (0001), cubic Si(001) and amorphous quartz substrates. The substrate temperature mainly controls grain size.

  9. In-situ ellipsometric studies of optical and surface properties of GaAs(100) at elevated temperatures

    NASA Technical Reports Server (NTRS)

    Yao, Huade; Snyder, Paul G.

    1991-01-01

    A rotating-polarizer ellipsometer was attached to an ultrahigh vacuum (UHV) chamber. A GaAs(100) sample was introduced into the UHV chamber and heated at anumber of fixed elevated temperatures, without arsenic overpressure. In-situ spectroscopic ellipsometric (SE) measurements were taken, through a pair of low-strain quartz windows, to monitor the surface changes and measure the pseudodielectric functions at elevated temperatures. Real-time data from GaAs surface covered with native oxide showed clearly the evolution of oxide desorption at approximately 580 C. In addition, surface degradation was found before and after the oxide desorption. An oxide free and smooth GaAs surface was obtained by depositing an arsenic protective coating onto a molecular beam epitaxy grown GaAs surface. The arsenic coating was evaporated immediately prior to SE measurements. A comparison showed that our room temperature data from this GaAs surface, measured in the UHV, are in good agreement with those in the literature obtained by wet-chemical etching. The surface also remained clean and smooth at higher temperatures, so that reliable temperature-dependent dielectric functions were obtained.

  10. Growth and properties of amorphous silicon films grown using pulsed-flow reactive plasma beam epitaxy

    NASA Technical Reports Server (NTRS)

    Dalal, Vikram L.; Knox, Ralph; Kandalaft, Nabeeh; Baldwin, Greg

    1991-01-01

    The growth and properties of a-Si:H films grown using a novel deposition technique, reactive plasma beam epitaxy, are discussed. In this technique, a remote H plasma produced in a microwave-ECR reactor is used to grow a-Si:H films at low pressures. The H ions react with SiH4 introduced near the substrate to produce the film. The flow of SiH4 is pulsed on or off, thereby achieving in-situ annealing of the film during growth by H ions and radicals. The films produced by this technique appear to have good electronic quality, and are more stable than the standard glow discharge films.

  11. High quality atomically thin PtSe2 films grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Yan, Mingzhe; Wang, Eryin; Zhou, Xue; Zhang, Guangqi; Zhang, Hongyun; Zhang, Kenan; Yao, Wei; Lu, Nianpeng; Yang, Shuzhen; Wu, Shilong; Yoshikawa, Tomoki; Miyamoto, Koji; Okuda, Taichi; Wu, Yang; Yu, Pu; Duan, Wenhui; Zhou, Shuyun

    2017-12-01

    Atomically thin PtSe2 films have attracted extensive research interests for potential applications in high-speed electronics, spintronics and photodetectors. Obtaining high quality thin films with large size and controlled thickness is critical. Here we report the first successful epitaxial growth of high quality PtSe2 films by molecular beam epitaxy. Atomically thin films from 1 ML to 22 ML have been grown and characterized by low-energy electron diffraction, Raman spectroscopy and x-ray photoemission spectroscopy. Moreover, a systematic thickness dependent study of the electronic structure is revealed by angle-resolved photoemission spectroscopy (ARPES), and helical spin texture is revealed by spin-ARPES. Our work provides new opportunities for growing large size single crystalline films to investigate the physical properties and potential applications of PtSe2.

  12. Optical Characterization of Lead Monoxide Films Grown by Laser-Assisted Deposition

    NASA Astrophysics Data System (ADS)

    Baleva, M.; Tuncheva, V.

    1994-05-01

    The Raman spectra of PbO films, grown by laser-assisted deposition (LAD) at different substrate temperatures are investigated. The spectra of the films, deposited on amorphous, single crystal quartz and polycrystal PbTe substrates, are compared with the Raman spectra of tetragonal and orthorhombic powder samples. The phonon frequencies determined in our experiment with powder samples coincide fairly well with those obtained by Adams and Stevens, J. Chem. Soc., Dalton Trans., 1096 (1977). Thus the Raman spectra of the powder samples presented in this paper can be considered as unambiguous characteristics of the two different PbO crystal phases. It was concluded that the Raman scattering may serve as a tool for identification of PbO films and their crystal modifications. On the basis of this investigation it was concluded that the film structure changes from orthorhombic to tetragonal with increased substrate temperature, and that the nature of the substrate influences the crystal structure of the films. On the basis of the Raman spectra of the β-PbO films with prevailing (001) orientation of crystallization, an assignment of the modes is proposed.

  13. Photoluminescence intensity enhancement of GaAs by vapor-deposited GaS - A rational approach to surface passivation

    NASA Technical Reports Server (NTRS)

    Jenkins, Phillip P.; Hepp, Aloysius F.; Power, Michael B.; Macinnes, Andrew N.; Barron, Andrew R.

    1993-01-01

    A two order-of-magnitude enhancement of photoluminescence intensity relative to untreated GaAs has been observed for GaAs surfaces coated with chemical vapor-deposited GaS. The increase in photoluminescence intensity can be viewed as an effective reduction in surface recombination velocity and/or band bending. The gallium cluster (/t-Bu/GaS)4 was used as a single-source precursor for the deposition of GaS thin films. The cubane core of the structurally-characterized precursor is retained in the deposited film producing a cubic phase. Furthermore, a near-epitaxial growth is observed for the GaS passivating layer. Films were characterized by transmission electron microscopy, X-ray powder diffraction, and X-ray photoelectron and Rutherford backscattering spectroscopies.

  14. GaAsP/InGaP HBTs grown epitaxially on Si substrates: Effect of dislocation density on DC current gain

    NASA Astrophysics Data System (ADS)

    Heidelberger, Christopher; Fitzgerald, Eugene A.

    2018-04-01

    Heterojunction bipolar transistors (HBTs) with GaAs0.825P0.175 bases and collectors and In0.40Ga0.60P emitters were integrated monolithically onto Si substrates. The HBT structures were grown epitaxially on Si via metalorganic chemical vapor deposition, using SiGe compositionally graded buffers to accommodate the lattice mismatch while maintaining threading dislocation density at an acceptable level (˜3 × 106 cm-2). GaAs0.825P0.175 is used as an active material instead of GaAs because of its higher bandgap (increased breakdown voltage) and closer lattice constant to Si. Misfit dislocation density in the active device layers, measured by electron-beam-induced current, was reduced by making iterative changes to the epitaxial structure. This optimized process culminated in a GaAs0.825P0.175/In0.40Ga0.60P HBT grown on Si with a DC current gain of 156. By considering the various GaAsP/InGaP HBTs grown on Si substrates alongside several control devices grown on GaAs substrates, a wide range of threading dislocation densities and misfit dislocation densities in the active layers could be correlated with HBT current gain. The effect of threading dislocations on current gain was moderated by the reduction in minority carrier lifetime in the base region, in agreement with existing models for GaAs light-emitting diodes and photovoltaic cells. Current gain was shown to be extremely sensitive to misfit dislocations in the active layers of the HBT—much more sensitive than to threading dislocations. We develop a model for this relationship where increased base current is mediated by Fermi level pinning near misfit dislocations.

  15. Biocompatibility of GaSb thin films grown by RF magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Nishimoto, Naoki; Fujihara, Junko; Yoshino, Katsumi

    2017-07-01

    GaSb may be suitable for biological applications, such as cellular sensors and bio-medical instrumentation because of its low toxicity compared with As (III) compounds and its band gap energy. Therefore, the biocompatibility and the film properties under physiological conditions were investigated for GaSb thin films with or without a surface coating. GaSb thin films were grown on quartz substrates by RF magnetron sputtering, and then coated with (3-mercaptopropyl) trimethoxysilane (MPT). The electrical properties, surface morphology, and crystal structure of the GaSb thin film were unaffected by the MPT coating. The cell viability assay suggested that MPT-coated GaSb thin films are biocompatible. Bare GaSb was particularly unstable in pH9 buffer. Ga elution was prevented by the MPT coating, although the Ga concentration in the pH 9 buffer was higher than that in the other solutions. The surface morphology and crystal structure were not changed by exposure to the solutions, except for the pH 9 buffer, and the thin film properties of MPT-coated GaSb exposed to distilled water and H2O2 in saline were maintained. These results indicate that MPT-coated GaSb thin films are biocompatible and could be used for temporary biomedical devices.

  16. Photoelectrochemical Water Oxidation by GaAs Nanowire Arrays Protected with Atomic Layer Deposited NiO x Electrocatalysts

    NASA Astrophysics Data System (ADS)

    Zeng, Joy; Xu, Xiaoqing; Parameshwaran, Vijay; Baker, Jon; Bent, Stacey; Wong, H.-S. Philip; Clemens, Bruce

    2018-02-01

    Photoelectrochemical (PEC) hydrogen production makes possible the direct conversion of solar energy into chemical fuel. In this work, PEC photoanodes consisting of GaAs nanowire (NW) arrays were fabricated, characterized, and then demonstrated for the oxygen evolution reaction (OER). Uniform and periodic GaAs nanowire arrays were grown on a heavily n-doped GaAs substrates by metal-organic chemical vapor deposition selective area growth. The nanowire arrays were characterized using cyclic voltammetry and impedance spectroscopy in a non-aqueous electrochemical system using ferrocene/ferrocenium (Fc/Fc+) as a redox couple, and a maximum oxidation photocurrent of 11.1 mA/cm2 was measured. GaAs NW arrays with a 36 nm layer of nickel oxide (NiO x ) synthesized by atomic layer deposition were then used as photoanodes to drive the OER. In addition to acting as an electrocatalyst, the NiO x layer served to protect the GaAs NWs from oxidative corrosion. Using this strategy, GaAs NW photoanodes were successfully used for the oxygen evolution reaction. This is the first demonstration of GaAs NW arrays for effective OER, and the fabrication and protection strategy developed in this work can be extended to study any other nanostructured semiconductor materials systems for electrochemical solar energy conversion.

  17. Hydrothermally synthesized PZT film grown in highly concentrated KOH solution with large electromechanical coupling coefficient for resonator.

    PubMed

    Feng, Guo-Hua; Lee, Kuan-Yi

    2017-12-01

    This paper presents a study of lead zirconate titanate (PZT) films hydrothermally grown on a dome-shaped titanium diaphragm. Few articles in the literature address the implementation of hydrothermal PZT films on curved-diaphragm substrates for resonators. In this study, a 50-μm-thick titanium sheet is embossed using balls of designed dimensions to shape a dome-shaped cavity array. Through single-process hydrothermal synthesis, PZT films are grown on both sides of the processed titanium diaphragm with good adhesion and uniformity. The hydrothermal synthesis process involves a high concentration of potassium hydroxide solution and excess amounts of lead acetate and zirconium oxychloride octahydrate. Varied deposition times and temperatures of PZT films are investigated. The grown films are characterized by X-ray diffraction and scanning electron microscopy. The 10-μm-thick PZT dome-shaped resonators with 60- and 20-μm-thick supporting layers are implemented and further tested. Results for both resonators indicate that large electromechanical coupling coefficients and a series resonance of 95 MHz from 14 MHz can be attained. The device is connected to a complementary metal-oxide-semiconductor integrated circuit for analysis of oscillator applications. The oscillator reaches a Q value of 6300 in air. The resonator exhibits a better sensing stability when loaded with water when compared with air.

  18. Hydrothermally synthesized PZT film grown in highly concentrated KOH solution with large electromechanical coupling coefficient for resonator

    PubMed Central

    Lee, Kuan-Yi

    2017-01-01

    This paper presents a study of lead zirconate titanate (PZT) films hydrothermally grown on a dome-shaped titanium diaphragm. Few articles in the literature address the implementation of hydrothermal PZT films on curved-diaphragm substrates for resonators. In this study, a 50-μm-thick titanium sheet is embossed using balls of designed dimensions to shape a dome-shaped cavity array. Through single-process hydrothermal synthesis, PZT films are grown on both sides of the processed titanium diaphragm with good adhesion and uniformity. The hydrothermal synthesis process involves a high concentration of potassium hydroxide solution and excess amounts of lead acetate and zirconium oxychloride octahydrate. Varied deposition times and temperatures of PZT films are investigated. The grown films are characterized by X-ray diffraction and scanning electron microscopy. The 10-μm-thick PZT dome-shaped resonators with 60- and 20-μm-thick supporting layers are implemented and further tested. Results for both resonators indicate that large electromechanical coupling coefficients and a series resonance of 95 MHz from 14 MHz can be attained. The device is connected to a complementary metal–oxide–semiconductor integrated circuit for analysis of oscillator applications. The oscillator reaches a Q value of 6300 in air. The resonator exhibits a better sensing stability when loaded with water when compared with air. PMID:29308260

  19. Hydrothermally synthesized PZT film grown in highly concentrated KOH solution with large electromechanical coupling coefficient for resonator

    NASA Astrophysics Data System (ADS)

    Feng, Guo-Hua; Lee, Kuan-Yi

    2017-12-01

    This paper presents a study of lead zirconate titanate (PZT) films hydrothermally grown on a dome-shaped titanium diaphragm. Few articles in the literature address the implementation of hydrothermal PZT films on curved-diaphragm substrates for resonators. In this study, a 50-μm-thick titanium sheet is embossed using balls of designed dimensions to shape a dome-shaped cavity array. Through single-process hydrothermal synthesis, PZT films are grown on both sides of the processed titanium diaphragm with good adhesion and uniformity. The hydrothermal synthesis process involves a high concentration of potassium hydroxide solution and excess amounts of lead acetate and zirconium oxychloride octahydrate. Varied deposition times and temperatures of PZT films are investigated. The grown films are characterized by X-ray diffraction and scanning electron microscopy. The 10-μm-thick PZT dome-shaped resonators with 60- and 20-μm-thick supporting layers are implemented and further tested. Results for both resonators indicate that large electromechanical coupling coefficients and a series resonance of 95 MHz from 14 MHz can be attained. The device is connected to a complementary metal-oxide-semiconductor integrated circuit for analysis of oscillator applications. The oscillator reaches a Q value of 6300 in air. The resonator exhibits a better sensing stability when loaded with water when compared with air.

  20. GaAs Solar Cells on V-Grooved Silicon via Selective Area Growth: Preprint

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Warren, Emily L; Jain, Nikhil; Tamboli, Adele C

    Interest in integrating III-Vs onto Si has recently resurged as a promising pathway towards high-efficiency, low-cost tandem photovoltaics. Here, we present a single junction GaAs solar cell grown monolithically on polished Si (001) substrates using V-grooves, selective area growth, and aspect ratio trapping to mitigate defect formation without the use of expensive, thick graded buffers. The GaAs is free of antiphase domains and maintains a relatively low TDD of 4x107 cm-2, despite the lack of a graded buffer. This 6.25 percent-efficient demonstration solar cell shows promise for further improvements to III-V/Si tandems to enable cost-competitive photovoltaics.

  1. Impurity and Defect Interactions in GaAs.

    DTIC Science & Technology

    1984-02-29

    3 VPE a X X ASW 3 vIE 33 34 35 36"M-cVO Wawwmba (CM - Z TS 32 -~ - .35T 2II i I MS . 34 35 3 , b Wovor%~~e (€cm -) X3 FiS.l Characteristic donor peaks ...2). Far infrared photoconductivity measurements on Si doped GaAs grown by molecular beam epitaxy (MBE) indicated that the impurity peak previously...difference is donor species dependent, each hydrogenic transition in a photothermal ionization spectrum contains several closely spaced peaks . Each peak cor

  2. Novel anti-reflection technology for GaAs single-junction solar cells using surface patterning and Au nanoparticles.

    PubMed

    Kim, Youngjo; Lam, Nguyen Dinh; Kim, Kangho; Kim, Sangin; Rotermund, Fabian; Lim, Hanjo; Lee, Jaejin

    2012-07-01

    Single-junction GaAs solar cell structures were grown by low-pressure MOCVD on GaAs (100) substrates. Micro-rod arrays with diameters of 2 microm, 5 microm, and 10 microm were fabricated on the surfaces of the GaAs solar cells via photolithography and wet chemical etching. The patterned surfaces were coated with Au nanoparticles using an Au colloidal solution. Characteristics of the GaAs solar cells with and without the micro-rod arrays and Au nanoparticles were investigated. The short-circuit current density of the GaAs solar cell with 2 microm rod arrays and Au nanoparticles increased up to 34.9% compared to that of the reference cell without micro-rod arrays and Au nanoparticles. The conversion efficiency of the GaAs solar cell that was coated with Au nanoparticles on the patterned surface with micro-rod arrays can be improved from 14.1% to 19.9% under 1 sun AM 1.5G illumination. These results show that micro-rod arrays and Au nanoparticle coating can be applied together in surface patterning to achieve a novel cost-effective anti-reflection technology.

  3. Atomic structure and stoichiometry of In(Ga)As/GaAs quantum dots grown on an exact-oriented GaP/Si(001) substrate

    NASA Astrophysics Data System (ADS)

    Schulze, C. S.; Huang, X.; Prohl, C.; Füllert, V.; Rybank, S.; Maddox, S. J.; March, S. D.; Bank, S. R.; Lee, M. L.; Lenz, A.

    2016-04-01

    The atomic structure and stoichiometry of InAs/InGaAs quantum-dot-in-a-well structures grown on exactly oriented GaP/Si(001) are revealed by cross-sectional scanning tunneling microscopy. An averaged lateral size of 20 nm, heights up to 8 nm, and an In concentration of up to 100% are determined, being quite similar compared with the well-known quantum dots grown on GaAs substrates. Photoluminescence spectra taken from nanostructures of side-by-side grown samples on GaP/Si(001) and GaAs(001) show slightly blue shifted ground-state emission wavelength for growth on GaP/Si(001) with an even higher peak intensity compared with those on GaAs(001). This demonstrates the high potential of GaP/Si(001) templates for integration of III-V optoelectronic components into silicon-based technology.

  4. Effects of substrate conductivity on cell morphogenesis and proliferation using tailored, atomic layer deposition-grown ZnO thin films

    PubMed Central

    Choi, Won Jin; Jung, Jongjin; Lee, Sujin; Chung, Yoon Jang; Yang, Cheol-Soo; Lee, Young Kuk; Lee, You-Seop; Park, Joung Kyu; Ko, Hyuk Wan; Lee, Jeong-O

    2015-01-01

    We demonstrate that ZnO films grown by atomic layer deposition (ALD) can be employed as a substrate to explore the effects of electrical conductivity on cell adhesion, proliferation, and morphogenesis. ZnO substrates with precisely tunable electrical conductivity were fabricated on glass substrates using ALD deposition. The electrical conductivity of the film increased linearly with increasing duration of the ZnO deposition cycle (thickness), whereas other physical characteristics, such as surface energy and roughness, tended to saturate at a certain value. Differences in conductivity dramatically affected the behavior of SF295 glioblastoma cells grown on ZnO films, with high conductivity (thick) ZnO films causing growth arrest and producing SF295 cell morphologies distinct from those cultured on insulating substrates. Based on simple electrostatic calculations, we propose that cells grown on highly conductive substrates may strongly adhere to the substrate without focal-adhesion complex formation, owing to the enhanced electrostatic interaction between cells and the substrate. Thus, the inactivation of focal adhesions leads to cell proliferation arrest. Taken together, the work presented here confirms that substrates with high conductivity disturb the cell-substrate interaction, producing cascading effects on cellular morphogenesis and disrupting proliferation, and suggests that ALD-grown ZnO offers a single-variable method for uniquely tailoring conductivity. PMID:25897486

  5. Amorphous indium gallium zinc oxide thin film grown by pulse laser deposition technique

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mistry, Bhaumik V., E-mail: bhaumik-phy@yahoo.co.in; Joshi, U. S.

    Highly electrically conducting and transparent in visible light IGZO thin film were grown on glass substrate at substrate temperature of 400 C by a pulse laser deposition techniques. Structural, surface, electrical, and optical properties of IGZO thin films were investigated at room temperature. Smooth surface morphology and amorphous nature of the film has been confirmed from the AFM and GIXRD analysis. A resistivity down to 7.7×10{sup −3} V cm was reproducibly obtained while maintaining optical transmission exceeding 70% at wavelengths from 340 to 780 nm. The carrier densities of the film was obtain to the value 1.9×10{sup 18} cm{sup 3},more » while the Hall mobility of the IGZO thin film was 16 cm{sup 2} V{sup −1}S{sup −1}.« less

  6. Fermi level pinning at epitaxial Si on GaAs(100) interfaces

    NASA Astrophysics Data System (ADS)

    Silberman, J. A.; de Lyon, T. J.; Woodall, J. M.

    1991-12-01

    GaAs Schottky barrier contacts and metal-insulator-semiconductor structures that include thin epitaxial Si interfacial layers operate in a manner consistent with an unpinned Fermi level at the GaAs interface. These findings raise the question of whether this effect is an intrinsic property of the epitaxial GaAs(100)-Si interface. We have used x-ray photoemission spectroscopy to monitor the Fermi level position during in situ growth of thin epitaxial Si layers. In particular, films formed on heavily doped n- and p-type substrates were compared so as to use the large depletion layer fields available with high impurity concentration as a field-effect probe of the interface state density. The results demonstrate that epitaxial bonding at the interface alone is insufficient to eliminate Fermi level pinning, indicating that other mechanisms affect the interfacial charge balance in the devices that utilize Si interlayers.

  7. Pronounced pre-martensitic anomaly in the magnetization on Ni2MnGa thin films

    NASA Astrophysics Data System (ADS)

    Neckel, I. T.; Müller, C.; Nobrega, K. Z.; Dartora, C. A.; Schreiner, W. H.; Mosca, D. H.

    2018-05-01

    We have prepared [110]-textured Ni2MnGa thin films exhibiting an unusual pre-martensitic transition accompanied by an extremely large magnetization change. The thin films were grown by molecular beam epitaxy directly on epi-ready GaAs(111)B. Crystalline structure was investigated in situ by reflection high-energy electron diffraction (RHEED) and ex situ by x-ray diffraction (XRD) and transmission electron microscopy (TEM). The results show that the film exhibits cubic crystalline structure (L2 1) at room temperature with lattice parameter a = 5.88 Å which undergoes martensitic transition. Magnetic characterization shows ferromagnetic behavior at room temperature with Curie temperature higher than room temperature. Martensitic transformation occurs at TM ∼ 185 K. A phenomenological model based on Landau theory of phase transformation was developed to explain the anomalous pre-martensitic transition at ∼285 K.

  8. Heterojunction oxide thin-film transistors with unprecedented electron mobility grown from solution.

    PubMed

    Faber, Hendrik; Das, Satyajit; Lin, Yen-Hung; Pliatsikas, Nikos; Zhao, Kui; Kehagias, Thomas; Dimitrakopulos, George; Amassian, Aram; Patsalas, Panos A; Anthopoulos, Thomas D

    2017-03-01

    Thin-film transistors made of solution-processed metal oxide semiconductors hold great promise for application in the emerging sector of large-area electronics. However, further advancement of the technology is hindered by limitations associated with the extrinsic electron transport properties of the often defect-prone oxides. We overcome this limitation by replacing the single-layer semiconductor channel with a low-dimensional, solution-grown In 2 O 3 /ZnO heterojunction. We find that In 2 O 3 /ZnO transistors exhibit band-like electron transport, with mobility values significantly higher than single-layer In 2 O 3 and ZnO devices by a factor of 2 to 100. This marked improvement is shown to originate from the presence of free electrons confined on the plane of the atomically sharp heterointerface induced by the large conduction band offset between In 2 O 3 and ZnO. Our finding underscores engineering of solution-grown metal oxide heterointerfaces as an alternative strategy to thin-film transistor development and has the potential for widespread technological applications.

  9. Heterojunction oxide thin-film transistors with unprecedented electron mobility grown from solution

    PubMed Central

    Faber, Hendrik; Das, Satyajit; Lin, Yen-Hung; Pliatsikas, Nikos; Zhao, Kui; Kehagias, Thomas; Dimitrakopulos, George; Amassian, Aram; Patsalas, Panos A.; Anthopoulos, Thomas D.

    2017-01-01

    Thin-film transistors made of solution-processed metal oxide semiconductors hold great promise for application in the emerging sector of large-area electronics. However, further advancement of the technology is hindered by limitations associated with the extrinsic electron transport properties of the often defect-prone oxides. We overcome this limitation by replacing the single-layer semiconductor channel with a low-dimensional, solution-grown In2O3/ZnO heterojunction. We find that In2O3/ZnO transistors exhibit band-like electron transport, with mobility values significantly higher than single-layer In2O3 and ZnO devices by a factor of 2 to 100. This marked improvement is shown to originate from the presence of free electrons confined on the plane of the atomically sharp heterointerface induced by the large conduction band offset between In2O3 and ZnO. Our finding underscores engineering of solution-grown metal oxide heterointerfaces as an alternative strategy to thin-film transistor development and has the potential for widespread technological applications. PMID:28435867

  10. Structural Properties Characterized by the Film Thickness and Annealing Temperature for La2O3 Films Grown by Atomic Layer Deposition.

    PubMed

    Wang, Xing; Liu, Hongxia; Zhao, Lu; Fei, Chenxi; Feng, Xingyao; Chen, Shupeng; Wang, Yongte

    2017-12-01

    La 2 O 3 films were grown on Si substrates by atomic layer deposition technique with different thickness. Crystallization characteristics of the La 2 O 3 films were analyzed by grazing incidence X-ray diffraction after post-deposition rapid thermal annealing treatments at several annealing temperatures. It was found that the crystallization behaviors of the La 2 O 3 films are affected by the film thickness and annealing temperatures as a relationship with the diffusion of Si substrate. Compared with the amorphous La 2 O 3 films, the crystallized films were observed to be more unstable due to the hygroscopicity of La 2 O 3 . Besides, the impacts of crystallization characteristics on the bandgap and refractive index of the La 2 O 3 films were also investigated by X-ray photoelectron spectroscopy and spectroscopic ellipsometry, respectively.

  11. InGaAs/GaAsP strain balanced multi-quantum wires grown on misoriented GaAs substrates for high efficiency solar cells

    NASA Astrophysics Data System (ADS)

    Alonso-Álvarez, D.; Thomas, T.; Führer, M.; Hylton, N. P.; Ekins-Daukes, N. J.; Lackner, D.; Philipps, S. P.; Bett, A. W.; Sodabanlu, H.; Fujii, H.; Watanabe, K.; Sugiyama, M.; Nasi, L.; Campanini, M.

    2014-08-01

    Quantum wires (QWRs) form naturally when growing strain balanced InGaAs/GaAsP multi-quantum wells (MQW) on GaAs [100] 6° misoriented substrates under the usual growth conditions. The presence of wires instead of wells could have several unexpected consequences for the performance of the MQW solar cells, both positive and negative, that need to be assessed to achieve high conversion efficiencies. In this letter, we study QWR properties from the point of view of their performance as solar cells by means of transmission electron microscopy, time resolved photoluminescence and external quantum efficiency (EQE) using polarised light. We find that these QWRs have longer lifetimes than nominally identical QWs grown on exact [100] GaAs substrates, of up to 1 μs, at any level of illumination. We attribute this effect to an asymmetric carrier escape from the nanostructures leading to a strong 1D-photo-charging, keeping electrons confined along the wire and holes in the barriers. In principle, these extended lifetimes could be exploited to enhance carrier collection and reduce dark current losses. Light absorption by these QWRs is 1.6 times weaker than QWs, as revealed by EQE measurements, which emphasises the need for more layers of nanostructures or the use light trapping techniques. Contrary to what we expected, QWR show very low absorption anisotropy, only 3.5%, which was the main drawback a priori of this nanostructure. We attribute this to a reduced lateral confinement inside the wires. These results encourage further study and optimization of QWRs for high efficiency solar cells.

  12. Polarity control of GaN epitaxial films grown on LiGaO2(001) substrates and its mechanism.

    PubMed

    Zheng, Yulin; Wang, Wenliang; Li, Xiaochan; Li, Yuan; Huang, Liegen; Li, Guoqiang

    2017-08-16

    The polarity of GaN epitaxial films grown on LiGaO 2 (001) substrates by pulsed laser deposition has been well controlled. It is experimentally proved that the GaN epitaxial films grown on nitrided LiGaO 2 (001) substrates reveal Ga-polarity, while the GaN epitaxial films grown on non-nitrided LiGaO 2 (001) substrates show N-polarity. The growth mechanisms for these two cases are systematically studied by first-principles calculations based on density functional theory. Theoretical calculation presents that the adsorption of a Ga atom preferentially occurs at the center of three N atoms stacked on the nitrided LiGaO 2 (001) substrates, which leads to the formation of Ga-polarity GaN. Whereas the adsorption of a Ga atom preferentially deposits at the top of a N atom stacked on the non-nitrided LiGaO 2 (001) substrates, which results in the formation of N-polarity GaN. This work of controlling the polarity of GaN epitaxial films is of paramount importance for the fabrication of group-III nitride devices for various applications.

  13. Ferromagnetic thin films

    DOEpatents

    Krishnan, K.M.

    1994-12-20

    A ferromagnetic [delta]-Mn[sub 1[minus]x]Ga[sub x] thin film having perpendicular anisotropy is described which comprises: (a) a GaAs substrate, (b) a layer of undoped GaAs overlying said substrate and bonded thereto having a thickness ranging from about 50 to about 100 nanometers, (c) a layer of [delta]-Mn[sub 1[minus]x]Ga[sub x] overlying said layer of undoped GaAs and bonded thereto having a thickness ranging from about 20 to about 30 nanometers, and (d) a layer of GaAs overlying said layer of [delta]-Mn[sub 1[minus]x]Ga[sub x] and bonded thereto having a thickness ranging from about 2 to about 5 nanometers, wherein x is 0.4[+-]0.05. 7 figures.

  14. Internal stress-assisted epitaxial lift-off process for flexible thin film (In)GaAs solar cells on metal foil

    NASA Astrophysics Data System (ADS)

    Kim, Youngjo; Kim, Kangho; Jung, Sang Hyun; Kim, Chang Zoo; Shin, Hyun-Beom; Choi, JeHyuk; Kang, Ho Kwan

    2017-12-01

    Flexible thin film (In)GaAs solar cells are grown by metalorganic chemical vapor deposition on GaAs substrates and transferred to 30 μm thick Au foil by internal stress-assisted epitaxial lift-off processes. The internal stress is induced by replacing the solar cell epi-layers from GaAs to In0.015Ga0.985As, which has a slightly larger lattice constant. The compressive strained layer thickness was varied from 0 to 4.5 μm to investigate the influence of the internal stress on the epitaxial lift-off time. The etching time in the epitaxial lift-off process was reduced from 36 to 4 h by employing a GaAs/In0.015Ga0.985As heterojunction structure that has a compressive film stress of -59.0 MPa. We found that the partially strained epi-structure contributed to the much faster lateral etching rate with spontaneous bending. Although an efficiency degradation problem occurred in the strained solar cell, it was solved by optimizing the epitaxial growth conditions.

  15. Image quality of a pixellated GaAs X-ray detector

    NASA Astrophysics Data System (ADS)

    Sun, G. C.; Makham, S.; Bourgoin, J. C.; Mauger, A.

    2007-02-01

    X-ray detection requires materials with large atomic numbers Z in order to absorb the radiation efficiently. In case of X-ray imaging, fluorescence is a limiting factor for the spatial resolution and contrast at energies above the kα threshold. Since both the energy and yield of the fluorescence of a given material increase with the atomic number, there is an optimum value of Z. GaAs, which can now be epitaxially grown as self-supported thick layers to fulfil the requirements for imaging (good homogeneity of the electronic properties) corresponds to this optimum. Image performances obtained with this material are evaluated in terms of line spread function and modulation transfer function, and a comparison with CsI is made. We evaluate the image contrast obtained for a given object contrast with GaAs and CsI detectors, in the photon energy range of medical applications. Finally, we discuss the minimum object size, which can be detected by these detectors in of mammography conditions. This demonstrates that an object of a given size can be detected using a GaAs detector with a dose at least 100 times lower than using a CsI detector.

  16. GaSb solar cells grown on GaAs via interfacial misfit arrays for use in the III-Sb multi-junction cell

    NASA Astrophysics Data System (ADS)

    Nelson, George T.; Juang, Bor-Chau; Slocum, Michael A.; Bittner, Zachary S.; Laghumavarapu, Ramesh B.; Huffaker, Diana L.; Hubbard, Seth M.

    2017-12-01

    Growth of GaSb with low threading dislocation density directly on GaAs may be possible with the strategic strain relaxation of interfacial misfit arrays. This creates an opportunity for a multi-junction solar cell with access to a wide range of well-developed direct bandgap materials. Multi-junction cells with a single layer of GaSb/GaAs interfacial misfit arrays could achieve higher efficiency than state-of-the-art inverted metamorphic multi-junction cells while forgoing the need for costly compositionally graded buffer layers. To develop this technology, GaSb single junction cells were grown via molecular beam epitaxy on both GaSb and GaAs substrates to compare homoepitaxial and heteroepitaxial GaSb device results. The GaSb-on-GaSb cell had an AM1.5g efficiency of 5.5% and a 44-sun AM1.5d efficiency of 8.9%. The GaSb-on-GaAs cell was 1.0% efficient under AM1.5g and 4.5% at 44 suns. The lower performance of the heteroepitaxial cell was due to low minority carrier Shockley-Read-Hall lifetimes and bulk shunting caused by defects related to the mismatched growth. A physics-based device simulator was used to create an inverted triple-junction GaInP/GaAs/GaSb model. The model predicted that, with current GaSb-on-GaAs material quality, the not-current-matched, proof-of-concept cell would provide 0.5% absolute efficiency gain over a tandem GaInP/GaAs cell at 1 sun and 2.5% gain at 44 suns, indicating that the effectiveness of the GaSb junction was a function of concentration.

  17. Persistent photocurrent and deep level traps in PLD-grown In-Ga-Zn-O thin films studied by thermally stimulated current spectroscopy

    NASA Astrophysics Data System (ADS)

    Wang, Buguo; Anders, Jason; Leedy, Kevin; Schuette, Michael; Look, David

    2018-02-01

    InGaZnO (IGZO) is a promising semiconductor material for thin-film transistors (TFTs) used in DC and RF switching applications, especially since it can be grown at low temperatures on a wide variety of substrates. Enhancement-mode TFTs based on IGZO thin films grown by pulsed laser deposition (PLD) have been recently fabricated and these transistors show excellent performance; however, compositional variations and defects can adversely affect film quality, especially in regard to electrical properties. In this study, we use thermally stimulated current (TSC) spectroscopy to characterize the electrical properties and the deep traps in PLD-grown IGZO thin films. It was found that the as-grown sample has a DC activation energy of 0.62 eV, and two major traps with activation energies at 0.16-0.26 eV and at 0.90 eV. However, a strong persistent photocurrent (PPC) sometimes exists in the as-grown sample, so we carry out post-growth annealing in an attempt to mitigate the effect. It was found that annealing in argon increases the conduction, produces more PPC and also makes more traps observable. Annealing in air makes the film more resistive, and removes PPC and all traps but one. This work demonstrates that current-based trap emission, such as that associated with the TSC, can effectively reveal electronic defects in highlyresistive semiconductor materials, especially those are not amenable to capacitance-based techniques, such as deeplevel transient spectroscopy (DLTS).

  18. Structural and electronic properties of isovalent boron atoms in GaAs

    NASA Astrophysics Data System (ADS)

    Krammel, C. M.; Nattermann, L.; Sterzer, E.; Volz, K.; Koenraad, P. M.

    2018-04-01

    Boron containing GaAs, which is grown by metal organic vapour phase epitaxy, is studied at the atomic level by cross-sectional scanning tunneling microscopy (X-STM) and spectroscopy (STS). In topographic X-STM images, three classes of B related features are identified, which are attributed to individual B atoms on substitutional Ga sites down to the second layer below the natural {110} cleavage planes. The X-STM contrast of B atoms below the surface reflects primarily the structural modification of the GaAs matrix by the small B atoms. However, B atoms in the cleavage plane have in contrast to conventional isovalent impurities, such as Al and In, a strong influence on the local electronic structure similar to donors or acceptors. STS measurements show that B in the GaAs {110} surfaces gives rise to a localized state short below the conduction band (CB) edge while in bulk GaAs, the B impurity state is resonant with the CB. The analysis of BxGa1-xAs/GaAs quantum wells reveals a good crystal quality and shows that the incorporation of B atoms in GaAs can be controlled along the [001] growth direction at the atomic level. Surprisingly, the formation of the first and fourth nearest neighbor B pairs, which are oriented along the <110 > directions, is strongly suppressed at a B concentration of 1% while the third nearest neighbor B pairs are found more than twice as often than expected for a completely spatially random pattern.

  19. Spin-hall-active platinum thin films grown via atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Schlitz, Richard; Amusan, Akinwumi Abimbola; Lammel, Michaela; Schlicht, Stefanie; Tynell, Tommi; Bachmann, Julien; Woltersdorf, Georg; Nielsch, Kornelius; Goennenwein, Sebastian T. B.; Thomas, Andy

    2018-06-01

    We study the magnetoresistance of yttrium iron garnet/Pt heterostructures in which the Pt layer was grown via atomic layer deposition (ALD). Magnetotransport experiments in three orthogonal rotation planes reveal the hallmark features of spin Hall magnetoresistance. To estimate the spin transport parameters, we compare the magnitude of the magnetoresistance in samples with different Pt thicknesses. We check the spin Hall angle and the spin diffusion length of the ALD Pt layers against the values reported for high-quality sputter-deposited Pt films. The spin diffusion length of 1.5 nm agrees well with that of platinum thin films reported in the literature, whereas the spin Hall magnetoresistance Δ ρ / ρ = 2.2 × 10 - 5 is approximately a factor of 20 smaller compared to that of our sputter-deposited films. Our results demonstrate that ALD allows fabricating spin-Hall-active Pt films of suitable quality for use in spin transport structures. This work provides the basis to establish conformal ALD coatings for arbitrary surface geometries with spin-Hall-active metals and could lead to 3D spintronic devices in the future.

  20. Investigation of AgInS2 thin films grown by coevaporation

    NASA Astrophysics Data System (ADS)

    Arredondo, C. A.; Clavijo, J.; Gordillo, G.

    2009-05-01

    AgInS2 thin films were grown on soda-lime glass substrates by co-evaporation of the precursors in a two-step process. X-ray diffraction (XRD) measurements indicated that these compounds grow in different phases and with different crystalline structure depending upon the deposition conditions. However, through a parameter study, conditions were found to grow thin films containing only the AgInS2 phase with chalcopyrite type structure. In samples containing a mixture of several phases, the contribution in percentage terms of each phase to the whole compound was estimated with the help of the PowderCell simulation package. It was also found that the AgInS2 films present p-type conductivity, a high absorption coefficient (greater than 104 cm-1) and an energy band gap Eg of about 1.95 eV, indicating that this compound has good properties to perform as absorbent layer in thin film tandem solar cells. The effect of the deposition conditions on the optical and morphological properties was also investigated through spectral transmitance and atomic force microscopy (AFM) measurements.

  1. Epitaxial Fe(1-x)Gax/GaAs structures via electrochemistry for spintronics applications

    NASA Astrophysics Data System (ADS)

    Reddy, K. Sai Madhukar; Maqableh, Mazin M.; Stadler, Bethanie J. H.

    2012-04-01

    In this study, thin films of Fe83Ga17 (a giant magnetostrictive alloy) were grown on single-crystalline n-GaAs (001) and polycrystalline brass substrates via electrochemical synthesis from ferrous and gallium sulfate electrolytes. Extensive structural characterization using microdiffraction, high-resolution ω - 2θ, and rocking-curve analysis revealed that the films grown on GaAs(001) are highly textured with ⟨001⟩ orientation along the substrate normal, and the texture improved further upon annealing at 300 °C for 2 h in N2 environment. On the contrary, films grown on brass substrates exhibited ⟨011⟩ preferred orientation. Rocking-curve analysis done on Fe83Ga17/GaAs structures further confirmed that the ⟨001⟩ texture in the Fe83Ga17 thin film is a result of epitaxial nucleation and growth. The non-linear current-voltage plot obtained for the Fe-Ga/GaAs Schottky contacts was characteristic of tunneling injection, and showed improved behavior with annealing. Thus, this study demonstrates the feasibility of fabricating spintronic devices that incorporate highly magnetostrictive Fe(1-x)Gax thin films grown epitaxially via electrochemistry.

  2. Fabrication and characterization of the noble metal nanostructures on the GaAs surface

    NASA Astrophysics Data System (ADS)

    Gladskikh, Polina V.; Gladskikh, Igor A.; Toropov, Nikita A.; Vartanyan, Tigran A.

    2016-04-01

    Self-assembled silver, gold, and copper nanostructures on the monocrystalline GaAs (100) wafer surface were obtained via physical vapor deposition and characterized by optical reflection spectroscopy, scanning electron microscopy, and current-voltage curve measurements. Reflection spectra of the samples with Ag equivalent thicknesses of 2, 5, 7.5, and 10 nm demonstrated wide plasmonic bands in the visible range of spectra. Thermal annealing of the nanostructures led to narrowing of the plasmonic bands of Au and Ag nanostructures caused by major transformations of the film morphology. While the as prepared films predominantly had a small scale labyrinth structure, after annealing well-separated nanoislands are formed on the gallium arsenide surface. A clear correlation between films morphology and their optical and electrical properties is elucidated. Annealing of the GaAs substrate with Ag nanostructures at 100 °C under control of the resistivity allowed us to obtain and fix the structure at the percolation threshold. It is established that the samples at the percolation threshold possess the properties of resistance switching and hysteresis.

  3. Atomic structure and stoichiometry of In(Ga)As/GaAs quantum dots grown on an exact-oriented GaP/Si(001) substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schulze, C. S.; Prohl, C.; Füllert, V.

    2016-04-04

    The atomic structure and stoichiometry of InAs/InGaAs quantum-dot-in-a-well structures grown on exactly oriented GaP/Si(001) are revealed by cross-sectional scanning tunneling microscopy. An averaged lateral size of 20 nm, heights up to 8 nm, and an In concentration of up to 100% are determined, being quite similar compared with the well-known quantum dots grown on GaAs substrates. Photoluminescence spectra taken from nanostructures of side-by-side grown samples on GaP/Si(001) and GaAs(001) show slightly blue shifted ground-state emission wavelength for growth on GaP/Si(001) with an even higher peak intensity compared with those on GaAs(001). This demonstrates the high potential of GaP/Si(001) templates for integration ofmore » III-V optoelectronic components into silicon-based technology.« less

  4. Thin film phase diagram of iron nitrides grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Gölden, D.; Hildebrandt, E.; Alff, L.

    2017-01-01

    A low-temperature thin film phase diagram of the iron nitride system is established for the case of thin films grown by molecular beam epitaxy and nitrided by a nitrogen radical source. A fine-tuning of the nitridation conditions allows for growth of α ‧ -Fe8Nx with increasing c / a -ratio and magnetic anisotropy with increasing x until almost phase pure α ‧ -Fe8N1 thin films are obtained. A further increase of nitrogen content below the phase decomposition temperature of α ‧ -Fe8N (180 °C) leads to a mixture of several phases that is also affected by the choice of substrate material and symmetry. At higher temperatures (350 °C), phase pure γ ‧ -Fe4N is the most stable phase.

  5. Accelerated GaAs growth through MOVPE for low-cost PV applications

    NASA Astrophysics Data System (ADS)

    Ubukata, Akinori; Sodabanlu, Hassanet; Watanabe, Kentaroh; Koseki, Shuichi; Yano, Yoshiki; Tabuchi, Toshiya; Sugaya, Takeyoshi; Matsumoto, Koh; Nakano, Yoshiaki; Sugiyama, Masakazu

    2018-05-01

    The high growth rate of epitaxial GaAs was investigated using a novel horizontal metalorganic vapor phase epitaxy (MOVPE) reactor, from the point of view of realizing low-cost photovoltaic (PV) solar cells. The GaAs growth rate exhibited an approximately linear relationship with the amount of trimethylgalium (TMGa) supplied, up to a rate of 90 μm/h. The distribution of growth rate was observed for a two-inch wafer, along the flow direction, and the normalized profile of the distribution was found to be independent of the precursor input, from 20 to 70 μm/h. These tendencies indicated that significant parasitic prereaction did not occur in the gaseous phase, for this range of growth rate. GaAs p-n single-junction solar cells were successfully fabricated at growth rates of 20, 60, and 80 μm/h. The conversion efficiency of the cell grown at 80 μm/h was comparable to that of the 20 μm/h cell, indicating the good quality and properties of GaAs. The epitaxial growth exhibited good uniformity, as evidenced by the uniformity of the cell performance across the wafer, from the center to the edge. The result indicated the potential of high-throughput MOVPE for low-cost production, not only for PV devices but also for other semiconductor applications.

  6. Deep levels in osmium doped p-type GaAs grown by metal organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Iqbal, M. Zafar; Majid, A.; Dadgar, A.; Bimberg, D.

    2005-06-01

    Results of a preliminary study on deep level transient spectroscopy (DLTS) investigations of osmium (Os) impurity in p-type GaAs, introduced in situ during MOCVD crystal growth, are reported for the first time. Os is clearly shown to introduce two prominent deep levels in the lower half-bandgap of GaAs at energy positions Ev + 0.42 eV (OsA) and Ev + 0.72 eV (OsB). A minority-carrier emitting defect feature observed in the upper half-bandgap is shown to consist of a band of Os-related deep levels with a concentration significantly higher than that of the majority carrier emitting deep levels. Detailed data on the emission rate signatures and related parameters of the Os-related deep levels are reported.

  7. Nanoepitaxy of GaAs on a Si(001) substrate using a round-hole nanopatterned SiO2 mask.

    PubMed

    Hsu, Chao-Wei; Chen, Yung-Feng; Su, Yan-Kuin

    2012-12-14

    GaAs is grown by metal-organic vapor-phase epitaxy on a 55 nm round-hole patterned Si substrate with SiO(2) as a mask. The threading dislocations, which are stacked on the lowest energy facet plane, move along the SiO(2) walls, reducing the number of dislocations. The etching pit density of GaAs on the 55 nm round-hole patterned Si substrate is about 3.3 × 10(5) cm(-2). Compared with the full width at half maximum measurement from x-ray diffraction and photoluminescence spectra of GaAs on a planar Si(001) substrate, those of GaAs on the 55 nm round-hole patterned Si substrate are reduced by 39.6 and 31.4%, respectively. The improvement in material quality is verified by transmission electron microscopy, field-emission scanning electron microscopy, Hall measurements, Raman spectroscopy, photoluminescence, and x-ray diffraction studies.

  8. Effects of gold diffusion on n-type doping of GaAs nanowires.

    PubMed

    Tambe, Michael J; Ren, Shenqiang; Gradecak, Silvija

    2010-11-10

    The deposition of n-GaAs shells is explored as a method of n-type doping in GaAs nanowires grown by the Au-mediated metal-organic chemical vapor deposition. Core-shell GaAs/n-GaAs nanowires exhibit an unintended rectifying behavior that is attributed to the Au diffusion during the shell deposition based on studies using energy dispersive X-ray spectroscopy, current-voltage, capacitance-voltage, and Kelvin probe force measurements. Removing the gold prior to n-type shell deposition results in the realization of n-type GaAs nanowires without rectification. We directly correlate the presence of gold impurities to nanowire electrical properties and provide an insight into the role of seed particles on the properties of nanowires and nanowire heterostructures.

  9. Sb-related defects in Sb-doped ZnO thin film grown by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Luo, Caiqin; Ho, Lok-Ping; Azad, Fahad; Anwand, Wolfgang; Butterling, Maik; Wagner, Andreas; Kuznetsov, Andrej; Zhu, Hai; Su, Shichen; Ling, Francis Chi-Chung

    2018-04-01

    Sb-doped ZnO films were fabricated on c-plane sapphire using the pulsed laser deposition method and characterized by Hall effect measurement, X-ray photoelectron spectroscopy, X-ray diffraction, photoluminescence, and positron annihilation spectroscopy. Systematic studies on the growth conditions with different Sb composition, oxygen pressure, and post-growth annealing were conducted. If the Sb doping concentration is lower than the threshold ˜8 × 1020 cm-3, the as-grown films grown with an appropriate oxygen pressure could be n˜4 × 1020 cm-3. The shallow donor was attributed to the SbZn related defect. Annealing these samples led to the formation of the SbZn-2VZn shallow acceptor which subsequently compensated for the free carrier. For samples with Sb concentration exceeding the threshold, the yielded as-grown samples were highly resistive. X-ray diffraction results showed that the Sb dopant occupied the O site rather than the Zn site as the Sb doping exceeded the threshold, whereas the SbO related deep acceptor was responsible for the high resistivity of the samples.

  10. Formation of two-dimensionally confined superparamagnetic (Mn, Ga)As nanocrystals in high-temperature annealed (Ga, Mn)As/GaAs superlattices.

    PubMed

    Sadowski, Janusz; Domagala, Jaroslaw Z; Mathieu, Roland; Kovacs, Andras; Dłużewski, Piotr

    2013-05-15

    The annealing-induced formation of (Mn, Ga)As nanocrystals in (Ga, Mn)As/GaAs superlattices was studied by x-ray diffraction, transmission electron microscopy and magnetometry. The superlattice structures with 50 Å thick (Ga, Mn)As layers separated by 25, 50 and 100 Å thick GaAs spacers were grown by molecular beam epitaxy at low temperature (250 °C), and then annealed at high temperatures of 400, 560 and 630 °C. The high-temperature annealing causes decomposition to a (Ga, Mn)As ternary alloy and the formation of (Mn, Ga)As nanocrystals inside the GaAs matrix. The nanocrystals are confined in the planes that were formerly occupied by (Ga, Mn)As layers for the up to 560 °C annealing and diffuse throughout the GaAs spacer layers at 630 °C annealing. The two-dimensionally confined nanocrystals exhibit a superparamagnetic behavior which becomes high-temperature ferromagnetism (~350 K) upon diffusion.

  11. GaAs1-xBix/GaNyAs1-y type-II quantum wells: novel strain-balanced heterostructures for GaAs-based near- and mid-infrared photonics.

    PubMed

    Broderick, Christopher A; Jin, Shirong; Marko, Igor P; Hild, Konstanze; Ludewig, Peter; Bushell, Zoe L; Stolz, Wolfgang; Rorison, Judy M; O'Reilly, Eoin P; Volz, Kerstin; Sweeney, Stephen J

    2017-04-19

    The potential to extend the emission wavelength of photonic devices further into the near- and mid-infrared via pseudomorphic growth on conventional GaAs substrates is appealing for a number of communications and sensing applications. We present a new class of GaAs-based quantum well (QW) heterostructure that exploits the unusual impact of Bi and N on the GaAs band structure to produce type-II QWs having long emission wavelengths with little or no net strain relative to GaAs, while also providing control over important laser loss processes. We theoretically and experimentally demonstrate the potential of GaAs 1-x Bi x /GaN y As 1-y type-II QWs on GaAs and show that this approach offers optical emission and absorption at wavelengths up to ~3 µm utilising strain-balanced structures, a first for GaAs-based QWs. Experimental measurements on a prototype GaAs 0.967 Bi 0.033 /GaN 0.062 As 0.938 structure, grown via metal-organic vapour phase epitaxy, indicate good structural quality and exhibit both photoluminescence and absorption at room temperature. The measured photoluminescence peak wavelength of 1.72 μm is in good agreement with theoretical calculations and is one of the longest emission wavelengths achieved on GaAs to date using a pseudomorphically grown heterostructure. These results demonstrate the significant potential of this new class of III-V heterostructure for long-wavelength applications.

  12. GaAs1-xBix/GaNyAs1-y type-II quantum wells: novel strain-balanced heterostructures for GaAs-based near- and mid-infrared photonics

    NASA Astrophysics Data System (ADS)

    Broderick, Christopher A.; Jin, Shirong; Marko, Igor P.; Hild, Konstanze; Ludewig, Peter; Bushell, Zoe L.; Stolz, Wolfgang; Rorison, Judy M.; O'Reilly, Eoin P.; Volz, Kerstin; Sweeney, Stephen J.

    2017-04-01

    The potential to extend the emission wavelength of photonic devices further into the near- and mid-infrared via pseudomorphic growth on conventional GaAs substrates is appealing for a number of communications and sensing applications. We present a new class of GaAs-based quantum well (QW) heterostructure that exploits the unusual impact of Bi and N on the GaAs band structure to produce type-II QWs having long emission wavelengths with little or no net strain relative to GaAs, while also providing control over important laser loss processes. We theoretically and experimentally demonstrate the potential of GaAs1-xBix/GaNyAs1-y type-II QWs on GaAs and show that this approach offers optical emission and absorption at wavelengths up to ~3 µm utilising strain-balanced structures, a first for GaAs-based QWs. Experimental measurements on a prototype GaAs0.967Bi0.033/GaN0.062As0.938 structure, grown via metal-organic vapour phase epitaxy, indicate good structural quality and exhibit both photoluminescence and absorption at room temperature. The measured photoluminescence peak wavelength of 1.72 μm is in good agreement with theoretical calculations and is one of the longest emission wavelengths achieved on GaAs to date using a pseudomorphically grown heterostructure. These results demonstrate the significant potential of this new class of III-V heterostructure for long-wavelength applications.

  13. Photoemission study of CaF2- and SrF2-GaAs(110) interfaces formed at room temperature

    NASA Astrophysics Data System (ADS)

    Mao, D.; Young, K.; Kahn, A.; Zanoni, R.; McKinley, J.; Margaritondo, G.

    1989-06-01

    Interfaces formed by evaporating CaF2 or SrF2 on room-temperature GaAs(110) are studied with synchrotron-radiation photoemission spectroscopy. The fluoride films grow uniformly on the GaAs surface. The deposition of CaF2 and SrF2 induces a large initial band bending on p-type GaAs (~0.9 eV) and a small initial band bending on n-type GaAs (~0.25 eV). The valence band is dominated by the F 2p peak which shifts toward high binding energies by ~1.5 eV after the deposition of >=16 Å fluoride. This shift reflects an increase in the valence-band offset between the two materials as the film forms. The final band offsets are estimated at 7.7 and 8.0 eV for CaF2 and SrF2, respectively, and are in qualitative agreement with those expected from the fluoride-Si data. Core-level measurements indicate that no reaction or decomposition of the MF2 molecule takes place at the interface. The F 2s core-level line shape and the increase in the binding-energy separation of F 2s and Ca 3p with increasing coverage suggest the presence of an interface F component. Contrary to the CaF2/Si case, no measurable Ca-substrate bonding effect is observed. The dissociative effect of uv irradiation on the CaF2 film is also investigated.

  14. Room temperature operation of mid-infrared InAs0.81Sb0.19 based photovoltaic detectors with an In0.2Al0.8Sb barrier layer grown on GaAs substrates.

    PubMed

    Geum, Dae-Myeong; Kim, SangHyeon; Kang, SooSeok; Kim, Hosung; Park, Hwanyeol; Rho, Il Pyo; Ahn, Seung Yeop; Song, Jindong; Choi, Won Jun; Yoon, Euijoon

    2018-03-05

    In this paper, InAs 0.81 Sb 0.19 -based hetero-junction photovoltaic detector (HJPD) with an In 0.2 Al 0.8 Sb barrier layer was grown on GaAs substrates. By using technology computer aided design (TCAD), a design of a barrier layer that can achieve nearly zero valance band offsets was accomplished. A high quality InAs 0.81 Sb 0.19 epitaxial layer was obtained with relatively low threading dislocation density (TDD), calculated from a high-resolution X-ray diffraction (XRD) measurement. This layer showed a Hall mobility of 15,000 cm 2 /V⋅s, which is the highest mobility among InAsSb layers with an Sb composition of around 20% grown on GaAs substrates. Temperature dependence of dark current, photocurrent response and responsivity were measured and analyzed for fabricated HJPD. HJPD showed the clear photocurrent response having a long cutoff wavelength of 5.35 μm at room temperature. It was observed that the dark current of HJPDs is dominated by the diffusion limited current at temperatures ranging from 200K to room temperature from the dark current analysis. Peak responsivity of HJPDs exhibited the 1.18 A/W and 15 mA/W for 83K and a room temperature under zero bias condition even without anti-reflection coating (ARC). From these results, we believe that HJPDs could be an appropriate PD device for future compact and low power dissipation mid-infrared on-chip sensors and imaging devices.

  15. Mechanics of graded glass composites and zinc oxide thin films grown at 90 degrees Celsius in water

    NASA Astrophysics Data System (ADS)

    Fillery, Scott Pierson

    2007-06-01

    The purpose of this research was to study the mechanical stability of two different material systems. The glass laminate system, exhibiting a threshold strength when placed under an applied load and ZnO thin films grown on GaN buffered Al2O3 substrates, exhibiting variations in film stability with changes to the Lateral Epitaxial Overgrowth architecture. The glass laminates were fabricated to contain periodic thin layers containing biaxial compressive stresses using ion exchange treatments to create residual compressive stresses at the surface of soda lime silicate glass sheets. Wafer direct bonding of the ion exchanged glass sheets resulted in the fabrication of glass laminates with thin layers of compressive stress adjacent to the glass interfaces. The threshold flexural strength of the ion exchanged glass laminates was determined to be 112 MPa after the introduction of indentation cracks with indent loads ranging from 1kg to 5kg and the laminates were found to exhibit a threshold strength, i.e., a stress below which failure will not occur. Contrary to similar ceramic laminates where cracks either propagate across the compressive layer or bifurcate within the compressive layer, the cracks in the glass laminates were deflected along the interface between the bonded sheets. ZnO films were grown on (0001) GaN buffered Al2O3 substrates by aqueous solution routes at 90°C. The films were found to buckle under compressive residual stresses at film thicknesses greater than 4mum. Lateral epitaxial overgrowth techniques using hexagonal hole arrays showed an increasing film stability with larger array spacing, resulting in film thicknesses up to 92mum. Stress determinations using Raman spectroscopy indicated that stress relaxation at the free surface during film growth played a major role in film stability. Investigations using Finite Element Analysis and Raman spectroscopy demonstrated that the strain energy within the film/substrate system decreased with increasing array

  16. 830-nm Polarization Controlled Lasing of InGaAs Quantum Wire Vertical-Cavity Surface-Emitting Lasers Grown on (775)B GaAs Substrates by Molecular Beam Epitaxy

    NASA Astrophysics Data System (ADS)

    Higuchi, Yu; Osaki, Shinji; Sasahata, Yoshifumi; Kitada, Takahiro; Shimomura, Satoshi; Ogura, Mutsuo; Hiyamizu, Satoshi

    2007-02-01

    We report the first demonstration of room temperature (RT) current injection lasing of vertical-cavity surface-emitting lasers (VCSELs), with self-organized InGaAs/(GaAs)6(AlAs)1 quantum wires (QWRs) in their active region, grown on (775)B-oriented GaAs substrates by molecular beam epitaxy. A (775)B InGaAs QWR-VCSEL with an aperture diameter of 4 μm lased at a wavelength of 829.7 nm and a threshold current of 0.7 mA at RT. The light output was linearly polarized in the direction parallel to the QWRs due to optical anisotropy of the self-organized (775)B InGaAs QWRs.

  17. The Growth of Expitaxial GaAs and GaAlAs on Silicon Substrates by OMVPE

    DTIC Science & Technology

    1988-08-01

    structures have been grown on semi-insulating gallium arsenide substrates, and on high-resistivity silicon substrates using a two stage growth technique...fully in Quarter 9. 2. MATERIALS GROWTH 2.1 DOPING OF GALLIUM ARSENIDE FOR FETs As reported in quarter 7, doping levels for GaAs/SI 4ere found to be a...FET structures on both GaAs and Si substrates. A number of FET layers have been grown to the GAT4 specification on semi-insulating gallium arsenide

  18. Effect of low and staggered gap quantum wells inserted in GaAs tunnel junctions

    NASA Astrophysics Data System (ADS)

    Louarn, K.; Claveau, Y.; Marigo-Lombart, L.; Fontaine, C.; Arnoult, A.; Piquemal, F.; Bounouh, A.; Cavassilas, N.; Almuneau, G.

    2018-04-01

    In this article, we investigate the impact of the insertion of either a type I InGaAs or a type II InGaAs/GaAsSb quantum well on the performances of MBE-grown GaAs tunnel junctions (TJs). The devices are designed and simulated using a quantum transport model based on the non-equilibrium Green’s function formalism and a 6-band k.p Hamiltonian. We experimentally observe significant improvements of the peak tunneling current density on both heterostructures with a 460-fold increase for a moderately doped GaAs TJ when the InGaAs QW is inserted at the junction interface, and a 3-fold improvement on a highly doped GaAs TJ integrating a type II InGaAs/GaAsSb QW. Thus, the simple insertion of staggered band lineup heterostructures enables us to reach a tunneling current well above the kA cm‑2 range, equivalent to the best achieved results for Si-doped GaAs TJs, implying very interesting potential for TJ-based components, such as multi-junction solar cells, vertical cavity surface emitting lasers and tunnel-field effect transistors.

  19. Structural, chemical, and magnetic properties of Fe films grown on InAs(100)

    NASA Astrophysics Data System (ADS)

    Ruppel, L.; Witte, G.; Wöll, Ch.; Last, T.; Fischer, S. F.; Kunze, U.

    2002-12-01

    The structure of epitaxial Fe films grown on an InAs(100)-c(8×2)/(4×2) surface has been studied in situ by means of low-energy electron diffraction and x-ray photoelectron spectroscopy, while their magnetic properties were characterized ex situ by superconducting quantum interference device magnetometry at temperatures of 5 300 K. Deposition of iron at room temperature or below leads to the formation of a thin iron arsenide layer that floats on the Fe film upon further deposition. Postdeposition annealing causes no significant improvement of the film structure but activates a further arsenic diffusion through the Fe film. Significant exchange-bias effects were found at low temperatures for insufficiently capped and partially oxidized Fe films, and are attributed to noncollinear spin order at the Ag capping layer/Fe interface. For perfect, nonoxidized Fe films, such a noncollinear spin order at the Fe/InAs interface is excluded as no thermomagnetic irreversibilities were found. This indicates that the spin order at the Fe/InAs interface is suitable for spin injection.

  20. An observation of direct-gap electroluminescence in GaAs structures with Ge quantum wells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aleshkin, V. Ya.; Dikareva, N. V.; Dubinov, A. A., E-mail: sanya@ipm.sci-nnov.ru

    2015-02-15

    A light-emitting diode structure based on GaAs with eight narrow Ge quantum wells is grown by laser sputtering. An electroluminescence line polarized predominately in the plane parallel to the constituent layers of the structure is revealed. The line corresponds to the direct optical transitions in momentum space in the Ge quantum wells.

  1. Improvement of laser molecular beam epitaxy grown SrTiO3 thin film properties by temperature gradient modulation growth

    NASA Astrophysics Data System (ADS)

    Li, Jin Long; Hao, J. H.; Li, Y. R.

    2007-09-01

    Oxygen diffusion at the SrTiO3/Si interface was analyzed. A method called temperature gradient modulation growth was introduced to control oxygen diffusion at the interface of SrTiO3/Si. Nanoscale multilayers were grown at different temperatures at the initial growing stage of films. Continuous growth of SrTiO3 films was followed to deposit on the grown sacrificial layers. The interface and crystallinity of SrTiO3/Si were investigated by in situ reflection high energy electron diffraction and x-ray diffraction measurements. It has been shown that the modulated multilayers may help suppress the interfacial diffusion, and therefore improve SrTiO3 thin film properties.

  2. Ferromagnetism and Ru-Ru distance in SrRuO3 thin film grown on SrTiO3 (111) substrate

    PubMed Central

    2014-01-01

    Epitaxial SrRuO3 thin films were grown on both (100) and (111) SrTiO3 substrates with atomically flat surfaces that are required to grow high-quality films of materials under debate. The following notable differences were observed in the (111)-oriented SrRuO3 films: (1) slightly different growth mode, (2) approximately 10 K higher ferromagnetic transition temperature, and (3) better conducting behavior with higher relative resistivity ratio, than (100)c-oriented SrRuO3 films. Together with the reported results on SrRuO3 thin films grown on (110) SrTiO3 substrate, the different physical properties were discussed newly in terms of the Ru-Ru nearest neighbor distance instead of the famous tolerance factor. PACS 75.70.Ak; 75.60.Ej; 81.15.Fg PMID:24393495

  3. InGaAs/GaAsP strain balanced multi-quantum wires grown on misoriented GaAs substrates for high efficiency solar cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alonso-Álvarez, D.; Thomas, T.; Führer, M.

    Quantum wires (QWRs) form naturally when growing strain balanced InGaAs/GaAsP multi-quantum wells (MQW) on GaAs [100] 6° misoriented substrates under the usual growth conditions. The presence of wires instead of wells could have several unexpected consequences for the performance of the MQW solar cells, both positive and negative, that need to be assessed to achieve high conversion efficiencies. In this letter, we study QWR properties from the point of view of their performance as solar cells by means of transmission electron microscopy, time resolved photoluminescence and external quantum efficiency (EQE) using polarised light. We find that these QWRs have longermore » lifetimes than nominally identical QWs grown on exact [100] GaAs substrates, of up to 1 μs, at any level of illumination. We attribute this effect to an asymmetric carrier escape from the nanostructures leading to a strong 1D-photo-charging, keeping electrons confined along the wire and holes in the barriers. In principle, these extended lifetimes could be exploited to enhance carrier collection and reduce dark current losses. Light absorption by these QWRs is 1.6 times weaker than QWs, as revealed by EQE measurements, which emphasises the need for more layers of nanostructures or the use light trapping techniques. Contrary to what we expected, QWR show very low absorption anisotropy, only 3.5%, which was the main drawback a priori of this nanostructure. We attribute this to a reduced lateral confinement inside the wires. These results encourage further study and optimization of QWRs for high efficiency solar cells.« less

  4. Structural, morphological and mechanical properties of niobium nitride thin films grown by ion and electron beams emanated from plasma

    NASA Astrophysics Data System (ADS)

    Siddiqui, Jamil; Hussain, Tousif; Ahmad, Riaz; Umar, Zeeshan A.; Abdus Samad, Ubair

    2016-05-01

    The influence of variation in plasma deposition parameters on the structural, morphological and mechanical characteristics of the niobium nitride films grown by plasma-emanated ion and electron beams are investigated. Crystallographic investigation made by X-ray diffractometer shows that the film synthesized at 10 cm axial distance with 15 plasma focus shots (PFS) exhibits better crystallinity when compared to the other deposition conditions. Morphological analysis made by scanning electron microscope reveals a definite granular pattern composed of homogeneously distributed nano-spheroids grown as clustered particles for the film synthesized at 10 cm axial distance for 15 PFS. Roughness analysis demonstrates higher rms roughness for the films synthesized at shorter axial distance and by greater number of PFS. Maximum niobium atomic percentage (35.8) and maximum average hardness (19.4 ± 0.4 GPa) characterized by energy-dispersive spectroscopy and nano-hardness analyzer respectively are observed for film synthesized at 10 cm axial distance with 15 PFS.

  5. Dielectric properties of thin C r2O3 films grown on elemental and oxide metallic substrates

    NASA Astrophysics Data System (ADS)

    Mahmood, Ather; Street, Michael; Echtenkamp, Will; Kwan, Chun Pui; Bird, Jonathan P.; Binek, Christian

    2018-04-01

    In an attempt to optimize leakage characteristics of α-C r2O3 thin films, its dielectric properties were investigated at local and macroscopic scale. The films were grown on Pd(111), Pt(111), and V2O3 (0001), supported on A l2O3 substrate. The local conductivity was measured by conductive atomic force microscopy mapping of C r2O3 surfaces, which revealed the nature of defects that formed conducting paths with the bottom Pd or Pt layer. A strong correlation was found between these electrical defects and the grain boundaries revealed in the corresponding topographic scans. In comparison, the C r2O3 film on V2O3 exhibited no leakage paths at similar tip bias value. Electrical resistance measurements through e-beam patterned top electrodes confirmed the resistivity mismatch between the films grown on different electrodes. The x-ray analysis attributes this difference to the twin free C r2O3 growth on V2O3 seeding.

  6. Structural, morphological and electronic properties of pulsed laser grown Eu2O3 thin films

    NASA Astrophysics Data System (ADS)

    Kumar, Sandeep; Prakash, Ram; Choudhary, R. J.; Phase, D. M.

    2018-05-01

    Herein, we report the growth, structural, morphological and electronic properties of Europium sesquioxide (Eu2O3) thin films on Si [1 0 0] substrate using pulsed laser deposition technique. The films were deposited at ˜750 °C substrate temperature while the oxygen partial pressure (OPP) was varied (vacuum,˜1 mTorr, ˜10 mTorr and ˜300 mTorr). X-ray diffraction results confirm the single phase cubic structure of the film grown at ˜300 mTorr. The XRD results are also supported by the Raman's spectroscopy results. Eu-3d XPS core level spectra confirms the dominant contributions from the "3+" states of Eu in the film.

  7. Optical and mechanical properties of nanocrystalline ZrC thin films grown by pulsed laser deposition.

    DOE PAGES

    Craciun, D.; Socol, G.; Lambers, E.; ...

    2015-01-17

    Thin ZrC films (<500 nm) were grown on (100) Si substrates at a substrate temperature of 500 °C by the pulsed laser deposition (PLD) technique using a KrF excimer laser under different CH 4 pressures. Glancing incidence X-ray diffraction showed that films were nanocrystalline, while X-ray reflectivity studies found out films were very dense and exhibited a smooth surface morphology. Optical spectroscopy data shows that the films have high reflectivity (>90%) in the infrared region, characteristic of metallic behavior. Nanoindentation results indicated that films deposited under lower CH 4 pressures exhibited slightly higher nanohardness and Young modulus values than filmsmore » deposited under higher pressures. As a result, tribological characterization revealed that these films exhibited relatively high wear resistance and steady-state friction coefficients on the order of μ = 0.4.« less

  8. Crystal orientation, crystallinity, and thermoelectric properties of Bi0.9Sr0.1CuSeO epitaxial films grown by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Ishizawa, Mamoru; Fujishiro, Hiroyuki; Naito, Tomoyuki; Ito, Akihiko; Goto, Takashi

    2018-02-01

    We have grown Bi0.9Sr0.1CuSeO epitaxial thin films on MgO and SrTiO3 (STO) single-crystal substrates by pulsed laser deposition (PLD) under various growth conditions, and investigated the crystal orientation, crystallinity, chemical composition, and thermoelectric properties of the films. The optimization of the growth conditions was realized in the film grown on MgO at the temperature T s = 573 K and Ar pressure P Ar = 0.01 Torr in this study, in which there was no misalignment apart from the c-axis and no impurity phase. It was clearly found that the higher crystal orientation of the epitaxial film grown at a higher temperature under a lower Ar pressure mainly enhanced the thermoelectric power factor P (= S 2/ρ), where S is the Seebeck coefficient and ρ is the electrical resistivity. However, the thermoelectric properties of the films were lower than those of polycrystalline bulk because of lattice distortion from lattice mismatch, a low crystallinity caused by a lower T s, and Bi and Cu deficiencies in the films.

  9. GaAs1−xBix/GaNyAs1−y type-II quantum wells: novel strain-balanced heterostructures for GaAs-based near- and mid-infrared photonics

    PubMed Central

    Broderick, Christopher A.; Jin, Shirong; Marko, Igor P.; Hild, Konstanze; Ludewig, Peter; Bushell, Zoe L.; Stolz, Wolfgang; Rorison, Judy M.; O’Reilly, Eoin P.; Volz, Kerstin; Sweeney, Stephen J.

    2017-01-01

    The potential to extend the emission wavelength of photonic devices further into the near- and mid-infrared via pseudomorphic growth on conventional GaAs substrates is appealing for a number of communications and sensing applications. We present a new class of GaAs-based quantum well (QW) heterostructure that exploits the unusual impact of Bi and N on the GaAs band structure to produce type-II QWs having long emission wavelengths with little or no net strain relative to GaAs, while also providing control over important laser loss processes. We theoretically and experimentally demonstrate the potential of GaAs1−xBix/GaNyAs1−y type-II QWs on GaAs and show that this approach offers optical emission and absorption at wavelengths up to ~3 µm utilising strain-balanced structures, a first for GaAs-based QWs. Experimental measurements on a prototype GaAs0.967Bi0.033/GaN0.062As0.938 structure, grown via metal-organic vapour phase epitaxy, indicate good structural quality and exhibit both photoluminescence and absorption at room temperature. The measured photoluminescence peak wavelength of 1.72 μm is in good agreement with theoretical calculations and is one of the longest emission wavelengths achieved on GaAs to date using a pseudomorphically grown heterostructure. These results demonstrate the significant potential of this new class of III-V heterostructure for long-wavelength applications. PMID:28422129

  10. Epitaxially grown BaM hexaferrite films having uniaxial axis in the film plane for self-biased devices

    PubMed Central

    Zhang, Xiaozhi; Meng, Siqin; Song, Dongsheng; Zhang, Yao; Yue, Zhenxing; Harris, Vincent G.

    2017-01-01

    Barium hexaferrite (BaM) films with in-plane c-axis orientation are promising and technically important materials for self-biased magnetic microwave devices. In this work, highly oriented BaM films with different thickness and an in-plane easy axis (c-axis) of magnetization were grown on a-plane single-crystal sapphire substrates by direct current magnetron sputtering. A procedure involving seed layers, layer-by-layer annealing was adopted to reduce the substrate-induced strains and allow for the growth of thick (~3.44 μm) films. The epitaxial growth of the BaM film on sapphire was revealed by high-resolution transmission electron microscopy with dislocations being observed at the film-substrate interface. The orientation was also verified by X-ray diffraction and more notably, polarized Raman scattering. The magnetic properties and ferromagnetic resonant frequencies were experimentally characterized by a vibrating sample magnetometry and a frequency-swept ferromagnetic resonant flip-chip technique, respectively. The micron-thick BaM films exhibited a large remanence ratio of 0.92 along in-plane easy axis and a small one of 0.09 for the in-plane hard axis loop measurement. The FMR frequency was 50.3 GHz at zero field and reached 57.9 GHz under a magnetic field of 3 kOe, indicating that the epitaxial BaM films with strong self-biased behaviors have good electromagnetic properties in millimeter-wave range. PMID:28276492

  11. Epitaxially grown BaM hexaferrite films having uniaxial axis in the film plane for self-biased devices.

    PubMed

    Zhang, Xiaozhi; Meng, Siqin; Song, Dongsheng; Zhang, Yao; Yue, Zhenxing; Harris, Vincent G

    2017-03-09

    Barium hexaferrite (BaM) films with in-plane c-axis orientation are promising and technically important materials for self-biased magnetic microwave devices. In this work, highly oriented BaM films with different thickness and an in-plane easy axis (c-axis) of magnetization were grown on a-plane single-crystal sapphire substrates by direct current magnetron sputtering. A procedure involving seed layers, layer-by-layer annealing was adopted to reduce the substrate-induced strains and allow for the growth of thick (~3.44 μm) films. The epitaxial growth of the BaM film on sapphire was revealed by high-resolution transmission electron microscopy with dislocations being observed at the film-substrate interface. The orientation was also verified by X-ray diffraction and more notably, polarized Raman scattering. The magnetic properties and ferromagnetic resonant frequencies were experimentally characterized by a vibrating sample magnetometry and a frequency-swept ferromagnetic resonant flip-chip technique, respectively. The micron-thick BaM films exhibited a large remanence ratio of 0.92 along in-plane easy axis and a small one of 0.09 for the in-plane hard axis loop measurement. The FMR frequency was 50.3 GHz at zero field and reached 57.9 GHz under a magnetic field of 3 kOe, indicating that the epitaxial BaM films with strong self-biased behaviors have good electromagnetic properties in millimeter-wave range.

  12. Comparison of AlGaAs Oxidation in MBE and MOCVD Grown Samples

    DTIC Science & Technology

    2002-01-01

    vertical cavity surface emitting lasers ( VCSELs ) [1, 2, 3]. They are also being... molecular beam epitaxy ( MBE ) [5, 6] or metal organic chemical vapor deposition (MOCVD) [7, 8]. The MBE -grown A1GaAs layers are sometimes pseudo or digital...Simultaneous wet-thermal oxidation of MBE and MOCVD grown AlxGal_xAs layers (x = 0.1 to 1.0) showed that the epitaxial growth method does not

  13. Significantly improved surface morphology of N-polar GaN film grown on SiC substrate by the optimization of V/III ratio

    NASA Astrophysics Data System (ADS)

    Deng, Gaoqiang; Zhang, Yuantao; Yu, Ye; Yan, Long; Li, Pengchong; Han, Xu; Chen, Liang; Zhao, Degang; Du, Guotong

    2018-04-01

    In this paper, N-polar GaN films with different V/III ratios were grown on vicinal C-face SiC substrates by metalorganic chemical vapor deposition. During the growth of N-polar GaN film, the V/III ratio was controlled by adjusting the molar flow rate of ammonia while keeping the trimethylgallium flow rate unchanged. The influence of the V/III ratio on the surface morphology of N-polar GaN film has been studied. We find that the surface root mean square roughness of N-polar GaN film over an area of 20 × 20 μm2 can be reduced from 8.13 to 2.78 nm by optimization of the V/III ratio. Then, using the same growth conditions, N-polar InGaN/GaN multiple quantum wells (MQWs) light-emitting diodes (LEDs) were grown on the rough and the smooth N-polar GaN templates, respectively. Compared with the LED grown on the rough N-polar GaN template, dramatically improved interface sharpness and luminescence uniformity of the InGaN/GaN MQWs are achieved for the LED grown on the smooth N-polar GaN template.

  14. Photoinduced Br Desorption from CsBr Thin Films Grown on Cu(100)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Halliday, Matthew T.; Joly, Alan G.; Hess, Wayne P.

    2015-10-22

    Thin films of CsBr deposited onto metals such as copper are potential photocathode materials for light sources and other applications. We investigate desorption dynamics of Br atoms from CsBr films grown on insulator (KBr, LiF) and metal (Cu) substrates induced by sub-bandgap 6.4 eV laser pulses. The experimental results demonstrate that the peak kinetic energy of Br atoms desorbed from CsBr/Cu films is much lower than that for the hyperthermal desorption from CsBr/LiF films. Kelvin probe measurements indicate negative charge at the surface following Br desorption from CsBr/Cu films. Our ab initio calculations of excitons at CsBr surfaces demonstrate thatmore » this behavior can be explained by an exciton model of desorption including electron trapping at the CsBr surface. Trapped negative charges reduce the energy of surface excitons available for Br desorption. We examine the electron-trapping characteristics of low-coordinated sites at the surface, in particular, divacancies and kink sites. We also provide a model of cation desorption caused by Franck-Hertz excitation of F centers at the surface in the course of irradiation of CsBr/Cu films. These results provide new insights into the mechanisms of photoinduced structural evolution of alkali halide films on metal substrates and activation of metal photocathodes coated with CsBr.« less

  15. Effect of Annealing on the Density of Defects in Epitaxial CdTe (211)/GaAs

    NASA Astrophysics Data System (ADS)

    Bakali, Emine; Selamet, Yusuf; Tarhan, Enver

    2018-05-01

    CdTe thin films were grown on GaAs (211) wafers by molecular beam epitaxy as the buffer layer for HgCdTe infrared detector applications. We studied the effect of annealing on the density of dislocation of these CdTe thin films under varying annealing parameters such as annealing temperature, annealing duration, and number of cycles. Annealings were carried out using a homemade annealing reactor possessing a special heater element made of a Si wafer for rapid heating. The density of dislocations, which were made observable with a scanning electron microscope after etching with an Everson solution, were calculated by counting the number of dislocations per unit surface area, hence the term etch pit density (EPD). We were able to decrease EPD values by one order of magnitude after annealing. For example, the best EPD value after a 20-min annealing at 400°C was ˜ 2 × 107 cm-2 for a 1.63-μm CdTe thin film which was about 9.5 × 107 cm-2 before annealing. We also employed Raman scattering measurements to see the changes in the structural quality of the samples. From the Raman measurements, we were able to see improvements in the quality of our samples from the annealing by studying the ratio of 2LO/LO phonon mode Raman intensities. We also observed a clear decrease in the intensity of Te precipitations-related modes, indicating a decrease in the size and number of these precipitations.

  16. Martensitic transformation in as-grown and annealed near-stoichiometric epitaxial Ni2MnGa thin films

    NASA Astrophysics Data System (ADS)

    Machain, P.; Condó, A. M.; Domenichini, P.; Pozo López, G.; Sirena, M.; Correa, V. F.; Haberkorn, N.

    2015-08-01

    Magnetic shape memory nanostructures have a great potential in the field of the nanoactuators. The relationship between dimensionality, microstructure and magnetism characterizes the materials performance. Here, we study the martensitic transformation in supported and free-standing epitaxial Ni47Mn24Ga29 films grown by sputtering on (0 0 1) MgO using a stoichiometric Ni2MnGa target. The films have a Curie temperature of ~390 K and a martensitic transition temperature of ~120 K. Similar transition temperatures have been observed in films with thicknesses of 1, 3 and 4 μm. Thicker films (with longer deposition time) present a wider martensitic transformation range that can be associated with small gradients in their chemical concentration due to the high vapour pressure of Mn and Ga. The magnetic anisotropy of the films shows a strong change below the martensitic transformation temperature. No features associated with variant reorientation induced by magnetic field have been observed. Annealed films in the presence of a Ni2MnGa bulk reference change their chemical composition to Ni49Mn26Ga25. The change in the chemical composition increases the martensitic transformation temperature, being closer to the stoichiometric compound, and reduces the transformation hysteresis. In addition, sharper transformations are obtained, which indicate that chemical inhomogeneities and defects are removed. Our results indicate that the properties of Ni-Mn-Ga thin films grown by sputtering can be optimized (fixing the chemical concentration and removing crystalline defects) by the annealing process, which is promising for the development of micromagnetic shape memory devices.

  17. Influence of solution viscosity on hydrothermally grown ZnO thin films for DSSC applications

    NASA Astrophysics Data System (ADS)

    Marimuthu, T.; Anandhan, N.; Thangamuthu, R.; Surya, S.

    2016-10-01

    Zinc oxide (ZnO) nanowire arrays (NWAs) were grown onto zinc oxide-titanium dioxide (ZnO-TiO2) seeded fluorine doped tin oxide (FTO) conductive substrate by hydrothermal technique. X-ray diffraction (XRD) patterns depict that ZnO thin films are preferentially oriented along the (002) plane with hexagonal wurtzite structure. Viscosity measurements reveal that viscosity of the solutions linearly increases as the concentrations of the polyvinyl alcohol (PVA) increase in the growth solution. Field emission scanning electron microscope (FE-SEM) images show that the NWAs are vertically grown to seeded FTO substrate with hexagonal structure, and the growth of NWAs decreases as the concentration of the PVA increases. Stylus profilometer and atomic force microscopic (AFM) studies predict that the thickness and roughness of the films decrease with increasing the PVA concentrations. The NWAs prepared at 0.1% of PVA exhibits a lower transmittance and higher absorbance than that of the other films. The band gap of the optimized films prepared at 0.0 and 0.1% of PVA is found to be 3.270 and 3.268 eV, respectively. The photo to current conversion efficiency of the DSSC based on photoanodes prepared at 0.0 and 0.1% of PVA exhibits about 0.64 and 0.82%, respectively. Electrochemical impedance spectra reveal that the DSSC based on photoanode prepared at 0.1% of PVA has the highest charge transfer recombination resistance.

  18. Tunneling Spectroscopy of MoN and NbxTi1-xN Thin Films Grown by Atomic Layer Deposition

    NASA Astrophysics Data System (ADS)

    Cao, Chaoyue; Groll, Nickolas; Klug, Jeffrey; Becker, Nicholas; Altin, Serdar; Proslier, Thomas; Zasadzinski, John

    2014-03-01

    Tunneling I(V) and dI/dV vs. V are reported on superconducting thin films of MoN and NbxTi1-xN using a point contact method with a Au tip. The films are grown by the chemical process of atomic layer deposition (ALD) onto various substrates (Si, quartz, sapphire) held at 450 C. Resistively measured superconducting Tc values up to 12K and 13K are found for the MoN and NbxTi1-xN respectively. Artificial tunnel barriers (1-3 nm thick) of Al2O3, also grown by ALD, are shown to provide much improved tunneling characteristics compared to the native oxides. Relatively high quality gap features are observed with zero-bias conductance values as low as ~ 10% of the high bias values. Gap parameters Δ ~ 2.0meV are found for the MoN and Δ ~ 2.0-2.4 meV for the NbxTi1-xN which follow the BCS temperature dependence and close near the measured film Tc indicating bulk superconductivity at the surface. The suitability of such conformal ALD grown films for potential superconducting devices is discussed. This work was supported by the U.S. Department of Energy, Office of Science under contract No. DE-AC02-06CH11357.

  19. Enhancement of photoluminescence intensity of GaAs with cubic GaS chemical vapor deposited using a structurally designed single-source precursor

    NASA Technical Reports Server (NTRS)

    Macinnes, Andrew N.; Power, Michael B.; Barron, Andrew R.; Jenkins, Phillip P.; Hepp, Aloysius F.

    1993-01-01

    A two order-of-magnitude enhancement of photoluminescence intensity relative to untreated GaAs has been observed for GaAs surfaces coated with chemical vapor-deposited GaS. The increase in photoluminescence intensity can be viewed as an effective reduction in surface recombination velocity and/or band bending. The gallium cluster /(t-Bu)GaS/4 was used as a single-source precursor for the deposition of GaS thin films. The cubane core of the structurally characterized precursor is retained in the deposited film producing a cubic phase. Furthermore, a near-epitaxial growth is observed for the GaS passivating layer. Films were characterized by transmission electron microscopy, X-ray powder diffraction, and X-ray photoelectron and Rutherford backscattering spectroscopies.

  20. Arsenic doped p-type zinc oxide films grown by radio frequency magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Fan, J. C.; Zhu, C. Y.; Fung, S.; Zhong, Y. C.; Wong, K. S.; Xie, Z.; Brauer, G.; Anwand, W.; Skorupa, W.; To, C. K.; Yang, B.; Beling, C. D.; Ling, C. C.

    2009-10-01

    As-doped ZnO films were grown by the radio frequency magnetron sputtering method. As the substrate temperature during growth was raised above ˜400 °C, the films changed from n type to p type. Hole concentration and mobility of ˜6×1017 cm-3 and ˜6 cm2 V-1 s-1 were achieved. The ZnO films were studied by secondary ion mass spectroscopy, x-ray photoelectron spectroscopy (XPS), low temperature photoluminescence (PL), and positron annihilation spectroscopy (PAS). The results were consistent with the AsZn-2VZn shallow acceptor model proposed by Limpijumnong et al. [Phys. Rev. Lett. 92, 155504 (2004)]. The results of the XPS, PL, PAS, and thermal studies lead us to suggest a comprehensive picture of the As-related shallow acceptor formation.

  1. Time-resolved photoluminescence characterization of GaAs nanowire arrays on native substrate

    NASA Astrophysics Data System (ADS)

    Dagytė, Vilgailė; Barrigón, Enrique; Zhang, Wei; Zeng, Xulu; Heurlin, Magnus; Otnes, Gaute; Anttu, Nicklas; Borgström, Magnus T.

    2017-12-01

    Time-resolved photoluminescence (TRPL) measurements of nanowires (NWs) are often carried out on broken-off NWs in order to avoid the ensemble effects as well as substrate contribution. However, the development of NW-array solar cells could benefit from non-destructive optical characterization to allow faster feedback and further device processing. With this work, we show that different NW array and substrate spectral behaviors with delay time and excitation power can be used to determine which part of the sample dominates the detected spectrum. Here, we evaluate TRPL characterization of dense periodic as-grown GaAs NW arrays on a p-type GaAs substrate, including a sample with uncapped GaAs NWs and several samples passivated with AlGaAs radial shell of varied composition and thickness. We observe a strong spectral overlap of substrate and NW signals and find that the NWs can absorb part of the substrate luminescence signal, thus resulting in a modified substrate signal. The level of absorption depends on the NW-array geometry, making a deconvolution of the NW signal very difficult. By studying TRPL of substrate-only and as-grown NWs at 770 and 400 nm excitation wavelengths, we find a difference in spectral behavior with delay time and excitation power that can be used to assess whether the signal is dominated by the NWs. We find that the NW signal dominates with 400 nm excitation wavelength, where we observe two different types of excitation power dependence for the NWs capped with high and low Al composition shells. Finally, from the excitation power dependence of the peak TRPL signal, we extract an estimate of background carrier concentration in the NWs.

  2. Development of a 1K x 1K GaAs QWIP Far IR Imaging Array

    NASA Technical Reports Server (NTRS)

    Jhabvala, M.; Choi, K.; Goldberg, A.; La, A.; Gunapala, S.

    2003-01-01

    In the on-going evolution of GaAs Quantum Well Infrared Photodetectors (QWIPs) we have developed a 1,024 x 1,024 (1K x1K), 8.4-9 microns infrared focal plane array (FPA). This 1 megapixel detector array is a hybrid using the Rockwell TCM 8050 silicon readout integrated circuit (ROIC) bump bonded to a GaAs QWIP array fabricated jointly by engineers at the Goddard Space Flight Center (GSFC) and the Army Research Laboratory (ARL). The finished hybrid is thinned at the Jet Propulsion Lab. Prior to this development the largest format array was a 512 x 640 FPA. We have integrated the 1K x 1K array into an imaging camera system and performed tests over the 40K-90K temperature range achieving BLIP performance at an operating temperature of 76K (f/2 camera system). The GaAs array is relatively easy to fabricate once the superlattice structure of the quantum wells has been defined and grown. The overall arrays costs are currently dominated by the costs associated with the silicon readout since the GaAs array fabrication is based on high yield, well-established GaAs processing capabilities. In this paper we will present the first results of our 1K x 1K QWIP array development including fabrication methodology, test data and our imaging results.

  3. Ferroelectric and reliability properties of metal-organic chemical vapor deposited Pb(Zr0.15Ti0.85)O3 thin films grown in the self-regulation process window

    NASA Astrophysics Data System (ADS)

    Zhao, Jin Shi; Lee, Hyun Ju; Sim, Joon Seop; Lee, Keun; Hwang, Cheol Seong

    2006-04-01

    Ferroelectric reliability of Pb(Zr0.15Ti0.85)O3 films grown by metal-organic chemical vapor deposition at 570°C on an Ir electrode in the self-regulation process window [constant Pb concentration irrespective of the precursor input ratio (Pb /(Zr+Ti), PIR)] was studied. Although the Pb composition and crystallinity of the films grown under different PIR were almost identical, the film grown under a PIR which was near the center of the process window showed the best ferroelectric performance. X-ray photoelectron spectroscopy showed that the films grown at lower and higher PIR have residual ZrO2 and metallic Pb, respectively, which resulted in reduced remanent polarization and reliability.

  4. Printed assemblies of GaAs photoelectrodes with decoupled optical and reactive interfaces for unassisted solar water splitting

    DOE PAGES

    Kang, Dongseok; Young, James L.; Lim, Haneol; ...

    2017-03-27

    Despite their excellent photophysical properties and record-high solar-to-hydrogen conversion efficiency, the high cost and limited stability of III-V compound semiconductors prohibit their practical application in solar-driven photoelectrochemical water splitting. Here in this paper we present a strategy for III-V photocatalysis that can circumvent these difficulties via printed assemblies of epitaxially grown compound semiconductors. A thin film stack of GaAs-based epitaxial materials is released from the growth wafer and printed onto a non-native transparent substrate to form an integrated photocatalytic electrode for solar hydrogen generation. The heterogeneously integrated electrode configuration together with specialized epitaxial design serve to decouple the material interfacesmore » for illumination and electrocatalysis. Subsequently, this allows independent control and optimization of light absorption, carrier transport, charge transfer, and material stability. Using this approach, we construct a series-connected wireless tandem system of GaAs photoelectrodes and demonstrate 13.1% solar-to-hydrogen conversion efficiency of unassisted-mode water splitting.« less

  5. Printed assemblies of GaAs photoelectrodes with decoupled optical and reactive interfaces for unassisted solar water splitting

    NASA Astrophysics Data System (ADS)

    Kang, Dongseok; Young, James L.; Lim, Haneol; Klein, Walter E.; Chen, Huandong; Xi, Yuzhou; Gai, Boju; Deutsch, Todd G.; Yoon, Jongseung

    2017-03-01

    Despite their excellent photophysical properties and record-high solar-to-hydrogen conversion efficiency, the high cost and limited stability of III-V compound semiconductors prohibit their practical application in solar-driven photoelectrochemical water splitting. Here we present a strategy for III-V photocatalysis that can circumvent these difficulties via printed assemblies of epitaxially grown compound semiconductors. A thin film stack of GaAs-based epitaxial materials is released from the growth wafer and printed onto a non-native transparent substrate to form an integrated photocatalytic electrode for solar hydrogen generation. The heterogeneously integrated electrode configuration together with specialized epitaxial design serve to decouple the material interfaces for illumination and electrocatalysis. Subsequently, this allows independent control and optimization of light absorption, carrier transport, charge transfer, and material stability. Using this approach, we construct a series-connected wireless tandem system of GaAs photoelectrodes and demonstrate 13.1% solar-to-hydrogen conversion efficiency of unassisted-mode water splitting.

  6. A review of molecular beam epitaxy of ferroelectric BaTiO3 films on Si, Ge and GaAs substrates and their applications

    PubMed Central

    Mazet, Lucie; Yang, Sang Mo; Kalinin, Sergei V; Schamm-Chardon, Sylvie; Dubourdieu, Catherine

    2015-01-01

    SrTiO3 epitaxial growth by molecular beam epitaxy (MBE) on silicon has opened up the route to the monolithic integration of various complex oxides on the complementary metal-oxide–semiconductor silicon platform. Among functional oxides, ferroelectric perovskite oxides offer promising perspectives to improve or add functionalities on-chip. We review the growth by MBE of the ferroelectric compound BaTiO3 on silicon (Si), germanium (Ge) and gallium arsenide (GaAs) and we discuss the film properties in terms of crystalline structure, microstructure and ferroelectricity. Finally, we review the last developments in two areas of interest for the applications of BaTiO3 films on silicon, namely integrated photonics, which benefits from the large Pockels effect of BaTiO3, and low power logic devices, which may benefit from the negative capacitance of the ferroelectric. PMID:27877816

  7. GaSb and GaSb/AlSb Superlattice Buffer Layers for High-Quality Photodiodes Grown on Commercial GaAs and Si Substrates

    NASA Astrophysics Data System (ADS)

    Gutiérrez, M.; Lloret, F.; Jurczak, P.; Wu, J.; Liu, H. Y.; Araújo, D.

    2018-05-01

    The objective of this work is the integration of InGaAs/GaSb/GaAs heterostructures, with high indium content, on GaAs and Si commercial wafers. The design of an interfacial misfit dislocation array, either on GaAs or Si substrates, allowed growth of strain-free devices. The growth of purposely designed superlattices with their active region free of extended defects on both GaAs and Si substrates is demonstrated. Transmission electron microscopy technique is used for the structural characterization and plastic relaxation study. In the first case, on GaAs substrates, the presence of dopants was demonstrated to reduce several times the threading dislocation density through a strain-hardening mechanism avoiding dislocation interactions, while in the second case, on Si substrates, similar reduction of dislocation interactions is obtained using an AlSb/GaSb superlattice. The latter is shown to redistribute spatially the interfacial misfit dislocation array to reduce dislocation interactions.

  8. Optical Properties of Zinc Selenide Grown Using Molecular Beam Deposition Techniques

    DTIC Science & Technology

    1989-06-01

    studied were grown using a standard MBE machine with insitu diagnostics. The ZnSe material used for growing the samples is highly pure polycrystalline...width of the interference maxima n can be found from equation (1). Beyond 550 nm absorption is varying rapidly and this will cause Tmax to vary...nonlinearity Is utilized - such as in an optically bistable switch. It is known from previous work on ZnSe grown on GaAs 113] that the material begins growing

  9. Microprocessor design for GaAs technology

    NASA Astrophysics Data System (ADS)

    Milutinovic, Veljko M.

    Recent advances in the design of GaAs microprocessor chips are examined in chapters contributed by leading experts; the work is intended as reading material for a graduate engineering course or as a practical R&D reference. Topics addressed include the methodology used for the architecture, organization, and design of GaAs processors; GaAs device physics and circuit design; design concepts for microprocessor-based GaAs systems; a 32-bit GaAs microprocessor; a 32-bit processor implemented in GaAs JFET; and a direct coupled-FET-logic E/D-MESFET experimental RISC machine. Drawings, micrographs, and extensive circuit diagrams are provided.

  10. Thermoelectric properties of epitaxial β-FeSi2 thin films grown on Si(111) substrates with various film qualities

    NASA Astrophysics Data System (ADS)

    Watanabe, Kentaro; Taniguchi, Tatsuhiko; Sakane, Shunya; Aoki, Shunsuke; Suzuki, Takeyuki; Fujita, Takeshi; Nakamura, Yoshiaki

    2017-05-01

    Si-based epitaxial β-FeSi2 thin films are attractive as materials for on-chip thermoelectric power generators. We investigated the structure, crystallinity, and thermoelectric properties of β-FeSi2 thin films epitaxially grown on Si(111) substrates by using three different techniques: conventional reactive deposition epitaxy followed by molecular beam epitaxy (RDE+MBE), solid phase epitaxy (SPE) based on codeposition of Fe and Si presented previously, and SPE followed by MBE (SPE+MBE) presented newly by this work. Their epitaxial growth temperatures were fixed at 530 °C for comparison. RDE+MBE thin films exhibited high crystalline quality, but rough surfaces and rugged β-FeSi2/Si(111) interfaces. On the other hand, SPE thin films showed flat surfaces and abrupt β-FeSi2/Si(111) interfaces but low crystallinity. We found that SPE+MBE thin films realized crystallinity higher than SPE thin films, and also had flatter surfaces and sharper interfaces than RDE+MBE thin films. In SPE+MBE thin film growth, due to the initial SPE process with low temperature codeposition, thermal interdiffusion of Fe and Si was suppressed, resulting in the surface flatness and abrupt interface. Second high temperature MBE process improved the crystallinity. We also investigated thermoelectric properties of these β-FeSi2 thin films. Structural factors affecting the thermoelectric properties of RDE+MBE, SPE, and SPE+MBE thin films were investigated.

  11. Physical mechanism of coherent acoustic phonons generation and detection in GaAs semiconductor

    NASA Astrophysics Data System (ADS)

    Babilotte, P.; Morozov, E.; Ruello, P.; Mounier, D.; Edely, M.; Breteau, J.-M.; Bulou, A.; Gusev, V.

    2007-12-01

    We first describe the picosecond acoustic interferometry study of GaAs with two-colors pump-probe laser pulses. The dependence of the generation process on the pump wavelength and the detection process on the probe wavelength both can cause the shift in the phase of the Brillouin signal. Secondly, in order to distinguish the short high frequency wideband acoustic pulse from low frequency Brillouin contribution, we accomplished experiments with (100)GaAs semiconductor coated by a transparent and photoelastically inactive thin film, serving a delay line for the acoustic pulse. Even with highly penetrating pump light (approx 680nm), short acoustic disturbances of approx 7ps of duration have been registered.

  12. High quality of InAsSb epilayer with cutoff wavelength longer than 10 μm grown on GaAs by the modified LPE technique

    NASA Astrophysics Data System (ADS)

    Hu, S. H.; Sun, C. H.; Sun, Y.; Ge, J.; Wang, R.; Wu, J.; Wang, Q. W.; Dai, N.

    2009-04-01

    The InAsSb epilayers with a cutoff wavelength of 11.5 μm were successfully grown on highly lattice-mismatched semi-insulating (1 0 0) GaAs substrate by the modified liquid phase epitaxy (LPE) technique. Fourier transform infrared (FTIR) transmission spectrum revealed a strong band gap narrowing for this alloy. The electrical properties were investigated by the Van der Pauw measurements at 300 and 77 K. InAsSb epilayers showed high Hall mobilities being 11,800 cm 2/V s at room temperature (RT). After an annealing treament for 10 h, the electron mobility at 77 K were improved from 1730 cm 2/V s (prior to annealing) to 13,470 cm 2/V s. Wet etching was used to display the surface etch pits prior to and after annealing treatment, showing that the mobility improvement was due to the reduction of the etch pits density.

  13. Semiconductor Film Grown on a Circular Substrate: Predictive Modeling of Lattice-Misfit Stresses

    NASA Astrophysics Data System (ADS)

    Suhir, E.; Nicolics, J.; Khatibi, G.; Lederer, M.

    2016-03-01

    An effective and physically meaningful analytical predictive model is developed for the evaluation the lattice-misfit stresses (LMS) in a semiconductor film grown on a circular substrate (wafer). The two-dimensional (plane-stress) theory-of-elasticity approximation (TEA) is employed in the analysis. The addressed stresses include the interfacial shearing stress, responsible for the occurrence and growth of dislocations, as well as for possible delaminations and the cohesive strength of a buffering material, if any. Normal radial and circumferential (tangential) stresses acting in the film cross-sections and responsible for its short- and long-term strength (fracture toughness) are also addressed. The analysis is geared to the GaN technology.

  14. Ideal Channel Field Effect Transistors

    DTIC Science & Technology

    2010-03-01

    well as on /?-GaAs/w-GaAs homojunctions grown by molecular beam epitaxy (MBE). The diode I-Vs at reverse bias are plotted below. The measured breakdown...transistors and composite channel InAlAs/InGaAs/lnP/InAlAs high electron mobility transistors ( HEMTs ), which have taken the full advantage of the matched...result in a large number of dislocations in GaAs films epitaxially grown on wurtzite GaN. In this work, we have successfully integrated GaAs with GaN

  15. Seedless-grown of ZnO thin films for photoelectrochemical water splitting application

    NASA Astrophysics Data System (ADS)

    Abdullah, Aidahani; Hamid, Muhammad Azmi Abdul; Chiu, W. S.

    2018-04-01

    We developed a seedless hydrothermal method to grow a flower like ZnO nanorods. Prior to the growth, a layer of Au thin film is sputtered onto the surface of indium tin oxide (ITO) coated glass substrate. The morphological, structural and optical properties of the ZnO nanostructures were characterized by means of scanning electron microscopy (SEM), X-ray diffraction (XRD), and diffuse reflection measurement to understand the growth process of the working thin film. The photoelectrochemical (PEC) results suggest that the deposition of ZnO nanorods on Au nanoparticles plays an important role in enhancing the photoelectrode activity. H2 evolution from photo-splitting of water over Au-incorporated ZnO in the 0.1M NaOH liquid system was enhanced, compared to that over bare ZnO; particularly, the production of 15.5 µL of H2 gas after twenty five minutes exposure of ZnO grown on Au-coated thin film.

  16. STM study of the Ga thin films grown on Si(111) surface

    NASA Astrophysics Data System (ADS)

    Tao, Min-Long; Tu, Yu-Bing; Sun, Kai; Ye, Juan; Hao, Shao-Jie; Xiao, Hua-Fang; Wang, Ya-Li; Xie, Zheng-Bo; Wang, Jun-Zhong

    2017-09-01

    Structural evolution of Ga thin films grown on the Si(111)-√{ 3 } × √{ 3 } -Ga template have been investigated with a low-temperature scanning tunneling microscopy (STM). The first Ga layer exhibits a stripe structure along the base vectors of Si(111) lattices. Individual Ga dimers have been directly visualized from the high-resolution STM images of the first Ga layer. The second Ga layer reveals a pseudo 1×1 structure with respect to the Si(111). A new 5×5 phase has been found in the second Ga layer when annealing the sample to 120 ℃. Further annealing to 150 ℃ leads to the formation of 6.3×6.3 phase, which is more stable than the 5×5 phase. The existences of a variety of superstructures of Ga films demonstrates the delicate balance between the interactions of Si(111)-Ga and Ga-Ga. These results shed important light on the epitaxial growth mechanism of Ga films on semiconductor surfaces.

  17. Stencil lithography of superconducting contacts on MBE-grown topological insulator thin films

    NASA Astrophysics Data System (ADS)

    Schüffelgen, Peter; Rosenbach, Daniel; Neumann, Elmar; Stehno, Martin P.; Lanius, Martin; Zhao, Jialin; Wang, Meng; Sheehan, Brendan; Schmidt, Michael; Gao, Bo; Brinkman, Alexander; Mussler, Gregor; Schäpers, Thomas; Grützmacher, Detlev

    2017-11-01

    Topological insulator (Bi0.06Sb0.94)2Te3 thin films grown by molecular beam epitaxy have been capped in-situ with a 2 nm Al film to conserve the pristine topological surface states. Subsequently, a shadow mask - structured by means of focus ion beam - was in-situ placed underneath the sample to deposit a thick layer of Al on well-defined microscopically small areas. The 2 nm thin Al layer fully oxidizes after exposure to air and in this way protects the TI surface from degradation. The thick Al layer remains metallic underneath a 3-4 nm thick native oxide layer and therefore serves as (super-) conducting contacts. Superconductor-Topological Insulator-Superconductor junctions with lateral dimensions in the nm range have then been fabricated via an alternative stencil lithography technique. Despite the in-situ deposition, transport measurements and transmission electron microscope analysis indicate a low transparency, due to an intermixed region at the interface between topological insulator thin film and metallic Al.

  18. Growth of high quality germanium films on patterned silicon substrates and applications

    NASA Astrophysics Data System (ADS)

    Vanamu, Ganesh

    structures. The patterning was done using standard photomask based lithography. We analyzed the quality of the Ge films using high resolution x-ray diffraction, TEM and SEM. We performed etch pit density (EPD) measurements by counting the pits formed using a Nomarski optical microscope. In order to correlate characterization with device performance, we designed an inter-digitated pattern to form Ge based metal semiconductor metal photodetector and measured the photoresponse of the Ge films. Preliminary results were very promising. We then grew 4 mum GaAs on the Ge/Si using MBE (0.5 mum/hr and 570°C) and analyzed the GaAs film quality. We also performed modeling to calculate strain energy density and wafer bending in multi-layer films grown epitaxially on planar Si substrates. We have also compared the models with experiments. (Abstract shortened by UMI.)

  19. A transmission-grating-modulated pump-probe absorption spectroscopy and demonstration of diffusion dynamics of photoexcited carriers in bulk intrinsic GaAs film.

    PubMed

    Chen, Ke; Wang, Wenfang; Chen, Jianming; Wen, Jinhui; Lai, Tianshu

    2012-02-13

    A transmission-grating-modulated time-resolved pump-probe absorption spectroscopy is developed and formularized. The spectroscopy combines normal time-resolved pump-probe absorption spectroscopy with a binary transmission grating, is sensitive to the spatiotemporal evolution of photoinjected carriers, and has extensive applicability in the study of diffusion transport dynamics of photoinjected carriers. This spectroscopy has many advantages over reported optical methods to measure diffusion dynamics, such as simple experimental setup and operation, and high detection sensitivity. The measurement of diffusion dynamics is demonstrated on bulk intrinsic GaAs films. A carrier density dependence of carrier diffusion coefficient is obtained and agrees well with reported results.

  20. GaAs and 3-5 compound solar cells status and prospects for use in space

    NASA Technical Reports Server (NTRS)

    Flood, D. J.; Brinker, D. J.

    1984-01-01

    Gallium arsenide solar cells equal or supass the best silicon solar cells in efficiency, radiation resistance, annealability, and in the capability to produce usable power output at elevated temperatures. NASA has been involved in a long range research and development program to capitalize on these manifold advantages, and to explore alternative III-V compounds for additional potential improvements. The current status and future prospects for research and development in this area are reviewed and the progress being made toward development of GaAs cells suitable for variety of space missions is discussed. Cell types under various stages of development include n(+)/p shallow homojunction thin film GaAs cells, x100 concentration ratio p/n and n/p GaAs small area concentrator cells, mechanically-stacked, two-junction tandem cells, and three-junction monolithic cascade cells, among various other cell types.

  1. Influence of a TiN interlayer on the microstructure and mechanical properties of hydroxyapatite films grown by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Nelea, Valentin D.; Ristoscu, Carmen; Colis, Silviu; Arens, Simona; Pelletier, Herve; Mihailescu, Ion N.; Mille, Pierre

    2001-04-01

    Crystalline hydroxyapatite (HA) thin films grown on metallic substrates is the best choice for bone restoration. This is due to the good biological compatibility of the hydroxyapatite material combined with the good mechanical characteristics of the substrates. We deposit HA thin films by Pulsed Laser Deposition (PLD) in vacuum at room temperature using a KrF* excimer laser ((lambda) equals 248 nm, (tau) FWHM >= 20 ns). The depositions were performed directly on Ti-5Al-2.5Fe or on substrates previously coated with a TiN buffer layer. The HA deposited structures were characterized by complementary techniques: GIXRD, SEM, TEM, SAED, EDS and nanoindentation. Properties of the HA films grown with and without the TiN buffer were discussed in term of microstructure and mechanical behavior. The films with interlayer preserve the stoichiometry, are completely recrystallized and present better mechanical characteristics as compared with those without buffer.

  2. Multiple delta doping of single crystal cubic boron nitride films heteroepitaxially grown on (001)diamonds

    NASA Astrophysics Data System (ADS)

    Yin, H.; Ziemann, P.

    2014-06-01

    Phase pure cubic boron nitride (c-BN) films have been epitaxially grown on (001) diamond substrates at 900 °C. The n-type doping of c-BN epitaxial films relies on the sequential growth of nominally undoped (p-) and Si doped (n-) layers with well-controlled thickness (down to several nanometer range) in the concept of multiple delta doping. The existence of nominally undoped c-BN overgrowth separates the Si doped layers, preventing Si dopant segregation that was observed for continuously doped epitaxial c-BN films. This strategy allows doping of c-BN films can be scaled up to multiple numbers of doped layers through atomic level control of the interface in the future electronic devices. Enhanced electronic transport properties with higher hall mobility (102 cm2/V s) have been demonstrated at room temperature as compared to the normally continuously Si doped c-BN films.

  3. A review of molecular beam epitaxy of ferroelectric BaTiO 3 films on Si, Ge and GaAs substrates and their applications

    DOE PAGES

    Mazet, Lucie; Yang, Sang Mo; Kalinin, Sergei V.; ...

    2015-06-30

    SrTiO 3 epitaxial growth by molecular beam epitaxy (MBE) on silicon has opened up the route to the monolithic integration of various complex oxides on the complementary metal-oxide-semiconductor silicon platform. Among functional oxides, ferroelectric perovskite oxides offer promising perspectives to improve or add functionalities on-chip. We review the growth by MBE of the ferroelectric compound BaTiO 3 on silicon (Si), germanium (Ge) and gallium arsenide (GaAs) and we discuss the film properties in terms of crystalline structure, microstructure and ferroelectricity. Lastly, we review the last developments in two areas of interest for the applications of BaTiO 3 films on silicon,more » namely integrated photonics, which benefits from the large Pockels effect of BaTiO 3, and low power logic devices, which may benefit from the negative capacitance of the ferroelectric.« less

  4. Tantalum films with well-controlled roughness grown by oblique incidence deposition

    NASA Astrophysics Data System (ADS)

    Rechendorff, K.; Hovgaard, M. B.; Chevallier, J.; Foss, M.; Besenbacher, F.

    2005-08-01

    We have investigated how tantalum films with well-controlled surface roughness can be grown by e-gun evaporation with oblique angle of incidence between the evaporation flux and the surface normal. Due to a more pronounced shadowing effect the root-mean-square roughness increases from about 2 to 33 nm as grazing incidence is approached. The exponent, characterizing the scaling of the root-mean-square roughness with length scale (α), varies from 0.75 to 0.93, and a clear correlation is found between the angle of incidence and root-mean-square roughness.

  5. Semiconductor-insulator transition in VO{sub 2} (B) thin films grown by pulsed laser deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rúa, Armando; Díaz, Ramón D.; Lysenko, Sergiy

    2015-09-28

    Thin films of B-phase VO{sub 2} were grown by pulsed-laser deposition on glass and (100)-cut MgO substrates in a temperature range from 375 to 425 °C and at higher gas pressures than usual for this technique. The films were strongly oriented, with ab-planes parallel to the substrate surface. Detailed study of surface morphology through Atomic Force Microscopy images suggest significant differences in evolution as a function of growth temperature for films on the two types of substrates. Measurements of electrical conductivities through cooling-heating cycles from room temperature to 120 K showed changes of five orders of magnitude, with steeper changes between roommore » temperature and ∼150 K, which corresponds with the extended and reversible phase transition known to occur for this material. At lower temperatures conductivities exhibited Arrhenius behavior, indicating that no further structural change was occurring and that conduction is thermally activated. In this lower temperature range, conductivity of the samples can be described by the near-neighbor hopping model. No hysteresis was found between the cooling and heating braches of the cycles, which is at variance with previous results published for VO{sub 2} (B). This apparent lack of hysteresis for thin films grown in the manner described and the large conductivity variation as a function of temperature observed for the samples suggests this material could be of interest for infrared sensing applications.« less

  6. MnSi nanostructures obtained from epitaxially grown thin films: magnetotransport and Hall effect

    NASA Astrophysics Data System (ADS)

    Schroeter, D.; Steinki, N.; Schilling, M.; Fernández Scarioni, A.; Krzysteczko, P.; Dziomba, T.; Schumacher, H. W.; Menzel, D.; Süllow, S.

    2018-06-01

    We present a comparative study of the (magneto)transport properties, including Hall effect, of bulk, epitaxially grown thin film and nanostructured MnSi. In order to set our results in relation to published data we extensively characterize our materials, this way establishing a comparatively good sample quality. Our analysis reveals that in particular for thin film and nanostructured material, there are extrinsic and intrinsic contributions to the electronic transport properties, which by modeling the data we separate out. Finally, we discuss our Hall effect data of nanostructured MnSi under consideration of the extrinsic contributions and with respect to the question of the detection of a topological Hall effect in a skyrmionic lattice.

  7. Structural and electrical properties of large area epitaxial VO2 films grown by electron beam evaporation

    NASA Astrophysics Data System (ADS)

    Théry, V.; Boulle, A.; Crunteanu, A.; Orlianges, J. C.; Beaumont, A.; Mayet, R.; Mennai, A.; Cosset, F.; Bessaudou, A.; Fabert, M.

    2017-02-01

    Large area (up to 4 squared inches) epitaxial VO2 films, with a uniform thickness and exhibiting an abrupt metal-insulator transition with a resistivity ratio as high as 2.85 × 10 4 , have been grown on (001)-oriented sapphire substrates by electron beam evaporation. The lattice distortions (mosaicity) and the level of strain in the films have been assessed by X-ray diffraction. It is demonstrated that the films grow in a domain-matching mode where the distortions are confined close to the interface which allows growth of high-quality materials despite the high film-substrate lattice mismatch. It is further shown that a post-deposition high-temperature oxygen annealing step is crucial to ensure the correct film stoichiometry and provide the best structural and electrical properties. Alternatively, it is possible to obtain high quality films with a RF discharge during deposition, which hence do not require the additional annealing step. Such films exhibit similar electrical properties and only slightly degraded structural properties.

  8. Excitation and De-Excitation Mechanisms of Er-Doped GaAs and A1GaAs.

    DTIC Science & Technology

    1992-12-01

    AD-A258 814 EXCITATION AND DE -EXCITATION MECHANISMS OF Er-DOPED GaAs AND A1GaAs DISSERTATION David W. Elsaesser, Captain, USAF DTICY. ft £ICTE’’ )AN...0 8 1993U -o Wo- .%Approved for public release; Distribution unlimited 93 1 04 022 AFIT/DS/ENP/92-5 EXCITATION AND DE -EXCITATION MECHANISMS OF Er...public release; Distribution unlimited AFIT/DS/ENP/92D-005 EXCITATION AND DE -EXCITATION MECHANISMS OF Er-DOPED GaAs AND A1GaAs 4 toFlor -- David W

  9. Determination of carrier concentration and compensation microprofiles in GaAs

    NASA Technical Reports Server (NTRS)

    Jastrzebski, L.; Lagowski, J.; Walukiewicz, W.; Gatos, H. C.

    1980-01-01

    Simultaneous microprofiling of semiconductor free carrier, donor, and acceptor concentrations was achieved for the first time from the absolute value of the free carrier absorption coefficient and its wavelength dependence determined by IR absorption in a scanning mode. Employing Ge- and Si-doped melt-grown GaAs, striking differences were found between the variations of electron concentration and those of ionized impurity concentrations. These results showed clearly that the electronic characteristics of this material are controlled by amphoteric doping and deviations from stoichiometry rather than by impurity segregation.

  10. EL2 and related defects in GaAs - Challenges and pitfalls

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.; Lagowski, J.

    1985-01-01

    The incorporation process of nonequilibrium vacancies in melt-grown GaAs is strongly complicated by deviations from stoichiometry, and the presence of two sublattices. Many of the microdefects originating in these vacancies and their interactions introduce energy levels (shallow and deep) within the energy gap. The direct identification of the chemical or structural signature of these defects and its direct correlation to their electronic behavior is not generally possible. It is therefore necessary to rely on indirect methods and phenomenological models and be confronted with the associated pitfalls. EL2, a microdefect introducing a deep donor level, has been in the limelight in recent years because it is believed to be responsible for the semi-insulating behavior of undoped GaAs. Although much progress has been made towards understanding its origin and nature, some relevant questions remain unanswered. An attempt is made to assess the present status of understanding of EL2 in the light of the most recent results.

  11. GaAs Computer Technology

    DTIC Science & Technology

    1992-01-07

    AD-A259 259 FASTC-ID FOREIGN AEROSPACE SCIENCE AND TECHNOLOGY CENTER GaAs COMPUTER TECHNOLOGY (1) by Wang Qiao-yu 93-00999 Distrir bution t,,,Nm ted...FASTC- ID(RS)T-0310-92 HUMAN TRANSLATION FASTC-ID(RS)T-0310-92 7 January 1993 GaAs COMPUTER TECHNOLOGY (1) By: Wang Qiao-yu English pages: 6 Source...the best quality copy available. j C] " ------ GaAs Computer Technology (1) Wang Qiao-yu (Li-Shan Microelectronics Institute) Abstract: The paper

  12. A study of H and D doped ZnO epitaxial films grown by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Li, Y. J.; Kaspar, T. C.; Droubay, T. C.; Joly, A. G.; Nachimuthu, P.; Zhu, Z.; Shutthanandan, V.; Chambers, S. A.

    2008-09-01

    We examine the crystal structure and electrical and optical properties of ZnO epitaxial films grown by pulsed laser deposition in a H2 or D2 ambient. n-type electrical conductivity is enhanced by three orders of magnitude as a result of growing in H2 (D2) compared to ZnO films grown in O2. Hall effect measurements reveal very small carrier activation energies and carrier concentrations in the mid-1018 cm-3 range. Optical absorption measurements show that the enhanced conductivity is not a result of ZnO reduction and interstitial Zn formation. Photoluminescence spectra suggest excitonic emission associated with exciton-hydrogen donor complex formation and show no evidence for midgap emission resulting from defects. We have modeled the transport properties of H (D) doped ZnO films using variable range hopping and surface layer conductivity models, but our data do not fit well with these models. Rather, it appears that growth in H2 (D2) promotes the formation of an exceedingly shallow donor state not seen in ZnO crystals annealed in H2 after growth. This new state may be associated with H (D) substitution at O sites in the lattice.

  13. Fabrication and characterization of multi-layer InAs/InGaAs quantum dot p-i-n GaAs solar cells grown on silicon substrates

    NASA Astrophysics Data System (ADS)

    Omri, M.; Sayari, A.; Sfaxi, L.

    2018-01-01

    This paper reports on InAs/InGaAs quantum dot solar cells (QDSCs) deposited by molecular beam epitaxy (MBE) on (001) n-type silicon ( n-Si) substrates. In-situ RHEED measurements show that InAs/InGaAs QDs SC has a high crystalline structure. The dislocation density in the active layer of the InAs/InGaAs QDSC and the lattice mismatch in the GaAs layer can be reduced by using an Si rough surface buffer layer (RSi). To show the effect of the QD layers, a reference SC with the same p-i-n structure as the InAs/InGaAs QDSC, but without InAs QDs, is also grown. The two SCs were studied by sepectroscopic ellipsometry (SE), in the 1-6 eV photon energy range, photoluminescence and photocurrent measurements. The optical constants of the two devices are determined in the photon energy range 1-6 eV from the SE data. The dominant features in the dielectric function spectra at 3 and 4.5 eV are attributed, respectively, to the E 1 and E 2 critical point structures of GaAs and InAs. The low-temperature photoluminescence spectrum of the InAs/InGaAs QDSC shows ground-state emissions, respectively, from the relatively small QDs near 1081 nm and from the large QDs near 1126 nm. Photocurrent measurements confirm the improved absorption performance (up to 1200 nm) of the InAs QDs SC which is ascribed to the optical absorption from the InAs/InGaAs QDs and the Si substrate as demonstrated by SE and photoluminescence measurements.

  14. Growth, microstructure and electrical properties of sputter-deposited hafnium oxide (HfO2) thin films grown using HfO2 ceramic target

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aguirre, B.; Vemuri, R. S.; Zubia, David

    2011-01-01

    Hafnium oxide (HfO₂) thin films have been made by radio-frequency (rf) magnetron-sputtering onto Si(100) substrates under varying growth temperature (Ts). HfO₂ ceramic target has been employed for sputtering while varying the Ts from room temperature to 500⁰C during deposition. The effect of Ts on the growth and microstructure of deposited HfO₂ films has been studied using grazing incidence x-ray diffraction (GIXRD), X-ray photoelectron spectroscopy (XPS), and high-resolution scanning electron microscopy (HR-SEM) coupled with energy dispersive x-ray spectrometry (EDS). The results indicate that the effect of Ts is significant on the growth, surface and interface structure, morphology and chemical composition ofmore » the HfO₂ films. Structural characterization indicates that the HfO₂ films grown at Ts<200 ⁰C are amorphous while films grown at Ts>200 ⁰C are nanocrystalline. An amorphous-to-crystalline transition occurs at Ts=200 ⁰C. Nanocrystalline HfO₂ films crystallized in a monoclinic structure with a (-111) orientation. XPS measurements indicated the high surface-chemical quality and stoichiometric nature of the grown HfO₂ films. An interface layer (IL) formation occurs due to reaction at the HfO₂-Si interface for HfO₂ films deposited at Ts>200 ⁰C. The thickness of IL increases with increasing Ts. XPS and EDS at the HfO₂-Si cross-section indicate the IL is a (Hf, Si)-O compound. The electrical characterization using capacitance-voltage measurements indicate that the dielectric constant decreases from 25 to 16 with increasing Ts.« less

  15. Real-time observation of rotational twin formation during molecular-beam epitaxial growth of GaAs on Si (111) by x-ray diffraction

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Suzuki, Hidetoshi, E-mail: hsuzuki@cc.miyazaki-u.ac.jp; Nakata, Yuka; Takahasi, Masamitu

    2016-03-15

    The formation and evolution of rotational twin (TW) domains introduced by a stacking fault during molecular-beam epitaxial growth of GaAs on Si (111) substrates were studied by in situ x-ray diffraction. To modify the volume ratio of TW to total GaAs domains, GaAs was deposited under high and low group V/group III (V/III) flux ratios. For low V/III, there was less nucleation of TW than normal growth (NG) domains, although the NG and TW growth rates were similar. For high V/III, the NG and TW growth rates varied until a few GaAs monolayers were deposited; the mean TW domain sizemore » was smaller for all film thicknesses.« less

  16. Structural characterization of niobium oxide thin films grown on SrTiO3 (111) and (La,Sr)(Al,Ta)O3 (111) substrates

    NASA Astrophysics Data System (ADS)

    Dhamdhere, Ajit R.; Hadamek, Tobias; Posadas, Agham B.; Demkov, Alexander A.; Smith, David J.

    2016-12-01

    Niobium oxide thin films have been grown by molecular beam epitaxy on SrTiO3 (STO) (111) and (La0.18Sr0.82)(Al0.59Ta0.41)O3 (LSAT) (111) substrates. Transmission electron microscopy (TEM) confirmed the formation of high quality films with coherent interfaces. Films grown with higher oxygen pressure on STO (111) resulted in a (110)-oriented NbO2 phase with a distorted rutile structure, which can be described as body-centered tetragonal. The a lattice parameter of NbO2 was determined to be ˜13.8 Å in good agreement with neutron diffraction results published in the literature. Films grown on LSAT (111) at lower oxygen pressure produced the NbO phase with a defective rock salt cubic structure. The NbO lattice parameter was determined to be a ≈ 4.26 Å. The film phase/structure identification from TEM was in good agreement with in situ x-ray photoelectron spectroscopy measurements that confirmed the dioxide and monoxide phases, respectively. The atomic structure of the NbO2/STO and NbO/LSAT interfaces was determined based on comparisons between high-resolution electron micrographs and image simulations.

  17. Large-Area WS2 Film with Big Single Domains Grown by Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Liu, Pengyu; Luo, Tao; Xing, Jie; Xu, Hong; Hao, Huiying; Liu, Hao; Dong, Jingjing

    2017-10-01

    High-quality WS2 film with the single domain size up to 400 μm was grown on Si/SiO2 wafer by atmospheric pressure chemical vapor deposition. The effects of some important fabrication parameters on the controlled growth of WS2 film have been investigated in detail, including the choice of precursors, tube pressure, growing temperature, holding time, the amount of sulfur powder, and gas flow rate. By optimizing the growth conditions at one atmospheric pressure, we obtained tungsten disulfide single domains with an average size over 100 μm. Raman spectra, atomic force microscopy, and transmission electron microscopy provided direct evidence that the WS2 film had an atomic layer thickness and a single-domain hexagonal structure with a high crystal quality. And the photoluminescence spectra indicated that the tungsten disulfide films showed an evident layer-number-dependent fluorescence efficiency, depending on their energy band structure. Our study provides an important experimental basis for large-area, controllable preparation of atom-thick tungsten disulfide thin film and can also expedite the development of scalable high-performance optoelectronic devices based on WS2 film.

  18. Field dependent magnetic anisotropy of Ga0.2Fe0.8 thin films

    NASA Astrophysics Data System (ADS)

    Resnick, Damon A.; McClure, A.; Kuster, C. M.; Rugheimer, P.; Idzerda, Y. U.

    2011-04-01

    Using longitudinal MOKE in combination with a variable strength rotating magnetic field, called the rotational MOKE (ROTMOKE) method, we show that the magnetic anisotropy for a Ga0.2Fe0.8 single crystal film with a thickness of 17 nm, grown on GaAs (001) with a thick ZnSe buffer layer, depends linearly on the strength of the applied magnetic field. The torque moment curves generated using ROTMOKE are well fit with a model that accounts for the uniaxial, cubic, or fourfold anisotropy, as well as additional terms with a linear dependence on the applied magnetic field. The uniaxial and cubic anisotropy fields, taken from both the hard and the easy axis scans, are seen to remain field independent. The field dependent terms are evidence of a large affect of the magnetostriction and its contribution to the effective magnetic anisotropy in GaxFe1-x thin films.

  19. Impact of Reduced Graphene Oxide on MoS2 Grown by Sulfurization of Sputtered MoO3 and Mo Precursor Films (Postprint)

    DTIC Science & Technology

    2016-05-26

    AFRL-RX-WP-JA-2017-0137 IMPACT OF REDUCED GRAPHENE OXIDE ON MOS2 GROWN BY SULFURIZATION OF SPUTTERED MOO3 AND MO PRECURSOR FILMS...OXIDE ON MOS2 GROWN BY SULFURIZATION OF SPUTTERED MOO3 AND Mo PRECURSOR FILMS (POSTPRINT) 5a. CONTRACT NUMBER FA8650-11-D-5401-0008 5b. GRANT...2016. © 2016 American Vacuum Society. The U.S. Government is joint author of the work and has the right to use, modify , reproduce, release, perform

  20. Development of GaAs/Si and GaAs/Si monolithic structures for future space solar cells

    NASA Technical Reports Server (NTRS)

    Spitzer, M. B.; Vernon, S. M.; Wolfson, R. G.; Tobin, S. P.

    1984-01-01

    The results of heteroepitaxial growth of GaAs and GaAlAs directly on Si are presented, and applications to new cell structures are suggested. The novel feature is the elimination of a Ge lattice transition region. This feature not only reduces the cost of substrate preparation, but also makes possible the fabrication of high efficiency monolithic cascade structures. All films to be discussed were grown by organometallic chemical vapor deposition at atmospheric pressure. This process yielded reproducible, large-area films of GaAs, grown directly on Si, that are tightly adherent and smooth, and are characterized by a defect density of 5 x 10(6) power/sq cm. Preliminary studies indicate that GaAlAs can also be grown in this way. A number of promising applications are suggested. Certainly these substrates are ideal for low-weight GaAs space solar ells. For very high efficiency, the absence of Ge makes the technology attractive for GaAlAs/Si monolithic cascades, in which the Si substrates would first be provided with a suitable p/n junction. An evaluation of a three bandgap cascade consisting of appropriately designed GaAlAs/GaAs/Si layers is also presented.

  1. Conductivity and structure of ErAs nanoparticles embedded in GaAs pn junctions analyzed via conductive atomic force microscopy

    NASA Astrophysics Data System (ADS)

    Park, K. W.; Dasika, V. D.; Nair, H. P.; Crook, A. M.; Bank, S. R.; Yu, E. T.

    2012-06-01

    We have used conductive atomic force microscopy to investigate the influence of growth temperature on local current flow in GaAs pn junctions with embedded ErAs nanoparticles grown by molecular beam epitaxy. Three sets of samples, one with 1 ML ErAs deposited at different growth temperatures and two grown at 530 °C and 575 °C with varying ErAs depositions, were characterized. Statistical analysis of local current images suggests that the structures grown at 575 °C have about 3 times thicker ErAs nanoparticles than structures grown at 530 °C, resulting in degradation of conductivity due to reduced ErAs coverage. These findings explain previous studies of macroscopic tunnel junctions.

  2. Effect of RF power density on micro- and macro-structural properties of PECVD grown hydrogenated nanocrystalline silicon thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gokdogan, Gozde Kahriman, E-mail: gozdekahriman@gmail.com; Anutgan, Tamila, E-mail: tamilaanutgan@karabuk.edu.tr

    2016-03-25

    This contribution provides the comparison between micro- and macro-structure of hydrogenated nanocrystalline silicon (nc-Si:H) thin films grown by plasma enhanced chemical vapor deposition (PECVD) technique under different RF power densities (P{sub RF}: 100−444 mW/cm{sup 2}). Micro-structure is assessed through grazing angle X-ray diffraction (GAXRD), while macro-structure is followed by surface and cross-sectional morphology via field emission scanning electron microscopy (FE-SEM). The nanocrystallite size (∼5 nm) and FE-SEM surface conglomerate size (∼40 nm) decreases with increasing P{sub RF}, crystalline volume fraction reaches maximum at 162 mW/cm{sup 2}, FE-SEM cross-sectional structure is columnar except for the film grown at 162 mW/cm{sup 2}. The dependence of previously determinedmore » ‘oxygen content–refractive index’ correlation on obtained macro-structure is investigated. Also, the effect of P{sub RF} is discussed in the light of plasma parameters during film deposition process and nc-Si:H film growth models.« less

  3. Relevance of GaAs(001) surface electronic structure for high frequency dispersion on n-type accumulation capacitance

    NASA Astrophysics Data System (ADS)

    Pi, T. W.; Chen, W. S.; Lin, Y. H.; Cheng, Y. T.; Wei, G. J.; Lin, K. Y.; Cheng, C.-P.; Kwo, J.; Hong, M.

    2017-01-01

    This study investigates the origin of long-puzzled high frequency dispersion on the accumulation region of capacitance-voltage characteristics in an n-type GaAs-based metal-oxide-semiconductor. Probed adatoms with a high Pauling electronegativity, Ag and Au, unexpectedly donate charge to the contacted As/Ga atoms of as-grown α2 GaAs(001)-2 × 4 surfaces. The GaAs surface atoms behave as charge acceptors, and if not properly passivated, they would trap those electrons accumulated at the oxide and semiconductor interface under a positive bias. The exemplified core-level spectra of the Al2O3/n-GaAs(001)-2 × 4 and the Al2O3/n-GaAs(001)-4 × 6 interfaces exhibit remnant of pristine surface As emission, thereby causing high frequency dispersion in the accumulation region. For the p-type GaAs, electrons under a negatively biased condition are expelled from the interface, thereby avoiding becoming trapped.

  4. All MBE grown InAs/GaAs quantum dot lasers on on-axis Si (001).

    PubMed

    Kwoen, Jinkwan; Jang, Bongyong; Lee, Joohang; Kageyama, Takeo; Watanabe, Katsuyuki; Arakawa, Yasuhiko

    2018-04-30

    Directly grown III-V quantum dot (QD) laser on on-axis Si (001) is a good candidate for achieving monolithically integrated Si photonics light source. Nowadays, laser structures containing high quality InAs / GaAs QD are generally grown by molecular beam epitaxy (MBE). However, the buffer layer between the on-axis Si (001) substrate and the laser structure are usually grown by metal-organic chemical vapor deposition (MOCVD). In this paper, we demonstrate all MBE grown high-quality InAs/GaAs QD lasers on on-axis Si (001) substrates without using patterning and intermediate layers of foreign material.

  5. Epitaxial corundum-VTiO 3 thin films grown on c-cut sapphire

    DOE PAGES

    Kramer, Alan; Sutter, Eli; Su, Dong; ...

    2017-04-12

    Corundum structured VTiO 3 has been grown as epitaxial films on c-cut sapphire by laser molecular beam epitaxy. The properties of the film were characterized by reflection high energy electron diffraction, x-ray diffraction, transmission electron microscopy, and photoemission spectroscopy. All the structural probes clearly indicate the corundum structure of the film. X-ray photoemission spectroscopy (XPS) indicates that V is in a 3+ charge state implying that Ti also needs to adopt a 3+ charge state in order for the corundum structure to form. However, the Ti-2p XPS, while clearly broadened to the lower binding energy side compared to TiO 2,more » also exhibits a pronounced Ti 4+ component. This is tentatively assigned to a final state effect in XPS measurements and not as the true cation state. In conclusion, the valence band spectra show occupation of 3d metal states that resemble more closely those of Ti 2O 3 than for V 2O 3, suggesting that only the a1g molecular states are occupied.« less

  6. STM/STS study of graphene directly grown on h-BN films on Cu foils

    NASA Astrophysics Data System (ADS)

    Jang, Won-Jun; Wang, Min; Jang, Seong-Gyu; Kim, Minwoo; Park, Seong-Yong; Kim, Sang-Woo; Kahng, Se-Jong; Choi, Jae-Young; Song, Young; Lee, Sungjoo; Sanit Collaboration; Department Of Physics, Korea University Collaboration; Graphene Research Center, Samsung Advanced Institute Of Technology Collaboration

    2013-03-01

    Graphene-based devices on standard SiO2 substrate commonly exhibit inferior characteristics relative to the expected intrinsic properties of graphene, due to the disorder existing at graphene-SiO2 interface. Recently, it has been shown that exfoliated and chemical vapor deposition (CVD) graphene transferred onto hexagonal boron nitride (h-BN) possesses significantly reduced charge inhomogeneity, and yields improved device performance. Here we report the scanning tunneling microscopy (STM) and spectroscopy (STS) results obtained from a graphene layer directly grown on h-BN insulating films on Cu foils. STS measurements illustrate that graphene/h-BN film is charge neutral without electronic perturbation from h-BN/Cu substrate. Corresponding Author

  7. Materials Research Society Symposium Proceedings Held in Boston, Massachusetts on 4-6 December 1991. Low Temperature (LT) GaAs and Related Materials. Volume 241.

    DTIC Science & Technology

    1992-09-14

    AIGaAs-GaAs QUANTUM WELLS GROWN ON LOW TEMPERATURE GaAs 239 Y. Hwang, D. Zhang, T. Zhang, M. Mytych, and R.M. Kolbas MOLECULAR BEAM EPITAXY OF LOW...GaA/ quantum wells : 24i howvever, in our case. AIks layers were not introduced. Formation (if these rows is most prolf,.+l influenced hb the diffusimon...regions. Preliminary investigations into this method have been performed using GaAs quantum wells between thick AIGaAs barriers as shown in Fig. 7. This

  8. Raman spectroscopy of ZnMnO thin films grown by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Orozco, S.; Riascos, H.; Duque, S.

    2016-02-01

    ZnMnO thin films were grown by Pulsed Laser Deposition (PLD) technique onto Silicon (100) substrates at different growth conditions. Thin films were deposited varying Mn concentration, substrate temperature and oxygen pressure. ZnMnO samples were analysed by using Raman Spectroscopy that shows a red shift for all vibration modes. Raman spectra revealed that nanostructure of thin films was the same of ZnO bulk, wurzite hexagonal structure. The structural disorder was manifested in the line width and shape variations of E2(high) and E2(low) modes located in 99 and 434cm-1 respectively, which may be due to the incorporation of Mn ions inside the ZnO crystal lattice. Around 570cm-1 was found a peak associated to E1(LO) vibration mode of ZnO. 272cm-1 suggest intrinsic host lattice defects. Additional mode centred at about 520cm-1 can be overlap of Si and Mn modes.

  9. Vertically aligned GaAs nanowires on graphite and few-layer graphene: generic model and epitaxial growth.

    PubMed

    Munshi, A Mazid; Dheeraj, Dasa L; Fauske, Vidar T; Kim, Dong-Chul; van Helvoort, Antonius T J; Fimland, Bjørn-Ove; Weman, Helge

    2012-09-12

    By utilizing the reduced contact area of nanowires, we show that epitaxial growth of a broad range of semiconductors on graphene can in principle be achieved. A generic atomic model is presented which describes the epitaxial growth configurations applicable to all conventional semiconductor materials. The model is experimentally verified by demonstrating the growth of vertically aligned GaAs nanowires on graphite and few-layer graphene by the self-catalyzed vapor-liquid-solid technique using molecular beam epitaxy. A two-temperature growth strategy was used to increase the nanowire density. Due to the self-catalyzed growth technique used, the nanowires were found to have a regular hexagonal cross-sectional shape, and are uniform in length and diameter. Electron microscopy studies reveal an epitaxial relationship of the grown nanowires with the underlying graphitic substrates. Two relative orientations of the nanowire side-facets were observed, which is well explained by the proposed atomic model. A prototype of a single GaAs nanowire photodetector demonstrates a high-quality material. With GaAs being a model system, as well as a very useful material for various optoelectronic applications, we anticipate this particular GaAs nanowire/graphene hybrid to be promising for flexible and low-cost solar cells.

  10. Effects of growth temperature on the properties of atomic layer deposition grown ZrO2 films

    NASA Astrophysics Data System (ADS)

    Scarel, G.; Ferrari, S.; Spiga, S.; Wiemer, C.; Tallarida, G.; Fanciulli, M.

    2003-07-01

    Zirconium dioxide films are grown in 200 atomic layer deposition cycles. Zirconium tetrachloride (ZrCl4) and water (H2O) are used as precursors. A relatively high dielectric constant (κ=22), wide band gap, and conduction band offset (5.8 and 1.4 eV, respectively) indicate that zirconium dioxide is a most promising substitute for silicon dioxide as a dielectric gate in complementary metal-oxide-semiconductor devices. However, crystallization and chlorine ions in the films might affect their electrical properties. These ions are produced during atomic layer deposition in which the ZrCl4 precursor reacts with the growth surface. It is desirable to tune the composition, morphology, and structural properties in order to improve their benefit on the electrical ones. To address this issue it is necessary to properly choose the growth parameters. This work focuses on the effects of the growth temperature Tg. ZrO2 films are grown at different substrate temperatures: 160, 200, 250, and 350 °C. Relevant modification of the film structure with a change in substrate temperature during growth is expected because the density of reactive sites [mainly Si+1-(OH)-1 bonds] decreases with an increase in temperature [Y. B. Kim et al., Electrochem. Solid-State Lett. 3, 346 (2000)]. The amorphous film component, for example, that develops at Si+1-(OH)-1 sites on the starting growth surface, is expected to decrease with an increase in growth temperature. The size and consequences of film property modifications with the growth temperature are investigated in this work using x-ray diffraction and reflectivity, and atomic force microscopy. Time of flight-secondary ion mass spectrometry is used to study contaminant species in the films. From capacitance-voltage (CV) and current-voltage (IV) measurements, respectively, the dielectric constant κZrO2 and the leakage current are studied as a function of the film growth temperature.

  11. Raman scattering studies of strain effects in (100) and (311)B GaAs1-xBix epitaxial layers

    NASA Astrophysics Data System (ADS)

    Steele, J. A.; Lewis, R. A.; Henini, M.; Lemine, O. M.; Alkaoud, A.

    2013-11-01

    We report room-temperature Raman studies of strained (100) and (311)B GaAs1-xBix epitaxial layers for x ≤ 0.039. The Raman spectra exhibit a two-mode behavior, as well as disorder-activated GaAs-like phonons. The experimental results show that the GaAs-like LO(Γ) mode experiences a strong composition-dependent redshift as a result of alloying. The peak frequency decreases linearly from the value for pure GaAs (˜293 cm-1) with the alloyed Bi fraction x and the introduced in-plane lattice strain ɛ∥, by ΔωLO=Δωalloy-Δωstrain. X-ray diffraction measurements are used to determine x and ɛ∥ allowing Δωalloy to be decoupled and is estimated to be -12(±4) cm-1/x for (100) GaAs1-xBix. ΔωLO is measured to be roughly double for samples grown on (311)B-oriented substrates to that of (100) GaAs. This large difference in redshift is accounted for by examining the Bi induced strain, effects from alloying, and defects formed during high-index (311)B crystal growth.

  12. A comparative study of the influence of buoyancy driven fluid flow on GaAs crystal growth

    NASA Technical Reports Server (NTRS)

    Kafalas, J. A.; Bellows, A. H.

    1988-01-01

    A systematic investigation of the effect of gravity driven fluid flow on GaAs crystal growth was performed. It includes GaAs crystal growth in the microgravity environment aboard the Space Shuttle. The program involves a controlled comparative study of crystal growth under a variety of earth based conditions with variable orientation and applied magnetic field in addition to the microgravity growth. Earth based growth will be performed under stabilizing as well as destabilizing temperature gradients. The boules grown in space and on earth will be fully characterized to correlate the degree of convection with the distribution of impurities. Both macro- and micro-segregation will be determined. The space growth experiment will be flown in a self-contained payload container through NASA's Get Away Special program.

  13. Controlling the defects and transition layer in SiO2 films grown on 4H-SiC via direct plasma-assisted oxidation

    PubMed Central

    Kim, Dae-Kyoung; Jeong, Kwang-Sik; Kang, Yu-Seon; Kang, Hang-Kyu; Cho, Sang W.; Kim, Sang-Ok; Suh, Dongchan; Kim, Sunjung; Cho, Mann-Ho

    2016-01-01

    The structural stability and electrical performance of SiO2 grown on SiC via direct plasma-assisted oxidation were investigated. To investigate the changes in the electronic structure and electrical characteristics caused by the interfacial reaction between the SiO2 film (thickness ~5 nm) and SiC, X-ray photoelectron spectroscopy (XPS), X-ray absorption spectroscopy (XAS), density functional theory (DFT) calculations, and electrical measurements were performed. The SiO2 films grown via direct plasma-assisted oxidation at room temperature for 300s exhibited significantly decreased concentrations of silicon oxycarbides (SiOxCy) in the transition layer compared to that of conventionally grown (i.e., thermally grown) SiO2 films. Moreover, the plasma-assisted SiO2 films exhibited enhanced electrical characteristics, such as reduced frequency dispersion, hysteresis, and interface trap density (Dit ≈ 1011 cm−2 · eV−1). In particular, stress induced leakage current (SILC) characteristics showed that the generation of defect states can be dramatically suppressed in metal oxide semiconductor (MOS) structures with plasma-assisted oxide layer due to the formation of stable Si-O bonds and the reduced concentrations of SiOxCy species defect states in the transition layer. That is, energetically stable interfacial states of high quality SiO2 on SiC can be obtained by the controlling the formation of SiOxCy through the highly reactive direct plasma-assisted oxidation process. PMID:27721493

  14. Parasitic phases at the origin of magnetic moment in BiFeO3 thin films grown by low deposition rate RF sputtering

    NASA Astrophysics Data System (ADS)

    Mori, Thiago J. A.; Mouls, Caroline L.; Morgado, Felipe F.; Schio, Pedro; Cezar, Júlio C.

    2017-09-01

    A series of epitaxial BiFeO3 thin films has been grown under high partial pressure in a pure O2 atmosphere, which leads to a low deposition rate. The samples grown under these conditions have presented an evolution of the quality of the epitaxy as the deposition temperature increases, however, spurious β- Bi2O3 and supertetragonal BiFeO3 phases are present in the films grown at higher temperatures. The presence of γ- Fe2O3 is reported in one growing condition, and has been attributed to the origin of hysteretic ferromagnetic behavior. A second kind of magnetism, with higher magnetic moment and anhysteretic behaviour, is attributed to the presence of mixed phases of BiFeO3.

  15. Microstructure study of ZnO thin films on Si substrate grown by MOCVD

    NASA Astrophysics Data System (ADS)

    Huang, Jingyun; Ye, Zhizhen; Lu, Huanming; Wang, Lei; Zhao, Binghui; Li, Xianhang

    2007-08-01

    The microstructure of zinc oxide thin films on silicon substrates grown by metalorganic chemical vapour deposition (MOCVD) was characterized. The cross-sectional bright-field transmission electron microscopy (TEM) image showed that small ZnO columnar grains were embedded into large columnar grains, and the selected-area electron diffraction pattern showed that the ZnO/Si thin films were nearly c-axis oriented. The deviation angle along the ZnO (0 0 0 1) direction with respect to the growth direction of Si (1 0 0) was no more than 5°. The [0 0 0 1]-tilt grain boundaries in ZnO/Si thin films were investigated symmetrically by plan-view high resolution TEM. The boundaries can be classified into three types: low-angle boundaries described as an irregular array of edge dislocations, boundaries of near 30° angle with (1\\,0\\,\\bar{1}\\,0) facet structures and large-angle boundaries with symmetric structure which could be explained by a low Σ coincident site lattice structure mode. The research was useful to us for finding optimized growth conditions to improve ZnO/Si thin film quality.

  16. Epitaxial pentacene films grown on the surface of ion-beam-processed gate dielectric layer

    NASA Astrophysics Data System (ADS)

    Chou, W. Y.; Kuo, C. W.; Cheng, H. L.; Mai, Y. S.; Tang, F. C.; Lin, S. T.; Yeh, C. Y.; Horng, J. B.; Chia, C. T.; Liao, C. C.; Shu, D. Y.

    2006-06-01

    The following research describes the process of fabrication of pentacene films with submicron thickness, deposited by thermal evaporation in high vacuum. The films were fabricated with the aforementioned conditions and their characteristics were analyzed using x-ray diffraction, scanning electron microscopy, polarized Raman spectroscopy, and photoluminescence. Organic thin-film transistors (OTFTs) were fabricated on an indium tin oxide coated glass substrate, using an active layer of ordered pentacene molecules, which were grown at room temperature. Pentacene film was aligned using the ion-beam aligned method, which is typically employed to align liquid crystals. Electrical measurements taken on a thin-film transistor indicated an increase in the saturation current by a factor of 15. Pentacene-based OTFTs with argon ion-beam-processed gate dielectric layers of silicon dioxide, in which the direction of the ion beam was perpendicular to the current flow, exhibited a mobility that was up to an order of magnitude greater than that of the controlled device without ion-beam process; current on/off ratios of approximately 106 were obtained. Polarized Raman spectroscopy investigation indicated that the surface of the gate dielectric layer, treated with argon ion beam, enhanced the intermolecular coupling of pentacene molecules. The study also proposes the explanation for the mechanism of carrier transportation in pentacene films.

  17. Thick Bi2Sr2CaCu2O8+δ films grown by liquid-phase epitaxy for Josephson THz applications

    NASA Astrophysics Data System (ADS)

    Simsek, Y.; Vlasko-Vlasov, V.; Koshelev, A. E.; Benseman, T.; Hao, Y.; Kesgin, I.; Claus, H.; Pearson, J.; Kwok, W.-K.; Welp, U.

    2018-01-01

    Theoretical and experimental studies of intrinsic Josephson junctions (IJJs) that naturally occur in high-T c superconducting Bi2Sr2CaCu2O8+δ (Bi-2212) have demonstrated their potential for novel types of compact devices for the generation and sensing of electromagnetic radiation in the THz range. Here, we show that the THz-on-a-chip concept may be realized in liquid-phase epitaxial-grown (LPE) thick Bi-2212 films. We have grown μm thick Bi-2212 LPE films on MgO substrates. These films display excellent c-axis alignment and single crystal grains of about 650 × 150 μm2 in size. A branched current-voltage characteristic was clearly observed in c-axis transport, which is a clear signature of underdamped IJJs, and a prerequisite for THz-generation. We discuss LPE growth conditions allowing improvement of the structural quality and superconducting properties of Bi-2212 films for THz applications.

  18. Positron annihilation on the surfaces of SiO 2 films thermally grown on single crystal of Cz-Si

    NASA Astrophysics Data System (ADS)

    Deng, Wen; Yue, Li; Zhang, Wei; Cheng, Xu-xin; Zhu, Yan-yan; Huang, Yu-yang

    2009-09-01

    Two-detector coincidence system and mono-energetic slow positron beam has been applied to measure the Doppler broadening spectra for single crystals of SiO2, SiO2 films with different thickness thermally grown on single crystal of Cz-Si, and single crystal of Si without oxide film. Oxygen is recognized as a peak at about 11.85 × 10-3m0c on the ratio curves. The S parameters decrease with the increase of positron implantation energy for the single crystal of SiO2 and Si without oxide film. However, for the thermally grown SiO2-Si sample, the S parameters in near surface of the sample increase with positron implantation energy. It is due to the formation of silicon oxide at the surface, which lead to lower S value. S and W parameters vary with positron implantation depth indicate that the SiO2-Si system consist of a surface layer, a SiO2 layer, a SiO2-Si interface layer and a semi-infinite Si substrate.

  19. Interface morphology studies of liquid phase epitaxy grown HgCdTe films by atomic force microscopy

    NASA Astrophysics Data System (ADS)

    Azoulay, M.; George, M. A.; Burger, A.; Collins, W. E.; Silberman, E.

    1994-04-01

    In this paper we report an investigation of the morphology of the interfaces of liquid phase epitaxy (LPE) grown HgCdTe thin films on CdTe and CdZnTe substrates by atomic force microscopy (AFM) on freshly cleaved (110) crystallographic planes. An empirical observation which may be linked to lattice mismatch was indicated by an angle between the cleavage steps of the substrate to those of the film. The precipitates with size ranging from 5 nm to 20 nm were found to be most apparent near the interface.

  20. High Quality of Liquid Phase-Deposited SiON on GaAs MOS Capacitor with Multiple Treatments

    NASA Astrophysics Data System (ADS)

    Lee, Ming-Kwei; Yen, Chih-Feng; Yeh, Min-Yen

    2016-08-01

    Silicon oxynitride (SiON) film on a p-type (100) GaAs substrate by liquid phase deposition has been characterized. Aqueous solutions of hydrofluosilicic acid, ammonia and boric acid were used as growth precursors. The electrical characteristics of SiON film are much improved on GaAs with (NH4)2S treatment. With post-metallization annealing (PMA), hydrogen ions further passivate traps in the SiON/GaAs film and interface. Both PMA and (NH4)2S treatments on a SiON/GaAs MOS capacitor produce better interface quality and lower interface state density (Dit) compared with ones without hydrogen and sulfur passivations. The leakage current densities are improved to 7.1 × 10-8 A/cm2 and 1.8 × 10-7 A/cm2 at ±2 V. The dielectric constant of 5.6 and the effective oxide charges of -5.3 × 1010 C/cm2 are obtained. The hysteresis offset of the hysteresis loop is only 0.09 V. The lowest Dit is 2.7 × 1011 cm-2/eV at an energy of about 0.66 eV from the edge of the valence band.

  1. Surface Chemistry and Interface Evolution during the Atomic Layer Deposition of High-k Metal Oxides on InAs(100) and GaAs(100) Surfaces

    NASA Astrophysics Data System (ADS)

    Henegar, Alex J.

    Device scaling has been key for creating faster and more powerful electronic devices. Integral circuit components like the metal-oxide semiconductor field-effect transistor (MOSFET) now rely on material deposition techniques, like atomic layer deposition (ALD), that possess atomic-scale thickness precision. At the heart of the archetypal MOSFET is a SiO2/Si interface which can be formed to near perfection. However when the thickness of the SiO 2 layer is shrunk down to a few nanometers several complications arise like unacceptably high leakage current and power consumption. Replacing Si with III-V semiconductors and SiO2 with high-k dielectric materials is appealing but comes with its own set of challenges. While SiO2 is practically defect-free, the native oxides of III-Vs are poor dielectrics. In this dissertation, the surface chemistry and interface evolution during the ALD of high-k metal oxides on Si(100), GaAs(100) and InAs(100) was studied. In particular, the surface chemistry and crystallization of TiO2 films grown on Si(100) was investigated using transmission Fourier transform infrared spectroscopy (FTIR), X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), scanning electron microscopy (SEM) and atomic force microscopy (AFM). Large, stable, and highly reactive anatase TiO2 grains were found to form during a post-deposition heat treatment after the ALD at 100 °C. The remainder of this work was focused on the evolution of the interfacial oxides during the deposition of TiO2 and Al2O3 on InAs(100) and GaAs(100) and during the deposition of Ta2O 5 on InAs(100). In summary the ALD precursor type, deposited film, and substrate had an influence in the evolution of the native oxides. Alkyl amine precursors fared better at removing the native oxides but the deposited films (TiO2 and Ta2O5) were susceptible to significant native oxide diffusion. The alkyl precursor used for the growth of Al 2O3 was relatively ineffective at removing the oxides but was

  2. Role of low O 2 pressure and growth temperature on electrical transport of PLD grown ZnO thin films on Si substrates

    NASA Astrophysics Data System (ADS)

    Pandis, Ch.; Brilis, N.; Tsamakis, D.; Ali, H. A.; Krishnamoorthy, S.; Iliadis, A. A.

    2006-06-01

    Undoped ZnO thin films have been grown on (100) Si substrates by pulsed laser deposition. The effect of growth parameters such as temperature, O 2 partial pressure and laser fluence on the structural and electrical properties of the films has been investigated. It is shown that the well-known native n-type conductivity, attributed to the activation of hydrogenic donor states, exhibits a conversion from n-type to p-type when the O 2 partial pressure is reduced from 10 -4 to 10 -7 Torr at growth temperatures lower than 400 °C. The p-type conductivity could be attributed to the dominant role of the acceptor Zn vacancies for ZnO films grown at very low O 2 pressures.

  3. Thermal stability of MBE-grown epitaxial MoSe2 and WSe2 thin films

    NASA Astrophysics Data System (ADS)

    Chang, Young Jun; Choy, Byoung Ki; Phark, Soo-Hyon; Kim, Minu

    Layered transition metal dichalcogenides (TMDs) draw much attention, because of its unique optical properties and band structures depending on the layer thicknesses. However, MBE growth of epitaxial films demands information about thermal stability of stoichiometry and related electronic structure for high temperature range. We grow epitaxial MoSe2 and WSe2 ultrathin films by using molecular beam epitaxy (MBE). We characterize stoichiometry of films grown at various growth temperature by using various methods, XPS, EDX, and TOF-MEIS. We further test high temperature stability of electronic structure for those films by utilizing in-situ ellipsometry attached to UHV chamber. We discuss threshold temperatures up to 700~1000oC, at which electronic phases changes from semiconductor to metal due to selenium deficiency. This information can be useful for potential application of TMDs for fabrication of Van der Waals multilayers and related devices. This research was supported by Nano.Material Technology Development Program through the National Research Foundation of Korea (NRF) funded by the Ministry of Science, ICT and Future Planning. (2009-0082580), NRF-2014R1A1A1002868.

  4. Structural and optical properties of PbS thin films grown by chemical bath deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Seghaier, S.; Kamoun, N.; Guasch, C.

    2007-09-19

    Lead sulphide thin films are grown on glass substrates at various deposition times tD, in the range of 40-60 min per step of 2 min, using the chemical bath deposition technique. X-ray diffraction and atomic force microscopy are used to characterize the film structure. The surface composition is analysed by Auger electron spectroscopy. It appears that the as-prepared thin films are polycrystalline with cubic structure. Nanometric scale crystallites are uniformly distributed on the surface. They exhibit almost a stoechiometric composition with a [Pb]/[S] ratio equal to 1.10. Optical properties are studied in the range of 300-3300 nm by spectrophotometric measurements.more » Analysis of the optical absorption data of lead sulphide thin layers reveals a narrow optical direct band gap equal to 0.46 eV for the layer corresponding to a deposition time equal to 60 min.« less

  5. Analysis of twin defects in GaAs(111)B molecular beam epitaxy growth

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Park, Yeonjoon; Cich, Michael J.; Zhao, Rian

    2000-05-01

    The formation of twin is common during GaAs(111) and GaN(0001) molecular beam epitaxy (MBE) metalorganic chemical vapor deposition growth. A stacking fault in the zinc-blende (ZB)(111) direction can be described as an insertion of one monolayer of wurtzite structure, sandwiched between two ZB structures that have been rotated 60 degree sign along the growth direction. GaAs(111)A/B MBE growth within typical growth temperature regimes is complicated by the formation of pyramidal structures and 60 degree sign rotated twins, which are caused by faceting and stacking fault formation. Although previous studies have revealed much about the structure of these twins, a well-establishedmore » simple nondestructive characterization method which allows the measurement of total aerial density of the twins does not exist at present. In this article, the twin density of AlGaAs layers grown on 1 degree sign miscut GaAs(111)B substrates has been measured using high resolution x-ray diffraction, and characterized with a combination of Nomarski microscopy, atomic force microscopy, and transmission electron microscopy. These comparisons permit the relationship between the aerial twin density and the growth condition to be determined quantitatively. (c) 2000 American Vacuum Society.« less

  6. Epitaxially Grown Films of Standing and Lying Pentacene Molecules on Cu(110) Surfaces

    PubMed Central

    2011-01-01

    Here, it is shown that pentacene thin films (30 nm) with distinctively different crystallographic structures and molecular orientations can be grown under essentially identical growth conditions in UHV on clean Cu(110) surfaces. By X-ray diffraction, we show that the epitaxially oriented pentacene films crystallize either in the “thin film” phase with standing molecules or in the “single crystal” structure with molecules lying with their long axes parallel to the substrate. The morphology of the samples observed by atomic force microscopy shows an epitaxial alignment of pentacene crystallites, which corroborates the molecular orientation observed by X-ray diffraction pole figures. Low energy electron diffraction measurements reveal that these dissimilar growth behaviors are induced by subtle differences in the monolayer structures formed by slightly different preparation procedures. PMID:21479111

  7. Structural, electrical and optical properties of indium tin oxide thin film grown by metal organic chemical vapor deposition with tetramethyltin-precursor

    NASA Astrophysics Data System (ADS)

    Zhuo, Yi; Chen, Zimin; Tu, Wenbin; Ma, Xuejin; Wang, Gang

    2018-01-01

    Tin-doped indium oxide (ITO) is grown by metal organic chemical vapor deposition (MOCVD) using tetramethyltin (TDMASn) as tin precursor. The as-grown ITO films are polycrystalline with (111) and (100) textures. A gradual transition of crystallographic orientation from (111) preferred to (100) preferred is observed as the composition of tin changes. By precisely controlling the Sn doping, the ITO thin films present promising optical and electrical performances at either near-infrared-visible or visible-near-ultraviolet ranges. At low Sn doping level, the as-grown ITO possesses high electron mobility of 48.8 cm2 V-1 s-1, which results in high near-infrared transmittance and low resistivity. At higher Sn doping level, high carrier concentration (8.9 × 1020 cm-3) and low resistivity (3 × 10-4 Ω cm) are achieved. The transmittance is 97.8, 99.1, and 82.3% at the wavelength of 550, 365, and 320 nm, respectively. The results strongly suggest that MOCVD with TDMASn as tin precursor is an effective method to fabricate high quality ITO thin film for near-infrared, visible light, and near-ultraviolet application.

  8. Silicon incorporation in GaAs: From delta-doping to monolayer insertion

    NASA Astrophysics Data System (ADS)

    Wagner, J.; Newman, R. C.; Roberts, C.

    1995-08-01

    Raman spectroscopy was used to study the incorporation of Si into doping layers in GaAs, grown by molecular beam epitaxy at a temperature of 400 °C, for Si concentrations ranging from the δ-doping level to a ML coverage. The strength of the scattering by local vibrational modes of substitutional Si was almost constant for Si areal concentration [Si]A in the range 5×1012<[Si]A<5×1013 cm-2 but then decreased, dropping below the detection limit for [Si]A≳3×1014 cm-2. At these concentrations a new vibrational band emerged at a frequency close to 470 cm-1 and developed into the optic zone center phonon of a coherently strained epitaxial layer of Si embedded in GaAs when a coverage of ≊1.5 ML (9.3×1014 cm-2) was reached. These findings strongly indicate that the observed saturation and the eventual decrease of the concentration of substitutional silicon is caused by an increasing incorporation of deposited Si into two-dimensional islands of covalently bonded Si.

  9. Strain relaxation in convex-graded InxAl1-xAs (x = 0.05-0.79) metamorphic buffer layers grown by molecular beam epitaxy on GaAs(001)

    NASA Astrophysics Data System (ADS)

    Solov'ev, V. A.; Chernov, M. Yu; Baidakova, M. V.; Kirilenko, D. A.; Yagovkina, M. A.; Sitnikova, A. A.; Komissarova, T. A.; Kop'ev, P. S.; Ivanov, S. V.

    2018-01-01

    This paper presents a study of structural properties of InGaAs/InAlAs quantum well (QW) heterostructures with convex-graded InxAl1-xAs (x = 0.05-0.79) metamorphic buffer layers (MBLs) grown by molecular beam epitaxy on GaAs substrates. Mechanisms of elastic strain relaxation in the convex-graded MBLs were studied by the X-ray reciprocal space mapping combined with the data of spatially-resolved selected area electron diffraction implemented in a transmission electron microscope. The strain relaxation degree was approximated for the structures with different values of an In step-back. Strong contribution of the strain relaxation via lattice tilt in addition to the formation of the misfit dislocations has been observed for the convex-graded InAlAs MBL, which results in a reduced threading dislocation density in the QW region as compared to a linear-graded MBL.

  10. Atomic-scale epitaxial aluminum film on GaAs substrate

    NASA Astrophysics Data System (ADS)

    Fan, Yen-Ting; Lo, Ming-Cheng; Wu, Chu-Chun; Chen, Peng-Yu; Wu, Jenq-Shinn; Liang, Chi-Te; Lin, Sheng-Di

    2017-07-01

    Atomic-scale metal films exhibit intriguing size-dependent film stability, electrical conductivity, superconductivity, and chemical reactivity. With advancing methods for preparing ultra-thin and atomically smooth metal films, clear evidences of the quantum size effect have been experimentally collected in the past two decades. However, with the problems of small-area fabrication, film oxidation in air, and highly-sensitive interfaces between the metal, substrate, and capping layer, the uses of the quantized metallic films for further ex-situ investigations and applications have been seriously limited. To this end, we develop a large-area fabrication method for continuous atomic-scale aluminum film. The self-limited oxidation of aluminum protects and quantizes the metallic film and enables ex-situ characterizations and device processing in air. Structure analysis and electrical measurements on the prepared films imply the quantum size effect in the atomic-scale aluminum film. Our work opens the way for further physics studies and device applications using the quantized electronic states in metals.

  11. GaAs/Ge crystals grown on Si substrates patterned down to the micron scale

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Taboada, A. G., E-mail: gonzalez@phys.ethz.ch; Kreiliger, T.; Falub, C. V.

    Monolithic integration of III-V compounds into high density Si integrated circuits is a key technological challenge for the next generation of optoelectronic devices. In this work, we report on the metal organic vapor phase epitaxy growth of strain-free GaAs crystals on Si substrates patterned down to the micron scale. The differences in thermal expansion coefficient and lattice parameter are adapted by a 2-μm-thick intermediate Ge layer grown by low-energy plasma enhanced chemical vapor deposition. The GaAs crystals evolve during growth towards a pyramidal shape, with lateral facets composed of (111) planes and an apex formed by (137) and (001) surfaces.more » The influence of the anisotropic GaAs growth kinetics on the final morphology is highlighted by means of scanning and transmission electron microscopy measurements. The effect of the Si pattern geometry, substrate orientation, and crystal aspect ratio on the GaAs structural properties was investigated by means of high resolution X-ray diffraction. The thermal strain relaxation process of GaAs crystals with different aspect ratio is discussed within the framework of linear elasticity theory by Finite Element Method simulations based on realistic geometries extracted from cross-sectional scanning electron microscopy images.« less

  12. Structural characterization of ZnO thin films grown on various substrates by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Novotný, M.; Čížek, J.; Kužel, R.; Bulíř, J.; Lančok, J.; Connolly, J.; McCarthy, E.; Krishnamurthy, S.; Mosnier, J.-P.; Anwand, W.; Brauer, G.

    2012-06-01

    ZnO thin films were grown by pulsed laser deposition on three different substrates: sapphire (0 0 0 1), MgO (1 0 0) and fused silica (FS). The structure and morphology of the films were characterized by x-ray diffraction and scanning electron microscopy and defect studies were carried out using slow positron implantation spectroscopy (SPIS). Films deposited on all substrates studied in this work exhibit the wurtzite ZnO structure and are characterized by an average crystallite size of 20-100 nm. However, strong differences in the microstructure of films deposited on various substrates were found. The ZnO films deposited on MgO and sapphire single-crystalline substrates exhibit local epitaxy, i.e. a well-defined relation between film crystallites and the substrate. Domains with different orientation relationships with the substrate were found in both films. On the other hand, the film deposited on the FS substrate exhibits fibre texture with random lateral orientation of crystallites. Extremely high compressive in-plane stress of σ ˜ 14 GPa was determined in the film deposited on the MgO substrate, while the film deposited on sapphire is virtually stress-free, and the film deposited on the FS substrate exhibits a tensile in-plane stress of σ ˜ 0.9 GPa. SPIS investigations revealed that the concentration of open-volume defects in the ZnO films is substantially higher than that in a bulk ZnO single crystal. Moreover, the ZnO films deposited on MgO and sapphire single-crystalline substrates exhibit a significantly higher density of defects than the film deposited on the amorphous FS substrate.

  13. Reactions between palladium and gallium arsenide: Bulk versus thin-film studies

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lin, J.; Hsieh, K.; Schulz, K.J.

    1988-01-01

    Reactions between Pd and GaAs have been studied using bulk-diffusion couples of Pd (approx.0.6 mm thick)/GaAs and thin-film Pd (50 and 160 nm)/GaAs samples. The sequence of phase formation at 600 /sup 0/C between bulk Pd and GaAs was established. Initial formation of the solution phase ..mu.. and the ternary phase T does not represent the stable configuration. The stable configuration is GaAs chemically bondepsilonchemically bondlambdachemically bond..gamma..chemically bond..nu..chemically bondPd and is termed the diffusion path between GaAs and Pd. The sequence of phase formation for the bulk-diffusion couples is similar at 500 /sup 0/C. Phase formation for the thin-film Pd/GaAsmore » specimens was studied at 180, 220, 250, 300, 350, 400, 450, 600, and 1000 /sup 0/C for various annealing times. The sequence of phase formation obtained from the thin-film experiments is rationalized readily from the known ternary phase equilibria of Ga--Pd--As and the results from the bulk-diffusion couples of Pd/GaAs. The thin-film results reported in the literature are likewise rationalized. The diffusion path concept provides a useful guide in understanding the phase formation in Pd--GaAs interface or any other M--GaAs interface. This information is important in designing a uniform, stable contact for the metallization of GaAs.« less

  14. FAST TRACK COMMUNICATION: Ferroelectric properties and dielectric responses of multiferroic BiFeO3 films grown by RF magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Qi, Xiaoding; Tsai, Po-Chou; Chen, Yi-Chun; Ko, Cheng-Hung; Huang, Jung-Chun-Andrew; Chen, In-Gann

    2008-12-01

    Multiferroic BiFeO3 films have been grown on LaNiO3-x/SrTiO3 and Pt/Si substrates by RF magnetron sputtering. The films showed fully saturated ferroelectric hysteresis loops with large remanent polarization of 64 µC cm-2, suitable for most device applications. Piezoresponse force microscopy confirmed that the films were electrically writable. In addition to the high-frequency intrinsic dielectric loss of epitaxial films, the Argand diagram also revealed low-frequency contributions from both dc conductivity and interfacial polarization at electrodes. For polycrystalline films on Pt/Si, the dominant contribution to dielectric loss was space charge polarization at grain boundaries.

  15. GaAs MOEMS Technology

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    SPAHN, OLGA B.; GROSSETETE, GRANT D.; CICH, MICHAEL J.

    2003-03-01

    Many MEMS-based components require optical monitoring techniques using optoelectronic devices for converting mechanical position information into useful electronic signals. While the constituent piece-parts of such hybrid opto-MEMS components can be separately optimized, the resulting component performance, size, ruggedness and cost are substantially compromised due to assembly and packaging limitations. GaAs MOEMS offers the possibility of monolithically integrating high-performance optoelectronics with simple mechanical structures built in very low-stress epitaxial layers with a resulting component performance determined only by GaAs microfabrication technology limitations. GaAs MOEMS implicitly integrates the capability for radiation-hardened optical communications into the MEMS sensor or actuator component, a vitalmore » step towards rugged integrated autonomous microsystems that sense, act, and communicate. This project establishes a new foundational technology that monolithically combines GaAs optoelectronics with simple mechanics. Critical process issues addressed include selectivity, electrochemical characteristics, and anisotropy of the release chemistry, and post-release drying and coating processes. Several types of devices incorporating this novel technology are demonstrated.« less

  16. Growth of GaAs “nano ice cream cones” by dual wavelength pulsed laser ablation

    NASA Astrophysics Data System (ADS)

    Schamp, C. T.; Jesser, W. A.; Shivaram, B. S.

    2007-05-01

    Harmonic generation crystals inherently offer the possibility of using multiple wavelengths of light in a single laser pulse. In the present experiment, the fundamental (1064 nm) and second harmonic (532 nm) wavelengths from an Nd:YAG laser are focused together on GaAs and GaSb targets for ablation. Incident energy densities up to about 45 J/cm 2 at 10 Hz with substrate temperatures between 25 and 600 °C for durations of about 60 s have been used in an ambient gas pressure of about 10 -6 Torr. The ablated material was collected on electron-transparent amorphous carbon films for TEM analysis. Apart from a high density of isolated nanocrystals, the most common morphology observed consists of a crystalline GaAs cone-like structure in contact with a sphere of liquid Ga, resembling an "ice cream cone", typically 50-100 nm in length. For all of the heterostuctures of this type, the liquid/solid/vacuum triple junction is found to correspond to the widest point on the cone. These heterostructures likely form by preferential evaporation of As from molten GaAs drops ablated from the target. The resulting morphology minimizes the interfacial and surface energies of the liquid Ga and solid GaAs.

  17. Protective capping and surface passivation of III-V nanowires by atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dhaka, Veer, E-mail: veer.dhaka@aalto.fi; Perros, Alexander; Kakko, Joona-Pekko

    2016-01-15

    Low temperature (∼200 °C) grown atomic layer deposition (ALD) films of AlN, TiN, Al{sub 2}O{sub 3}, GaN, and TiO{sub 2} were tested for protective capping and surface passivation of bottom-up grown III-V (GaAs and InP) nanowires (NWs), and top-down fabricated InP nanopillars. For as-grown GaAs NWs, only the AlN material passivated the GaAs surface as measured by photoluminescence (PL) at low temperatures (15K), and the best passivation was achieved with a few monolayer thick (2Å) film. For InP NWs, the best passivation (∼2x enhancement in room-temperature PL) was achieved with a capping of 2nm thick Al{sub 2}O{sub 3}. All othermore » ALD capping layers resulted in a de-passivation effect and possible damage to the InP surface. Top-down fabricated InP nanopillars show similar passivation effects as InP NWs. In particular, capping with a 2 nm thick Al{sub 2}O{sub 3} layer increased the carrier decay time from 251 ps (as-etched nanopillars) to about 525 ps. Tests after six months ageing reveal that the capped nanostructures retain their optical properties. Overall, capping of GaAs and InP NWs with high-k dielectrics AlN and Al{sub 2}O{sub 3} provides moderate surface passivation as well as long term protection from oxidation and environmental attack.« less

  18. Epitaxial growth of GaSb on V-grooved Si (001) substrates with an ultrathin GaAs stress relaxing layer

    NASA Astrophysics Data System (ADS)

    Li, Qiang; Lai, Billy; Lau, Kei May

    2017-10-01

    We report epitaxial growth of GaSb nano-ridge structures and planar thin films on V-groove patterned Si (001) substrates by leveraging the aspect ratio trapping technique. GaSb was deposited on {111} Si facets of the V-shaped trenches using metal-organic chemical vapor deposition with a 7 nm GaAs growth initiation layer. Transmission electron microscopy analysis reveals the critical role of the GaAs layer in providing a U-shaped surface for subsequent GaSb epitaxy. A network of misfit dislocations was uncovered at the GaSb/GaAs hetero-interface. We studied the evolution of the lattice relaxation as the growth progresses from closely pitched GaSb ridges to coalesced thin films using x-ray diffraction. The omega rocking curve full-width-at-half-maximum of the resultant GaSb thin film is among the lowest values reported by molecular beam epitaxy, substantiating the effectiveness of the defect necking mechanism. These results thus present promising opportunities for the heterogeneous integration of devices based on 6.1 Å family compound semiconductors.

  19. Stable, highly-responsive and broadband photodetection based on large-area multilayered WS2 films grown by pulsed-laser deposition

    NASA Astrophysics Data System (ADS)

    Yao, J. D.; Zheng, Z. Q.; Shao, J. M.; Yang, G. W.

    2015-09-01

    The progress in the field of graphene has aroused a renaissance of keen research interest in layered transition metal dichalcogenides (TMDs). Tungsten disulfide (WS2), a typical TMD with favorable semiconducting band gap and strong light-matter interaction, exhibits great potential for highly-responsive photodetection. However, WS2-based photodetection is currently unsatisfactory due to the low optical absorption (2%-10%) and poor carrier mobility (0.01-0.91 cm2 V-1 s-1) of the thin WS2 layers grown by chemical vapor deposition (CVD). Here, we introduce pulsed-laser deposition (PLD) to prepare multilayered WS2 films. Large-area WS2 films of the magnitude of cm2 are achieved. Comparative measurements of a WS2-based photoresistor demonstrate its stable broadband photoresponse from 370 to 1064 nm, the broadest range demonstrated in WS2 photodetectors. Benefiting from the large optical absorbance (40%-85%) and high carrier mobility (31 cm2 V-1 s-1), the responsivity of the device approaches a high value of 0.51 A W-1 in an ambient environment. Such a performance far surpasses the CVD-grown WS2-based photodetectors (μA W-1). In a vacuum environment, the responsivity is further enhanced to 0.70 A W-1 along with an external quantum efficiency of 137% and a photodetectivity of 2.7 × 109 cm Hz1/2 W-1. These findings stress that the PLD-grown WS2 film may constitute a new paradigm for the next-generation stable, broadband and highly-responsive photodetectors.The progress in the field of graphene has aroused a renaissance of keen research interest in layered transition metal dichalcogenides (TMDs). Tungsten disulfide (WS2), a typical TMD with favorable semiconducting band gap and strong light-matter interaction, exhibits great potential for highly-responsive photodetection. However, WS2-based photodetection is currently unsatisfactory due to the low optical absorption (2%-10%) and poor carrier mobility (0.01-0.91 cm2 V-1 s-1) of the thin WS2 layers grown by chemical vapor

  20. Molecular beam epitaxy growth and magnetic properties of Cr-Co-Ga Heusler alloy films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Feng, Wuwei, E-mail: wfeng@cugb.edu.cn; Wang, Weihua; Zhao, Chenglong

    2015-11-15

    We have re-investigated growth and magnetic properties of Cr{sub 2}CoGa films using molecular beam epitaxy technique. Phase separation and precipitate formation were observed experimentally again in agreement with observation of multiple phases separation in sputtered Cr{sub 2}CoGa films by M. Meinert et al. However, significant phase separation could be suppressed by proper control of growth conditions. We showed that Cr{sub 2}CoGa Heusler phase, rather than Co{sub 2}CrGa phase, constitutes the majority of the sample grown on GaAs(001) at 450 {sup o}C. The measured small spin moment of Cr{sub 2}CoGa is in agreement with predicted HM-FCF nature; however, its Curie temperaturemore » is not as high as expected from the theoretical prediction probably due to the off-stoichiometry of Cr{sub 2}CoGa and the existence of the disorders and phase separation.« less

  1. Effect of in situ annealing on the structural and electrical properties and infrared photodetection of III-Sb on GaAs using interfacial misfit array

    NASA Astrophysics Data System (ADS)

    Jia, Bo Wen; Tan, Kian Hua; Loke, Wan Khai; Wicaksono, Satrio; Yoon, Soon Fatt

    2018-01-01

    This work presents the effects of in situ thermal annealing under antimony overpressure on the structural, electrical, and optical properties of III-Sb (GaSb and InSb) grown on (100) GaAs using an interfacial misfit array to accommodate the lattice mismatch. Both the sample growth and the in situ thermal annealing were carried out in the in the molecular beam epitaxy system, and the temperature of the as-grown sample was increased to exceed its growth temperature during the annealing. X-ray diffraction demonstrates nearly fully relaxed as-grown and annealed III-Sb layers. The optimal annealing temperatures and durations are for 590 °C, 5 min for GaSb and 420 °C, 15 min for InSb, respectively. In situ annealing decreased the surface roughness of the III-Sb layers. X-ray reciprocal space mapping and transmission electron microscopy observation showed stable interfacial misfit arrays, and no interfacial diffusion occurred in the annealed III-Sb layers. A Hall measurement of unintentionally doped III-Sb layers showed greater carrier mobility and a lower carrier concentration in the annealed samples at both 77 and 300 K. In situ annealing improved the photoresponsivity of GaSb and InSb photoconductors grown on GaAs in the near- and mid-infrared ranges, respectively.

  2. LEC GaAs for integrated circuit applications

    NASA Technical Reports Server (NTRS)

    Kirkpatrick, C. G.; Chen, R. T.; Homes, D. E.; Asbeck, P. M.; Elliott, K. R.; Fairman, R. D.; Oliver, J. D.

    1984-01-01

    Recent developments in liquid encapsulated Czochralski techniques for the growth of semiinsulating GaAs for integrated circuit applications have resulted in significant improvements in the quality and quantity of GaAs material suitable for device processing. The emergence of high performance GaAs integrated circuit technologies has accelerated the demand for high quality, large diameter semiinsulating GaAs substrates. The new device technologies, including digital integrated circuits, monolithic microwave integrated circuits and charge coupled devices have largely adopted direct ion implantation for the formation of doped layers. Ion implantation lends itself to good uniformity and reproducibility, high yield and low cost; however, this technique also places stringent demands on the quality of the semiinsulating GaAs substrates. Although significant progress was made in developing a viable planar ion implantation technology, the variability and poor quality of GaAs substrates have hindered progress in process development.

  3. Preparation of AgInSe2 thin films grown by vacuum evaporation method

    NASA Astrophysics Data System (ADS)

    Matsuo, H.; Yoshino, K.; Ikari, T.

    2006-09-01

    Polycrystalline AgInSe2 thin films were successfully grown on glass substrates by an evaporation method. The starting materials were stoichiometrically mixed Ag2Se and In2Se3 powders. X-ray diffraction revealed that the sample annealed at 600 °C consisted of AgInSe2 single phase, with (112) orientation and a large grain size. The lattice constant (a axis) was close to JCPDS values. From optical transmittance and reflectance measurements, the bandgap energy was estimated to be 1.17 eV.

  4. Single quantum dot emission by nanoscale selective growth of InAs on GaAs: A bottom-up approach

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Patella, F.; Arciprete, F.; Placidi, E.

    2008-12-08

    We report on single dot microphotoluminescence ({mu}PL) emission at low temperature and low power from InAs dots grown by molecular beam epitaxy in nanoscale holes of a SiO{sub 2} mask deposited on GaAs(001). By comparing atomic force microscopy measurements with {mu}PL data, we show that the dot sizes inside the nanoholes are smaller than those of the dots nucleated on the extended GaAs surface. PL of dots spans a wide energy range depending on their size and on the thickness and composition of the InGaAs capping layer. Time-resolved PL experiments demonstrate a negligible loss of radiative recombination efficiency, proving highlymore » effective in the site-controlled dot nucleation.« less

  5. Design optimization of GaAs betavoltaic batteries

    NASA Astrophysics Data System (ADS)

    Chen, Haiyanag; Jiang, Lan; Chen, Xuyuan

    2011-06-01

    GaAs junctions are designed and fabricated for betavoltaic batteries. The design is optimized according to the characteristics of GaAs interface states and the diffusion length in the depletion region of GaAs carriers. Under an illumination of 10 mCi cm-2 63Ni, the open circuit voltage of the optimized batteries is about ~0.3 V. It is found that the GaAs interface states induce depletion layers on P-type GaAs surfaces. The depletion layer along the P+PN+ junction edge isolates the perimeter surface from the bulk junction, which tends to significantly reduce the battery dark current and leads to a high open circuit voltage. The short circuit current density of the optimized junction is about 28 nA cm-2, which indicates a carrier diffusion length of less than 1 µm. The overall results show that multi-layer P+PN+ junctions are the preferred structures for GaAs betavoltaic battery design.

  6. Bulk unipolar diodes formed in GaAs by ion implantation

    NASA Astrophysics Data System (ADS)

    Hutchinson, S.; Kelly, M. J.; Gwilliam, R.; Sealy, B. J.; Carr, M.

    1999-01-01

    In an attempt to emulate epitaxially manufactured semiconductor multilayers for microwave device applications, we have produced a camel diode structure in GaAs for the first time, using the tail of a Mg + implant into a molecular beam epitaxially grown n +-n --n + structure. Using a range of ion energies and doses, samples are observed to exhibit bulk unipolar diode characteristics. With low dose and energy, a diode with barrier height of ˜0.8 V and ideality factor ˜1.25 is achieved. 'Punch through' diode characteristics are obtained at high ion dose and energy, some with knee voltages in excess of 7 V.

  7. X-ray analyses of thermally grown and reactively sputtered tantalum oxide films on NiTi alloy

    NASA Astrophysics Data System (ADS)

    McNamara, Karrina; Tofail, Syed A. M.; Conroy, Derek; Butler, James; Gandhi, Abbasi A.; Redington, Wynette

    2012-08-01

    Sputter deposition of tantalum (Ta) on the surface of NiTi alloy is expected to improve the alloy's corrosion resistance and biocompatibility. Tantalum is a well-known biomaterial which is not affected by body fluids and is not irritating to human tissue. Here we compare the oxidation chemistry crystal structure evolution of tantalum oxide films grown on NiTi by reactive O2 sputtering and by thermal oxidation of sputter deposited Ta films. The effect of sputtering parameters and post-sputtering treatments on the morphology, oxidation state and crystal structure of the tantalum oxide layer have been investigated by field-emission scanning electron microscopy (FE-SEM), X-ray photoelectron spectroscopy (XPS) and X-ray diffraction (XRD). The study has found that it may be better to avoid oxidation at and above 600 °C. The study establishes that reactive sputtering in presence of low oxygen mixture yields thicker film with better control of the film quality except that the surface oxidation state of Ta is slightly lower.

  8. GaAs Monolithic Microwave Subsystem Technology Base

    DTIC Science & Technology

    1980-01-01

    To provide a captive source of reliable, high-quality GaAs substrates, a new crystal growth and substrate preparation facility which utilizes a high...Symp. GaAs and Related Compounds, Inst. Phys. Conf. Ser. 24, 6. 20. Wood, Woodcock and Harris (1978) GaAs and Related Compounds, Inst. Phys. Conf

  9. Carbon doping in molecular beam epitaxy of GaAs from a heated graphite filament

    NASA Technical Reports Server (NTRS)

    Malik, R. J.; Nottenberg, R. N.; Schubert, E. F.; Walker, J. F.; Ryan, R. W.

    1988-01-01

    Carbon doping of GaAs grown by molecular beam epitaxy has been obtained for the first time by use of a heated graphite filament. Controlled carbon acceptor concentrations over the range of 10 to the 17th-10 to the 20th/cu cm were achieved by resistively heating a graphite filament with a direct current power supply. Capacitance-voltage, p/n junction and secondary-ion mass spectrometry measurements indicate that there is negligible diffusion of carbon during growth and with postgrowth rapid thermal annealing. Carbon was used for p-type doping in the base of Npn AlGaAs/GaAs heterojunction bipolar transistors. Current gains greater than 100 and near-ideal emitter heterojunctions were obtained in transistors with a carbon base doping of 1 x 10 to the 19th/cu cm. These preliminary results indicate that carbon doping from a solid graphite source may be an attractive substitute for beryllium, which is known to have a relatively high diffusion coefficient in GaAs.

  10. A comparative study of physico-chemical properties of CBD and SILAR grown ZnO thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jambure, S.B.; Patil, S.J.; Deshpande, A.R.

    2014-01-01

    Graphical abstract: Schematic model indicating ZnO nanorods by CBD (Z{sub 1}) and nanograins by SILAR (Z{sub 2}). - Highlights: • Simple methods for the synthesis of ZnO thin films. • Comparative study of physico-chemical properties of ZnO thin films prepared by CBD and SILAR methods. • CBD outperforms SILAR method. - Abstract: In the present work, nanocrystalline zinc oxide (ZnO) thin films have been successfully deposited onto glass substrates by simple and economical chemical bath deposition (CBD) and successive ionic layer adsorption reaction (SILAR) methods. These films were further characterized for their structural, optical, surface morphological and wettability properties. Themore » X-ray diffraction (XRD) patterns for both CBD and SILAR deposited ZnO thin films reveal the highly crystalline hexagonal wurtzite structure. From optical studies, band gaps obtained are 2.9 and 3.0 eV for CBD and SILAR deposited thin films, respectively. The scanning electron microscope (SEM) patterns show growth of well defined randomly oriented nanorods and nanograins on the CBD and SILAR deposited samples, respectively. The resistivity of CBD deposited films (10{sup 2} Ω cm) is lower than that of SILAR deposited films (10{sup 5} Ω cm). Surface wettability studies show hydrophobic nature for both films. From the above results it can be concluded that CBD grown ZnO thin films show better properties as compared to SILAR method.« less

  11. Study of properties and development of sensors based on graphene films grown on SiC (0001) by thermal destruction method

    NASA Astrophysics Data System (ADS)

    Lebedev, A. A.; Davydov, V. Y.; Usachov, D. Y.; Lebedev, S. P.; Smirnov, A. N.; Levitskii, V. S.; Eliseyev, I. A.; Alekseev, P. A.; Dunaevskiy, M. S.; Rybkin, A. G.; Novikov, S. N.; Makarov, Yu N.

    2018-01-01

    The structural, chemical, and electronic properties of epitaxial graphene films grown by thermal decomposition of the Si-face of a semi-insulating 6H-SiC substrate in an argon environment are studied by Raman spectroscopy, X-ray photoelectron spectroscopy and angle-resolved photoemission. It was demonstrated the possibility of fabrication of the gas and biosensors that is based on grown graphene films. The gas sensors are sufficiently sensitive to NO2 at low concentrations. The biosensor operation was checked using an immunochemical system comprising fluorescein dye and monoclonal anti fluorescein antibodies. The sensor detects fluorescein concentration on a level of 1-10 ng/mL and bovine serum albumin- fluorescein conjugate on a level of 1-5 ng/mL. The proposed device has good prospects for use for early diagnostics of various diseases.

  12. Physical properties of spin-valve films grown on naturally oxidized metal nano-oxide surfaces

    NASA Astrophysics Data System (ADS)

    Mao, Ming; Cerjan, Charlie; Kools, Jacques

    2002-05-01

    The physical properties of spin-valve films NiFe 25 Å/CoFe 10 Å/Cu(tCu)/CoFe 30 Å/IrMn 70 Å/Ta 20 Å with graded Cu layer thickness (tCu=18-45 Å) grown on the surface of metal nano-oxide layers (NOLs) were studied. The NOLs were formed from ultrathin Al, Cr, Cu, Nb, Ta, CoFe, NiFe, and NiFeCr layers by natural oxidation. The growth of the spin-valve films on NOLs has led to an enhancement in giant magnetoresistance value by up to 48%. A corresponding reduction in minimum film resistance by over 10% confirms that this enhancement originates from an increase in the mean free path of spin-polarized electrons due to the resultant specular reflection at the nano-oxide surfaces. A wide spectrum of oscillatory interlayer exchange coupling dependence on tCu for these NOL-bearing films suggests that a specular nano-oxide surface does not necessarily result in a smoother multilayer structure. The observation of an enhanced exchange biasing among these spin-valve films appears in contradiction to the observed deterioration of their crystallographic quality. As an important application, TaOx, CrOx, and NbOx could be employed as an alternative to AlOx as the barrier layer for magnetic tunnel junctions.

  13. Raman Studies on Pre- and Post-Processed CVD Graphene Films Grown under Various Nitrogen Carrier Gas Flows

    NASA Astrophysics Data System (ADS)

    Beh, K. P.; Yam, F. K.; Abdalrheem, Raed; Ng, Y. Z.; Suhaimi, F. H. A.; Lim, H. S.; Mat Jafri, M. Z.

    2018-04-01

    In this work, graphene films were grown on copper substrates using chemical vapour deposition method under various N2 carrier flow rate. The samples were characterized using Raman spectroscopy. Three sets of Raman measurements have been performed: graphene/Cu (as-grown samples), pre-annealed graphene/glass, and post-annealed graphene/glass. It was found that the Raman spectra of graphene/Cu samples possessed a hump-shaped baseline, additionally higher signal-to-noise ratio (SNR) that leads to attenuation graphene-related bands. Significant improvement of SNR and flat baseline were observed for graphene films transferred on glass substrate. Further analysis on the remaining sets of Raman spectra highlighted minute traces of polymethyl methacrylate (PMMA) could yield misleading results. Hence, the set of Raman spectra on annealed graphene/glass samples would be suitable in further elucidating the effects of N2 carrier flow towards graphene growth. From there, higher N2 flow implied dilution of methanol/H2 mixture, limiting interactions between reactants and substrate. This leads to smaller crystallite size and lesser graphene layers.

  14. Simulation, fabrication and characterization of ZnO based thin film transistors grown by radio frequency magnetron sputtering.

    PubMed

    Singh, Shaivalini; Chakrabarti, P

    2012-03-01

    We report the performance of the thin film transistors (TFTs) using ZnO as an active channel layer grown by radio frequency (RF) magnetron sputtering technique. The bottom gate type TFT, consists of a conventional thermally grown SiO2 as gate insulator onto p-type Si substrates. The X-ray diffraction patterns reveal that the ZnO films are preferentially orientated in the (002) plane, with the c-axis perpendicular to the substrate. A typical ZnO TFT fabricated by this method exhibits saturation field effect mobility of about 0.6134 cm2/V s, an on to off ratio of 102, an off current of 2.0 x 10(-7) A, and a threshold voltage of 3.1 V at room temperature. Simulation of this TFT is also carried out by using the commercial software modeling tool ATLAS from Silvaco-International. The simulated global characteristics of the device were compared and contrasted with those measured experimentally. The experimental results are in fairly good agreement with those obtained from simulation.

  15. Cobalt silicide nanocables grown on Co films: synthesis and physical properties.

    PubMed

    Hsin, Cheng-Lun; Yu, Shih-Ying; Wu, Wen-Wei

    2010-12-03

    Single-crystalline cobalt silicide/SiO(x) nanocables have been grown on Co thin films on an SiO(2) layer by a self-catalysis process via vapor-liquid-solid mechanism. The nanocables consist of a core of CoSi nanowires and a silicon oxide shell with a length of several tens of micrometers. In the confined space in the oxide shell, the CoSi phase is stable and free from agglomeration in samples annealed in air ambient at 900 °C for 1 h. The nanocable structure came to a clear conclusion that the thermal stability of the silicide nanowires can be resolved by the shell encapsulation. Cobalt silicide nanowires were obtained from the nanocable structure. The electrical properties of the CoSi nanowires have been found to be compatible with their thin film counterpart and a high maximum current density of the nanowires has been measured. One way to obtain silicate nanowires has been demonstrated. The silicate compound, which is composed of cobalt, silicon and oxygen, was achieved. The Co silicide/oxide nanocables are potentially useful as a key component of silicate nanowires, interconnects and magnetic units in nanoelectronics.

  16. Quantum Hall resistance standards from graphene grown by chemical vapour deposition on silicon carbide

    NASA Astrophysics Data System (ADS)

    Lafont, F.; Ribeiro-Palau, R.; Kazazis, D.; Michon, A.; Couturaud, O.; Consejo, C.; Chassagne, T.; Zielinski, M.; Portail, M.; Jouault, B.; Schopfer, F.; Poirier, W.

    2015-04-01

    Replacing GaAs by graphene to realize more practical quantum Hall resistance standards (QHRS), accurate to within 10-9 in relative value, but operating at lower magnetic fields than 10 T, is an ongoing goal in metrology. To date, the required accuracy has been reported, only few times, in graphene grown on SiC by Si sublimation, under higher magnetic fields. Here, we report on a graphene device grown by chemical vapour deposition on SiC, which demonstrates such accuracies of the Hall resistance from 10 T up to 19 T at 1.4 K. This is explained by a quantum Hall effect with low dissipation, resulting from strongly localized bulk states at the magnetic length scale, over a wide magnetic field range. Our results show that graphene-based QHRS can replace their GaAs counterparts by operating in as-convenient cryomagnetic conditions, but over an extended magnetic field range. They rely on a promising hybrid and scalable growth method and a fabrication process achieving low-electron-density devices.

  17. Quantum Hall resistance standards from graphene grown by chemical vapour deposition on silicon carbide

    PubMed Central

    Lafont, F.; Ribeiro-Palau, R.; Kazazis, D.; Michon, A.; Couturaud, O.; Consejo, C.; Chassagne, T.; Zielinski, M.; Portail, M.; Jouault, B.; Schopfer, F.; Poirier, W.

    2015-01-01

    Replacing GaAs by graphene to realize more practical quantum Hall resistance standards (QHRS), accurate to within 10−9 in relative value, but operating at lower magnetic fields than 10 T, is an ongoing goal in metrology. To date, the required accuracy has been reported, only few times, in graphene grown on SiC by Si sublimation, under higher magnetic fields. Here, we report on a graphene device grown by chemical vapour deposition on SiC, which demonstrates such accuracies of the Hall resistance from 10 T up to 19 T at 1.4 K. This is explained by a quantum Hall effect with low dissipation, resulting from strongly localized bulk states at the magnetic length scale, over a wide magnetic field range. Our results show that graphene-based QHRS can replace their GaAs counterparts by operating in as-convenient cryomagnetic conditions, but over an extended magnetic field range. They rely on a promising hybrid and scalable growth method and a fabrication process achieving low-electron-density devices. PMID:25891533

  18. Single Junction InGaP/GaAs Solar Cells Grown on Si Substrates using SiGe Buffer Layers

    NASA Technical Reports Server (NTRS)

    Ringel, S. A.; Carlin, J. A.; Andre, C. L.; Hudait, M. K.; Gonzalez, M.; Wilt, D. M.; Clark, E. B.; Jenkins, P.; Scheiman, D.; Allerman, A.

    2002-01-01

    Single junction InGaP/GaAs solar cells displaying high efficiency and record high open circuit voltage values have been grown by metalorganic chemical vapor deposition on Ge/graded SiGe/Si substrates. Open circuit voltages as high as 980 mV under AM0 conditions have been verified to result from a single GaAs junction, with no evidence of Ge-related sub-cell photoresponse. Current AM0 efficiencies of close to 16% have been measured for a large number of small area cells, whose performance is limited by non-fundamental current losses due to significant surface reflection resulting from greater than 10% front surface metal coverage and wafer handling during the growth sequence for these prototype cells. It is shown that at the material quality currently achieved for GaAs grown on Ge/SiGe/Si substrates, namely a 10 nanosecond minority carrier lifetime that results from complete elimination of anti-phase domains and maintaining a threading dislocation density of approximately 8 x 10(exp 5) per square centimeter, 19-20% AM0 single junction GaAs cells are imminent. Experiments show that the high performance is not degraded for larger area cells, with identical open circuit voltages and higher short circuit current (due to reduced front metal coverage) values being demonstrated, indicating that large area scaling is possible in the near term. Comparison to a simple model indicates that the voltage output of these GaAs on Si cells follows ideal behavior expected for lattice mismatched devices, demonstrating that unaccounted for defects and issues that have plagued other methods to epitaxially integrate III-V cells with Si are resolved using SiGe buffers and proper GaAs nucleation methods. These early results already show the enormous and realistic potential of the virtual SiGe substrate approach for generating high efficiency, lightweight and strong III-V solar cells.

  19. New Passivation Methods of GaAs.

    DTIC Science & Technology

    1980-01-01

    Fabrication of Thin Nitride Layers on GaAs 33 - 35 CHAPTER 7 Passivation of InGaAsP 36 - 37 CHAPTER 8 Emulsions on GaAs Surfaces 38 - 42 APPENDIX...not yet given any useful results. The deposition of SiO2 by using emulsions is pursued and first results on the possibility of GaAs doping are...glycol-tartaric acid based aqueous solution was used in order to anodically oxidise the gate notch after the source and drain ohmic contacts were formed

  20. Multiband corrections for the semi-classical simulation of interband tunneling in GaAs tunnel junctions

    NASA Astrophysics Data System (ADS)

    Louarn, K.; Claveau, Y.; Hapiuk, D.; Fontaine, C.; Arnoult, A.; Taliercio, T.; Licitra, C.; Piquemal, F.; Bounouh, A.; Cavassilas, N.; Almuneau, G.

    2017-09-01

    The aim of this study is to investigate the impact of multiband corrections on the current density in GaAs tunnel junctions (TJs) calculated with a refined yet simple semi-classical interband tunneling model (SCITM). The non-parabolicity of the considered bands and the spin-orbit effects are considered by using a recently revisited SCITM available in the literature. The model is confronted to experimental results from a series of molecular beam epitaxy grown GaAs TJs and to numerical results obtained with a full quantum model based on the non-equilibrium Green’s function formalism and a 6-band k.p Hamiltonian. We emphasize the importance of considering the non-parabolicity of the conduction band by two different measurements of the energy-dependent electron effective mass in N-doped GaAs. We also propose an innovative method to compute the non-uniform electric field in the TJ for the SCITM simulations, which is of prime importance for a successful operation of the model. We demonstrate that, when considering the multiband corrections and this new computation of the non-uniform electric field, the SCITM succeeds in predicting the electrical characteristics of GaAs TJs, and are also in agreement with the quantum model. Besides the fundamental study of the tunneling phenomenon in TJs, the main benefit of this SCITM is that it can be easily embedded into drift-diffusion software, which are the most widely-used simulation tools for electronic and opto-electronic devices such as multi-junction solar cells, tunnel field-effect transistors, or vertical-cavity surface-emitting lasers.

  1. Highly Crystalline CVD-grown Multilayer MoSe2 Thin Film Transistor for Fast Photodetector

    PubMed Central

    Jung, Chulseung; Kim, Seung Min; Moon, Hyunseong; Han, Gyuchull; Kwon, Junyeon; Hong, Young Ki; Omkaram, Inturu; Yoon, Youngki; Kim, Sunkook; Park, Jozeph

    2015-01-01

    Hexagonal molybdenum diselenide (MoSe2) multilayers were grown by chemical vapor deposition (CVD). A relatively high pressure (>760 Torr) was used during the CVD growth to achieve multilayers by creating multiple nuclei based on the two-dimensional crystal growth model. Our CVD-grown multilayer MoSe2 thin-film transistors (TFTs) show p-type-dominant ambipolar behaviors, which are attributed to the formation of Se vacancies generated at the decomposition temperature (650 °C) after the CVD growth for 10 min. Our MoSe2 TFT with a reasonably high field-effect mobility (10 cm2/V · s) exhibits a high photoresponsivity (93.7 A/W) and a fast photoresponse time (τrise ~ 0.4 s) under the illumination of light, which demonstrates the practical feasibility of multilayer MoSe2 TFTs for photodetector applications. PMID:26477744

  2. Density of dislocations in CdHgTe heteroepitaxial structures on GaAs(013) and Si(013) substrates

    NASA Astrophysics Data System (ADS)

    Sidorov, Yu. G.; Yakushev, M. V.; Varavin, V. S.; Kolesnikov, A. V.; Trukhanov, E. M.; Sabinina, I. V.; Loshkarev, I. D.

    2015-11-01

    Epitaxial layers of Cd x Hg1- x Te (MCT) on GaAs(013) and Si(013) substrates were grown by molecular beam epitaxy. The introduction of ZnTe and CdTe intermediate layers into the structures made it possible to retain the orientation close to that of the substrate in MCT epitaxial layers despite the large mismatch between the lattice parameters. The structures were investigated using X-ray diffraction and transmission electron microscopy. The dislocation families predominantly removing the mismatch between the lattice parameters were found. Transmission electron microscopy revealed Γ-shaped misfit dislocations (MDs), which facilitated the annihilation of threading dislocations. The angles of rotation of the lattice due to the formation of networks of misfit dislocations were measured. It was shown that the density of threading dislocations in the active region of photodiodes is primarily determined by the network of misfit dislocations formed in the MCT/CdTe heterojunction. A decrease in the density of threading dislocations in the MCT film was achieved by cyclic annealing under conditions of the maximally facilitated nonconservative motion of dislocations. The dislocation density was determined from the etch pits.

  3. Induced polarized state in intentionally grown oxygen deficient KTaO{sub 3} thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mota, D. A.; Romaguera-Barcelay, Y.; Tkach, A.

    2013-07-21

    Deliberately oxygen deficient potassium tantalate thin films were grown by RF magnetron sputtering on Si/SiO{sub 2}/Ti/Pt substrates. Once they were structurally characterized, the effect of oxygen vacancies on their electric properties was addressed by measuring leakage currents, dielectric constant, electric polarization, and thermally stimulated depolarization currents. By using K{sub 2}O rich KTaO{sub 3} targets and specific deposition conditions, KTaO{sub 3-{delta}} oxygen deficient thin films with a K/Ta = 1 ratio were obtained. Room temperature X-ray diffraction patterns show that KTaO{sub 3-{delta}} thin films are under a compressive strain of 2.3% relative to KTaO{sub 3} crystals. Leakage current results reveal themore » presence of a conductive mechanism, following the Poole-Frenkel formalism. Furthermore, dielectric, polarization, and depolarization current measurements yield the existence of a polarized state below T{sub pol} {approx} 367 Degree-Sign C. A Cole-Cole dipolar relaxation was also ascertained apparently due to oxygen vacancies induced dipoles. After thermal annealing the films in an oxygen atmosphere at a temperature above T{sub pol}, the aforementioned polarized state is suppressed, associated with a drastic oxygen vacancies reduction emerging from annealing process.« less

  4. Interface structure and composition of MoO3/GaAs(0 0 1)

    NASA Astrophysics Data System (ADS)

    Sarkar, Anirban; Ashraf, Tanveer; Grafeneder, Wolfgang; Koch, Reinhold

    2018-04-01

    We studied growth, structure, stress, oxidation state as well as surface and interface structure and composition of thermally-evaporated thin MoO3 films on the technologically important III/V-semiconductor substrate GaAs(0 0 1). The MoO3 films grow with Mo in the 6+  oxidation state. The electrical resistance is tunable by the oxygen partial pressure during deposition from transparent insulating to semi-transparant halfmetallic. In the investigated growth temperature range (room temperature to 200 °C) no diffraction spots are detected by x-ray diffraction. However, high resolution transmission electron microscopy reveals the formation of MoO3 nanocrystal grains with diameters of 5–8 nm. At the interface a  ≈3 nm-thick intermediate layer has formed, where the single-crystal lattice of GaAs gradually transforms to the nanocrystalline MoO3 structure. This interpretation is corroborated by our in situ and real-time stress measurements evidencing a two-stage growth process as well as by elemental interface analysis revealing coexistance of Ga, As, Mo, and oxygen in a intermediate layer of 3–4 nm.

  5. Activation of an intense near band edge emission from ZnTe/ZnMgTe core/shell nanowires grown on silicon.

    PubMed

    Wojnar, P; Szymura, M; Zaleszczyk, W; Kłopotowski, L; Janik, E; Wiater, M; Baczewski, L T; Kret, S; Karczewski, G; Kossut, J; Wojtowicz, T

    2013-09-13

    The absence of luminescence in the near band edge energy region of Te-anion based semiconductor nanowires grown by gold catalyst assisted molecular beam epitaxy has strongly limited their applications in the field of photonics. In this paper, an enhancement of the near band edge emission intensity from ZnTe/ZnMgTe core/shell nanowires grown on Si substrates is reported. A special role of the use of Si substrates instead of GaAs substrates is emphasized, which results in an increase of the near band edge emission intensity by at least one order of magnitude accompanied by a simultaneous reduction of the defect related luminescence. A possible explanation of this effect relies on the presence of Ga-related deep level defects in structures grown on GaAs substrates, which are absent when Si substrates are used. Monochromatic mapping of the cathodoluminescence clearly confirms that the observed emission originates, indeed, from the ZnTe/ZnMgTe core/shell nanowires, whereas individual objects are studied by means of microphotoluminescence.

  6. Scaling behavior of the surface roughness of platinum films grown by oblique angle deposition

    NASA Astrophysics Data System (ADS)

    Dolatshahi-Pirouz, A.; Hovgaard, M. B.; Rechendorff, K.; Chevallier, J.; Foss, M.; Besenbacher, F.

    2008-03-01

    Thin platinum films with well-controlled rough surface morphologies are grown by e-gun evaporation at an oblique angle of incidence between the deposition flux and the substrate normal. Atomic force microscopy is used to determine the root-mean-square value w of the surface roughness on the respective surfaces. From the scaling behavior of w , we find that while the roughness exponent α remains nearly unchanged at about 0.90, the growth exponent β changes from 0.49±0.04 to 0.26±0.01 as the deposition angle approaches grazing incidence. The values of the growth exponent β indicate that the film growth is influenced by both surface diffusion and shadowing effects, while the observed change from 0.49 to 0.26 can be attributed to differences in the relative importance of diffusion and shadowing with the deposition angle.

  7. Intrinsic hydrophilic nature of epitaxial thin-film of rare-earth oxide grown by pulsed laser deposition.

    PubMed

    Prakash, Saurav; Ghosh, Siddhartha; Patra, Abhijeet; Annamalai, Meenakshi; Motapothula, Mallikarjuna Rao; Sarkar, Soumya; Tan, Sherman J R; Zhunan, Jia; Loh, Kian Ping; Venkatesan, T

    2018-02-15

    Herein, we report a systematic study of water contact angle (WCA) of rare-earth oxide thin-films. These ultra-smooth and epitaxial thin-films were grown using pulsed laser deposition and then characterized using X-Ray diffraction (XRD), Rutherford backscattering spectroscopy (RBS), and atomic force microscopy (AFM). Through both the traditional sessile drop and the novel f-d method, we found that the films were intrinsically hydrophilic (WCA < 10°) just after being removed from the growth chamber, but their WCAs evolved with an exposure to the atmosphere with time to reach their eventual saturation values near 90° (but always stay 'technically' hydrophilic). X-Ray photoelectron spectroscopy analysis was used to further investigate qualitatively the nature of hydrocarbon contamination on the freshly prepared as well as the environmentally exposed REO thin-film samples as a function of the exposure time after they were removed from the deposition chamber. A clear correlation between the carbon coverage of the surface and the increase in WCA was observed for all of the rare-earth films, indicating the extrinsic nature of the surface wetting properties of these films and having no relation to the electronic configuration of the rare-earth atoms as proposed by Azimi et al.

  8. Impact of stress relaxation in GaAsSb cladding layers on quantum dot creation in InAs/GaAsSb structures grown on GaAs (001)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bremner, S. P.; Ban, K.-Y.; Faleev, N. N.

    2013-09-14

    We describe InAs quantum dot creation in InAs/GaAsSb barrier structures grown on GaAs (001) wafers by molecular beam epitaxy. The structures consist of 20-nm-thick GaAsSb barrier layers with Sb content of 8%, 13%, 15%, 16%, and 37% enclosing 2 monolayers of self-assembled InAs quantum dots. Transmission electron microscopy and X-ray diffraction results indicate the onset of relaxation of the GaAsSb layers at around 15% Sb content with intersected 60° dislocation semi-loops, and edge segments created within the volume of the epitaxial structures. 38% relaxation of initial elastic stress is seen for 37% Sb content, accompanied by the creation of amore » dense net of dislocations. The degradation of In surface migration by these dislocation trenches is so severe that quantum dot formation is completely suppressed. The results highlight the importance of understanding defect formation during stress relaxation for quantum dot structures particularly those with larger numbers of InAs quantum-dot layers, such as those proposed for realizing an intermediate band material.« less

  9. Effects of Various Parameters on Structural and Optical Properties of CBD-Grown ZnS Thin Films: A Review

    NASA Astrophysics Data System (ADS)

    Sinha, Tarkeshwar; Lilhare, Devjyoti; Khare, Ayush

    2018-02-01

    Zinc sulfide (ZnS) thin films deposited by chemical bath deposition (CBD) technique have proved their capability in a wide area of applications including electroluminescent and display devices, solar cells, sensors, and field emitters. These semiconducting thin films have attracted a much attention from the scientific community for industrial and research purposes. In this article, we provide a comprehensive review on the effect of various parameters on various properties of CBD-grown ZnS films. In the first part, we discuss the historical background of ZnS, its basic properties, and the advantages of the CBD technique. Detailed discussions on the film growth, structural and optical properties of ZnS thin films affected by various parameters, such as bath temperature and concentration, deposition time, stirring speed, complexing agents, pH value, humidity in the environment, and annealing conditions, are also presented. In later sections, brief information about the recent studies and findings is also added to explore the scope of research work in this field.

  10. Effect of catalyst type on field emission properties of nanostructured carbon films grown by a modified hot-filament chemical vapor deposition technique

    NASA Astrophysics Data System (ADS)

    Kang, Sukill; Lowndes, Douglas H.; Ellis, Darren

    2001-03-01

    Nanostructured carbon films have been grown on uncatalysed n-type Si using a modified HF-CVD process and catalytic decomposition of ethylene (C_2H_4). Various metal catalyst wires such as Ni, Co, Fe and a NiFe composite were placed within the windings of a tungsten filament and the assembly was placed in close proximity ( ~7 mm) to the unheated substrate. Radiative heating of the substrate by the filament results in a substrate temperature of ~ 500^oC after 7 min. Films grown using the Ni catalyst showed a field emission turn-on field that varied from 9 to 15 V/μm and was stable for 30-50 hours (1-10 A/cm^2 emission current density), a result that is comparable to carbon nanotube- and carbon nanofiber-based structures. In this contribution, we present results from field emission scanning electron microscopy, transmission electron microscopy, and electron field emission measurements that elucidate the relationship between field emission properties, film morphology, and type of catalyst.

  11. Effect of rapid thermal annealing on the electrical, optical and structural properties of ZnO-doped In2O3 films grown by linear facing target sputtering.

    PubMed

    Cho, Chung-Ki; Kim, Han-Ki

    2012-04-01

    We investigated the effect of rapid thermal annealing on the electrical, optical, and structural properties of ZnO-doped In2O3 (ZIO) films grown at different Ar/O2 flow ratios (15/0 and 15/1 sccm) by using linear facing target sputtering. It was found that the ZIO films grown at different Ar/O2, flow ratios showed different electrical and optical behavior with increasing rapid thermal annealing temperature. Synchrotron X-ray scattering examination showed that the different electrical and optical properties of the ZIO films could be attributed to the difference in preferred orientation with an increase in rapid thermal annealing temperature.

  12. Comparison of the reactivity of alkyl and alkyl amine precursors with native oxide GaAs(100) and InAs(100) surfaces

    NASA Astrophysics Data System (ADS)

    Henegar, A. J., , Dr.; Gougousi, T., , Prof.

    2016-12-01

    In this manuscript we compare the interaction of alkyl (trimethyl aluminum) and alkyl amine (tetrakis dimethylamino titanium) precursors during thermal atomic layer deposition with III-V native oxides. For that purpose we deposit Al2O3 and TiO2, using H2O as the oxidizer, on GaAs(100) and InAs(100) native oxide surfaces. We find that there are distinct differences in the behavior of the two films. For the Al2O3 ALD very little native oxide removal happens after the first few ALD cycles while the interaction of the alkyl amine precursor for TiO2 and the native oxides continues well after the surface has been covered with 2 nm of TiO2. This difference is traced to the superior properties of Al2O3 as a diffusion barrier. Differences are also found in the behavior of the arsenic oxides of the InAs and GaAs substrates. The arsenic oxides from the InAs surface are found to mix more efficiently in the growing dielectric film than those from the GaAs surface. This difference is attributed to lower native oxide stability as well as an initial diffusion path formation by the indium oxides.

  13. Effect of temperature on NH3 sensing by ZnO: Mg thin film grown by radio frequency magnetron sputtering technique

    NASA Astrophysics Data System (ADS)

    Vinoth, E.; Gopalakrishnan, N.

    2018-04-01

    Undoped and Mg doped (at l0 mol %) ZnO thin films have been grown on glass substrates by using the RF magnetron sputtering. The structural properties of the fabricated thin films were studied by X-ray diffraction analysis and it was found hexagonal wurtzite phase and preferential orientation along (002) of both films. Green Band Emission peaks in the Photoluminescence spectra confirm the structural defects such as oxygen vacancies (Vo) in the films. Uniform distribution of spherical shape morphology of grains observed in the both films by FESEM. However, the growth of grains was found in the Mg doped thin film. The temperature dependent ammonia sensing is done by the indigenously made gas sensing setup. The gas response of the both films was increased as the temperature increases, attains maximum at 75° C and then decreases. Response and recovery time measurementswere donefor boththe films and it shows the fast response time and quick recovery for doped thin film compared to the pure ZnO thin film.

  14. Microstructural and magneto-transport characterization of Bi2SexTe3-x topological insulator thin films grown by pulsed laser deposition method

    NASA Astrophysics Data System (ADS)

    Jin, Zhenghe; Kumar, Raj; Hunte, Frank; Narayan, Jay; Kim, Ki Wook; North Carolina State University Team

    Bi2SexTe3-x topological insulator thin films were grown on Al2O3 (0001) substrate by pulsed laser deposition (PLD). XRD and other structural characterization measurements confirm the growth of the textured Bi2SexTe3-x thin films on Al2O3 substrate. The magneto-transport properties of thick and thin Þlms were investigated to study the effect of thickness on the topological insulator properties of the Bi2SexTe3 - x films. A pronounced semiconducting behavior with a highly insulating ground state was observed in the resistivity vs. temperature data. The presence of the weak anti-localization (WAL) effect with a sharp cusp in the magnetoresistance measurements confirms the 2-D surface transport originating from the TSS in Bi2SexTe3-x TI films. A high fraction of surface transport is observed in the Bi2SexTe3-x TI thin films which decreases in Bi2SexTe3-x TI thick films. The Cosine (θ) dependence of the WAL effect supports the observation of a high proportion of 2-D surface state contribution to overall transport properties of the Bi2SexTe3-x TI thin films. Our results show promise that high quality Bi2SexTe3-x TI thin films with significant surface transport can be grown by PLD method to exploit the exotic properties of the surface transport in future generation spintronic devices. This work was supported, in part, by National Science Foundation ECCS-1306400 and FAME.

  15. Phosphine Functionalization GaAs(111)A Surfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Traub, M.; Biteen, J; Michalak, D

    Phosphorus-functionalized GaAs surfaces have been prepared by exposure of Cl-terminated GaAs(111)A surfaces to triethylphosphine (PEt3) or trichlorophosphine (PCl3), or by the direct functionalization of the native-oxide terminated GaAs(111)A surface with PCl3. The presence of phosphorus on each functionalized surface was confirmed by X-ray photoelectron spectroscopy. High-resolution, soft X-ray photoelectron spectroscopy was used to evaluate the As and Ga 3d regions of such surfaces. On PEt3 treated surfaces, the Ga 3d spectra exhibited a bulk Ga peak as well as peaks that were shifted to 0.35, 0.92 and 1.86 eV higher binding energy. These peaks were assigned to residual Cl-terminated Gamore » surface sites, surficial Ga2O and surficial Ga2O3, respectively. For PCl3-treated surfaces, the Ga 3d spectra displayed peaks ascribable to bulk Ga(As), Ga2O, and Ga2O3, as well as a peak shifted 0.30 eV to higher binding energy relative to the bulk signal. A peak corresponding to Ga(OH)3, observed on the Cl-terminated surface, was absent from all of the phosphine-functionalized surfaces. After reaction of the Cl-terminated GaAs(111)A surface with PCl3 or PEt3, the As 3d spectral region was free of As oxides and As0. Although native oxide-terminated GaAs surfaces were free of As oxides after reaction with PCl3, such surfaces contained detectable amounts of As0. Photoluminescence measurements indicted that phosphine-functionalized surfaces prepared from Cl-terminated GaAs(111)A surfaces had better electrical properties than the native-oxide capped GaAs(111)A surface, while the native-oxide covered surface treated with PCl3 showed no enhancement in PL intensity.« less

  16. Magnetic properties of low-moment ferrimagnetic Heusler Cr2CoGa thin films grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Jamer, Michelle E.; Sterbinsky, George E.; Stephen, Gregory M.; DeCapua, Matthew C.; Player, Gabriel; Heiman, Don

    2016-10-01

    Recently, theorists have predicted many materials with a low magnetic moment and large spin-polarization for spintronic applications. These compounds are predicted to form in the inverse Heusler structure; however, many of these compounds have been found to phase segregate. In this study, ordered Cr2CoGa thin films were synthesized without phase segregation using molecular beam epitaxy. The present as-grown films exhibit a low magnetic moment from antiferromagnetically coupled Cr and Co atoms as measured with superconducting quantum interface device magnetometry and soft X-ray magnetic circular dichroism. Electrical measurements demonstrated a thermally-activated semiconductor-like resistivity component with an activation energy of 87 meV. These results confirm spin gapless semiconducting behavior, which makes these thin films well positioned for future devices.

  17. First principle calculation in FeCo overlayer on GaAs substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jain, Vishal, E-mail: vjain045@gmail.com; Lakshmi, N.; Jain, Vivek Kumar

    In this work the first principle electronic structure calculation is reported for FeCo/GaAs thin film system to investigate the effect of orientation on the electronic structural properties. A unit cell describing FeCo layers and GaAs layers is constructed for (100), (110), (111) orientation with vacuum of 30Å to reduce dimensions. It is found that although the (110) orientation is energetically more favorable than others, the magnetic moment is quite large in (100) and (111) system compared to the (110) and is due to the total DOS variation with orientation.

  18. Immobilization of carbon nanotubes on functionalized graphene film grown by chemical vapor deposition and characterization of the hybrid material.

    PubMed

    Adhikari, Prashanta Dhoj; Jeon, Seunghan; Cha, Myoung-Jun; Jung, Dae Sung; Kim, Yooseok; Park, Chong-Yun

    2014-02-01

    We report the surface functionalization of graphene films grown by chemical vapor deposition and fabrication of a hybrid material combining multi-walled carbon nanotubes and graphene (CNT-G). Amine-terminated self-assembled monolayers were prepared on graphene by the UV-modification of oxidized groups introduced onto the film surface. Amine-termination led to effective interaction with functionalized CNTs to assemble a CNT-G hybrid through covalent bonding. Characterization clearly showed no defects of the graphene film after the immobilization reaction with CNT. In addition, the hybrid graphene material revealed a distinctive CNT-G structure and p-n type electrical properties. The introduction of functional groups on the graphene film surface and fabrication of CNT-G hybrids with the present technique could provide an efficient, novel route to device fabrication.

  19. Nitridation of porous GaAs by an ECR ammonia plasma

    NASA Astrophysics Data System (ADS)

    Naddaf, M.; Hullavarad, S. S.; Ganesan, V.; Bhoraskar, S. V.

    2006-02-01

    The effect of surface porosity of GaAs on the nature of growth of GaN, by use of plasma nitridation of GaAs, has been investigated. Porous GaAs samples were prepared by anodic etching of n-type (110) GaAs wafers in HCl solution. Nitridation of porous GaAs samples were carried out by using an electron-cyclotron resonance-induced ammonia plasma. The formation of mixed phases of GaN was investigated using the grazing angle x-ray diffraction method. A remarkable improvement in the intensity of photoluminescence (PL) compared with that of GaN synthesized by direct nitriding of GaAs surface has been observed. The PL intensity of nitrided porous GaAs at the temperature of 380 °C was found to be about two orders of magnitude higher as compared with the directly nitrided GaAs at the temperature of 500 °C. The changes in the morphology of nitrided porous GaAs have been investigated using both scanning electron microscopy and atomic force microscopy.

  20. Physical properties and surface/interface analysis of nanocrystalline WO3 films grown under variable oxygen gas flow rates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vemuri, R. S.; Carbjal-Franco, G.; Ferrer, D. A.

    2012-10-15

    Nanocrystalline WO3 films were grown by reactive magnetron sputter-deposition in a wide range of oxygen gas flow rates while keeping the deposition temperature fixed at 400 oC. The physical characteristics of WO3 films were evaluated using grazing incidence X-ray diffraction (GIXRD), X-ray reflectivity (XRR) and transmission electron microscopy (TEM) measurements. Physical characterization indicates that the thickness, grain size, and density of WO3 films are sensitive to the oxygen gas flow rate during deposition. XRD data indicates the formation of tetragonal WO3 films. The grain size increases from 21 to 25 nm with increasing oxygen gas flow rate to 65%, atmore » which point the grain size exhibits a decreasing trend to attain the lowest value of 15 nm at 100% oxygen. TEM analysis provides a model consisting of isotropic WO3 film (nanocrystalline)-SiO2 interface (amorphous)-Si(100) substrate. XRR simulations, which are based on this model, provide excellent agreement to the experimental data indicating that the normalized thickness of WO3 films decreases with the increasing oxygen gas flow rate. The density of WO3 films increases with increasing oxygen gas flow rate.« less

  1. GaAs VLSI technology and circuit elements for DSP

    NASA Astrophysics Data System (ADS)

    Mikkelson, James M.

    1990-10-01

    Recent progress in digital GaAs circuit performance and complexity is presented to demonstrate the current capabilities of GaAs components. High density GaAs process technology and circuit design techniques are described and critical issues for achieving favorable complexity speed power and cost tradeoffs are reviewed. Some DSP building blocks are described to provide examples of what types of DSP systems could be implemented with present GaAs technology. DIGITAL GaAs CIRCUIT CAPABILITIES In the past few years the capabilities of digital GaAs circuits have dramatically increased to the VLSI level. Major gains in circuit complexity and power-delay products have been achieved by the use of silicon-like process technologies and simple circuit topologies. The very high speed and low power consumption of digital GaAs VLSI circuits have made GaAs a desirable alternative to high performance silicon in hardware intensive high speed system applications. An example of the performance and integration complexity available with GaAs VLSI circuits is the 64x64 crosspoint switch shown in figure 1. This switch which is the most complex GaAs circuit currently available is designed on a 30 gate GaAs gate array. It operates at 200 MHz and dissipates only 8 watts of power. The reasons for increasing the level of integration of GaAs circuits are similar to the reasons for the continued increase of silicon circuit complexity. The market factors driving GaAs VLSI are system design methodology system cost power and reliability. System designers are hesitant or unwilling to go backwards to previous design techniques and lower levels of integration. A more highly integrated system in a lower performance technology can often approach the performance of a system in a higher performance technology at a lower level of integration. Higher levels of integration also lower the system component count which reduces the system cost size and power consumption while improving the system reliability

  2. Characterization of HgCdTe Films Grown on Large-Area CdZnTe Substrates by Molecular Beam Epitaxy

    NASA Astrophysics Data System (ADS)

    Arkun, F. Erdem; Edwall, Dennis D.; Ellsworth, Jon; Douglas, Sheri; Zandian, Majid; Carmody, Michael

    2017-09-01

    Recent advances in growth of Hg1- x Cd x Te films on large-area (7 cm × 7.5 cm) CdZnTe (CZT) substrates is presented. Growth of Hg1- x Cd x Te with good uniformity on large-area wafers is achieved using a Riber 412 molecular beam epitaxy (MBE) tool designed for growth of Hg1- x Cd x Te compounds. The reactor is equipped with conventional CdTe, Te, and Hg sources for achieving uniform exposure of the wafer during growth. The composition of the Hg1- x Cd x Te compound is controlled in situ by employing a closed-loop spectral ellipsometry technique to achieve a cutoff wavelength ( λ co) of 14 μm at 78 K. We present data on the thickness and composition uniformity of films grown for large-format focal-plane array applications. The composition and thickness nonuniformity are determined to be <1% over the area of a 7 cm × 7.5 cm wafer. The films are further characterized by Fourier-transform infrared spectroscopy, optical microscopy, and Hall measurements. Additionally, defect maps show the spatial distribution of defects generated during the epitaxial growth of the Hg1- x Cd x Te films. Microdefect densities are in the low 103 cm-2 range, and void defects are below 500 cm-2. Dislocation densities less than 5 × 105 cm-2 are routinely achieved for Hg1- x Cd x Te films grown on CZT substrates. HgCdTe 4k × 4k focal-plane arrays with 15 μm pitch for astronomical wide-area infrared imagers have been produced using the recently developed MBE growth process at Teledyne Imaging Sensors.

  3. Geometric confinement effects on the metal-insulator transition temperature and stress relaxation in VO2 thin films grown on silicon

    NASA Astrophysics Data System (ADS)

    Viswanath, Changhyun Ko, B.; Yang, Zheng; Ramanathan, Shriram

    2011-03-01

    VO2 undergoes a sharp metal-insulator transition at ˜67 °C with several orders of change in conductivity and optical transmittance. Understanding and control of the properties of vanadium oxide layers grown on technologically relevant substrates such as Si (100) single crystals is therefore of great interest. In this work, we show tunability of metal-insulator transition temperature as well as recoverable stress in VO2 thin films grown on Si substrate by introducing nanoscale atomic layer deposited HfO2 interfacial layers with no degradation in the resistance ratio. For a confined VO2 film, the metal-insulator transition temperature is suppressed by ˜16 °C and the recoverable stress is 150 MPa, compared to 400 MPa for a bare film. These observations are further correlated with in situ variable temperature measurement of stress changes occurring during the phase transition. Structural and microstructural studies on the various samples have been carried out by x ray diffraction and cross-sectional transmission electron microscopy. The strategy of tuning the metal-insulator transition characteristics by nanoscale interfacial dielectrics is of broader relevance in design of programmable materials and integration into solid state devices for electronics.

  4. Thick Bi{sub 2}Sr{sub 2}CaCu{sub 2}O{sub 8+{delta}} Films Grown by Liquid-Phase Epitaxy for Josephson THz Applications.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Simsek, Y.; Vlasko-Vlasov, V.; Koshelev, A. E.

    Theoretical and experimental studies of intrinsic Josephson junctions that naturally occur in high-Tc superconducting Bi2Sr2CaCu2O8+δ (Bi-2212) have demonstrated their potential for novel types of compact devices for the generation and sensing of electromagnetic radiation in the THz range. Here, we show that the THz-on-a-chip concept may be realized in liquid phase epitaxial-grown (LPE) thick Bi-2212 films. We have grown μm-thick Bi-2212 LPE films on MgO substrates. These films display excellent c-axis alignment and single crystal grains of about 650 × 150 μm2 in size. A branched current-voltage characteristic was clearly observed in c-axis transport, which is a clear signature ofmore » underdamped intrinsic Josephson junctions, and a prerequisite for THz-generation. We discuss LPE growth conditions allowing improvement of the structural quality and superconducting properties of Bi-2212 films for THz applications.« less

  5. Elucidating doping driven microstructure evolution and optical properties of lead sulfide thin films grown from a chemical bath

    NASA Astrophysics Data System (ADS)

    Mohanty, Bhaskar Chandra; Bector, Keerti; Laha, Ranjit

    2018-03-01

    Doping driven remarkable microstructural evolution of PbS thin films grown by a single-step chemical bath deposition process at 60 °C is reported. The undoped films were discontinuous with octahedral-shaped crystallites after 30 min of deposition, whereas Cu doping led to a distinctly different surface microstructure characterized by densely packed elongated crystallites. A mechanism, based on the time sequence study of microstructural evolution of the films, and detailed XRD and Raman measurements, has been proposed to explain the contrasting microstructure of the doped films. The incorporation of Cu forms an interface layer, which is devoid of Pb. The excess Cu ions in this interface layer at the initial stages of film growth strongly interact and selectively stabilize the charged {111} faces containing either Pb or S compared to the uncharged {100} faces that contain both Pb and S. This interaction interferes with the natural growth habit resulting in the observed surface features of the doped films. Concurrently, the Cu-doping potentially changed the optical properties of the films: A significant widening of the bandgap from 1.52 eV to 1.74 eV for increase in Cu concentration from 0 to 20% was observed, making it a highly potential absorber layer in thin film solar cells.

  6. The effect of V/III ratio on the morphology and structure of GaAs nanowires by MOCVD

    NASA Astrophysics Data System (ADS)

    Liu, Yan; Peng, Yan; Guo, Jingwei; La, Dongsheng; Xu, Zhaopeng

    2018-05-01

    In this paper, GaAs nanowires with different V/III ratios (70, 140, 280 and 560) were vertically grown from bottom to top on GaAs substrates by using metal organic chemical vapor deposition based on gold assisted vapor-liquid-solid mechanism. It is found that the growth rate of nanowires is inversely proportional to their V/III ratio. And the V/III ratio can also change nanowire growth type. For the nanowire with small V/III ratios (≤280), the reactants are most from those atoms merged in the catalyst. But, for the nanowire with V/III ratio 560, the contribution mainly comes from the diffusions of atoms pyrolyzed on the surface of the nanowire and the substrate. A shrunken neck under the catalyst is observed in TEM characterizations. These results will provide a theoretical basis for potential practical applications of nanowire-based devices.

  7. Monolithic barrier-all-around high electron mobility transistor with planar GaAs nanowire channel.

    PubMed

    Miao, Xin; Zhang, Chen; Li, Xiuling

    2013-06-12

    High-quality growth of planar GaAs nanowires (NWs) with widths as small as 35 nm is realized by comprehensively mapping the parameter space of group III flow, V/III ratio, and temperature as the size of the NWs scales down. Using a growth mode modulation scheme for the NW and thin film barrier layers, monolithically integrated AlGaAs barrier-all-around planar GaAs NW high electron mobility transistors (NW-HEMTs) are achieved. The peak extrinsic transconductance, drive current, and effective electron velocity are 550 μS/μm, 435 μA/μm, and ~2.9 × 10(7) cm/s, respectively, at 2 V supply voltage with a gate length of 120 nm. The excellent DC performance demonstrated here shows the potential of this bottom-up planar NW technology for low-power high-speed very-large-scale-integration (VLSI) circuits.

  8. Role of dislocations and carrier concentration in limiting the electron mobility of InN films grown by plasma assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Tangi, Malleswararao; De, Arpan; Shivaprasad, S. M.

    2018-01-01

    We report the molecular beam epitaxy growth of device quality InN films on GaN epilayer and nano-wall network (NWN) templates deposited on c-sapphire by varying the film thickness up to 1 μm. The careful experiments are directed towards obtaining high mobility InN layers having a low band gap with improved crystal quality. The dislocation density is quantified by using high resolution X-ray diffraction rocking curve broadening values of symmetric and asymmetric reflections, respectively. We observe that the dislocation density of the InN films grown on GaN NWN is less than that of the films grown on the GaN epilayer. This is attributed to the nanoepitaxial lateral overlayer growth (ELOG) process, where the presence of voids at the interface of InN/GaN NWN prevents the propagation of dislocation lines into the InN epilayers, thereby causing less defects in the overgrown InN films. Thus, this new adaptation of the nano-ELOG growth process enables us to prepare InN layers with high electron mobility. The obtained electron mobility of 2121 cm2/Vs for 1 μm thick InN/GaN NWN is comparable with the literature values of similar thickness InN films. Furthermore, in order to understand the reasons that limit electron mobility, the charge neutrality condition is employed to study the variation of electron mobility as a function of dislocation density and carrier concentration. Overall, this study provides a route to attaining improved crystal quality and electronic properties of InN films.

  9. Effect of annealing temperature on surface morphology and ultralow ferromagnetic resonance linewidth of yttrium iron garnet thin film grown by rf sputtering

    NASA Astrophysics Data System (ADS)

    Cao Van, Phuoc; Surabhi, Srivathsava; Dongquoc, Viet; Kuchi, Rambabu; Yoon, Soon-Gil; Jeong, Jong-Ryul

    2018-03-01

    We report high-quality yttrium-iron-garnet (YIG; Y3Fe5O12) ultrathin films grown on {111} gadolinium-gallium-garnet (GGG; Gd3Ga5O12) substrates using RF sputtering deposition on an off-stoichiometric target and optimized thermal treatments. We measured a narrow peak-to-peak ferromagnetic resonance linewidth (ΔH) whose minimum value was 1.9 Oe at 9.43 GHz for a 60-nm-thick YIG film. This value is comparable to the most recently published value for a YIG thin film grown by pulsed laser deposition. The temperature dependence of the ΔH was investigated systematically, the optimal annealing condition for our growing condition was 875 °C. Structural analysis revealed that surface roughness and crystallinity played an important role in the observed ΔH broadening. Furthermore, the thickness dependence of the ΔH, which indicated that 60 nm thickness was optimal to obtain narrow ΔH YIG films, was also investigated. The thickness dependence of ΔH was understood on the basis of contributions of surface-associated magnon scattering and magnetic inhomogeneities to the ΔH broadening. Other techniques such as transmission electron microscopy, scanning electron microscopy, and X-ray diffraction were used to study the crystalline structure of the YIG films. The high quality of the films in terms of their magnetic properties was expressed through a very low coercivity and high saturation magnetization measured using a vibration sample magnetometer.

  10. Carbon Doping of Compound Semiconductor Epitaxial Layers Grown by Metalorganic Chemical Vapor Deposition Using Carbon Tetrachloride.

    NASA Astrophysics Data System (ADS)

    Cunningham, Brian Thomas

    1990-01-01

    A dilute mixture of CCl_4 in high purity H_2 has been used as a carbon dopant source for rm Al_ {x}Ga_{1-x}As grown by low pressure metalorganic chemical vapor deposition (MOCVD). To understand the mechanism for carbon incorporation from CCl_4 doping and to provide experimental parameters for the growth of carbon doped device structures, the effects of various crystal growth parameters on CCl _4 doping have been studied, including growth temperature, growth rate, V/III ratio, Al composition, and CCl_4 flow rate. Although CCl _4 is an effective p-type dopant for MOCVD rm Al_{x}Ga_ {1-x}As, injection of CCl_4 into the reactor during growth of InP resulted in no change in the carrier concentration or carbon concentration. Abrupt, heavy carbon doping spikes in GaAs have been obtained using CCl_4 without a dopant memory effect. By annealing samples with carbon doping spikes grown within undoped, n-type, and p-type GaAs, the carbon diffusion coefficient in GaAs at 825 ^circC has been estimated and has been found to depend strongly on the GaAs background doping. Heavily carbon doped rm Al_{x}Ga _{1-x}As/GaAs superlattices have been found to be more stable against impurity induced layer disordering (IILD) than Mg or Zn doped superlattices, indicating that the low carbon diffusion coefficient limits the IILD process. Carbon doping has been used in the base region on an Npn AlGaAs/GaAs heterojunction bipolar transistor (HBT). Transistors with 3 x 10 μm self-aligned emitter fingers have been fabricated which exhibit a current gain cutoff frequency of f_ {rm t} = 26 GHz.

  11. Electrical resistivity of CuAlMo thin films grown at room temperature by dc magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Birkett, Martin; Penlington, Roger

    2016-07-01

    We report on the thickness dependence of electrical resistivity of CuAlMo films grown by dc magnetron sputtering on glass substrates at room temperature. The electrical resistance of the films was monitored in situ during their growth in the thickness range 10-1000 nm. By theoretically modelling the evolution of resistivity during growth we were able to gain an insight into the dominant electrical conduction mechanisms with increasing film thickness. For thicknesses in the range 10-25 nm the electrical resistivity is found to be a function of the film surface roughness and is well described by Namba’s model. For thicknesses of 25-40 nm the experimental data was most accurately fitted using the Mayadas and Shatkes model which accounts for grain boundary scattering of the conduction electrons. Beyond 40 nm, the thickness of the film was found to be the controlling factor and the Fuchs-Sonheimer (FS) model was used to fit the experimental data, with diffuse scattering of the conduction electrons at the two film surfaces. By combining the Fuchs and Namba (FN) models a suitable correlation between theoretical and experimental resistivity can be achieved across the full CuAlMo film thickness range of 10-1000 nm. The irreversibility of resistance for films of thickness >200 nm, which demonstrated bulk conductivity, was measured to be less than 0.03% following subjection to temperature cycles of -55 and +125 °C and the temperature co-efficient of resistance was less than ±15 ppm °C-1.

  12. Thermal stability and relaxation mechanisms in compressively strained Ge{sub 0.94}Sn{sub 0.06} thin films grown by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fleischmann, C.; Lieten, R. R.; Shimura, Y.

    Strained Ge{sub 1-x}Sn{sub x} thin films have recently attracted a lot of attention as promising high mobility or light emitting materials for future micro- and optoelectronic devices. While they can be grown nowadays with high crystal quality, the mechanism by which strain energy is relieved upon thermal treatments remains speculative. To this end, we investigated the evolution (and the interplay) of composition, strain, and morphology of strained Ge{sub 0.94}Sn{sub 0.06} films with temperature. We observed a diffusion-driven formation of Sn-enriched islands (and their self-organization) as well as surface depressions (pits), resulting in phase separation and (local) reduction in strain energy,more » respectively. Remarkably, these compositional and morphological instabilities were found to be the dominating mechanisms to relieve energy, implying that the relaxation via misfit generation and propagation is not intrinsic to compressively strained Ge{sub 0.94}Sn{sub 0.06} films grown by molecular beam epitaxy.« less

  13. Growth and characterization of α and β-phase tungsten films on various substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Jeong-Seop; Cho, Jaehun; You, Chun-Yeol, E-mail: cyyou@inha.ac.kr

    2016-03-15

    The growth conditions of tungsten thin films were investigated using various substrates including Si, Si/SiO{sub 2}, GaAs, MgO, and Al{sub 2}O{sub 3}, and recipes were discovered for the optimal growth conditions of thick metastable β-phase tungsten films on Si, GaAs, and Al{sub 2}O{sub 3} substrates, which is an important material in spin orbit torque studies. For the Si/SiO{sub 2} substrate, the crystal phase of the tungsten films was different depending upon the tungsten film thickness, and the transport properties were found to dramatically change with the thickness owing to a change in phase from the α + β phase to the α-phase.more » It is shown that the crystal phase changes are associated with residual stress in the tungsten films and that the resistivity is closely related to the grain sizes.« less

  14. Molecular beam epitaxy and metalorganic chemical vapor deposition growth of epitaxial CdTe on (100) GaAs/Si and (111) GaAs/Si substrates

    NASA Technical Reports Server (NTRS)

    Nouhi, A.; Radhakrishnan, G.; Katz, J.; Koliwad, K.

    1988-01-01

    Epitaxial CdTe has been grown on both (100)GaAs/Si and (111)GaAs/Si substrates. A combination of molecular beam epitaxy (MBE) and metalorganic chemical vapor deposition (MOCVD) has been employed for the first time to achieve this growth: the GaAs layers are grown on Si substrates by MBE and the CdTe film is subsequently deposited on GaAs/Si by MOCVD. The grown layers have been characterized by X-ray diffraction, scanning electron microscopy, and photoluminescence.

  15. Magnetic properties of low-moment ferrimagnetic Heusler Cr 2CoGa thin films grown by molecular beam epitaxy

    DOE PAGES

    Jamer, Michelle E.; Sterbinsky, George E.; Stephen, Gregory M.; ...

    2016-10-31

    Recently, theorists have predicted many materials with a low magnetic moment and large spin-polarization for spintronic applications. These compounds are predicted to form in the inverse Heusler structure; however, many of these compounds have been found to phase segregate. In this study, ordered Cr 2CoGa thin films were synthesized without phase segregation using molecular beam epitaxy. The present as-grown films exhibit a low magnetic moment from antiferromagnetically coupled Cr and Co atoms as measured with superconducting quantum interface device magnetometry and soft X-ray magnetic circular dichroism. Electrical measurements demonstrated a thermally-activated semiconductor-like resistivity component with an activation energy of 87more » meV. Finally, these results confirm spin gapless semiconducting behavior, which makes these thin films well positioned for future devices.« less

  16. Polarity inversion of AlN film grown on nitrided a-plane sapphire substrate with pulsed DC reactive sputtering

    NASA Astrophysics Data System (ADS)

    Noorprajuda, Marsetio; Ohtsuka, Makoto; Fukuyama, Hiroyuki

    2018-04-01

    The effect of oxygen partial pressure (PO2) on polarity and crystalline quality of AlN films grown on nitrided a-plane sapphire substrates by pulsed direct current (DC) reactive sputtering was investigated as a fundamental study. The polarity inversion of AlN from nitrogen (-c)-polarity to aluminum (+c)-polarity occurred during growth at a high PO2 of 9.4×103 Pa owing to Al-O octahedral formation at the interface of nitrided layer and AlN sputtered film which reset the polarity of AlN. The top part of the 1300 nm-thick AlN film sputtered at the high PO2 was polycrystallized. The crystalline quality was improved owing to the high kinetic energy of Al sputtered atom in the sputtering phenomena. Thinner AlN films were also fabricated at the high PO2 to eliminate the polycrystallization. For the 200 nm-thick AlN film sputtered at the high PO2, the full width at half-maximum values of the AlN (0002) and (10-12) X-ray diffraction rocking curves were 47 and 637 arcsec, respectively.

  17. Growth and Photovoltaic Properties of High-Quality GaAs Nanowires Prepared by the Two-Source CVD Method.

    PubMed

    Wang, Ying; Yang, Zaixing; Wu, Xiaofeng; Han, Ning; Liu, Hanyu; Wang, Shuobo; Li, Jun; Tse, WaiMan; Yip, SenPo; Chen, Yunfa; Ho, Johnny C

    2016-12-01

    Growing high-quality and low-cost GaAs nanowires (NWs) as well as fabricating high-performance NW solar cells by facile means is an important development towards the cost-effective next-generation photovoltaics. In this work, highly crystalline, dense, and long GaAs NWs are successfully synthesized using a two-source method on non-crystalline SiO2 substrates by a simple solid-source chemical vapor deposition method. The high V/III ratio and precursor concentration enabled by this two-source configuration can significantly benefit the NW growth and suppress the crystal defect formation as compared with the conventional one-source system. Since less NW crystal defects would contribute fewer electrons being trapped by the surface oxides, the p-type conductivity is then greatly enhanced as revealed by the electrical characterization of fabricated NW devices. Furthermore, the individual single NW and high-density NW parallel arrays achieved by contact printing can be effectively fabricated into Schottky barrier solar cells simply by employing asymmetric Ni-Al contacts, along with an open circuit voltage of ~0.3 V. All these results indicate the technological promise of these high-quality two-source grown GaAs NWs, especially for the realization of facile Schottky solar cells utilizing the asymmetric Ni-Al contact.

  18. LPE grown LSO:Tb scintillator films for high-resolution X-ray imaging applications at synchrotron light sources

    NASA Astrophysics Data System (ADS)

    Cecilia, A.; Rack, A.; Douissard, P.-A.; Martin, T.; Dos Santos Rolo, T.; Vagovič, P.; Hamann, E.; van de Kamp, T.; Riedel, A.; Fiederle, M.; Baumbach, T.

    2011-08-01

    Within the project ScinTAX of the 6th framework program (FP6) of the European Commission (SCINTAX—STRP 033 427) we have developed a new thin single crystal scintillator for high-resolution X-ray imaging. The scintillator is based on a Tb-doped Lu2SiO5 (LSO) film epitaxially grown on an adapted substrate. The high density, effective atomic number and light yield of the scintillating LSO significantly improves the efficiency of the X-ray imaging detectors currently used in synchrotron micro-imaging applications. In this work we present the characterization of the scintillating LSO films in terms of their spatial resolution performance and we provide two examples of high spatial and high temporal resolution applications.

  19. Tensile stress effect on epitaxial BiFeO 3 thin film grown on KTaO 3

    DOE PAGES

    Bae, In-Tae; Ichinose, Tomohiro; Han, Myung-Geun; ...

    2018-01-17

    Comprehensive crystal structural study is performed for BiFeO 3 (BFO) film grown on KTaO 3 (KTO) substrate using transmission electron microscopy (TEM) and x-ray diffraction (XRD). Nano beam electron diffraction (NBED) combined with structure factor calculation and high resolution TEM images clearly reveal that the crystal structure within BFO thin film is rhombohedral BFO, i.e., bulk BFO phase. Epitaxial relationship found by NBED indicates the BFO film grows in a manner that minimizes lattice mismatch with KTO. It further suggests BFO film is under slight biaxial tensile stress (~0.35%) along in-plane direction. XRD reveals BFO lattice is under compressive stressmore » (~1.6%), along out-of-plane direction as a result of the biaxial tensile stress applied along in-plane direction. This leads to Poisson’s ratio of ~0.68. In addition, we demonstrate (1) why hexagonal notation rather than pseudocubic one is required for accurate BFO phase evaluation and (2) a new XRD method that shows how rhombohedral BFO can readily be identified among other phases by measuring a rhombohedral specific Bragg’s peak.« less

  20. Tensile stress effect on epitaxial BiFeO 3 thin film grown on KTaO 3

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bae, In-Tae; Ichinose, Tomohiro; Han, Myung-Geun

    Comprehensive crystal structural study is performed for BiFeO 3 (BFO) film grown on KTaO 3 (KTO) substrate using transmission electron microscopy (TEM) and x-ray diffraction (XRD). Nano beam electron diffraction (NBED) combined with structure factor calculation and high resolution TEM images clearly reveal that the crystal structure within BFO thin film is rhombohedral BFO, i.e., bulk BFO phase. Epitaxial relationship found by NBED indicates the BFO film grows in a manner that minimizes lattice mismatch with KTO. It further suggests BFO film is under slight biaxial tensile stress (~0.35%) along in-plane direction. XRD reveals BFO lattice is under compressive stressmore » (~1.6%), along out-of-plane direction as a result of the biaxial tensile stress applied along in-plane direction. This leads to Poisson’s ratio of ~0.68. In addition, we demonstrate (1) why hexagonal notation rather than pseudocubic one is required for accurate BFO phase evaluation and (2) a new XRD method that shows how rhombohedral BFO can readily be identified among other phases by measuring a rhombohedral specific Bragg’s peak.« less

  1. The electronic and optical properties of quaternary GaAs1-x-y N x Bi y alloy lattice-matched to GaAs: a first-principles study.

    PubMed

    Ma, Xiaoyang; Li, Dechun; Zhao, Shengzhi; Li, Guiqiu; Yang, Kejian

    2014-01-01

    First-principles calculations based on density functional theory have been performed for the quaternary GaAs1-x-y N x Bi y alloy lattice-matched to GaAs. Using the state-of-the-art computational method with the Heyd-Scuseria-Ernzerhof (HSE) hybrid functional, electronic, and optical properties were obtained, including band structures, density of states (DOSs), dielectric function, absorption coefficient, refractive index, energy loss function, and reflectivity. It is found that the lattice constant of GaAs1-x-y N x Bi y alloy with y/x =1.718 can match to GaAs. With the incorporation of N and Bi into GaAs, the band gap of GaAs1-x-y N x Bi y becomes small and remains direct. The calculated optical properties indicate that GaAs1-x-y N x Bi y has higher optical efficiency as it has less energy loss than GaAs. In addition, it is also found that the electronic and optical properties of GaAs1-x-y N x Bi y alloy can be further controlled by tuning the N and Bi compositions in this alloy. These results suggest promising applications of GaAs1-x-y N x Bi y quaternary alloys in optoelectronic devices.

  2. New method for MBE growth of GaAs nanowires on silicon using colloidal Au nanoparticles

    NASA Astrophysics Data System (ADS)

    Bouravleuv, A.; Ilkiv, I.; Reznik, R.; Kotlyar, K.; Soshnikov, I.; Cirlin, G.; Brunkov, P.; Kirilenko, D.; Bondarenko, L.; Nepomnyaschiy, A.; Gruznev, D.; Zotov, A.; Saranin, A.; Dhaka, V.; Lipsanen, H.

    2018-01-01

    We present a new method for the deposition of colloidal Au nanoparticles on the surface of silicon substrates based on short-time Ar plasma treatment without the use of any polymeric layers. The elaborated method is compatible with molecular beam epitaxy, which allowed us to carry out the detailed study of GaAs nanowire synthesis on Si(111) substrates using colloidal Au nanoparticles as seeds for their growth. The results obtained elucidated the causes of the difference between the initial nanoparticle sizes and the diameters of the grown nanowires.

  3. Solid State Research.

    DTIC Science & Technology

    1982-11-22

    48 Fabricated in Zone-Melting-Recrystallized Si Films on Si0 2-Coated Si Substrates V 4. MICROELECTRONICS 55 4.1 Charge-Coupled Devices: Time...OMCVD to the CLEFT (cleavage of lateral epitaxial films for transfer) process, a continuous epitaxial GaAs layer 3 Ym thick has been grown over a...complete-island-etch or local-oxidation-of-Si isolation, that were fabricated in zone-melting-recrystallized Si films on Si02-coated Si substrates. As

  4. Ion-beam-induced ferromagnetism in Ca-doped LaMnO3 thin films grown on Si (100)

    NASA Astrophysics Data System (ADS)

    Sultan, Khalid; Aarif ul Islam, Shah; Habib, Zubida; Ikram, M.; Asokan, K.

    2018-04-01

    The ion-bean-induced room temperature ferromagnetic ordering in pulsed laser deposited Ca-doped LaMnO3 thin films grown on Si (100) are presented in the present study. In addition to this, changes bought by the ion beam in structural, morphological and electrical properties are presented. Dense electronic excitation produced by high energy 120 MeV Ag9+ ion irradiation causes change in surface roughness, crystallinity and strain. It is also evident that these excitations induce the magnetic ordering in this system. The observed modifications are due to the large electronic energy deposited by swift heavy ion irradiation. The appearance of ferromagnetism at 300 K in these samples after irradiation may be attributed to the canting of the antiferromagnetically ordered spins due to the structural distortion. It is observed that the irradiated films show higher resistance than unirradiated films for all the compositions.

  5. Influences of ultrathin amorphous buffer layers on GaAs/Si grown by metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Hu, Haiyang; Wang, Jun; Cheng, Zhuo; Yang, Zeyuan; Yin, Haiying; Fan, Yibing; Ma, Xing; Huang, Yongqing; Ren, Xiaomin

    2018-04-01

    In this work, a technique for the growth of GaAs epilayers on Si, combining an ultrathin amorphous Si buffer layer and a three-step growth method, has been developed to achieve high crystalline quality for monolithic integration. The influences of the combined technique for the crystalline quality of GaAs on Si are researched in this article. The crystalline quality of GaAs epilayer on Si with the combined technique is investigated by scanning electron microscopy, double crystal X-ray diffraction (DCXRD), photoluminescence, and transmission electron microscopy measurements. By means of this technique, a 1.8-µm-thick high-quality GaAs/Si epilayer was grown by metal-organic chemical vapor deposition. The full-width at half-maximum of the DCXRD rocking curve in the (400) reflection obtained from the GaAs/Si epilayers is about 163 arcsec. Compared with only using three-step growth method, the current technique reduces etch pit density from 3 × 106 cm-2 to 1.5 × 105 cm-2. The results demonstrate that the combined technique is an effective approach for reducing dislocation density in GaAs epilayers on Si.

  6. Characteristics of GaAs with inverted thermal conversion

    NASA Technical Reports Server (NTRS)

    Kang, C. H.; Lagowski, J.; Gatos, H. C.

    1987-01-01

    GaAs crystals exhibiting inverted thermal conversion (ITC) of resistivity were investigated in conjunction with standard semiinsulating (SI) GaAs regarding characteristics important in device processing. It was established that dislocation density and Si implant activation are unaffected by transformation to the ITC state. However, in ITC GaAs the controlled increase of the EL2 (native midgap donor) concentration during annealing makes it possible to attain resistivities one order of magnitude greater (e.g., about 10 to the 9th ohm cm of 300 K) than those attained in standard SI GaAs (e.g., 10 to the 7th-10 to the 8th ohm cm).

  7. Impact of heavy hole-light hole coupling on optical selection rules in GaAs quantum dots

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Belhadj, T.; Amand, T.; Kunz, S.

    2010-08-02

    We report strong heavy hole-light hole mixing in GaAs quantum dots grown by droplet epitaxy. Using the neutral and charged exciton emission as a monitor we observe the direct consequence of quantum dot symmetry reduction in this strain free system. By fitting the polar diagram of the emission with simple analytical expressions obtained from k{center_dot}p theory we are able to extract the mixing that arises from the heavy-light hole coupling due to the geometrical asymmetry of the quantum dot.

  8. GaN grown on nano-patterned sapphire substrates

    NASA Astrophysics Data System (ADS)

    Jing, Kong; Meixin, Feng; Jin, Cai; Hui, Wang; Huaibing, Wang; Hui, Yang

    2015-04-01

    High-quality gallium nitride (GaN) film was grown on nano-patterned sapphire substrates (NPSS) and investigated using XRD and SEM. It was found that the optimum thickness of the GaN buffer layer on the NPSS is 15 nm, which is thinner than that on micro-patterned sapphire substrates (MPSS). An interesting phenomenon was observed for GaN film grown on NPSS:GaN mainly grows on the trench regions and little grows on the sidewalls of the patterns at the initial growth stage, which is dramatically different from GaN grown on MPSS. In addition, the electrical and optical properties of LEDs grown on NPSS were characterized. Project supported by the Suzhou Nanojoin Photonics Co., Ltd and the High-Tech Achievements Transformation of Jiangsu Province, China (No.BA2012010).

  9. Band offset and electron affinity of MBE-grown SnSe2

    NASA Astrophysics Data System (ADS)

    Zhang, Qin; Li, Mingda Oscar; Lochocki, Edward B.; Vishwanath, Suresh; Liu, Xinyu; Yan, Rusen; Lien, Huai-Hsun; Dobrowolska, Malgorzata; Furdyna, Jacek; Shen, Kyle M.; Cheng, Guangjun; Hight Walker, Angela R.; Gundlach, David J.; Xing, Huili G.; Nguyen, N. V.

    2018-01-01

    SnSe2 is currently considered a potential two-dimensional material that can form a near-broken gap heterojunction in a tunnel field-effect transistor due to its large electron affinity which is experimentally confirmed in this letter. With the results from internal photoemission and angle-resolved photoemission spectroscopy performed on Al/Al2O3/SnSe2/GaAs and SnSe2/GaAs test structures where SnSe2 is grown on GaAs by molecular beam epitaxy, we ascertain a (5.2 ± 0.1) eV electron affinity of SnSe2. The band offset from the SnSe2 Fermi level to the Al2O3 conduction band minimum is found to be (3.3 ± 0.05) eV and SnSe2 is seen to have a high level of intrinsic electron (n-type) doping with the Fermi level positioned at about 0.2 eV above its conduction band minimum. It is concluded that the electron affinity of SnSe2 is larger than that of most semiconductors and can be combined with other appropriate semiconductors to form near broken-gap heterojunctions for the tunnel field-effect transistor that can potentially achieve high on-currents.

  10. Low intrinsic c-axis thermal conductivity in PVD grown epitaxial Sb2Te3 films

    NASA Astrophysics Data System (ADS)

    Rieger, F.; Kaiser, K.; Bendt, G.; Roddatis, V.; Thiessen, P.; Schulz, S.; Jooss, C.

    2018-05-01

    Accurate determination and comprehensive understanding of the intrinsic c-axis thermal conductivity κc of thermoelectric layered Sb2Te3 is of high importance for the development of strategies to optimize the figure of merit in thin film devices via heterostructures and defect engineering. We present here high precision measurements of κc of epitaxial Sb2Te3 thin films on Al2O3 substrates grown by physical vapor deposition in the temperature range of 100 K to 300 K. The Kapitza resistances of the involved interfaces have been determined and subtracted from the film data, allowing access to the intrinsic thermal conductivity of single crystalline Sb2Te3. At room temperature, we obtain κc = 1.9 W/m K, being much smaller than the in-plane thermal conductivity of κa b = 5 W/m K and even lower than the thermal conductivity of nano crystalline films of κnc ≈ 2.0-2.6 W/m K published by Park et al. [Nanoscale Res. Lett. 9, 96 (2014)]. High crystallinity and very low defect concentration of the films were confirmed by x-ray diffraction and high resolution transmission electron microscopy. Our data reveal that the phonon mean free path lm f p(" separators="|T ) is not limited by defect scattering and is of intrinsic nature, i.e., due to phonon-phonon scattering similar to other soft van der Waals type bonded layered systems.

  11. Diffusion and interface evolution during the atomic layer deposition of TiO{sub 2} on GaAs(100) and InAs(100) surfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ye, Liwang; Gougousi, Theodosia, E-mail: gougousi@umbc.edu

    2016-01-15

    Atomic layer deposition is used to form TiO{sub 2} films from tetrakis dimethyl amino titanium and H{sub 2}O on native oxide GaAs(100) and InAs(100) surfaces. The evolution of the film/substrate interface is examined as a function of the deposition temperature (100–325 °C) using ex situ x-ray photoelectron spectroscopy. An increase in the deposition temperature up to 250 °C leads to enhancement of the native oxide removal. For depositions at 300 °C and above, interface reoxidation is observed during the initial deposition cycles but when the films are thicker than 3 nm, the surface oxides are removed steadily. Based on these observations, two distinct filmmore » growth regimes are identified; up to 250 °C, layer-by-layer dominates while at higher temperatures island growth takes over. Angle resolved x-ray photoelectron spectroscopy measurements performed on 3 nm TiO{sub 2} film deposited at 325 °C on both surfaces demonstrates a very important difference between the two substrates: for GaAs the native oxides remaining in the stack are localized at the interface, while for InAs(100), the indium oxides are mixed in the TiO{sub 2} film.« less

  12. Effect of thermal annealing on the photoluminescence of structures with InGaAs/GaAs quantum wells and a low-temperature GaAs layer δ-doped with Mn

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kalentyeva, I. L.; Vikhrova, O. V., E-mail: istery@rambler.ru; Danilov, Yu. A.

    2016-11-15

    The effects of isochronal thermal annealing (at 325–725°C) on the radiative properties of InGaAs/GaAs nanoheterostructures containing a low-temperature GaAs layer δ-doped with Mn grown by laser deposition are studied. A decrease in the photoluminescence intensity and increase in the ground transition energy are observed upon thermal impact for quantum wells located near the low-temperature GaAs layer. The distribution of Mn atoms in the initial and annealed structures is obtained by secondary-ion mass spectrometry. A qualitative model of the observed effects of thermal annealing on the radiative properties of the structures is discussed; this model takes into account two main processes:more » diffusion of point defects (primarily gallium vacancies) from the GaAs coating layer deep into the structure and Mn diffusion in both directions by the dissociation mechanism. Magnetization studies show that, as a result of thermal annealing, an increase in the proportion of the ferromagnetic phase at room temperature (presumably, MnAs clusters) in the low-temperature GaAs coating layer takes place.« less

  13. Optoelectronic simulation of GaAs solar cells with angularly selective filters

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kraus, Tobias, E-mail: tobias.kraus@ise.fraunhofer.de; Höhn, Oliver; Hauser, Hubert

    We discuss the influence of angularly selective filters on thin film gallium arsenide solar cells. For this reason, the detailed balance model was refined to fit our needs with respect to Auger recombination, reflection, transmission, and realistic absorption. For calculating real systems, an approach was made to include optical effects of angularly selective filters into electron-hole dynamic equations implemented in PC1D, a one dimensional solar cell calculation tool. With this approach, we find a relative V{sub oc} increase of 5% for an idealized 100 nm GaAs cell, including Auger recombination.

  14. GaAs Solar Cell Radiation Handbook

    NASA Technical Reports Server (NTRS)

    Anspaugh, B. E.

    1996-01-01

    The handbook discusses the history of GaAs solar cell development, presents equations useful for working with GaAs solar cells, describes commonly used instrumentation techniques for assessing radiation effects in solar cells and fundamental processes occurring in solar cells exposed to ionizing radiation, and explains why radiation decreases the electrical performance of solar cells. Three basic elements required to perform solar array degradation calculations: degradation data for GaAs solar cells after irradiation with 1 MeV electrons at normal incidence; relative damage coefficients for omnidirectional electron and proton exposure; and the definition of the space radiation environment for the orbit of interest, are developed and used to perform a solar array degradation calculation.

  15. X-ray probe of GaN thin films grown on InGaN compliant substrates

    NASA Astrophysics Data System (ADS)

    Xu, Xiaoqing; Li, Yang; Liu, Jianming; Wei, Hongyuan; Liu, Xianglin; Yang, Shaoyan; Wang, Zhanguo; Wang, Huanhua

    2013-04-01

    GaN thin films grown on InGaN compliant substrates were characterized by several X-ray technologies: X-ray reciprocal space mapping (RSM), grazing incidence X-ray diffraction (GIXRD), and X-ray photoemission spectrum (XPS). Narrow Lorentz broadening and stress free state were observed for GaN grown on InGaN compliant substrate, while mosaic structure and large tensile stress were observed at the presence of residual indium atoms. RSM disclosed the mosaicity, and the GIXRD was conducted to investigate the depth dependences of crystal quality and strain states. XPS depth profile of indium contents indicated that residual indium atoms deteriorated the crystal quality of GaN not only by producing lattice mismatch at the interface of InGaN and GaN but also by diffusing into GaN overlayers. Accordingly, two solutions were proposed to improve the efficiency of self-patterned lateral epitaxial overgrowth method. This research goes a further step in resolving the urgent substrate problem in GaN fabrication.

  16. Crystal growth of device quality GaAs in space

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.; Lagowski, J.

    1979-01-01

    The optimization of space processing of GaAs is described. The detailed compositional, structural, and electronic characterization of GaAs on a macro- and microscale and the relationships between growth parameters and the properties of GaAs are among the factors discussed. The key parameters limiting device performance are assessed.

  17. Structural and chemical characterization of terbia thin films grown on hexagonally close packed metal substrates

    NASA Astrophysics Data System (ADS)

    Cartas, William

    Rare earth oxides (REOs) exhibit favorable catalytic performance for a diverse set of chemical transformations, including both partial and complete oxidation reactions. I will discuss our efforts to develop thin film systems of terbia for model surface science investigations of a REO that is effectively reducible, and which is thus expected to promote complete oxidation chemistry of adsorbed species. The growth of terbia on Cu(111) is shown to produce a complex surface that exhibits multiple phases of the oxide as well as exposed substrate. Growing the film on Pt(111) results in more uniform, single phase, and closed film. We used low energy electron diffraction (LEED) and scanning tunneling microscopy (STM) to characterize the structural properties of terbia thin films grown on Pt(111) in ultrahigh vacuum (UHV) using physical vapor deposition. We find that the REO grows as a high quality Tb2O 3(111) film, and adopts oxygen-deficient fluorite structures wherein the metal cations form a hexagonal lattice in registry with the Pt(111) substrate, while oxygen vacancies are randomly distributed within the film. The Tb 2O3(111) films are thermally stable when heated to 1000 K in UHV. LEED and STM show that a fraction of the Tb2O3 forms hexagonal islands when first deposited, and further depositions typically result in three dimensional growth of the film. The Tb2O3 (111) / Pt(111) system produces a coincidence structure, seen very clearly in LEED images. We have also found that Tb2O3(111) films can be oxidized in UHV by exposure to plasma-generated atomic oxygen beams. The oxidized films have an estimated TbO2 stoichiometry and decompose to Tb2O3 during heating, with O2 desorption starting at about 500 K. Terbia films oxidized at 90 K show a weakly bound state of oxygen that is likely chemisorbed. Temperature programmed reaction spectroscopy (TPRS) studies using methanol show that increased oxygen in the film does not modify the chemical selectivity of the film; however

  18. Structure and optical band gaps of (Ba,Sr)SnO{sub 3} films grown by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schumann, Timo; Raghavan, Santosh; Ahadi, Kaveh

    2016-09-15

    Epitaxial growth of (Ba{sub x}Sr{sub 1−x})SnO{sub 3} films with 0 ≤ x ≤ 1 using molecular beam epitaxy is reported. It is shown that SrSnO{sub 3} films can be grown coherently strained on closely lattice and symmetry matched PrScO{sub 3} substrates. The evolution of the optical band gap as a function of composition is determined by spectroscopic ellipsometry. The direct band gap monotonously decreases with x from to 4.46 eV (x = 0) to 3.36 eV (x = 1). A large Burnstein-Moss shift is observed with La-doping of BaSnO{sub 3} films. The shift corresponds approximately to the increase in Fermi level and is consistent with the low conduction band mass.

  19. EL2 and related defects in GaAs - Challenges and pitfalls. [microdefect introducing a deep donor level

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.; Lagowski, J.

    1985-01-01

    The incorporation process of nonequilibrium vacancies in melt-grown GaAs is strongly complicated by deviations from stoichiometry and the presence of two sublattices. Many of the microdefects originating in these vacancies and their interactions introduce energy levels (shallow and deep) within the energy gap. The direct identification of the chemical or structural signature of these defects and its direct correlation to their electronic behavior is not generally possible. It is necessary, therefore, to rely on indirect methods and phenomenological models and deal with the associated pitfalls. EL2, a microdefect introducing a deep donor level, has been in the limelight in recent years because it is believed to be responsible for the semi-insulating behavior of undoped GaAs. Although much progress has been made towards understanding its origin and nature, some relevant questions remain unanswered. An attempt is made to assess the present status of understanding of EL2 in the light of most recent results.

  20. A kinetic model for stress generation in thin films grown from energetic vapor fluxes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chason, E.; Karlson, M.; Colin, J. J.

    We have developed a kinetic model for residual stress generation in thin films grown from energetic vapor fluxes, encountered, e.g., during sputter deposition. The new analytical model considers sub-surface point defects created by atomic peening, along with processes treated in already existing stress models for non-energetic deposition, i.e., thermally activated diffusion processes at the surface and the grain boundary. According to the new model, ballistically induced sub-surface defects can get incorporated as excess atoms at the grain boundary, remain trapped in the bulk, or annihilate at the free surface, resulting in a complex dependence of the steady-state stress on themore » grain size, the growth rate, as well as the energetics of the incoming particle flux. We compare calculations from the model with in situ stress measurements performed on a series of Mo films sputter-deposited at different conditions and having different grain sizes. The model is able to reproduce the observed increase of compressive stress with increasing growth rate, behavior that is the opposite of what is typically seen under non-energetic growth conditions. On a grander scale, this study is a step towards obtaining a comprehensive understanding of stress generation and evolution in vapor deposited polycrystalline thin films.« less