Sample records for gaas layer grown

  1. Effect of a low-temperature-grown GaAs layer on InAs quantum-dot photoluminescence

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kosarev, A. N.; Chaldyshev, V. V., E-mail: chald.gvg@mail.ioffe.ru; Preobrazhenskii, V. V.

    2016-11-15

    The photoluminescence of InAs semiconductor quantum dots overgrown by GaAs in the low-temperature mode (LT-GaAs) using various spacer layers or without them is studied. Spacer layers are thin GaAs or AlAs layers grown at temperatures normal for molecular-beam epitaxy (MBE). Direct overgrowth leads to photoluminescence disappearance. When using a thin GaAs spacer layer, the photoluminescence from InAs quantum dots is partially recovered; however, its intensity appears lower by two orders of magnitude than in the reference sample in which the quantum-dot array is overgrown at normal temperature. The use of wider-gap AlAs as a spacer-layer material leads to the enhancementmore » of photoluminescence from InAs quantum dots, but it is still more than ten times lower than that of reference-sample emission. A model taking into account carrier generation by light, diffusion and tunneling from quantum dots to the LT-GaAs layer is constructed.« less

  2. Growth and characterization of molecular beam epitaxial GaAs layers on porous silicon

    NASA Technical Reports Server (NTRS)

    Lin, T. L.; Liu, J. K.; Sadwick, L.; Wang, K. L.; Kao, Y. C.

    1987-01-01

    GaAs layers have been grown on porous silicon (PS) substrates with good crystallinity by molecular beam epitaxy. In spite of the surface irregularity of PS substrates, no surface morphology deterioration was observed on epitaxial GaAs overlayers. A 10-percent Rutherford backscattering spectroscopy minimum channeling yield for GaAs-on-PS layers as compared to 16 percent for GaAs-on-Si layers grown under the same condition indicates a possible improvement of crystallinity when GaAs is grown on PS. Transmission electron microscopy reveals that the dominant defects in the GaAs-on-PS layers are microtwins and stacking faults, which originate from the GaAs/PS interface. GaAs is found to penetrate into the PS layers. n-type GaAs/p-type PS heterojunction diodes were fabricated with good rectifying characteristics.

  3. ZnSe Window Layers for GaAs and GaInP2 Solar Cells

    NASA Technical Reports Server (NTRS)

    Olsen, Larry C.

    1997-01-01

    This report concerns studies of the use of n-type ZnSe as a window layer for n/p GaAs and GaInP2 solar cells. Emphasis was placed in this phase of the project on characterizing the interface between n-type ZnSe films grown on epi-GaAs films grown onto single crystal GaAs. Epi-GaAs and heteroepitaxial ZnSe films were grown by MOCVD with a Spire 50OXT Reactor. After growing epitaxial GaAs films on single crystal GaAs wafers, well-oriented crystalline ZnSe films were grown by MOCVD. ZnSe films were grown with substrate temperatures ranging from 250 C to 450 C. Photoluminescence studies carried out by researchers at NASA Lewis determined that the surface recombination velocity at a GaAs surface was significantly reduced after the deposition of a heteroepitaxial layer of ZnSe. The optimum temperature for ZnSe deposition appears to be on the order of 350 C.

  4. HfO2 Gate Dielectric on (NH4)2S Passivated (100) GaAs Grown by Atomic Layer Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, P.T.; /Stanford U., Materials Sci. Dept.; Sun, Y.

    2007-09-28

    The interface between hafnium oxide grown by atomic layer deposition and (100) GaAs treated with HCl cleaning and (NH{sub 4}){sub 2}S passivation has been characterized. Synchrotron radiation photoemission core level spectra indicated successful removal of the native oxides and formation of passivating sulfides on the GaAs surface. Layer-by-layer removal of the hafnia film revealed a small amount of As{sub 2}O{sub 3} formed at the interface during the dielectric deposition. Traces of arsenic and sulfur out-diffusion into the hafnia film were observed after a 450 C post-deposition anneal, and may be the origins for the electrically active defects. Transmission electron microscopymore » cross section images showed thicker HfO{sub 2} films for a given precursor exposure on S-treated GaAs versus the non-treated sample. In addition, the valence-band and the conduction-band offsets at the HfO{sub 2}/GaAs interface were deduced to be 3.18 eV and a range of 0.87-0.97 eV, respectively. It appears that HCl+(NH{sub 4})2{sub S} treatments provide a superior chemical passivation for GaAs and initial surface for ALD deposition.« less

  5. Structural and optical characteristics of GaAs films grown on Si/Ge substrates

    NASA Astrophysics Data System (ADS)

    Rykov, A. V.; Dorokhin, M. V.; Vergeles, P. S.; Baidus, N. V.; Kovalskiy, V. A.; Yakimov, E. B.; Soltanovich, O. A.

    2018-03-01

    A GaAs/AlAs heterostructure and a GaAs film grown on Si/Ge substrates have been fabricated and studied. A Ge buffer on a silicon substrate was fabricated using the MBE process. A3B5 films were grown by MOCVD at low pressures. Photoluminescence spectroscopy was used to define the optical quality of A3B5 films. Structural properties were investigated using the electron beam induced current method. It was established that despite a rather high density of dislocations on the epitaxial layers, the detected photoluminescence radiation of layers indicates the acceptable crystalline quality of the top GaAs layer.

  6. Wafer-scale layer transfer of GaAs and Ge onto Si wafers using patterned epitaxial lift-off

    NASA Astrophysics Data System (ADS)

    Mieda, Eiko; Maeda, Tatsuro; Miyata, Noriyuki; Yasuda, Tetsuji; Kurashima, Yuichi; Maeda, Atsuhiko; Takagi, Hideki; Aoki, Takeshi; Yamamoto, Taketsugu; Ichikawa, Osamu; Osada, Takenori; Hata, Masahiko; Ogawa, Arito; Kikuchi, Toshiyuki; Kunii, Yasuo

    2015-03-01

    We have developed a wafer-scale layer-transfer technique for transferring GaAs and Ge onto Si wafers of up to 300 mm in diameter. Lattice-matched GaAs or Ge layers were epitaxially grown on GaAs wafers using an AlAs release layer, which can subsequently be transferred onto a Si handle wafer via direct wafer bonding and patterned epitaxial lift-off (ELO). The crystal properties of the transferred GaAs layers were characterized by X-ray diffraction (XRD), photoluminescence, and the quality of the transferred Ge layers was characterized using Raman spectroscopy. We find that, after bonding and the wet ELO processes, the quality of the transferred GaAs and Ge layers remained the same compared to that of the as-grown epitaxial layers. Furthermore, we realized Ge-on-insulator and GaAs-on-insulator wafers by wafer-scale pattern ELO technique.

  7. Terahertz radiation in In{sub 0.38}Ga{sub 0.62}As grown on a GaAs wafer with a metamorphic buffer layer under femtosecond laser excitation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ponomarev, D. S., E-mail: ponomarev-dmitr@mail.ru; Khabibullin, R. A.; Yachmenev, A. E.

    The results of time-domain spectroscopy of the terahertz (THz) generation in a structure with an In{sub 0.38}Ga{sub 0.62}As photoconductive layer are presented. This structure grown by molecular-beam epitaxy on a GaAs substrate using a metamorphic buffer layer allows THz generation with a wide frequency spectrum (to 6 THz). This is due to the additional contribution of the photo-Dember effect to THz generation. The measured optical-to-terahertz conversion efficiency in this structure is 10{sup –5} at a rather low optical fluence of ~40 μJ/cm{sup 2}, which is higher than that in low-temperature grown GaAs by almost two orders of magnitude.

  8. Quality-enhanced In{sub 0.3}Ga{sub 0.7}As film grown on GaAs substrate with an ultrathin amorphous In{sub 0.6}Ga{sub 0.4}As buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gao, Fangliang; Li, Guoqiang, E-mail: msgli@scut.edu.cn

    2014-01-27

    Using low-temperature molecular beam epitaxy, amorphous In{sub 0.6}Ga{sub 0.4}As layers have been grown on GaAs substrates to act as buffer layers for the subsequent epitaxial growth of In{sub 0.3}Ga{sub 0.7}As films. It is revealed that the crystallinity of as-grown In{sub 0.3}Ga{sub 0.7}As films is strongly affected by the thickness of the large-mismatched amorphous In{sub 0.6}Ga{sub 0.4}As buffer layer. Given an optimized thickness of 2 nm, this amorphous In{sub 0.6}Ga{sub 0.4}As buffer layer can efficiently release the misfit strain between the In{sub 0.3}Ga{sub 0.7}As epi-layer and the GaAs substrate, trap the threading and misfit dislocations from propagating to the following In{sub 0.3}Ga{submore » 0.7}As epi-layer, and reduce the surface fluctuation of the as-grown In{sub 0.3}Ga{sub 0.7}As, leading to a high-quality In{sub 0.3}Ga{sub 0.7}As film with competitive crystallinity to that grown on GaAs substrate using compositionally graded In{sub x}Ga{sub 1-x}As metamorphic buffer layers. Considering the complexity of the application of the conventional In{sub x}Ga{sub 1-x}As graded buffer layers, this work demonstrates a much simpler approach to achieve high-quality In{sub 0.3}Ga{sub 0.7}As film on GaAs substrate and, therefore, is of huge potential for the InGaAs-based high-efficiency photovoltaic industry.« less

  9. Strain relaxation induced surface morphology of heterogeneous GaInNAs layers grown on GaAs substrate

    NASA Astrophysics Data System (ADS)

    Gelczuk, Ł.; Jóźwiak, G.; Moczała, M.; Dłużewski, P.; Dąbrowska-Szata, M.; Gotszalk, T. P.

    2017-07-01

    The partially-relaxed heterogeneous GaInNAs layers grown on GaAs substrate by atmospheric pressure vapor phase epitaxy (AP-MOVPE) were investigated by transmission electron microscopy (TEM) and atomic force microscopy (AFM). The planar-view TEM image shows a regular 2D network of misfit dislocations oriented in two orthogonal 〈1 1 0〉 crystallographic directions at the (0 0 1) layer interface. Moreover, the cross-sectional view TEM image reveals InAs-rich and V-shaped precipitates in the near surface region of the GaInNAs epitaxial layer. The resultant undulating surface morphology, known as a cross-hatch pattern, is formed as observed by AFM. The numerical analysis of the AFM image of the GaInNAs layer surface with the well-defined cross-hatch morphology enabled us to determine a lower bound of actual density of misfit dislocations. However, a close correspondence between the asymmetric distribution of interfacial misfit dislocations and undulating surface morphology is observed.

  10. High-efficiency GaAs and GaInP solar cells grown by all solid-state molecular-beam-epitaxy

    PubMed Central

    2011-01-01

    We report the initial results of GaAs and GaInP solar cells grown by all solid-state molecular-beam-epitaxy (MBE) technique. For GaAs single-junction solar cell, with the application of AlInP as the window layer and GaInP as the back surface field layer, the photovoltaic conversion efficiency of 26% at one sun concentration and air mass 1.5 global (AM1.5G) is realized. The efficiency of 16.4% is also reached for GaInP solar cell. Our results demonstrate that the MBE-grown phosphide-contained III-V compound semiconductor solar cell can be quite comparable to the metal-organic-chemical-vapor-deposition-grown high-efficiency solar cell. PMID:22040124

  11. Deep levels in H-irradiated GaAs1-xNx (x < 0.01) grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Shafi, M.; Mari, R. H.; Khatab, A.; Henini, M.; Polimeni, A.; Capizzi, M.; Hopkinson, M.

    2011-12-01

    Dilute nitride GaAs1-xNx layers have been grown by molecular beam epitaxy with nitrogen concentration ranging from 0.2% to 0.8%. These samples have been studied before and after hydrogen irradiation by using standard deep level transient spectroscopy (DLTS) and high resolution Laplace DLTS techniques. The activation energy, capture cross section and density of the electron traps have been estimated and compared with results obtained in N-free as-grown and H-irradiated bulk GaAs.

  12. Performance Enhancement of a GaAs Detector with a Vertical Field and an Embedded Thin Low-Temperature Grown Layer

    PubMed Central

    Currie, Marc; Dianat, Pouya; Persano, Anna; Martucci, Maria Concetta; Quaranta, Fabio; Cola, Adriano; Nabet, Bahram

    2013-01-01

    Low temperature growth of GaAs (LT-GaAs) near 200 °C results in a recombination lifetime of nearly 1 ps, compared with approximately 1 ns for regular temperature ∼600 °C grown GaAs (RT-GaAs), making it suitable for ultra high speed detection applications. However, LT-GaAs detectors usually suffer from low responsivity due to low carrier mobility. Here we report electro-optic sampling time response measurements of a detector that employs an AlGaAs heterojunction, a thin layer of LT-GaAs, a channel of RT-GaAs, and a vertical electric field that together facilitate collection of optically generated electrons while suppressing collection of lower mobility holes. Consequently, these devices have detection efficiency near that of RT-GaAs yet provide pulse widths nearly an order of magnitude faster—∼6 ps for a cathode-anode separation of 1.3 μm and ∼12 ps for distances more than 3 μm. PMID:23429510

  13. Influence of arsenic flow on the crystal structure of epitaxial GaAs grown at low temperatures on GaAs (100) and (111)A substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Galiev, G. B.; Klimov, E. A.; Vasiliev, A. L.

    The influence of arsenic flow in a growth chamber on the crystal structure of GaAs grown by molecular-beam epitaxy at a temperature of 240°C on GaAs (100) and (111)A substrates has been investigated. The flow ratio γ of arsenic As4 and gallium was varied in the range from 16 to 50. GaAs films were either undoped, or homogeneously doped with silicon, or contained three equidistantly spaced silicon δ-layers. The structural quality of the annealed samples has been investigated by transmission electron microscopy. It is established for the first time that silicon δ-layers in “low-temperature” GaAs serve as formation centers ofmore » arsenic precipitates. Their average size, concentration, and spatial distribution are estimated. The dependence of the film structural quality on γ is analyzed. Regions 100–150 nm in size have been revealed in some samples and identified (by X-ray microanalysis) as pores. It is found that, in the entire range of γ under consideration, GaAs films on (111)A substrates have a poorer structural quality and become polycrystalline beginning with a thickness of 150–200 nm.« less

  14. Multilayer-Grown Ultrathin Nanostructured GaAs Solar Cells as a Cost-Competitive Materials Platform for III-V Photovoltaics.

    PubMed

    Gai, Boju; Sun, Yukun; Lim, Haneol; Chen, Huandong; Faucher, Joseph; Lee, Minjoo L; Yoon, Jongseung

    2017-01-24

    Large-scale deployment of GaAs solar cells in terrestrial photovoltaics demands significant cost reduction for preparing device-quality epitaxial materials. Although multilayer epitaxial growth in conjunction with printing-based materials assemblies has been proposed as a promising route to achieve this goal, their practical implementation remains challenging owing to the degradation of materials properties and resulting nonuniform device performance between solar cells grown in different sequences. Here we report an alternative approach to circumvent these limitations and enable multilayer-grown GaAs solar cells with uniform photovoltaic performance. Ultrathin single-junction GaAs solar cells having a 300-nm-thick absorber (i.e., emitter and base) are epitaxially grown in triple-stack releasable multilayer assemblies by molecular beam epitaxy using beryllium as a p-type impurity. Microscale (∼500 × 500 μm 2 ) GaAs solar cells fabricated from respective device layers exhibit excellent uniformity (<3% relative) of photovoltaic performance and contact properties owing to the suppressed diffusion of p-type dopant as well as substantially reduced time of epitaxial growth associated with ultrathin device configuration. Bifacial photon management employing hexagonally periodic TiO 2 nanoposts and a vertical p-type metal contact serving as a metallic back-surface reflector together with specialized epitaxial design to minimize parasitic optical losses for efficient light trapping synergistically enable significantly enhanced photovoltaic performance of such ultrathin absorbers, where ∼17.2% solar-to-electric power conversion efficiency under simulated AM1.5G illumination is demonstrated from 420-nm-thick single-junction GaAs solar cells grown in triple-stack epitaxial assemblies.

  15. Efficient Ga(As)Sb quantum dot emission in AlGaAs by GaAs intermediate layer

    NASA Astrophysics Data System (ADS)

    Loeber, Thomas Henning; Richter, Johannes; Strassner, Johannes; Heisel, Carina; Kimmle, Christina; Fouckhardt, Henning

    2013-03-01

    Ga(As)Sb quantum dots (QDs) are epitaxially grown in AlGaAs/GaAs in the Stranski-Krastanov mode. In the recent past we achieved Ga(As)Sb QDs in GaAs with an extremely high dot density of 9.8•1010 cm-2 by optimization of growth temperature, Sb/Ga flux pressure ratio, and coverage. Additionally, the QD emission wavelength could be chosen precisely with these growth parameters in the range between 876 and 1035 nm. Here we report a photoluminescence (PL) intensity improvement for the case with AlGaAs barriers. Again growth parameters and layer composition are varied. The aluminium content is varied between 0 and 90%. Reflectance anisotropy spectroscopy (RAS) is used as insitu growth control to determine growth rate, layer thickness, and AlGaAs composition. Ga(As)Sb QDs, directly grown in AlxGa1-xAs emit no PL signal, even with a very low x ≈ 0.1. With additional around 10 nm thin GaAs intermediate layers between the Ga(As)Sb QDs and the AlGaAs barriers PL signals are detected. Samples with 4 QD layers and AlxGa1-xAs/GaAs barriers in between are grown. The thickness and composition of the barriers are changed. Depending on these values PL intensity is more than 4 times as high as in the case with simple GaAs barriers. With these results efficient Ga(As)Sb QD lasers are realized, so far only with pure GaAs barriers. Our index-guided broad area lasers operate continuous-wave (cw) @ 90 K, emit optical powers of more than 2•50 mW and show a differential quantum efficiency of 54% with a threshold current density of 528 A/cm2.

  16. Homojunction GaAs solar cells grown by close space vapor transport

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Boucher, Jason W.; Ritenour, Andrew J.; Greenaway, Ann L.

    2014-06-08

    We report on the first pn junction solar cells grown by homoepitaxy of GaAs using close space vapor transport (CSVT). Cells were grown both on commercial wafer substrates and on a CSVT absorber film, and had efficiencies reaching 8.1%, open circuit voltages reaching 909 mV, and internal quantum efficiency of 90%. The performance of these cells is partly limited by the electron diffusion lengths in the wafer substrates, as evidenced by the improved peak internal quantum efficiency in devices fabricated on a CSVT absorber film. Unoptimized highly-doped n-type emitters also limit the photocurrent, indicating that thinner emitters with reduced doping,more » and ultimately wider band gap window or surface passivation layers, are required to increase the efficiency.« less

  17. Structural properties of GaAsN grown on (001) GaAs by metalorganic molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Ok, Young-Woo; Choi, Chel-Jong; Seong, Tae-Yeon; Uesugi, K.; Suemune, I.

    2001-07-01

    Detailed transmission electron microscopy (TEM) and transmission electron diffraction (TED) examination has been made of metalorganic molecular beam epitaxial GaAsN layers grown on (001) GaAs substrates. TEM results show that lateral composition modulation occurs in the GaAs1-xNx layer (x 6.75%). It is shown that increasing N composition and Se (dopant) concentration leads to poor crystallinity. It is also shown that the addition of Se increases N composition. Atomic force microscopy (AFM) results show that the surfaces of the samples experience a morphological change from faceting to islanding, as the N composition and Se concentration increase. Based on the TEM and AFM results, a simple model is given to explain the formation of the lateral composition modulation.

  18. Isolating GaSb membranes grown metamorphically on GaAs substrates using highly selective substrate removal etch processes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lavrova, Olga; Balakrishnan, Ganesh

    2017-02-24

    The etch rates of NH 4OH:H 2O 2 and C 6H 8O 7:H 2O 2 for GaAs and GaSb have been investigated to develop a selective etch for GaAs substrates and to isolate GaSb epilayers grown on GaAs. The NH 4OH:H 2O 2 solution has a greater etch rate differential for the GaSb/GaAs material system than C 6H 8O 7:H 2O 2 solution. The selectivity of NH 4OH:H 2O 2 for GaAs/GaSb under optimized etch conditions has been observed to be as high as 11471 ± 1691 whereas that of C 6H 8O 7:H 2O 2 has been measured upmore » to 143 ± 2. The etch contrast has been verified by isolating 2 μm thick GaSb epi-layers that were grown on GaAs substrates. GaSb membranes were tested and characterized with high-resolution X-Ray diffraction (HR-XRD) and atomic force microscopy (AFM).« less

  19. Photoelectrochemical Water Oxidation by GaAs Nanowire Arrays Protected with Atomic Layer Deposited NiO x Electrocatalysts

    NASA Astrophysics Data System (ADS)

    Zeng, Joy; Xu, Xiaoqing; Parameshwaran, Vijay; Baker, Jon; Bent, Stacey; Wong, H.-S. Philip; Clemens, Bruce

    2018-02-01

    Photoelectrochemical (PEC) hydrogen production makes possible the direct conversion of solar energy into chemical fuel. In this work, PEC photoanodes consisting of GaAs nanowire (NW) arrays were fabricated, characterized, and then demonstrated for the oxygen evolution reaction (OER). Uniform and periodic GaAs nanowire arrays were grown on a heavily n-doped GaAs substrates by metal-organic chemical vapor deposition selective area growth. The nanowire arrays were characterized using cyclic voltammetry and impedance spectroscopy in a non-aqueous electrochemical system using ferrocene/ferrocenium (Fc/Fc+) as a redox couple, and a maximum oxidation photocurrent of 11.1 mA/cm2 was measured. GaAs NW arrays with a 36 nm layer of nickel oxide (NiO x ) synthesized by atomic layer deposition were then used as photoanodes to drive the OER. In addition to acting as an electrocatalyst, the NiO x layer served to protect the GaAs NWs from oxidative corrosion. Using this strategy, GaAs NW photoanodes were successfully used for the oxygen evolution reaction. This is the first demonstration of GaAs NW arrays for effective OER, and the fabrication and protection strategy developed in this work can be extended to study any other nanostructured semiconductor materials systems for electrochemical solar energy conversion.

  20. Demonstrating antiphase domain boundary-free GaAs buffer layer on zero off-cut Si (0 0 1) substrate for interfacial misfit dislocation GaSb film by metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Ha, Minh Thien Huu; Hoang Huynh, Sa; Binh Do, Huy; Nguyen, Tuan Anh; Luc, Quang Ho; Chang, Edward Yi

    2017-08-01

    High quality 40 nm GaSb thin film was grown on the zero off-cut Si (0 0 1)-oriented substrate using metalorganic chemical vapor deposition with the temperature-graded GaAs buffer layer. The growth time of the GaAs nucleation layer, which was deposited at a low temperature of 490 °C, is systematically investigated in this paper. Cross-sections of the high resolution transmission electron microscopy images indicate that the GaAs compound formed 3D-islands first before to quasi-2D islands, and finally formed uniform GaAs layer. The optimum thickness of the 490 °C-GaAs layer was found to be 10 nm to suppress the formation of antiphase domain boundaries (APDs). The thin GaAs nucleation layer had a root-mean-square surface roughness of 0.483 nm. This allows the continued high temperature GaAs buffer layer to be achieved with low threading dislocation density of around 7.1  ×  106 cm-2 and almost invisible APDs. Finally, a fully relaxed GaSb film was grown on the top of the GaAs/Si heterostructure using interfacial misfit dislocation growth mode. These results indicate that the GaSb epitaxial layer can be grown on Si substrate with GaAs buffer layer for future p-channel metal-oxide-semiconductor field effect transistors (MOSFETs) applications.

  1. High-efficiency thin-film GaAs solar cells, phase2

    NASA Technical Reports Server (NTRS)

    Yeh, Y. C. M.

    1981-01-01

    Thin GaAs epi-layers with good crystallographic quality were grown using a (100) Si-substrate on which a thin Ge epi-interlayer was grown by CVD from germane. Both antireflection-coated metal oxide semiconductor (AMOS) and n(+)/p homojunction structures were studied. The AMOS cells were fabricated on undoped-GaAs epi-layers deposited on bulk poly-Ge substrates using organo-metallic CVD film-growth, with the best achieved AM1 conversion efficiency being 9.1%. Both p-type and n(+)-type GaAs growth were optimized using 50 ppm dimethyl zinc and 1% hydrogen sulfide, respectively. A direct GaAs deposition method in fabricating ultra-thin top layer, epitaxial n(+)/p shallow homojunction solar cells on (100) GaAs substrates (without anodic thinning) was developed to produce large area (1 sq/cm) cells, with 19.4% AM1 conversion efficiency achieved. Additionally, an AM1 conversion efficiency of 18.4% (17.5% with 5% grid coverage) was achieved for a single crystal GaAs n(+)/p cell grown by OM-CVD on a Ge wafer.

  2. Studies of molecular-beam epitaxy growth of GaAs on porous Si substrates

    NASA Technical Reports Server (NTRS)

    Mii, Y. J.; Kao, Y. C.; Wu, B. J.; Wang, K. L.; Lin, T. L.; Liu, J. K.

    1988-01-01

    GaAs has been grown on porous Si directly and on Si buffer layer-porous Si substrates by molecular-beam epitaxy. In the case of GaAs growth on porous Si, transmission electron microscopy (TEM) reveals that the dominant defects in GaAs layers grown on porous Si are microtwins and stacking faults, which originate from the GaAs/porous Si interface. GaAs is found to penetrate into the porous Si layers. By using a thin Si buffer layer (50 nm), GaAs penetration diminishes and the density of microtwins and stacking faults is largely reduced and localized at the GaAs/Si buffer interface. However, there is a high density of threading dislocations remaining. Both Si (100) aligned and four degree tilted substrates have been examined in this study. TEM results show no observable effect of the tilted substrates on the quality of the GaAs epitaxial layer.

  3. Growth of High-Quality GaAs on Ge by Controlling the Thickness and Growth Temperature of Buffer Layer

    NASA Astrophysics Data System (ADS)

    Zhou, Xu-Liang; Pan, Jiao-Qing; Yu, Hong-Yan; Li, Shi-Yan; Wang, Bao-Jun; Bian, Jing; Wang, Wei

    2014-12-01

    High-quality GaAs thin films grown on miscut Ge substrates are crucial for GaAs-based devices on silicon. We investigate the effect of different thicknesses and temperatures of GaAs buffer layers on the crystal quality and surface morphology of GaAs on Ge by metal-organic chemical vapor deposition. Through high resolution x-ray diffraction measurements, it is demonstrated that the full width at half maximum for the GaAs epilayer (Ge substrate) peak could achieve 19.3 (11.0) arcsec. The value of etch pit density could be 4×104 cm-2. At the same time, GaAs surfaces with no pyramid-shaped pits are obtained when the buffer layer growth temperature is lower than 360°C, due to effective inhibition of initial nucleation at terraces of the Ge surface. In addition, it is shown that large island formation at the initial stage of epitaxial growth is a significant factor for the final rough surface and that this initial stage should be carefully controlled when a device quality GaAs surface is desired.

  4. Formation and reconstruction of Se nanoislands at the surface of thin epitaxial ZnSe layers grown on GaAs substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kozlovskiy, V. I.; Krivobok, V. S., E-mail: krivobok@lebedev.ru; Kuznetsov, P. I.

    2016-05-15

    Strained epitaxial ZnSe layers are grown on GaAs substrates by the method of vapor-phase epitaxy from metal-organic compounds. It is found that Se nanoislands with a density of 10{sup 8} to 10{sup 9} cm{sup –2} are formed at the surface of such layers. It is established that an increase in the size of Se islands and a decrease in their density take place after completion of growth. Annealing in a H{sub 2} atmosphere at a temperature higher than 260°C leads to the disappearance of Se islands and to a decrease in the surface roughness. It is shown that annealing doesmore » not lead to deterioration of the structural perfection of the epitaxial ZnSe films; rather, annealing gives rise to a decrease in the intensity of impurity–defect luminescence and to an increase in the intensity of intrinsic radiation near the bottom of the exciton band.« less

  5. GaAs Solar Cells Grown on Unpolished, Spalled Ge Substrates: Preprint

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cavalli, Alessandro; Johnston, Steven; Sulas, Dana

    Decreasing the cost of single-crystal substrates by wafer reuse techniques has long been sought for III-V solar cells. Controlled spalling of III-V devices is a possible pathway for epitaxial liftoff, which would help reduce costs, but chemo- mechanical polishing after liftoff tends to limit the potential cost savings. Growth on an unpolished spalled surface would be an additional step toward lower costs, but it is crucial to show high efficiency solar cell devices on these unprocessed substrates. In this study, we spalled 2-inch Ge wafers using a Ni stressor layer, and then grew GaAs solar cells by HVPE on themore » spalled Ge surface without any other surface treatment. We show a 12.8% efficient single-junction device, without anti-reflection coating, with quantum efficiency very close to identical devices grown by HVPE on non-spalled GaAs substrates. Demonstrating a high carrier collection on unpolished spalled wafers is a step toward reducing substrate-related liftoff and reuse costs.« less

  6. Upright and Inverted Single-Junction GaAs Solar Cells Grown by Hydride Vapor Phase Epitaxy

    DOE PAGES

    Simon, John; Schulte, Kevin L.; Jain, Nikhil; ...

    2016-10-19

    Hydride vapor phase epitaxy (HVPE) is a low-cost alternative to conventional metal-organic vapor phase epitaxy (MOVPE) growth of III-V solar cells. In this work, we show continued improvement of the performance of HVPE-grown single-junction GaAs solar cells. We show over an order of magnitude improvement in the interface recombination velocity between GaAs and GaInP layers through the elimination of growth interrupts, leading to increased short-circuit current density and open-circuit voltage compared with cells with interrupts. One-sun conversion efficiencies as high as 20.6% were achieved with this improved growth process. Solar cells grown in an inverted configuration that were removed frommore » the substrate showed nearly identical performance to on-wafer cells, demonstrating the viability of HVPE to be used together with conventional wafer reuse techniques for further cost reduction. As a result, these devices utilized multiple heterointerfaces, showing the potential of HVPE for the growth of complex and high-quality III-V devices.« less

  7. A Novel Growth Method To Improve the Quality of GaAs Nanowires Grown by Ga-Assisted Chemical Beam Epitaxy.

    PubMed

    García Núñez, Carlos; Braña, Alejandro F; López, Nair; García, Basilio J

    2018-06-13

    The successful synthesis of high crystalline quality and high aspect ratio GaAs nanowires (NWs) with a uniform diameter is needed to develop advanced applications beyond the limits established by thin film and bulk material properties. Vertically aligned GaAs NWs have been extensively grown by Ga-assisted vapor-liquid-solid (VLS) mechanism on Si(111) substrates, and they have been used as building blocks in photovoltaics, optoelectronics, electronics, and so forth. However, the nucleation of parasitic species such as traces and nanocrystals on the Si substrate surface during the NW growth could affect significantly the controlled nucleation of those NWs, and therefore the resulting performance of NW-based devices. Preventing the nucleation of parasitic species on the Si substrate is a matter of interest, because they could act as traps for gaseous precursors and/or chemical elements during VLS growth, drastically reducing the maximum length of grown NWs, affecting their morphology and structure, and reducing the NW density along the Si substrate surface. This work presents a novel and easy to develop growth method (i.e., without using advanced nanolithography techniques) to prevent the nucleation of parasitic species, while preserving the quality of GaAs NWs even for long duration growths. GaAs NWs are grown by Ga-assisted chemical beam epitaxy on oxidized Si(111) substrates using triethylgallium and tertiarybutylarsine precursors by a two-step-based growth method presented here; this method includes a growth interruption for an oxidation on air between both steps of growth, reducing the nucleation of parasitic crystals on the thicker SiO x capping layer during the second and longer growth step. VLS conditions are preserved overtime, resulting in a stable NW growth rate of around 6 μm/h for growth times up to 1 h. Resulting GaAs NWs have a high aspect ratio of 85 and average radius of 35 nm. We also report on the existence of characteristic reflection high

  8. GaAs buffer layer technique for vertical nanowire growth on Si substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xu, Xiaoqing, E-mail: steelxu@stanford.edu; Parizi, Kokab B.; Huo, Yijie

    2014-02-24

    Gold catalyzed vapor-liquid-solid method is widely applied to III–V nanowire (NW) growth on Si substrate. However, the easy oxidation of Si, possible Si contamination in the NWs, high defect density in the NWs, and high sensitivity of the NW morphology to growth conditions largely limit its controllability. In this work, we developed a buffer layer technique by introducing a GaAs thin film with predefined polarity as a template. It is found that samples grown on these buffer layers all have high vertical NW yields in general, due to the single-orientation of the buffer layers. Low temperature buffer with smoother surfacemore » leads to highest yield of vertical NWs, while high temperature (HT) buffer with better crystallinity results in perfect NW quality. The defect-free property we observed here is very promising for optoelectronic device applications based on GaAs NW. Moreover, the buffer layers can eliminate Si contamination by preventing Si-Au alloy formation and by increasing the thickness of the Si diffusion barrier, thus providing more flexibility to vertical NW growth. The buffer layer technique we demonstrated here could be easily extended to other III-V on Si system for electronic and photonic applications.« less

  9. Theoretical and experimental study of highly textured GaAs on silicon using a graphene buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alaskar, Yazeed; Arafin, Shamsul; Lin, Qiyin

    2015-09-01

    A novel heteroepitaxial growth technique, quasi-van der Waals epitaxy, promises the ability to deposit three-dimensional GaAs materials on silicon using two-dimensional graphene as a buffer layer by overcoming the lattice and thermal expansion mismatch. In this study, density functional theory (DFT) simulations were performed to understand the interactions at the GaAs/graphene hetero-interface as well as the growth orientations of GaAs on graphene. To develop a better understanding of the molecular beam epitaxy-grown GaAs films on graphene, samples were characterized by x-ray diffraction (..theta..-2..theta.. scan, ..omega..-scan, grazing incidence XRD and pole figure measurement) and transmission electron microscopy. The realizations of smoothmore » GaAs films with a strong (111) oriented fiber-texture on graphene/silicon using this deposition technique are a milestone towards an eventual demonstration of the epitaxial growth of GaAs on silicon, which is necessary for integrated photonics application.« less

  10. High yield of self-catalyzed GaAs nanowire arrays grown on silicon via gallium droplet positioning.

    PubMed

    Plissard, S; Larrieu, G; Wallart, X; Caroff, P

    2011-07-08

    We report and detail a method to achieve growth of vertical self-catalyzed GaAs nanowires directly on Si(111) with a near-perfect vertical yield, using electron-beam-defined arrays of holes in a dielectric layer and molecular beam epitaxy. In our conditions, GaAs nanowires are grown along a vapor-liquid-solid mechanism, using in situ self-forming Ga droplets. The focus of this paper is to understand the role of the substrate preparation and of the pre-growth conditioning. Without changing temperature or the V/III ratio, the yield of vertical nanowires is increased incrementally up to 95%. The possibility to achieve very dense arrays, with center-to-center inter-wire distances less than 100 nm, is demonstrated.

  11. Room temperature operation of mid-infrared InAs0.81Sb0.19 based photovoltaic detectors with an In0.2Al0.8Sb barrier layer grown on GaAs substrates.

    PubMed

    Geum, Dae-Myeong; Kim, SangHyeon; Kang, SooSeok; Kim, Hosung; Park, Hwanyeol; Rho, Il Pyo; Ahn, Seung Yeop; Song, Jindong; Choi, Won Jun; Yoon, Euijoon

    2018-03-05

    In this paper, InAs 0.81 Sb 0.19 -based hetero-junction photovoltaic detector (HJPD) with an In 0.2 Al 0.8 Sb barrier layer was grown on GaAs substrates. By using technology computer aided design (TCAD), a design of a barrier layer that can achieve nearly zero valance band offsets was accomplished. A high quality InAs 0.81 Sb 0.19 epitaxial layer was obtained with relatively low threading dislocation density (TDD), calculated from a high-resolution X-ray diffraction (XRD) measurement. This layer showed a Hall mobility of 15,000 cm 2 /V⋅s, which is the highest mobility among InAsSb layers with an Sb composition of around 20% grown on GaAs substrates. Temperature dependence of dark current, photocurrent response and responsivity were measured and analyzed for fabricated HJPD. HJPD showed the clear photocurrent response having a long cutoff wavelength of 5.35 μm at room temperature. It was observed that the dark current of HJPDs is dominated by the diffusion limited current at temperatures ranging from 200K to room temperature from the dark current analysis. Peak responsivity of HJPDs exhibited the 1.18 A/W and 15 mA/W for 83K and a room temperature under zero bias condition even without anti-reflection coating (ARC). From these results, we believe that HJPDs could be an appropriate PD device for future compact and low power dissipation mid-infrared on-chip sensors and imaging devices.

  12. n-Type Doping of Vapor-Liquid-Solid Grown GaAs Nanowires.

    PubMed

    Gutsche, Christoph; Lysov, Andrey; Regolin, Ingo; Blekker, Kai; Prost, Werner; Tegude, Franz-Josef

    2011-12-01

    In this letter, n-type doping of GaAs nanowires grown by metal-organic vapor phase epitaxy in the vapor-liquid-solid growth mode on (111)B GaAs substrates is reported. A low growth temperature of 400°C is adjusted in order to exclude shell growth. The impact of doping precursors on the morphology of GaAs nanowires was investigated. Tetraethyl tin as doping precursor enables heavily n-type doped GaAs nanowires in a relatively small process window while no doping effect could be found for ditertiarybutylsilane. Electrical measurements carried out on single nanowires reveal an axially non-uniform doping profile. Within a number of wires from the same run, the donor concentrations ND of GaAs nanowires are found to vary from 7 × 10(17) cm(-3) to 2 × 10(18) cm(-3). The n-type conductivity is proven by the transfer characteristics of fabricated nanowire metal-insulator-semiconductor field-effect transistor devices.

  13. GaSb thermophotovoltaic cells grown on GaAs by molecular beam epitaxy using interfacial misfit arrays

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Juang, Bor-Chau, E-mail: bcjuang@ucla.edu; Laghumavarapu, Ramesh B.; Foggo, Brandon J.

    There exists a long-term need for foreign substrates on which to grow GaSb-based optoelectronic devices. We address this need by using interfacial misfit arrays to grow GaSb-based thermophotovoltaic cells directly on GaAs (001) substrates and demonstrate promising performance. We compare these cells to control devices grown on GaSb substrates to assess device properties and material quality. The room temperature dark current densities show similar characteristics for both cells on GaAs and on GaSb. Under solar simulation the cells on GaAs exhibit an open-circuit voltage of 0.121 V and a short-circuit current density of 15.5 mA/cm{sup 2}. In addition, the cells on GaAsmore » substrates maintain 10% difference in spectral response to those of the control cells over a large range of wavelengths. While the cells on GaSb substrates in general offer better performance than the cells on GaAs substrates, the cost-savings and scalability offered by GaAs substrates could potentially outweigh the reduction in performance. By further optimizing GaSb buffer growth on GaAs substrates, Sb-based compound semiconductors grown on GaAs substrates with similar performance to devices grown directly on GaSb substrates could be realized.« less

  14. Sidewall GaAs tunnel junctions fabricated using molecular layer epitaxy

    PubMed Central

    Ohno, Takeo; Oyama, Yutaka

    2012-01-01

    In this article we review the fundamental properties and applications of sidewall GaAs tunnel junctions. Heavily impurity-doped GaAs epitaxial layers were prepared using molecular layer epitaxy (MLE), in which intermittent injections of precursors in ultrahigh vacuum were applied, and sidewall tunnel junctions were fabricated using a combination of device mesa wet etching of the GaAs MLE layer and low-temperature area-selective regrowth. The fabricated tunnel junctions on the GaAs sidewall with normal mesa orientation showed a record peak current density of 35 000 A cm-2. They can potentially be used as terahertz devices such as a tunnel injection transit time effect diode or an ideal static induction transistor. PMID:27877466

  15. High Ms Fe16N2 thin film with Ag under layer on GaAs substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Allard Jr, Lawrence Frederick

    2016-01-01

    (001) textured Fe16N2 thin film with Ag under layer is successfully grown on GaAs substrate using a facing target sputtering (FTS) system. After post annealing, chemically ordered Fe16N2 phase is formed and detected by X-ray diffraction (XRD). High saturation magnetization (Ms) is measured by a vibrating sample magnetometer (VSM). In comparison with Fe16N2 with Ag under layer on MgO substrate and Fe16N2 with Fe under layer on GaAs substrate, the current layer structure shows a higher Ms value, with a magnetically softer feature in contrast to the above cases. In addition, X-ray photoelectron spectroscopy (XPS) is performed to characterize themore » binding energy of N atoms. To verify the role of strain that the FeN layer experiences in the above three structures, Grazing Incidence X-ray Diffraction (GIXRD) is conducted to reveal a large in-plane lattice constant due to the in-plane biaxial tensile strain. INTRODUCTION« less

  16. Fabrication and characterization of multi-layer InAs/InGaAs quantum dot p-i-n GaAs solar cells grown on silicon substrates

    NASA Astrophysics Data System (ADS)

    Omri, M.; Sayari, A.; Sfaxi, L.

    2018-01-01

    This paper reports on InAs/InGaAs quantum dot solar cells (QDSCs) deposited by molecular beam epitaxy (MBE) on (001) n-type silicon ( n-Si) substrates. In-situ RHEED measurements show that InAs/InGaAs QDs SC has a high crystalline structure. The dislocation density in the active layer of the InAs/InGaAs QDSC and the lattice mismatch in the GaAs layer can be reduced by using an Si rough surface buffer layer (RSi). To show the effect of the QD layers, a reference SC with the same p-i-n structure as the InAs/InGaAs QDSC, but without InAs QDs, is also grown. The two SCs were studied by sepectroscopic ellipsometry (SE), in the 1-6 eV photon energy range, photoluminescence and photocurrent measurements. The optical constants of the two devices are determined in the photon energy range 1-6 eV from the SE data. The dominant features in the dielectric function spectra at 3 and 4.5 eV are attributed, respectively, to the E 1 and E 2 critical point structures of GaAs and InAs. The low-temperature photoluminescence spectrum of the InAs/InGaAs QDSC shows ground-state emissions, respectively, from the relatively small QDs near 1081 nm and from the large QDs near 1126 nm. Photocurrent measurements confirm the improved absorption performance (up to 1200 nm) of the InAs QDs SC which is ascribed to the optical absorption from the InAs/InGaAs QDs and the Si substrate as demonstrated by SE and photoluminescence measurements.

  17. Photovoltaic Properties of p-Doped GaAs Nanowire Arrays Grown on n-Type GaAs(111)B Substrate

    PubMed Central

    2010-01-01

    We report on the molecular beam epitaxy growth of Au-assisted GaAs p-type-doped NW arrays on the n-type GaAs(111)B substrate and their photovoltaic properties. The samples are grown at different substrate temperature within the range from 520 to 580 °C. It is shown that the dependence of conversion efficiency on the substrate temperature has a maximum at the substrate temperature of 550 °C. For the best sample, the conversion efficiency of 1.65% and the fill factor of 25% are obtained. PMID:20672038

  18. Resonant electronic Raman scattering of below-gap states in molecular-beam epitaxy grown and liquid-encapsulated Czochralski grown GaAs

    NASA Astrophysics Data System (ADS)

    Fluegel, B.; Rice, A. D.; Mascarenhas, A.

    2018-05-01

    Resonant electronic Raman (ER) scattering is used to compare the below-gap excitations in molecular-beam epitaxially grown GaAs and in undoped semi-insulating GaAs substrates. The measurement geometry was designed to eliminate common measurement artifacts caused by the high optical transmission below the fundamental absorption edge. In epitaxial GaAs, ER is a clear Raman signal from the two-electron transitions of donors, eliminating an ambiguity encountered in previous results. In semi-insulating GaAs, ER occurs in a much broader dispersive band well below the bound exciton energies. The difference in the two materials may be due to the occupation of the substrate acceptor states in the presence of the midgap state EL2.

  19. Resonant electronic Raman scattering of below-gap states in molecular-beam epitaxy grown and liquid-encapsulated Czochralski grown GaAs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fluegel, B.; Rice, A. D.; Mascarenhas, A.

    Resonant electronic Raman (ER) scattering is used to compare the below-gap excitations in molecular-beam epitaxially grown GaAs and in undoped semi-insulating GaAs substrates. The measurement geometry was designed to eliminate common measurement artifacts caused by the high optical transmission below the fundamental absorption edge. In epitaxial GaAs, ER is a clear Raman signal from the two-electron transitions of donors, eliminating an ambiguity encountered in previous results. In semi-insulating GaAs, ER occurs in a much broader dispersive band well below the bound exciton energies. Furthermore, the difference in the two materials may be due to the occupation of the substrate acceptormore » states in the presence of the midgap state EL2.« less

  20. Heavily Sn-doped GaAs with abrupt doping profiles grown by migration-enhanced epitaxy at low temperatures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chavanapranee, Tosaporn; Horikoshi, Yoshiji

    The characteristics of heavily Sn-doped GaAs samples grown at 300 deg. C by a migration-enhanced epitaxy (MEE) technique are investigated in comparison with those of the samples grown by a conventional molecular-beam epitaxy (MBE) at 580 deg. C. While no discernible difference is observed in the low doping regime, the difference in doping characteristics between the MBE- and MEE-grown samples becomes apparent when the doping concentration exceeds 1x10{sup 19} cm{sup -3}. Sn atoms as high as 4x10{sup 21} cm{sup -3} can be incorporated into MEE-grown GaAs films, unlike the MBE-grown samples that have a maximum doping level limited around 1x10{supmore » 19} cm{sup -3}. Due to an effective suppression of Sn segregation in the MEE growth case, high quality GaAs films with abrupt high-concentration Sn-doping profiles are achieved with the doping concentrations of up to 2x10{sup 21} cm{sup -3}. It has been shown that even though a high concentration of Sn atoms is incorporated into the GaAs film, the electron concentration saturates at 6x10{sup 19} cm{sup -3} and then gradually decreases with Sn concentration. The uniform doping limitation, as well as the electron concentration saturation, is discussed by means of Hall-effect measurement, x-ray diffraction, and Raman scattering spectroscopy.« less

  1. Heteroepitaxial growth of GaAs on (100) Ge/Si using migration enhanced epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tanoto, H.; Loke, W. K.; Yoon, S. F.

    In this paper, heteroepitaxial growth of GaAs on nominal (100) Ge/Si substrate was investigated. The root-mean square surface roughness of the sample where the first few monolayers of the GaAs were nucleated by migration enhanced epitaxy (MEE) is four times smaller compared to the sample without such a process, indicating better surface planarity. From the (004) x-ray diffraction rocking curve measurement, the full width at half maximum of the GaAs layer nucleated by MEE is 40% lower compared to that of the GaAs layer without such a process, indicating better crystal quality. Furthermore, it was found that the sample wheremore » the GaAs layer was nucleated by MEE experienced early relaxation. As the MEE process promotes two-dimensional growth, the GaAs layer where nucleation was initiated by such a process has fewer islandlike formations. This leads to a pseudomorphically grown GaAs layer, which experiences higher strain compared to the GaAs layer with more islandlike formations, where most relaxation occurs on the free surface of the islands. Therefore, for the same layer thickness, the GaAs layer on (100) Ge/Si substrate where nucleation was initiated by MEE relaxed first.« less

  2. Design and fabrication of GaAs OMIST photodetector

    NASA Astrophysics Data System (ADS)

    Kang, Xuejun; Lin, ShiMing; Liao, Qiwei; Gao, Junhua; Liu, Shi'an; Cheng, Peng; Wang, Hongjie; Zhang, Chunhui; Wang, Qiming

    1998-08-01

    We designed and fabricated GaAs OMIST (Optical-controlled Metal-Insulator-Semiconductor Thyristor) device. Using oxidation of AlAs layer that is grown by MBE forms the Ultra- Thin semi-Insulating layer (UTI) of the GAAS OMIST. The accurate control and formation of high quality semi-insulating layer (AlxOy) are the key processes for fabricating GaAs OMIST. The device exhibits a current-controlled negative resistance region in its I-V characteristics. When illuminated, the major effect of optical excitation is the reduction of the switching voltage. If the GaAs OMIST device is biased at a voltage below its dark switching voltage Vs, sufficient incident light can switch OMIST from high impedance low current 'off' state to low impedance high current 'on' state. The absorbing material of OMIST is GaAS, so if the wavelength of incident light within 600 to approximately 850 nm can be detected effectively. It is suitable to be used as photodetector for digital optical data process. The other attractive features of GaAs OMIST device include suitable conducted current, switching voltage and power levels for OEIC, high switch speed and high sensitivity to light or current injection.

  3. Impact of stress relaxation in GaAsSb cladding layers on quantum dot creation in InAs/GaAsSb structures grown on GaAs (001)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bremner, S. P.; Ban, K.-Y.; Faleev, N. N.

    2013-09-14

    We describe InAs quantum dot creation in InAs/GaAsSb barrier structures grown on GaAs (001) wafers by molecular beam epitaxy. The structures consist of 20-nm-thick GaAsSb barrier layers with Sb content of 8%, 13%, 15%, 16%, and 37% enclosing 2 monolayers of self-assembled InAs quantum dots. Transmission electron microscopy and X-ray diffraction results indicate the onset of relaxation of the GaAsSb layers at around 15% Sb content with intersected 60° dislocation semi-loops, and edge segments created within the volume of the epitaxial structures. 38% relaxation of initial elastic stress is seen for 37% Sb content, accompanied by the creation of amore » dense net of dislocations. The degradation of In surface migration by these dislocation trenches is so severe that quantum dot formation is completely suppressed. The results highlight the importance of understanding defect formation during stress relaxation for quantum dot structures particularly those with larger numbers of InAs quantum-dot layers, such as those proposed for realizing an intermediate band material.« less

  4. Temperature Dependences of the Product of the Differential Resistance by the Area in MIS-Structures Based on Cd x Hg1- x Te Grown by Molecularbeam Epitaxy on Alternative Si and GaAs Substrates

    NASA Astrophysics Data System (ADS)

    Voitsekhovskii, A. V.; Nesmelov, S. N.; Dzyadukh, S. M.; Varavin, V. S.; Vasil'ev, V. V.; Dvoretskii, S. A.; Mikhailov, N. N.; Yakushev, M. V.; Sidorov, G. Yu.

    2017-06-01

    In a temperature range of 9-200 K, temperature dependences of the differential resistance of space-charge region in the strong inversion mode are experimentally studied for MIS structures based on CdxHg1-xTe (x = 0.22-0.40) grown by molecular-beam epitaxy. The effect of various parameters of structures: the working layer composition, the type of a substrate, the type of insulator coating, and the presence of a near-surface graded-gap layer on the value of the product of differential resistance by the area is studied. It is shown that the values of the product RSCRA for MIS structures based on n-CdHgTe grown on a Si(013) substrate are smaller than those for structures based on the material grown on a GaAs(013) substrate. The values of the product RSCRA for MIS structures based on p-CdHgTe grown on a Si(013) substrate are comparable with the value of the analogous parameter for MIS structures based on p-CdHgTe grown on a GaAs(013) substrate.

  5. Tolerance of GaAs as an original substrate for HVPE growth of free standing GaN

    NASA Astrophysics Data System (ADS)

    Suzuki, Mio; Sato, T.; Suemasu, T.; Hasegawa, F.

    2004-09-01

    In order to investigate possibility of thick GaN growth on a GaAs substrate by halide vapar phase epitaxy (HVPE), GaN was grown on GaAs(111)/Ti wafer with Ti deposited by E-gun. It was found that surface treatment of the GaAs substrate by HF solution deteriorated greatly the tolerence of GaAs and that Ti can protected GaAs from erosion by NH3. By depositing Ti on GaAs(111)A surface, a millor-like GaN layer could be grown at 1000 °C for 1 hour without serious deterioration of the original GaAs substrate. By increasing the growth rate, a thick free standing GaN will be obtained with GaAs as an original substrate in near future.

  6. Periodic Two-Dimensional GaAs and InGaAs Quantum Rings Grown on GaAs (001) by Droplet Epitaxy.

    PubMed

    Tung, Kar Hoo Patrick; Huang, Jian; Danner, Aaron

    2016-06-01

    Growth of ordered GaAs and InGaAs quantum rings (QRs) in a patterned SiO2 nanohole template by molecular beam epitaxy (MBE) using droplet epitaxy (DE) process is demonstrated. DE is an MBE growth technique used to fabricate quantum nanostructures of high crystal quality by supplying group III and group V elements in separate phases. In this work, ordered QRs grown on an ordered nanohole template are compared to self-assembled QRs grown with the same DE technique without the nanohole template. This study allows us to understand and compare the surface kinetics of Ga and InGa droplets when a template is present. It is found that template-grown GaAs QRs form clustered rings which can be attributed to low mobility of Ga droplets resulting in multiple nucleation sites for QR formation when As is supplied. However, the case of template-grown InGaAs QRs only one ring is formed per nanohole; no clustering is observed. The outer QR diameter is a close match to the nanohole template diameter. This can be attributed to more mobile InGa droplets, which coalesce from an Ostwald ripening to form a single large droplet before As is supplied. Thus, well-patterned InGaAs QRs are demonstrated and the kinetics of their growth are better understood which could potentially lead to improvements in the future devices that require the unique properties of patterned QRs.

  7. Effect of thermal annealing on the photoluminescence of structures with InGaAs/GaAs quantum wells and a low-temperature GaAs layer δ-doped with Mn

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kalentyeva, I. L.; Vikhrova, O. V., E-mail: istery@rambler.ru; Danilov, Yu. A.

    2016-11-15

    The effects of isochronal thermal annealing (at 325–725°C) on the radiative properties of InGaAs/GaAs nanoheterostructures containing a low-temperature GaAs layer δ-doped with Mn grown by laser deposition are studied. A decrease in the photoluminescence intensity and increase in the ground transition energy are observed upon thermal impact for quantum wells located near the low-temperature GaAs layer. The distribution of Mn atoms in the initial and annealed structures is obtained by secondary-ion mass spectrometry. A qualitative model of the observed effects of thermal annealing on the radiative properties of the structures is discussed; this model takes into account two main processes:more » diffusion of point defects (primarily gallium vacancies) from the GaAs coating layer deep into the structure and Mn diffusion in both directions by the dissociation mechanism. Magnetization studies show that, as a result of thermal annealing, an increase in the proportion of the ferromagnetic phase at room temperature (presumably, MnAs clusters) in the low-temperature GaAs coating layer takes place.« less

  8. Vertically aligned GaAs nanowires on graphite and few-layer graphene: generic model and epitaxial growth.

    PubMed

    Munshi, A Mazid; Dheeraj, Dasa L; Fauske, Vidar T; Kim, Dong-Chul; van Helvoort, Antonius T J; Fimland, Bjørn-Ove; Weman, Helge

    2012-09-12

    By utilizing the reduced contact area of nanowires, we show that epitaxial growth of a broad range of semiconductors on graphene can in principle be achieved. A generic atomic model is presented which describes the epitaxial growth configurations applicable to all conventional semiconductor materials. The model is experimentally verified by demonstrating the growth of vertically aligned GaAs nanowires on graphite and few-layer graphene by the self-catalyzed vapor-liquid-solid technique using molecular beam epitaxy. A two-temperature growth strategy was used to increase the nanowire density. Due to the self-catalyzed growth technique used, the nanowires were found to have a regular hexagonal cross-sectional shape, and are uniform in length and diameter. Electron microscopy studies reveal an epitaxial relationship of the grown nanowires with the underlying graphitic substrates. Two relative orientations of the nanowire side-facets were observed, which is well explained by the proposed atomic model. A prototype of a single GaAs nanowire photodetector demonstrates a high-quality material. With GaAs being a model system, as well as a very useful material for various optoelectronic applications, we anticipate this particular GaAs nanowire/graphene hybrid to be promising for flexible and low-cost solar cells.

  9. Investigation of ZnSe-coated silicon substrates for GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Huber, Daniel A.; Olsen, Larry C.; Dunham, Glen; Addis, F. William

    1993-01-01

    Studies are being carried out to determine the feasibility of using ZnSe as a buffer layer for GaAs solar cells grown on silicon. This study was motivated by reports in the literature indicating ZnSe films had been grown by metallorganic chemical vapor deposition (MOCVD) onto silicon with EPD values of 2 x 10(exp 5) cm(sup -2), even though the lattice mismatch between silicon and ZnSe is 4.16 percent. These results combined with the fact that ZnSe and GaAs are lattice matched to within 0.24 percent suggest that the prospects for growing high efficiency GaAs solar cells onto ZnSe-coated silicon are very good. Work to date has emphasized development of procedures for MOCVD growth of (100) ZnSe onto (100) silicon wafers, and subsequent growth of GaAs films on ZnSe/Si substrates. In order to grow high quality single crystal GaAs with a (100) orientation, which is desirable for solar cells, one must grow single crystal (100) ZnSe onto silicon substrates. A process for growth of (100) ZnSe was developed involving a two-step growth procedure at 450 C. Single crystal, (100) GaAs films were grown onto the (100) ZnSe/Si substrates at 610 C that are adherent and specular. Minority carrier diffusion lengths for the GaAs films grown on ZnSe/Si substrates were determined from photoresponse properties of Al/GaAs Schottky barriers. Diffusion lengths for n-type GaAs films are currently on the order of 0.3 microns compared to 2.0 microns for films grown simultaneously by homoepitaxy.

  10. Optical properties of beryllium-doped GaSb epilayers grown on GaAs substrate

    NASA Astrophysics Data System (ADS)

    Deng, Zhuo; Chen, Baile; Chen, Xiren; Shao, Jun; Gong, Qian; Liu, Huiyun; Wu, Jiang

    2018-05-01

    In this work, the effects of p-type beryllium (Be) doping on the optical properties of GaSb epilayers grown on GaAs substrate by Molecular Beam Epitaxy (MBE) have been studied. Temperature- and excitation power-dependent photoluminescence (PL) measurements were performed on both nominally undoped and intentionally Be-doped GaSb layers. Clear PL emissions are observable even at the temperature of 270 K from both layers, indicating the high material quality. In the Be-doped GaSb layer, the transition energies of main PL features exhibit red-shift up to ∼7 meV, and the peak widths characterized by Full-Width-at-Half-Maximum (FWHM) also decrease. In addition, analysis on the PL integrated intensity in the Be-doped sample reveals a gain of emission signal, as well as a larger carrier thermal activation energy. These distinctive PL behaviors identified in the Be-doped GaSb layer suggest that the residual compressive strain is effectively relaxed in the epilayer, due possibly to the reduction of dislocation density in the GaSb layer with the intentional incorporation of Be dopants. Our results confirm the role of Be as a promising dopant in the improvement of crystalline quality in GaSb, which is a crucial factor for growth and fabrication of high quality strain-free GaSb-based devices on foreign substrates.

  11. Ultra-thin GaAs single-junction solar cells integrated with a reflective back scattering layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Weiquan; Becker, Jacob; Liu, Shi

    2014-05-28

    This paper reports the proposal, design, and demonstration of ultra-thin GaAs single-junction solar cells integrated with a reflective back scattering layer to optimize light management and minimize non-radiative recombination. According to our recently developed semi-analytical model, this design offers one of the highest potential achievable efficiencies for GaAs solar cells possessing typical non-radiative recombination rates found among commercially available III-V arsenide and phosphide materials. The structure of the demonstrated solar cells consists of an In{sub 0.49}Ga{sub 0.51}P/GaAs/In{sub 0.49}Ga{sub 0.51}P double-heterostructure PN junction with an ultra-thin 300 nm thick GaAs absorber, combined with a 5 μm thick Al{sub 0.52}In{sub 0.48}P layer with amore » textured as-grown surface coated with Au used as a reflective back scattering layer. The final devices were fabricated using a substrate-removal and flip-chip bonding process. Solar cells with a top metal contact coverage of 9.7%, and a MgF{sub 2}/ZnS anti-reflective coating demonstrated open-circuit voltages (V{sub oc}) up to 1.00 V, short-circuit current densities (J{sub sc}) up to 24.5 mA/cm{sup 2}, and power conversion efficiencies up to 19.1%; demonstrating the feasibility of this design approach. If a commonly used 2% metal grid coverage is assumed, the anticipated J{sub sc} and conversion efficiency of these devices are expected to reach 26.6 mA/cm{sup 2} and 20.7%, respectively.« less

  12. Raman scattering studies of strain effects in (100) and (311)B GaAs1-xBix epitaxial layers

    NASA Astrophysics Data System (ADS)

    Steele, J. A.; Lewis, R. A.; Henini, M.; Lemine, O. M.; Alkaoud, A.

    2013-11-01

    We report room-temperature Raman studies of strained (100) and (311)B GaAs1-xBix epitaxial layers for x ≤ 0.039. The Raman spectra exhibit a two-mode behavior, as well as disorder-activated GaAs-like phonons. The experimental results show that the GaAs-like LO(Γ) mode experiences a strong composition-dependent redshift as a result of alloying. The peak frequency decreases linearly from the value for pure GaAs (˜293 cm-1) with the alloyed Bi fraction x and the introduced in-plane lattice strain ɛ∥, by ΔωLO=Δωalloy-Δωstrain. X-ray diffraction measurements are used to determine x and ɛ∥ allowing Δωalloy to be decoupled and is estimated to be -12(±4) cm-1/x for (100) GaAs1-xBix. ΔωLO is measured to be roughly double for samples grown on (311)B-oriented substrates to that of (100) GaAs. This large difference in redshift is accounted for by examining the Bi induced strain, effects from alloying, and defects formed during high-index (311)B crystal growth.

  13. InGaAs quantum dots grown on B-type high index GaAs substrates: surface morphologies and optical properties

    NASA Astrophysics Data System (ADS)

    Liang, B. L.; Wang, Zh M.; Mazur, Yu I.; Strelchuck, V. V.; Holmes, K.; Lee, J. H.; Salamo, G. J.

    2006-06-01

    We systematically investigated the correlation between morphological and optical properties of InGaAs self-assembled quantum dots (QDs) grown by solid-source molecular beam epitaxy on GaAs (n 11)B (n = 9, 8, 7, 5, 3, 2) substrates. Remarkably, all InGaAs QDs on GaAs(n 11)B under investigation show optical properties superior to those for ones on GaAs(100) as regards the photoluminescence (PL) linewidth and intensity. The morphology for growth of InGaAs QDs on GaAs (n 11)B, where n = 9, 8, 7, 5, is observed to have a rounded shape with a higher degree of lateral ordering than that on GaAs(100). The optical property and the lateral ordering are best for QDs grown on a (511)B substrate surface, giving a strong correlation between lateral ordering and PL optical quality. Our results demonstrate the potential for high quality InGaAs QDs on GaAs(n 11)B for optoelectronic applications.

  14. Scanning tunneling microscope study of GaAs(001) surfaces grown by migration enhanced epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, J.; Gallagher, M.C.; Willis, R.F.

    We report an investigation of the morphology of p-type GaAs(001) surfaces using scanning tunneling microscopy (STM). The substrates were prepared using two methods: migration enhanced epitaxy (MEE) and standard molecular-beam epitaxy (MBE). The STM measurements were performed ex situ using As decapping. Analysis indicates that the overall step density of the MEE samples decreases as the growth temperature is increased. Nominally flat samples grown at 300{degrees}C exhibited step densities of 10.5 steps/1000 {Angstrom} along [ 110] dropping to 2.5 steps at 580{degrees}C. MEE samples exhibited a lower step density than MBE samples. However as-grown surfaces exhibited a larger distribution ofmore » step heights. Annealing the samples reduced the step height distribution exposing fewer atomic layers. Samples grown by MEE at 580{degrees}C and annealed for 2 min displayed the lowest step density and the narrowest step height distribution. All samples displayed an anisotropic step density. We found a ratio of A-type to B-type steps of between 2 and 3 which directly reflects the difference in the incorporation energy at steps. The aspect ratio increased slightly with growth temperature. We found a similar aspect ratio on samples grown by MBE. This indicates that anisotropic growth during MEE, like MBE, is dominated by incorporation kinetics. MEE samples grown at 580{degrees}C and capped immediately following growth exhibited a number of {open_quotes}holes{close_quotes} in the surface. The holes could be eliminated by annealing the surface prior to quenching. 20 refs., 3 figs., 1 tab.« less

  15. Carbon reactivation kinetics in GaAs: Its dependence on dopant precursor, doping level, and layer thickness

    NASA Astrophysics Data System (ADS)

    Mimila-Arroyo, J.; Bland, S.; Barbé, M.

    2002-05-01

    The reactivation kinetics of the acceptor behavior of carbon, its dependence on dopant precursors, doping level, layer thickness, and annealing temperature, as well as the behavior of carbon-hydrogen complexes in GaAs grown by metalorganic chemical vapor deposition are studied. Independent of the carbon source, in the "as grown" material, systematically carbon hydrogen complexes are present and the hole concentration is lower than the corresponding carbon concentration. The carbon reactivation kinetics was achieved by ex situ rapid thermal annealing through a series of multistage annealing experiments and assessed at each annealing stage by infrared absorption, hydrogen secondary ion mass spectroscopy profiling, and hole concentration measurements. Carbon reactivation occurs solely by the debonding of hydrogen from the isolated carbon acceptor and its out-diffusion from the sample. The carbon reactivation kinetics can be treated as a first order one with an activation energy, Ea=1.42±0.01 eV, independent of doping precursors, doping level, and layer thickness. The reactivation constant results to decrease as doping level and layer thickness increase. An empirical formula has been obtained that allows one to calculate the reactivation constant as a function of the carbon doping, layer thickness, and annealing temperature, allowing one to determine the optimal carbon reactivation conditions for any C:GaAs layer.

  16. Terahertz-radiation generation and detection in low-temperature-grown GaAs epitaxial films on GaAs (100) and (111)A substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Galiev, G. B.; Pushkarev, S. S., E-mail: s-s-e-r-p@mail.ru; Buriakov, A. M.

    The efficiency of the generation and detection of terahertz radiation in the range up to 3 THz by LT-GaAs films containing equidistant Si doping δ layers and grown by molecular beam epitaxy on GaAs (100) and (111)Ga substrates is studied by terahertz spectroscopy. Microstrip photoconductive antennas are fabricated on the film surface. Terahertz radiation is generated by exposure of the antenna gap to femtosecond optical laser pulses. It is shown that the intensity of terahertz radiation from the photoconductive antenna on LT-GaAs/GaAs (111)Ga is twice as large as the intensity of a similar antenna on LT-GaAs/GaAs(100) and the sensitivity ofmore » the antenna on LT-GaAs/GaAs (111)Ga as a terahertz-radiation detector exceeds that of the antenna on LT-GaAs/GaAs(100) by a factor of 1.4.« less

  17. Molecular beam epitaxial growth and structural characterization of ZnS on (001) GaAs

    NASA Technical Reports Server (NTRS)

    Benz, R. G., II; Huang, P. C.; Stock, S. R.; Summers, C. J.

    1988-01-01

    The effect of surface nucleation processes on the quality of ZnS layers grown on (001) GaAs substrates by molecular beam epitaxy is reported. Reflection high energy electron diffraction indicated that nucleation at high temperatures produced more planar surfaces than nucleation at low temperatures, but the crystalline quality as assessed by X-ray double crystal diffractometry is relatively independent of nucleation temperature. A critical factor in layer quality was the initial roughness of the GaAs surfaces.

  18. Wafer-Fused Orientation-Patterned GaAs

    DTIC Science & Technology

    2008-02-13

    frequencies utilizing existing industrial foundries. 15. SUBJECT TERMS Orientation-patterned Gallium Arsenide, hydride vapor phase epitaxy, quasi-phase... Gallium Arsenide, hydride vapor phase epitaxy, quasi-phase-matching, nonlinear frequency conversion 1. INTRODUCTION Quasi-phase-matching (QPM)1...and E. Lallier, “Second harmonic generation of CO2 laser using thick quasi-phase-matched GaAs layer grown by hydride vapour phase epitaxy

  19. Molecular beam epitaxial growth of high-quality InSb on InP and GaAs substrates

    NASA Technical Reports Server (NTRS)

    Oh, J. E.; Bhattacharya, P. K.; Chen, Y. C.; Tsukamoto, S.

    1989-01-01

    Epitaxial layers of InSb were grown on InP and GaAs substrates by molecular beam epitaxy. The dependence of the epilayer quality on flux ratio, J sub Sb4/J sub In, was studied. Deviation from an optimum value of J sub Sb4/J sub In (approx. 2) during growth led to deterioration in the surface morphology and the electrical and crystalline qualities of the films. Room temperature electron mobilities as high as 70,000 and 53,000 sq cm /V-s were measured in InSb layers grown on InP and GaAs substrates, respectively. Unlike the previous results, the conductivity in these films is n-type even at T = 13 K, and no degradation of the electron mobility due to the high density of dislocations was observed. The measured electron mobilities (and carrier concentrations) at 77 K in InSb layers grown on InP and GaAs substrates are 110,000 sq cm/V-s (3 x 10(15) cm(-3)) and 55,000 sq cm/V-s (4.95 x 10(15) cm(-3)), respectively, suggesting their application to electronic devices at cryogenic temperatures.

  20. Investigation of the fabrication mechanism of self-assembled GaAs quantum rings grown by droplet epitaxy.

    PubMed

    Tong, C Z; Yoon, S F

    2008-09-10

    We have directly imaged the formation of a GaAs quantum ring (QR) using droplet epitaxy followed by annealing in arsenic ambient. Based on the atomic force micrograph measurement and the analysis of surface energy, we determine that the formation of self-assembled GaAs QRs is due to the gallium atom's diffusion and crystallization driven by the gradient of surface energy. The phenomenon that GaAs is etched by the gallium droplets is reported and analyzed. It has been demonstrated that the epitaxy layers, such as AlAs and InGaP, can be used as the etching stop layer and hence can be used to control the shape and height of the QRs.

  1. GaAs Photovoltaics on Polycrystalline Ge Substrates

    NASA Technical Reports Server (NTRS)

    Wilt, David M.; Pal, AnnaMaria T.; McNatt, Jeremiah S.; Wolford, David S.; Landis, Geoffrey A.; Smith, Mark A.; Scheiman, David; Jenkins, Phillip P.; McElroy Bruce

    2007-01-01

    High efficiency III-V multijunction solar cells deposited on metal foil or even polymer substrates can provide tremendous advantages in mass and stowage, particularly for planetary missions. As a first step towards that goal, poly-crystalline p/i/n GaAs solar cells are under development on polycrystalline Ge substrates. Organo Metallic Vapor Phase Epitaxy (OMVPE) parameters for pre-growth bake, nucleation and deposition have been examined. Single junction p/i/n GaAs photovoltaic devices, incorporating InGaP front and back window layers, have been grown and processed. Device performance has shown a dependence upon the thickness of a GaAs buffer layer deposited between the Ge substrate and the active device structure. A thick (2 m) GaAs buffer provides for both increased average device performance as well as reduced sensitivity to variations in grain size and orientation. Illumination under IR light (lambda > 1 micron), the cells showed a Voc, demonstrating the presence of an unintended photoactive junction at the GaAs/Ge interface. The presence of this junction limited the efficiency to approx.13% (estimated with an anti-refection coating) due to the current mismatch and lack of tunnel junction interconnect.

  2. Properties of CsI, CsBr and GaAs thin films grown by pulsed laser deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Brendel, V M; Garnov, S V; Yagafarov, T F

    2014-09-30

    CsI, CsBr and GaAs thin films have been grown by pulsed laser deposition on glass substrates. The morphology and structure of the films have been studied using X-ray diffraction and scanning electron microscopy. The CsI and CsBr films were identical in stoichiometry to the respective targets and had a polycrystalline structure. Increasing the substrate temperature led to an increase in the density of the films. All the GaAs films differed in stoichiometry from the target. An explanation was proposed for this fact. The present results demonstrate that, when the congruent transport condition is not fulfilled, films identical in stoichiometry tomore » targets can be grown by pulsed laser deposition in the case of materials with a low melting point and thermal conductivity. (interaction of laser radiation with matter)« less

  3. Room temperature lasing of GaAs quantum wire vertical-cavity surface-emitting lasers grown on (7 7 5) B GaAs substrates by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Higuchi, Y.; Osaki, S.; Kitada, T.; Shimomura, S.; Takasuka, Y.; Ogura, M.; Hiyamizu, S.

    2006-06-01

    Self-organized GaAs/(GaAs) 4(AlAs) 2 quantum wires (QWRs) grown on (7 7 5) B-oriented GaAs substrates by molecular beam epitaxy have been applied to an active region of vertical-cavity surface-emitting lasers (VCSELs). The (7 7 5) B GaAs QWR-VCSEL with an aperture diameter of 3 μm lased at a wavelength of 765 nm with a threshold current of 0.38 mA at room temperature. This is the first demonstration of laser operation of the QWR-VCSEL by current injection. The light output was linearly polarized in the direction parallel to the QWRs due to the optical anisotropy of the self-organized (7 7 5) B GaAs QWRs.

  4. Strain relaxation in convex-graded InxAl1-xAs (x = 0.05-0.79) metamorphic buffer layers grown by molecular beam epitaxy on GaAs(001)

    NASA Astrophysics Data System (ADS)

    Solov'ev, V. A.; Chernov, M. Yu; Baidakova, M. V.; Kirilenko, D. A.; Yagovkina, M. A.; Sitnikova, A. A.; Komissarova, T. A.; Kop'ev, P. S.; Ivanov, S. V.

    2018-01-01

    This paper presents a study of structural properties of InGaAs/InAlAs quantum well (QW) heterostructures with convex-graded InxAl1-xAs (x = 0.05-0.79) metamorphic buffer layers (MBLs) grown by molecular beam epitaxy on GaAs substrates. Mechanisms of elastic strain relaxation in the convex-graded MBLs were studied by the X-ray reciprocal space mapping combined with the data of spatially-resolved selected area electron diffraction implemented in a transmission electron microscope. The strain relaxation degree was approximated for the structures with different values of an In step-back. Strong contribution of the strain relaxation via lattice tilt in addition to the formation of the misfit dislocations has been observed for the convex-graded InAlAs MBL, which results in a reduced threading dislocation density in the QW region as compared to a linear-graded MBL.

  5. Superconducting Ga/GaSe layers grown by van der Waals epitaxy

    NASA Astrophysics Data System (ADS)

    Desrat, W.; Moret, M.; Briot, O.; Ngo, T.-H.; Piot, B. A.; Jabakhanji, B.; Gil, B.

    2018-04-01

    We report on the growth of GaSe films by molecular beam epitaxy on both (111)B GaAs and sapphire substrates. X-ray diffraction reveals the perfect crystallinity of GaSe with the c-axis normal to the substrate surface. The samples grown under Ga rich conditions possess an additional gallium film on top of the monochalcogenide layer. This metallic film shows two normal-to-superconducting transitions which are detected at T c ≈ 1.1 K and 6.0 K. They correspond likely to the β and α-phases of gallium in the form of bulk and droplets respectively. Our results demonstrate that van der Waals epitaxy can lead to future high quality hybrid superconductor/monochalcogenide heterostructures.

  6. The ZnSe(110) puzzle - Comparison with GaAs(110)

    NASA Technical Reports Server (NTRS)

    Duke, C. B.; Paton, A.; Kahn, A.; Tu, D.-W.

    1984-01-01

    The surface structure of monocrystalline ZnSe(110) and of 4-5-nm-thick ZnSe(110) layers epitaxially grown on GaAs(110) is investigated by means of elastic LEED and AES; the results are analyzed using the computer programs and R-factor methods of Duke et al. (1981 and 1983), presented in graphs and tables, and compared to those for GaAs(110). Significant differences are attributed to bond-length-conserving outward rotation of Se and inward rotation of Zn in the top layer, with an angle of 4 deg between the actual plane of the cation-anion chain and the truncated bulk surface. The R intensities measured for ZnSe(110) and GaAs(110) are given as Rx = 0.22 and RI = 0.21 and Rx = 0.24 and RI = 0.16, respectively.

  7. Ferromagnetic GaAs structures with single Mn delta-layer fabricated using laser deposition.

    PubMed

    Danilov, Yuri A; Vikhrova, Olga V; Kudrin, Alexey V; Zvonkov, Boris N

    2012-06-01

    The new technique combining metal-organic chemical vapor epitaxy with laser ablation of solid targets was used for fabrication of ferromagnetic GaAs structures with single Mn delta-doped layer. The structures demonstrated anomalous Hall effect, planar Hall effect, negative and anisotropic magnetoresistance in temperature range of 10-35 K. In GaAs structures with only single Mn delta-layer (without additional 2D hole gas channel or quantum well) ferromagnetism was observed for the first time.

  8. Influences of ultrathin amorphous buffer layers on GaAs/Si grown by metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Hu, Haiyang; Wang, Jun; Cheng, Zhuo; Yang, Zeyuan; Yin, Haiying; Fan, Yibing; Ma, Xing; Huang, Yongqing; Ren, Xiaomin

    2018-04-01

    In this work, a technique for the growth of GaAs epilayers on Si, combining an ultrathin amorphous Si buffer layer and a three-step growth method, has been developed to achieve high crystalline quality for monolithic integration. The influences of the combined technique for the crystalline quality of GaAs on Si are researched in this article. The crystalline quality of GaAs epilayer on Si with the combined technique is investigated by scanning electron microscopy, double crystal X-ray diffraction (DCXRD), photoluminescence, and transmission electron microscopy measurements. By means of this technique, a 1.8-µm-thick high-quality GaAs/Si epilayer was grown by metal-organic chemical vapor deposition. The full-width at half-maximum of the DCXRD rocking curve in the (400) reflection obtained from the GaAs/Si epilayers is about 163 arcsec. Compared with only using three-step growth method, the current technique reduces etch pit density from 3 × 106 cm-2 to 1.5 × 105 cm-2. The results demonstrate that the combined technique is an effective approach for reducing dislocation density in GaAs epilayers on Si.

  9. Lateral epitaxial overgowth of GaAs by organometallic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Gale, R. P.; Mcclelland, R. W.; Fan, J. C. C.; Bozler, C. O.

    1982-01-01

    Lateral epitaxial overgrowth of GaAs by organometallic chemical vapor deposition has been demonstrated. Pyrolytic decomposition of trimethylgallium and arsine, without the use of HCl, was used to deposit GaAs on substrates prepared by coating (110) GaAs wafers with SiO2, then using photolithography to open narrow stripes in the oxide. Lateral overgrowth was seeded by epitaxial deposits formed on the GaAs surfaces exposed by the stripe openings. The extent of lateral overgrowth was investigated as a function of stripe orientation and growth temperature. Ratios of lateral to vertical growth rates greater than five have been obtained. The lateral growth is due to surface-kinetic control for the two-dimensional growth geometry studied. A continuous epitaxial GaAs layer 3 microns thick has been grown over a patterned mask on a GaAs substrate and then cleaved from the substrate.

  10. Effect of Sb in thick InGaAsSbN layers grown by liquid phase epitaxy

    NASA Astrophysics Data System (ADS)

    Donchev, V.; Milanova, M.; Asenova, I.; Shtinkov, N.; Alonso-Álvarez, D.; Mellor, A.; Karmakov, Y.; Georgiev, S.; Ekins-Daukes, N.

    2018-02-01

    Dilute nitride InGaAsSbN layers grown by low-temperature liquid phase epitaxy are studied in comparison with quaternary InGaAsN layers grown at the same growth conditions to understand the effect of Sb in the alloy. The lattice mismatch to the GaAs substrate is found to be slightly larger for the InGaAsSbN layers, which is explained by the large atomic radius of Sb. A reduction of the band gap energy with respect to InGaAsN is demonstrated by means of photoluminescence (PL), surface photovoltage (SPV) spectroscopy and tight-binding calculations. The band-gap energies determined from PL and ellipsometry measurements are in good agreement, while the SPV spectroscopy and the tight-binding calculations provide lower values. Possible reasons for these discrepancies are discussed. The PL spectra reveal localized electronic states in the band gap near the conduction band edge, which is confirmed by SPV spectroscopy. The analysis of the power dependence of the integrated PL has allowed determining the dominant radiative recombination mechanisms in the layers. The values of the refraction index in a wide spectral region are found to be higher for the Sb containing layers.

  11. Structural and optical characterization of GaAs nano-crystals selectively grown on Si nano-tips by MOVPE.

    PubMed

    Skibitzki, Oliver; Prieto, Ivan; Kozak, Roksolana; Capellini, Giovanni; Zaumseil, Peter; Arroyo Rojas Dasilva, Yadira; Rossell, Marta D; Erni, Rolf; von Känel, Hans; Schroeder, Thomas

    2017-03-01

    We present the nanoheteroepitaxial growth of gallium arsenide (GaAs) on nano-patterned silicon (Si) (001) substrates fabricated using a CMOS technology compatible process. The selective growth of GaAs nano-crystals (NCs) was achieved at 570 °C by MOVPE. A detailed structure and defect characterization study of the grown nano-heterostructures was performed using scanning transmission electron microscopy, x-ray diffraction, micro-Raman, and micro-photoluminescence (μ-PL) spectroscopy. The results show single-crystalline, nearly relaxed GaAs NCs on top of slightly, by the SiO 2 -mask compressively strained Si nano-tips (NTs). Given the limited contact area, GaAs/Si nanostructures benefit from limited intermixing in contrast to planar GaAs films on Si. Even though a few growth defects (e.g. stacking faults, micro/nano-twins, etc) especially located at the GaAs/Si interface region were detected, the nanoheterostructures show intensive light emission, as investigated by μ-PL spectroscopy. Achieving well-ordered high quality GaAs NCs on Si NTs may provide opportunities for superior electronic, photonic, or photovoltaic device performances integrated on the silicon technology platform.

  12. Carbon Doping of Compound Semiconductor Epitaxial Layers Grown by Metalorganic Chemical Vapor Deposition Using Carbon Tetrachloride.

    NASA Astrophysics Data System (ADS)

    Cunningham, Brian Thomas

    1990-01-01

    A dilute mixture of CCl_4 in high purity H_2 has been used as a carbon dopant source for rm Al_ {x}Ga_{1-x}As grown by low pressure metalorganic chemical vapor deposition (MOCVD). To understand the mechanism for carbon incorporation from CCl_4 doping and to provide experimental parameters for the growth of carbon doped device structures, the effects of various crystal growth parameters on CCl _4 doping have been studied, including growth temperature, growth rate, V/III ratio, Al composition, and CCl_4 flow rate. Although CCl _4 is an effective p-type dopant for MOCVD rm Al_{x}Ga_ {1-x}As, injection of CCl_4 into the reactor during growth of InP resulted in no change in the carrier concentration or carbon concentration. Abrupt, heavy carbon doping spikes in GaAs have been obtained using CCl_4 without a dopant memory effect. By annealing samples with carbon doping spikes grown within undoped, n-type, and p-type GaAs, the carbon diffusion coefficient in GaAs at 825 ^circC has been estimated and has been found to depend strongly on the GaAs background doping. Heavily carbon doped rm Al_{x}Ga _{1-x}As/GaAs superlattices have been found to be more stable against impurity induced layer disordering (IILD) than Mg or Zn doped superlattices, indicating that the low carbon diffusion coefficient limits the IILD process. Carbon doping has been used in the base region on an Npn AlGaAs/GaAs heterojunction bipolar transistor (HBT). Transistors with 3 x 10 μm self-aligned emitter fingers have been fabricated which exhibit a current gain cutoff frequency of f_ {rm t} = 26 GHz.

  13. Characterization of reclaimed GaAs substrates and investigation of reuse for thin film InGaAlP LED epitaxial growth

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Englhard, M.; Klemp, C.; Behringer, M.

    This study reports a method to reuse GaAs substrates with a batch process for thin film light emitting diode (TF-LED) production. The method is based on an epitaxial lift-off technique. With the developed reclaim process, it is possible to get an epi-ready GaAs surface without additional time-consuming and expensive grinding/polishing processes. The reclaim and regrowth process was investigated with a one layer epitaxial test structure. The GaAs surface was characterized by an atomic force microscope directly after the reclaim process. The crystal structure of the regrown In{sub 0.5}(Ga{sub 0.45}Al{sub 0.55}){sub 0.5}P (Q{sub 55}) layer was investigated by high resolution x-raymore » diffraction and scanning transmission electron microscopy. In addition, a complete TF-LED grown on reclaimed GaAs substrates was electro-optically characterized on wafer level. The crystal structure of the epitaxial layers and the performance of the TF-LED grown on reclaimed substrates are not influenced by the developed reclaim process. This process would result in reducing costs for LEDs and reducing much arsenic waste for the benefit of a green semiconductor production.« less

  14. Shubnikov-de Haas measurements of the 2-D electron gas in pseudomorphic In(0.1)Ga(0.9)As grown on GaAs

    NASA Technical Reports Server (NTRS)

    Szydlic, P. P.; Alterovitz, S. A.; Haugland, E. J.; Segall, B.; Henderson, T. S.

    1988-01-01

    Shubnikov-de Hass (SdH) measurements performed on a 200 A layer of pseudomorphic In(0.10)Ga(0.90)As grown by MBE on undoped GaAs with an overlayer of Al(0.15)Ga(0.85)As are presented. These measurements were performed in magnetic fields up to 1.4 tesla at T in the range of 1.4-10 K. It was found that only one subband was populated with a density of 5.8 x 10 to the 11/cm-squared and an effective mass at the Fermi level m(asterisk) = (0.060 + or - 0.001)m(0).

  15. Structural, optical and electrical properties of well-ordered ZnO nanowires grown on (1 1 1) oriented Si, GaAs and InP substrates by electrochemical deposition method

    NASA Astrophysics Data System (ADS)

    Pham, Huyen T.; Nguyen, Tam D.; Tran, Dat Q.; Akabori, Masashi

    2017-05-01

    ZnO semiconductors, especially in form of nanomaterials, possess many excellent properties and have been employed in many applications. In this article, we reported the selective area growth of ZnO nanowires on different (1 1 1) oriented Si, GaAs, and first time on InP substrates by electrochemical deposition method without any seed layers, using zinc nitrate hexahydrate precursor in the presence of hexamethylenetetramine. The position, density and orientation of such ZnO nanowires were controlled by the substrate patterning technique using electron-beam lithography. As-synthesized ZnO nanowires grown on patterned substrates show smaller diameter, higher density and better orientation, compared to the one grown on unpatterned substrates. In particular, the ZnO nanowires grown on GaAs patterned substrate indicate the best morphological property, with the average diameter, length and density of about 100 nm, 2.4 µm and 35 µm-2, respectively. The x-ray diffraction and Raman scattering also demonstrate high crystalline quality of our ZnO nanowires. Moreover, as-reported ZnO nanowires are also conductive, which would allow their use in field-effect transistor and other potential nanoscale device applications.

  16. Manipulation of morphology and structure of the top of GaAs nanowires grown by molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Li, Lixia; Pan, Dong; Yu, Xuezhe; So, Hyok; Zhao, Jianhua

    2017-10-01

    Self-catalyzed GaAs nanowires (NWs) are grown on Si (111) substrates by molecular-beam epitaxy. The effect of different closing sequences of the Ga and As cell shutters on the morphology and structural phase of GaAs NWs is investigated. For the sequences of closing the Ga and As cell shutters simultaneously or closing the As cell shutter 1 min after closing the Ga cell shutter, the NWs grow vertically to the substrate surface. In contrast, when the As cell shutter is closed first, maintaining the Ga flux is found to be critical for the following growth of GaAs NWs, which can change the growth direction from [111] to < 11\\bar{1}> . The evolution of the morphology and structural phase transition at the tips of these GaAs NWs confirm that the triple-phase-line shift mode is at work even for the growth with different cell shutter closing sequences. Our work will provide new insights for better understanding of the growth mechanism and realizing of the morphology and structure control of the GaAs NWs. Project supported partly by the MOST of China (No. 2015CB921503), the National Natural Science Foundation of China (Nos. 61504133, 61334006, 61404127), and Youth Innovation Promotion Association, CAS (No. 2017156).

  17. Growth of semimetallic ErAs films epitaxially embedded in GaAs

    NASA Astrophysics Data System (ADS)

    Crook, Adam M.; Nair, Hari P.; Lee, Jong H.; Ferrer, Domingo A.; Akinwande, Deji; Bank, Seth R.

    2011-10-01

    We present models for the growth and electrical conductivity of ErAs films grown with the nanoparticle-seeded film growth technique. This growth mode overcomes the mismatch in rotational symmetry between the rocksalt ErAs crystal structure and the zincblende GaAs crystal structure. This results in films of ErAs grown through a thin film of GaAs that preserves the symmetry of the substrate. The conductivity of the films, as a function of film thickness, are investigated and a surface roughness model is used to explain observed trends. Transmission electron micrographs confirm the suppression of anti-phase domains. A simple diffusion model is developed to describe the diffusion and incorporation of surface erbium into subsurface ErAs layers and predict potential failure mechanisms of the growth method.

  18. Formation of two-dimensionally confined superparamagnetic (Mn, Ga)As nanocrystals in high-temperature annealed (Ga, Mn)As/GaAs superlattices.

    PubMed

    Sadowski, Janusz; Domagala, Jaroslaw Z; Mathieu, Roland; Kovacs, Andras; Dłużewski, Piotr

    2013-05-15

    The annealing-induced formation of (Mn, Ga)As nanocrystals in (Ga, Mn)As/GaAs superlattices was studied by x-ray diffraction, transmission electron microscopy and magnetometry. The superlattice structures with 50 Å thick (Ga, Mn)As layers separated by 25, 50 and 100 Å thick GaAs spacers were grown by molecular beam epitaxy at low temperature (250 °C), and then annealed at high temperatures of 400, 560 and 630 °C. The high-temperature annealing causes decomposition to a (Ga, Mn)As ternary alloy and the formation of (Mn, Ga)As nanocrystals inside the GaAs matrix. The nanocrystals are confined in the planes that were formerly occupied by (Ga, Mn)As layers for the up to 560 °C annealing and diffuse throughout the GaAs spacer layers at 630 °C annealing. The two-dimensionally confined nanocrystals exhibit a superparamagnetic behavior which becomes high-temperature ferromagnetism (~350 K) upon diffusion.

  19. Photoluminescence spectroscopy and the effective mass theory of strained (In,Ga)As/GaAs heterostructures grown on (112)B GaAs substrates

    NASA Technical Reports Server (NTRS)

    Henderson, R. H.; Sun, D.; Towe, E.

    1995-01-01

    The photoluminescence characteristics of pseudomorphic In(0.19)Ga(0.81)As/GaAs quantum well structures grown on both the conventional (001) and the unconventional (112)B GaAs substrate are investigated. It is found that the emission spectra of the structures grown on the (112)B surface exhibit some spectral characteristics not observed on similar structures grown on the (001) surface. A spectral blue shift of the e yields hh1 transition with increasing optical pump intensity is observed for the quantum wells on the (112) surface. This shift is interpreted to be evidence of a strain-induced piezoelectric field. A second spectral feature located within the band gap of the In(0.19)Ga(0.81)As layer is also observed for the (112) structure; this feature is thought to be an impurity-related emission. The expected transition energies of the quantum well structures are calculated using the effective mass theory based on the 4 x 4 Luttinger valence band Hamiltonian, and related strain Hamiltonian.

  20. Comparison of AlGaAs Oxidation in MBE and MOCVD Grown Samples

    DTIC Science & Technology

    2002-01-01

    vertical cavity surface emitting lasers ( VCSELs ) [1, 2, 3]. They are also being... molecular beam epitaxy ( MBE ) [5, 6] or metal organic chemical vapor deposition (MOCVD) [7, 8]. The MBE -grown A1GaAs layers are sometimes pseudo or digital...Simultaneous wet-thermal oxidation of MBE and MOCVD grown AlxGal_xAs layers (x = 0.1 to 1.0) showed that the epitaxial growth method does not

  1. Chemical Composition of Nanoporous Layer Formed by Electrochemical Etching of p-Type GaAs.

    PubMed

    Bioud, Youcef A; Boucherif, Abderraouf; Belarouci, Ali; Paradis, Etienne; Drouin, Dominique; Arès, Richard

    2016-12-01

    We have performed a detailed characterization study of electrochemically etched p-type GaAs in a hydrofluoric acid-based electrolyte. The samples were investigated and characterized through cathodoluminescence (CL), X-ray diffraction (XRD), energy-dispersive X-ray spectroscopy (EDX), and X-ray photoelectron spectroscopy (XPS). It was found that after electrochemical etching, the porous layer showed a major decrease in the CL intensity and a change in chemical composition and in the crystalline phase. Contrary to previous reports on p-GaAs porosification, which stated that the formed layer is composed of porous GaAs, we report evidence that the porous layer is in fact mainly constituted of porous As 2 O 3 . Finally, a qualitative model is proposed to explain the porous As 2 O 3 layer formation on p-GaAs substrate.

  2. Modified energetics and growth kinetics on H-terminated GaAs (110)

    NASA Astrophysics Data System (ADS)

    Galiana, B.; Benedicto, M.; Díez-Merino, L.; Lorbek, S.; Hlawacek, G.; Teichert, C.; Tejedor, P.

    2013-10-01

    Atomic hydrogen modification of the surface energy of GaAs (110) epilayers, grown at high temperatures from molecular beams of Ga and As4, has been investigated by friction force microscopy (FFM). The reduction of the friction force observed with longer exposures to the H beam has been correlated with the lowering of the surface energy originated by the progressive de-relaxation of the GaAs (110) surface occurring upon H chemisorption. Our results indicate that the H-terminated GaAs (110) epilayers are more stable than the As-stabilized ones, with the minimum surface energy value of 31 meV/Å2 measured for the fully hydrogenated surface. A significant reduction of the Ga diffusion length on the H-terminated surface irrespective of H coverage has been calculated from the FFM data, consistent with the layer-by-layer growth mode and the greater As incorporation coefficient determined from real-time reflection high-energy electron diffraction studies. Arsenic incorporation through direct dissociative chemisorption of single As4 molecules mediated by H on the GaAs (110) surface has been proposed as the most likely explanation for the changes in surface kinetics observed.

  3. Properties of epitaxial BaTiO{sub 3} deposited on GaAs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Contreras-Guerrero, R.; Droopad, R.; Veazey, J. P.

    2013-01-07

    Single crystal BaTiO{sub 3} (BTO) has been grown epitaxially on GaAs using molecular beam epitaxy with a 2 unit cell SrTiO{sub 3} nucleation layer. The oxide film is lattice-matched to GaAs through an in-plane rotation of 45 Degree-Sign relative to the (100) surface leading to c-axis orientation of the BaTiO{sub 3}. X-ray diffraction confirmed the crystallinity and orientation of the oxide film with a full width half maximum of 0.58 Degree-Sign for a 7.5 nm thick layer. Piezoresponse force microscopy was used to characterize the ferroelectric domains in the BaTiO{sub 3} layer, and a coercive voltage of 1-2 V andmore » piezoresponse amplitude {approx}5 pm/V was measured.« less

  4. Carbon acceptor incorporation in GaAs grown by metalorganic chemical vapor deposition: Arsine versus tertiarybutylarsine

    NASA Astrophysics Data System (ADS)

    Watkins, S. P.; Haacke, G.

    1991-10-01

    Undoped p-type GaAs epilayers were grown by low-pressure metalorganic chemical vapor deposition (MOCVD) at 650 °C and 76 Torr using either arsine or tertiarybutylarsine (TBA), and trimethylgallium (TMG). Extremely high-purity precursors were used in order to eliminate extrinsic doping effects. Carbon acceptors from the TMG were the dominant residual electrical impurities under all growth conditions. Temperature-dependent Hall measurements were used to make a quantitative comparison of the carbon acceptor concentrations for arsine- and TBA-grown epilayers over a range of As partial pressures. For a given group V partial pressure, we report a significant reduction in carbon acceptor incorporation using TBA compared with arsine under identical growth conditions.

  5. GaAsP/InGaP HBTs grown epitaxially on Si substrates: Effect of dislocation density on DC current gain

    NASA Astrophysics Data System (ADS)

    Heidelberger, Christopher; Fitzgerald, Eugene A.

    2018-04-01

    Heterojunction bipolar transistors (HBTs) with GaAs0.825P0.175 bases and collectors and In0.40Ga0.60P emitters were integrated monolithically onto Si substrates. The HBT structures were grown epitaxially on Si via metalorganic chemical vapor deposition, using SiGe compositionally graded buffers to accommodate the lattice mismatch while maintaining threading dislocation density at an acceptable level (˜3 × 106 cm-2). GaAs0.825P0.175 is used as an active material instead of GaAs because of its higher bandgap (increased breakdown voltage) and closer lattice constant to Si. Misfit dislocation density in the active device layers, measured by electron-beam-induced current, was reduced by making iterative changes to the epitaxial structure. This optimized process culminated in a GaAs0.825P0.175/In0.40Ga0.60P HBT grown on Si with a DC current gain of 156. By considering the various GaAsP/InGaP HBTs grown on Si substrates alongside several control devices grown on GaAs substrates, a wide range of threading dislocation densities and misfit dislocation densities in the active layers could be correlated with HBT current gain. The effect of threading dislocations on current gain was moderated by the reduction in minority carrier lifetime in the base region, in agreement with existing models for GaAs light-emitting diodes and photovoltaic cells. Current gain was shown to be extremely sensitive to misfit dislocations in the active layers of the HBT—much more sensitive than to threading dislocations. We develop a model for this relationship where increased base current is mediated by Fermi level pinning near misfit dislocations.

  6. Excitation power dependence of photoluminescence spectra of GaSb type-II quantum dots in GaAs grown by droplet epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kawazu, T., E-mail: KAWAZU.Takuya@nims.go.jp; Noda, T.; Sakuma, Y.

    2016-04-15

    We investigated the excitation power P dependence of photoluminescence (PL) spectra of GaSb type-II quantum dots (QDs) in GaAs grown by droplet epitaxy. We prepared two QD samples annealed at slightly different temperatures (380 {sup o}C and 400 {sup o}C) and carried out PL measurements. The 20 {sup o}C increase of the annealing temperature leads to (1) about 140 and 60 times stronger wetting layer (WL) luminescence at low and high P, (2) about 45% large energy shift of QD luminescence with P, and (3) the different P dependence of the PL intensity ratio between the QD and the WL. These differences ofmore » the PL characteristics are explained by the effects of the WL.« less

  7. GaAs shallow-homojunction solar cells

    NASA Technical Reports Server (NTRS)

    Fan, J. C. C.

    1981-01-01

    The feasibility of fabricating space resistant, high efficiency, light weight, low cost GaAs shallow homojunction solar cells for space application is investigated. The material preparation of ultrathin GaAs single crystal layers, and the fabrication of efficient GaAs solar cells on bulk GaAs substrates are discussed. Considerable progress was made in both areas, and conversion efficiency about 16% AMO was obtained using anodic oxide as a single layer antireflection coating. A computer design shows that even better cells can be obtained with double layer antireflection coating. Ultrathin, high efficiency solar cells were obtained from GaAs films prepared by the CLEFT process, with conversion efficiency as high as 17% at AMI from a 10 micrometers thick GaAs film. A organometallic CVD was designed and constructed.

  8. Secondary ion mass spectrometry study of ex situ annealing of epitaxial GaAs grown on Si substrates

    NASA Technical Reports Server (NTRS)

    Radhakrishnan, G.; Mccullough, O.; Cser, J.; Katz, J.

    1988-01-01

    Samples of epitaxial GaAs grown on (100) Si substrates using molecular beam epitaxy were annealed at four different temperatures, from 800 to 950 C. Following annealing, the samples were analyzed using secondary ion mass spectrometry. Depth profiles of Ga, As, and Si reveal optimum conditions for annealing, and place a lower limit on a damage threshold for GaAs/Si substrates.

  9. Observation of linear I-V curves on vertical GaAs nanowires with atomic force microscope

    NASA Astrophysics Data System (ADS)

    Geydt, P.; Alekseev, P. A.; Dunaevskiy, M.; Lähderanta, E.; Haggrén, T.; Kakko, J.-P.; Lipsanen, H.

    2015-12-01

    In this work we demonstrate the possibility of studying the current-voltage characteristics for single vertically standing semiconductor nanowires on standard AFM equipped by current measuring module in PeakForce Tapping mode. On the basis of research of eight different samples of p-doped GaAs nanowires grown on different GaAs substrates, peculiar electrical effects were revealed. It was found how covering of substrate surface by SiOx layer increases the current, as well as phosphorous passivation of the grown nanowires. Elimination of the Schottky barrier between golden cap and the top parts of nanowires was observed. It was additionally studied that charge accumulation on the shell of single nanowires affects its resistivity and causes the hysteresis loops on I-V curves.

  10. Nanostructured GaAs solar cells via metal-assisted chemical etching of emitter layers.

    PubMed

    Song, Yunwon; Choi, Keorock; Jun, Dong-Hwan; Oh, Jungwoo

    2017-10-02

    GaAs solar cells with nanostructured emitter layers were fabricated via metal-assisted chemical etching. Au nanoparticles produced via thermal treatment of Au thin films were used as etch catalysts to texture an emitter surface with nanohole structures. Epi-wafers with emitter layers 0.5, 1.0, and 1.5 um in thickness were directly textured and a window layer removal process was performed before metal catalyst deposition. A nanohole-textured emitter layer provides effective light trapping capabilities, reducing the surface reflection of a textured solar cell by 11.0%. However, because the nanostructures have high surface area to volume ratios and large numbers of defects, various photovoltaic properties were diminished by high recombination losses. Thus, we have studied the application of nanohole structures to GaAs emitter solar cells and investigated the cells' antireflection and photovoltaic properties as a function of the nanohole structure and emitter thickness. Due to decreased surface reflection and improved shunt resistance, the solar cell efficiency increased from 4.25% for non-textured solar cells to 7.15% for solar cells textured for 5 min.

  11. Luminescence properties of ZnxMg1-xSe layers

    NASA Astrophysics Data System (ADS)

    Bala, Waclaw; Firszt, Franciszek; Dzik, Janusz; Gapinski, Adam; Glowacki, Grzegorz

    1995-10-01

    This work deals with the study of luminescence properties of ZnxMg1-xSe layers prepared by different methods. ZnxMg1-xSe mixed crystal layers were obtained by: (a) thermal diffusion of Mg metal in the temperature range 1050 K - 1200 K into ZnSe single crystal grown by Bridgman method, and (b) epitaxial growth on (001) GaAs and (111) ZnTe substrates by MBE using elemental Zn, Se and Mg sources. The luminescence spectra of ZnxMg1-xSe layers grown on (001) GaAs and (111) ZnTe substrates are dominated by narrow blue and violet emission bands with maxima positioned at about 3.05 - 3.28 eV, 2.88 - 3.04 eV, and 2.81 - 2.705 eV.

  12. Outdiffusion of recombination centers from the substrate into LPE layers - GaAs

    NASA Technical Reports Server (NTRS)

    Jastrzebski, L.; Lagowski, J.; Gatos, H. C.

    1979-01-01

    Experimental results are presented showing that outdiffusion of recombination centers from the GaAs substrate into the epitaxial layer takes place during growth. Such outdiffusion decreases the carrier lifetime in the epitaxial layer to much lower values than the radiative recombination limit. Furthermore, it introduces a lifetime gradient across the epitaxial layer which depends critically on the growth velocity and thermal treatment. High rates of growth (such as those attainable in electroepitaxy) and high cooling rates can minimize the adverse effects of normally available substrates on the epitaxial layers; however, good quality substrates are essential for the consistent growth of device quality layers.

  13. An observation of direct-gap electroluminescence in GaAs structures with Ge quantum wells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aleshkin, V. Ya.; Dikareva, N. V.; Dubinov, A. A., E-mail: sanya@ipm.sci-nnov.ru

    2015-02-15

    A light-emitting diode structure based on GaAs with eight narrow Ge quantum wells is grown by laser sputtering. An electroluminescence line polarized predominately in the plane parallel to the constituent layers of the structure is revealed. The line corresponds to the direct optical transitions in momentum space in the Ge quantum wells.

  14. Annealing studies of heteroepitaxial InSbN on GaAs grown by molecular beam epitaxy for long-wavelength infrared detectors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Patra, Nimai C.; Bharatan, Sudhakar; Li Jia

    2012-10-15

    We report the effect of annealing on the structural, vibrational, electrical, and optical properties of heteropepitaxially grown InSbN epilayers on GaAs substrate by molecular beam epitaxy for long-wavelength infrared detector applications. As-grown epilayers exhibited high N incorporation in the both substitutional and interstitial sites, with N induced defects as evidenced from high resolution x-ray diffraction, secondary ion mass spectroscopy, and room temperature (RT) micro-Raman studies. The as-grown optical band gap was observed at 0.132 eV ({approx}9.4 {mu}m) and the epilayer exhibited high background carrier concentration at {approx}10{sup 18} cm{sup -3} range with corresponding mobility of {approx}10{sup 3} cm{sup 2}/Vs. Exmore » situ and in situ annealing at 430 Degree-Sign C though led to the loss of N but improved InSb quality due to effective annihilation of N related defects and other lattice defects attested to enhanced InSb LO phonon modes in the corresponding Raman spectra. Further, annealing resulted in the optical absorption edge red shifting to 0.12 eV ({approx}10.3 {mu}m) and the layers were characterized by reduced background carrier concentration in the {approx}10{sup 16} cm{sup -3} range with enhanced mobility in {approx}10{sup 4} cm{sup 2}/Vs range.« less

  15. All MBE grown InAs/GaAs quantum dot lasers on on-axis Si (001).

    PubMed

    Kwoen, Jinkwan; Jang, Bongyong; Lee, Joohang; Kageyama, Takeo; Watanabe, Katsuyuki; Arakawa, Yasuhiko

    2018-04-30

    Directly grown III-V quantum dot (QD) laser on on-axis Si (001) is a good candidate for achieving monolithically integrated Si photonics light source. Nowadays, laser structures containing high quality InAs / GaAs QD are generally grown by molecular beam epitaxy (MBE). However, the buffer layer between the on-axis Si (001) substrate and the laser structure are usually grown by metal-organic chemical vapor deposition (MOCVD). In this paper, we demonstrate all MBE grown high-quality InAs/GaAs QD lasers on on-axis Si (001) substrates without using patterning and intermediate layers of foreign material.

  16. In-plane dielectric properties of epitaxial Ba{sub 0.7}Sr{sub 0.3}TiO{sub 3} thin films grown on GaAs for tunable device application

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang Zhibin; Hao Jianhua

    2012-09-01

    We have epitaxially deposited ferroelectric Ba{sub 0.7}Sr{sub 0.3}TiO{sub 3} (BST) thin films grown on GaAs substrate via SrTiO{sub 3} buffer layer by laser molecular beam epitaxy. Structural characteristics of the heterostructure were measured by various techniques. The in-plane dielectric properties of the heteroepitaxial structure under different applying frequency were investigated from -190 to 90 Degree-Sign C, indicating Curie temperature of the BST film to be around 52 Degree-Sign C. At room temperature, the dielectric constant of the heterostructure under moderate dc bias field can be tuned by more than 30% and K factor used for frequency agile materials is foundmore » to be close to 8. Our results offer the possibility to combine frequency agile electronics of ferroelectric titanate with the high-performance microwave capabilities of GaAs for room temperature tunable device application.« less

  17. Interface dynamics and crystal phase switching in GaAs nanowires

    NASA Astrophysics Data System (ADS)

    Jacobsson, Daniel; Panciera, Federico; Tersoff, Jerry; Reuter, Mark C.; Lehmann, Sebastian; Hofmann, Stephan; Dick, Kimberly A.; Ross, Frances M.

    2016-03-01

    Controlled formation of non-equilibrium crystal structures is one of the most important challenges in crystal growth. Catalytically grown nanowires are ideal systems for studying the fundamental physics of phase selection, and could lead to new electronic applications based on the engineering of crystal phases. Here we image gallium arsenide (GaAs) nanowires during growth as they switch between phases as a result of varying growth conditions. We find clear differences between the growth dynamics of the phases, including differences in interface morphology, step flow and catalyst geometry. We explain these differences, and the phase selection, using a model that relates the catalyst volume, the contact angle at the trijunction (the point at which solid, liquid and vapour meet) and the nucleation site of each new layer of GaAs. This model allows us to predict the conditions under which each phase should be observed, and use these predictions to design GaAs heterostructures. These results could apply to phase selection in other nanowire systems.

  18. Interface dynamics and crystal phase switching in GaAs nanowires.

    PubMed

    Jacobsson, Daniel; Panciera, Federico; Tersoff, Jerry; Reuter, Mark C; Lehmann, Sebastian; Hofmann, Stephan; Dick, Kimberly A; Ross, Frances M

    2016-03-17

    Controlled formation of non-equilibrium crystal structures is one of the most important challenges in crystal growth. Catalytically grown nanowires are ideal systems for studying the fundamental physics of phase selection, and could lead to new electronic applications based on the engineering of crystal phases. Here we image gallium arsenide (GaAs) nanowires during growth as they switch between phases as a result of varying growth conditions. We find clear differences between the growth dynamics of the phases, including differences in interface morphology, step flow and catalyst geometry. We explain these differences, and the phase selection, using a model that relates the catalyst volume, the contact angle at the trijunction (the point at which solid, liquid and vapour meet) and the nucleation site of each new layer of GaAs. This model allows us to predict the conditions under which each phase should be observed, and use these predictions to design GaAs heterostructures. These results could apply to phase selection in other nanowire systems.

  19. High Quality GaAs Growth by MBE on Si Using GeSi Buffers and Prospects for Space Photovoltaics

    NASA Technical Reports Server (NTRS)

    Carlin, J. A.; Ringel, S. A.; Fitzgerald, E. A.; Bulsara, M.

    2005-01-01

    III-V solar cells on Si substrates are of interest for space photovoltaics since this would combine high performance space cells with a strong, lightweight and inexpensive substrate. However, the primary obstacles blocking III-V/Si cells from achieving high performance to date have been fundamental materials incompatabilities, namely the 4% lattice mismatch between GaAs and Si, and the large mismatch in thermal expansion coefficient. In this paper, we report on the molecular beam epitaxial (MBE) growth and properties of GaAs layers and single junction GaAs cells on Si wafers which utilize compositionally graded GeSi Intermediate buffers grown by ultra-high vacuum chemical vapor deposition (UHVCVD) to mitigate the large lattice mismatch between GaAs and Si. Ga As cell structures were found to incorporate a threading dislocation density of 0.9-1.5 x 10 (exp 6) per square centimeter, identical to the underlying relaxed Ge cap of the graded buffer, via a combination of transmission electron microscopy, electron beam induced current, and etch pit density measurements. AlGaAs/GaAs double heterostructures wre grown on the GeSi/Si substrates for time-resolved photoluminescence measurements, which revealed a bulk GaAs minority carrier lifetime in excess of 10 ns, the highest lifetime ever reported for GaAs on Si. A series of growth were performed to ass3ss the impact of a GaAs buffer to a thickness of only 0.1 micrometer. Secondary ion mass spectroscopy studies revealed that there is negligible cross diffusion of Ga, As and Ge at he III-V/Ge interface, identical to our earlier findings for GaAs grown on Ge wafers using MBE. This indicates that there is no need for a buffer to "bury" regions of high autodopjing,a nd that either pn or np configuration cells are easily accomodated by these substrates. Preliminary diodes and single junction Al Ga As heteroface cells were grown and fabricated on the Ge/GeSi/Si substrates for the first time. Diodes fabricated on GaAs, Ge and Ge

  20. Growth studies of erbium-doped GaAs deposited by metalorganic vapor phase epitaxy using noval cyclopentadienyl-based erbium sources

    NASA Technical Reports Server (NTRS)

    Redwing, J. M.; Kuech, T. F.; Gordon, D. C.; Vaartstra, B. A.; Lau, S. S.

    1994-01-01

    Erbium-doped GaAS layers were grown by metalorganic vapor phase epitaxy using two new sources, bis(i-propylcyclopentadienyl)cyclopentadienyl erbium and tris(t-butylcyclopentadienyl) erbium. Controlled Er doping in the range of 10(exp 17) - 10(exp 18)/cu cm was achieved using a relatively low source temperature of 90 C. The doping exhibits a second-order dependence on inlet source partial pressure, similar to behavior obtained with cyclopentadienyl Mg dopant sources. Equivalent amounts of oxygen and Er are present in 'as-grown' films indicating that the majority of Er dopants probably exist as Er-O complexes in the material. Er(+3) luminescence at 1.54 micrometers was measured from the as-grown films, but ion implantation of additional oxygen decreases the emission intensity. Electrical compensation of n-type GaAs layers codoped with Er and Si is directly correlated to the Er concentration is proposed to arise from the deep centers associated with Er which are responsible for a broad emission band near 0.90 micrometers present in the photoluminescence spectra of GaAs:Si, Er films.

  1. Effect of in situ annealing on the structural and electrical properties and infrared photodetection of III-Sb on GaAs using interfacial misfit array

    NASA Astrophysics Data System (ADS)

    Jia, Bo Wen; Tan, Kian Hua; Loke, Wan Khai; Wicaksono, Satrio; Yoon, Soon Fatt

    2018-01-01

    This work presents the effects of in situ thermal annealing under antimony overpressure on the structural, electrical, and optical properties of III-Sb (GaSb and InSb) grown on (100) GaAs using an interfacial misfit array to accommodate the lattice mismatch. Both the sample growth and the in situ thermal annealing were carried out in the in the molecular beam epitaxy system, and the temperature of the as-grown sample was increased to exceed its growth temperature during the annealing. X-ray diffraction demonstrates nearly fully relaxed as-grown and annealed III-Sb layers. The optimal annealing temperatures and durations are for 590 °C, 5 min for GaSb and 420 °C, 15 min for InSb, respectively. In situ annealing decreased the surface roughness of the III-Sb layers. X-ray reciprocal space mapping and transmission electron microscopy observation showed stable interfacial misfit arrays, and no interfacial diffusion occurred in the annealed III-Sb layers. A Hall measurement of unintentionally doped III-Sb layers showed greater carrier mobility and a lower carrier concentration in the annealed samples at both 77 and 300 K. In situ annealing improved the photoresponsivity of GaSb and InSb photoconductors grown on GaAs in the near- and mid-infrared ranges, respectively.

  2. Three-dimensional lattice rotation in GaAs nanowire growth on hydrogen-silsesquioxane covered GaAs (001) using molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Tran, Dat Q.; Pham, Huyen T.; Higashimine, Koichi; Oshima, Yoshifumi; Akabori, Masashi

    2018-05-01

    We report on crystallographic behaviors of inclined GaAs nanowires (NWs) self-crystallized on GaAs (001) substrate. The NWs were grown on hydrogen-silsesquioxane (HSQ) covered substrates using molecular beam epitaxy (MBE). Commonly, the epitaxial growth of GaAs < 111>B (B-polar) NWs is prominently observed on GaAs (001); however, we yielded a remarkable number of epitaxially grown GaAs < 111>A (A-polar) NWs in addition to the majorly obtained B-polar NWs. Such NW orientations are always accompanied by a typical inclined angle of 35° from (001) plane. NWs with another inclined angle of 74° were additionally observed and attributed to be < 111>-oriented, not in direct epitaxial relation with the substrate. Such 74° NWs' existence is related to first-order three-dimensional (3D) lattice rotation taking place at the very beginning of the growth. It turns out that spatially 60° lattice rotation around < 111> directions at GaAs seeds is essentially in charge of A- and B-polar 74° NWs. Transmission electron microscope observations reveal a high density of twinning in the B-polar NWs and twin-free characteristic in the A-polar NWs.

  3. Reflection high energy electron diffraction study of nitrogen plasma interactions with a GaAs (100) surface

    NASA Astrophysics Data System (ADS)

    Hauenstein, R. J.; Collins, D. A.; Cai, X. P.; O'Steen, M. L.; McGill, T. C.

    1995-05-01

    Effect of a nitrogen electron-cyclotron-resonance (ECR) microwave plasma on near-surface composition, crystal structure, and morphology of the As-stabilized GaAs (100) surface is investigated with the use of digitally image-processed in situ reflection high energy electron diffraction. Nitridation is performed on molecular beam epitaxially (MBE) grown GaAs surfaces near 600 °C under typical conditions for ECR microwave plasma-assisted MBE growth of GaN films on GaAs. Brief plasma exposures (≊3-5 s) are shown to result in a specular, coherently strained, relatively stable, GaN film approximately one monolayer in thickness, which can be commensurately overgrown with GaAs while longer exposures (up to 1 min) result in incommensurate zincblende epitaxial GaN island structures. Specular and nonspecular film formations are explained in terms of N-for-As surface and subsurface anion exchange reactions, respectively. Commensurate growth of ultrathin buried GaN layers in GaAs is achieved.

  4. The Growth of Expitaxial GaAs and GaAlAs on Silicon Substrates by OMVPE

    DTIC Science & Technology

    1988-08-01

    structures have been grown on semi-insulating gallium arsenide substrates, and on high-resistivity silicon substrates using a two stage growth technique...fully in Quarter 9. 2. MATERIALS GROWTH 2.1 DOPING OF GALLIUM ARSENIDE FOR FETs As reported in quarter 7, doping levels for GaAs/SI 4ere found to be a...FET structures on both GaAs and Si substrates. A number of FET layers have been grown to the GAT4 specification on semi-insulating gallium arsenide

  5. Short wavelength (visible) GaAs quantum well lasers grown by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Woodbridge, K.; Blood, P.; Fletcher, E.D.

    1984-07-01

    GaAs-AlGaAs multiple quantum well (MQW) injection lasers with well widths from 55 to 13 A have been grown by molecular beam epitaxy and operated at room temperature, showing emission at wavelengths down to 704 nm, the shortest reported for a MQW injection laser with GaAs wells. In a device with 25-A wells some evidence of coupling was apparent when barrier widths were reduced to 40 A. For devices with 80-A barriers there is a difference of about 20 nm between the calculated n = 1 (e--hh) transition wavelength and the lasing wavelength, whereas the calculation agrees with photovoltage absorption measurementsmore » on the same structures.« less

  6. Effects of surface passivation on twin-free GaAs nanosheets.

    PubMed

    Arab, Shermin; Chi, Chun-Yung; Shi, Teng; Wang, Yuda; Dapkus, Daniel P; Jackson, Howard E; Smith, Leigh M; Cronin, Stephen B

    2015-02-24

    Unlike nanowires, GaAs nanosheets exhibit no twin defects, stacking faults, or dislocations even when grown on lattice mismatched substrates. As such, they are excellent candidates for optoelectronic applications, including LEDs and solar cells. We report substantial enhancements in the photoluminescence efficiency and the lifetime of passivated GaAs nanosheets produced using the selected area growth (SAG) method with metal organic chemical vapor deposition (MOCVD). Measurements are performed on individual GaAs nanosheets with and without an AlGaAs passivation layer. Both steady-state photoluminescence and time-resolved photoluminescence spectroscopy are performed to study the optoelectronic performance of these nanostructures. Our results show that AlGaAs passivation of GaAs nanosheets leads to a 30- to 40-fold enhancement in the photoluminescence intensity. The photoluminescence lifetime increases from less than 30 to 300 ps with passivation, indicating an order of magnitude improvement in the minority carrier lifetime. We attribute these enhancements to the reduction of nonradiative recombination due to the compensation of surface states after passivation. The surface recombination velocity decreases from an initial value of 2.5 × 10(5) to 2.7 × 10(4) cm/s with passivation.

  7. Photoluminescence characteristics of ZnTe bulk crystal and ZnTe epilayer grown on GaAs substrate by MOVPE

    NASA Astrophysics Data System (ADS)

    Lü, Hai-Yan; Mu, Qi; Zhang, Lei; Lü, Yuan-Jie; Ji, Zi-Wu; Feng, Zhi-Hong; Xu, Xian-Gang; Guo, Qi-Xin

    2015-12-01

    Excitation power and temperature-dependent photoluminescence (PL) spectra of the ZnTe epilayer grown on (100) GaAs substrate and ZnTe bulk crystal are investigated. The measurement results show that both the structures are of good structural quality due to their sharp bound excitonic emissions and absence of the deep level structural defect-related emissions. Furthermore, in contrast to the ZnTe bulk crystal, although excitonic emissions for the ZnTe epilayer are somewhat weak, perhaps due to As atoms diffusing from the GaAs substrate into the ZnTe epilayer and/or because of the strain-induced degradation of the crystalline quality of the ZnTe epilayer, neither the donor-acceptor pair (DAP) nor conduction band-acceptor (e-A) emissions are observed in the ZnTe epilayer. This indicates that by further optimizing the growth process it is possible to obtain a high-crystalline quality ZnTe heteroepitaxial layer that is comparable to the ZnTe bulk crystal. Project supported by the Specialized Research Fund for the Doctoral Program of Higher Education of China (Grant No. 20120131110006), the Key Science and Technology Program of Shandong Province, China (Grant No. 2013GGX10221), the Key Laboratory of Functional Crystal Materials and Device (Shandong University, Ministry of Education), China (Grant No. JG1401), the National Natural Science Foundation of China (Grant No. 61306113), the Major Research Plan of the National Natural Science Foundation of China (Grant No. 91433112), and the Partnership Project for Fundamental Technology Researches of the Ministry of Education, Culture, Sports, Science and Technology, Japan.

  8. GaSb solar cells grown on GaAs via interfacial misfit arrays for use in the III-Sb multi-junction cell

    NASA Astrophysics Data System (ADS)

    Nelson, George T.; Juang, Bor-Chau; Slocum, Michael A.; Bittner, Zachary S.; Laghumavarapu, Ramesh B.; Huffaker, Diana L.; Hubbard, Seth M.

    2017-12-01

    Growth of GaSb with low threading dislocation density directly on GaAs may be possible with the strategic strain relaxation of interfacial misfit arrays. This creates an opportunity for a multi-junction solar cell with access to a wide range of well-developed direct bandgap materials. Multi-junction cells with a single layer of GaSb/GaAs interfacial misfit arrays could achieve higher efficiency than state-of-the-art inverted metamorphic multi-junction cells while forgoing the need for costly compositionally graded buffer layers. To develop this technology, GaSb single junction cells were grown via molecular beam epitaxy on both GaSb and GaAs substrates to compare homoepitaxial and heteroepitaxial GaSb device results. The GaSb-on-GaSb cell had an AM1.5g efficiency of 5.5% and a 44-sun AM1.5d efficiency of 8.9%. The GaSb-on-GaAs cell was 1.0% efficient under AM1.5g and 4.5% at 44 suns. The lower performance of the heteroepitaxial cell was due to low minority carrier Shockley-Read-Hall lifetimes and bulk shunting caused by defects related to the mismatched growth. A physics-based device simulator was used to create an inverted triple-junction GaInP/GaAs/GaSb model. The model predicted that, with current GaSb-on-GaAs material quality, the not-current-matched, proof-of-concept cell would provide 0.5% absolute efficiency gain over a tandem GaInP/GaAs cell at 1 sun and 2.5% gain at 44 suns, indicating that the effectiveness of the GaSb junction was a function of concentration.

  9. Structural and optical properties of GaxIn1-xP layers grown by chemical beam epitaxy

    NASA Astrophysics Data System (ADS)

    Seong, Tae-Yeon; Yang, Jung-Ja; Ryu, Mee Yi; Song, Jong-In; Yu, Phil W.

    1998-05-01

    Chemical beam epitaxial (CBE) GaxIn1-xP layers (x≈0.5) grown on (001) GaAs substrates at temperatures ranging from 490 to 580°C have been investigated using transmission electron diffraction (TED), transmission electron microscopy, and photoluminescence (PL). TED examination revealed the presence of diffuse scattering 1/2{111}B positions, indicating the occurrence of typical CuPt-type ordering in the GaInP CBE layers. As the growth temperature decreased from 580 to 490°C, maxima in the intensity of the diffuse scattering moved from ½{111}B to ½{-1+δ,1-δ,0} positions, where δ is a positive value. As the growth temperature increased from 490 to 550°C, the maxima in the diffuse scattering intensity progressively approached positions of 1/2\\{bar 110\\} , i.e., the value of δ decreased from 0.25 to 0.17. Bandgap reduction (˜45 meV) was observed in the CBE GaInP layers and was attributed to the presence of ordered structures.

  10. Design optimization of GaAs betavoltaic batteries

    NASA Astrophysics Data System (ADS)

    Chen, Haiyanag; Jiang, Lan; Chen, Xuyuan

    2011-06-01

    GaAs junctions are designed and fabricated for betavoltaic batteries. The design is optimized according to the characteristics of GaAs interface states and the diffusion length in the depletion region of GaAs carriers. Under an illumination of 10 mCi cm-2 63Ni, the open circuit voltage of the optimized batteries is about ~0.3 V. It is found that the GaAs interface states induce depletion layers on P-type GaAs surfaces. The depletion layer along the P+PN+ junction edge isolates the perimeter surface from the bulk junction, which tends to significantly reduce the battery dark current and leads to a high open circuit voltage. The short circuit current density of the optimized junction is about 28 nA cm-2, which indicates a carrier diffusion length of less than 1 µm. The overall results show that multi-layer P+PN+ junctions are the preferred structures for GaAs betavoltaic battery design.

  11. Large-area, laterally-grown epitaxial semiconductor layers

    DOEpatents

    Han, Jung; Song, Jie; Chen, Danti

    2017-07-18

    Structures and methods for confined lateral-guided growth of a large-area semiconductor layer on an insulating layer are described. The semiconductor layer may be formed by heteroepitaxial growth from a selective growth area in a vertically-confined, lateral-growth guiding structure. Lateral-growth guiding structures may be formed in arrays over a region of a substrate, so as to cover a majority of the substrate region with laterally-grown epitaxial semiconductor tiles. Quality regions of low-defect, stress-free GaN may be grown on silicon.

  12. Protective capping and surface passivation of III-V nanowires by atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dhaka, Veer, E-mail: veer.dhaka@aalto.fi; Perros, Alexander; Kakko, Joona-Pekko

    2016-01-15

    Low temperature (∼200 °C) grown atomic layer deposition (ALD) films of AlN, TiN, Al{sub 2}O{sub 3}, GaN, and TiO{sub 2} were tested for protective capping and surface passivation of bottom-up grown III-V (GaAs and InP) nanowires (NWs), and top-down fabricated InP nanopillars. For as-grown GaAs NWs, only the AlN material passivated the GaAs surface as measured by photoluminescence (PL) at low temperatures (15K), and the best passivation was achieved with a few monolayer thick (2Å) film. For InP NWs, the best passivation (∼2x enhancement in room-temperature PL) was achieved with a capping of 2nm thick Al{sub 2}O{sub 3}. All othermore » ALD capping layers resulted in a de-passivation effect and possible damage to the InP surface. Top-down fabricated InP nanopillars show similar passivation effects as InP NWs. In particular, capping with a 2 nm thick Al{sub 2}O{sub 3} layer increased the carrier decay time from 251 ps (as-etched nanopillars) to about 525 ps. Tests after six months ageing reveal that the capped nanostructures retain their optical properties. Overall, capping of GaAs and InP NWs with high-k dielectrics AlN and Al{sub 2}O{sub 3} provides moderate surface passivation as well as long term protection from oxidation and environmental attack.« less

  13. Study of a MHEMT heterostructure with an In{sub 0.4}Ga{sub 0.6}As channel MBE-grown on a GaAs substrate using reciprocal space mapping

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aleshin, A. N., E-mail: a.n.aleshin@mail.ru; Bugaev, A. S.; Ermakova, M. A.

    2015-08-15

    The crystallographic characteristics of the design elements of a metamorphic high-electron-mobility (MHEMT) heterostructure with an In{sub 0.4}Ga{sub 0.6}As channel are determined based on reciprocal space mapping. The heterostructure is grown by molecular beam epitaxy on the vicinal surface of a GaAs substrate with a deviation angle from the (001) plane of 2° and consists of a stepped metamorphic buffer containing six layers including an inverse step, a high-temperature buffer layer with constant composition, and active HEMT layers. The InAs content in the layers of the metamorphic buffer is varied from 0.1 to 0.48. Reciprocal space maps are constructed for themore » (004) symmetric reflection and (224)+ asymmetric reflection. It is found that the heterostructure layers are characterized both by a tilt angle relative to the plane of the (001) substrate and a rotation angle around the [001] axis. The tilt angle of the layer increases as the InAs concentration in the layer increases. It is shown that a high-temperature buffer layer of constant composition has the largest degree of relaxation compared with all other layers of the heterostructure.« less

  14. Some optical and electron microscope comparative studies of excimer laser-assisted and nonassisted molecular-beam epitaxically grown thin GaAs films on Si

    NASA Technical Reports Server (NTRS)

    Lao, Pudong; Tang, Wade C.; Rajkumar, K. C.; Guha, S.; Madhukar, A.; Liu, J. K.; Grunthaner, F. J.

    1990-01-01

    The quality of GaAs thin films grown via MBE under pulsed excimer laser irradiation on Si substrates is examined in both laser-irradiated and nonirradiated areas using Raman scattering, Rayleigh scattering, and by photoluminescence (PL), as a function of temperature, and by TEM. The temperature dependence of the PL and Raman peak positions indicates the presence of compressive stress in the thin GaAs films in both laser-irradiated and nonirradiated areas. This indicates incomplete homogeneous strain relaxation by dislocations at the growth temperature. The residual compressive strain at the growth temperature is large enough such that even with the introduction of tensile strain arising from the difference in thermal expansion coefficients of GaAs and Si, a compressive strain is still present at room temperature for these thin GaAs/Si films.

  15. Structures, Properties and Defects of SrTiO3/GaAs Hetero-interfaces

    NASA Astrophysics Data System (ADS)

    Hong, Liang; Bhatnagar, Kunal; Droopad, Ravi; Öğüt, Serdar; Klie, Robert

    SrTiO3 thin film can be epitaxially grown on GaAs substrate and used as a platform for growing other oxides to create functional metal-oxide-semiconductor devices, where a high-quality SrTiO3/GaAs interface is essential. We studied the structural and electronic properties of SrTiO3/GaAs hetero-interfaces at atomic level using scanning transmission electron microscopy and first-principles calculations. Our results suggest the preferred termination of GaAs (001) is significantly dependent on the oxygen concentration in the first oxide layer. The favorable interface structure is characterized as oxygen-deficient SrO in contact with arsenic and is observed in both experiment and simulation. The electronic properties are calculated and found to be tunable by interfacial defects such as oxygen, gallium and arsenic vacancies. This work was supported by the National Science Foundation (Grant No. DMR-1408427). This work made use of instruments in the Electron Microscopy Service and the High Performance Computing Clusters at University of Illinois at Chicago.

  16. Characterization of structural defects in SnSe2 thin films grown by molecular beam epitaxy on GaAs (111)B substrates

    NASA Astrophysics Data System (ADS)

    Tracy, Brian D.; Li, Xiang; Liu, Xinyu; Furdyna, Jacek; Dobrowolska, Margaret; Smith, David J.

    2016-11-01

    Tin selenide thin films have been grown by molecular beam epitaxy on GaAs (111)B substrates at a growth temperature of 150 °C, and a microstructural study has been carried out, primarily using the technique of transmission electron microscopy. The Se:Sn flux ratio during growth was systematically varied and found to have a strong impact on the resultant crystal structure and quality. Low flux ratios (Se:Sn=3:1) led to defective films consisting primarily of SnSe, whereas high flux ratios (Se:Sn>10:1) gave higher quality, single-phase SnSe2. The structure of the monoselenide films was found to be consistent with the Space Group Pnma with the epitaxial growth relationship of [011]SnSe// [ 1 1 bar 0 ] GaAs, while the diselenide films were consistent with the Space Group P 3 bar m1 , and had the epitaxial growth relationship [ 2 1 bar 1 bar 0 ]SnSe2// [ 1 1 bar 0 ] GaAs.

  17. Acoustic resonator with Al electrodes on an AlN layer and using a GaAs substrate

    DOEpatents

    Kline, Gerald R.; Lakin, Kenneth M.

    1985-12-03

    A method of fabricating an acoustic wave resonator wherein all processing steps are accomplished from a single side of said substrate. The method involves deposition of a multi-layered Al/AlN structure on a GaAs substrate followed by a series of fabrication steps to define a resonator from said composite. The resulting resonator comprises an AlN layer between two Al layers and another layer of AlN on an exterior of one of said Al layers.

  18. GaSb and GaSb/AlSb Superlattice Buffer Layers for High-Quality Photodiodes Grown on Commercial GaAs and Si Substrates

    NASA Astrophysics Data System (ADS)

    Gutiérrez, M.; Lloret, F.; Jurczak, P.; Wu, J.; Liu, H. Y.; Araújo, D.

    2018-05-01

    The objective of this work is the integration of InGaAs/GaSb/GaAs heterostructures, with high indium content, on GaAs and Si commercial wafers. The design of an interfacial misfit dislocation array, either on GaAs or Si substrates, allowed growth of strain-free devices. The growth of purposely designed superlattices with their active region free of extended defects on both GaAs and Si substrates is demonstrated. Transmission electron microscopy technique is used for the structural characterization and plastic relaxation study. In the first case, on GaAs substrates, the presence of dopants was demonstrated to reduce several times the threading dislocation density through a strain-hardening mechanism avoiding dislocation interactions, while in the second case, on Si substrates, similar reduction of dislocation interactions is obtained using an AlSb/GaSb superlattice. The latter is shown to redistribute spatially the interfacial misfit dislocation array to reduce dislocation interactions.

  19. Interface demarcation in GaAs by current pulsing

    NASA Technical Reports Server (NTRS)

    Matthiesen, D. H.; Kafalas, J. A.; Duchene, G. A.; Bellows, A. H.

    1990-01-01

    GTE Laboratories is currently conducting a program to investigate the effect of convection in the melt on the properties of bulk grown gallium arsenide (GaAs). In addition to extensive ground based experimentation, a Get Away Special growth system has been developed to grow two GaAs crystals aboard the Space Shuttle, each with a one inch diameter. In order to perform a complete segregation analysis of the crystals grown in space, it is necessary to measure the interface shape and growth rate as well as the spatial distribution of the selenium dopant. The techniques for interface demarcation in selenium doped GaAs by current pulsing have been developed at GTE Laboratories and successful interface demarcation has been achieved for current pulses ranging from 20 to 90 amps, in both single crystal and polycrystalline regions.

  20. Electrical characterisation of deep level defects in Be-doped AlGaAs grown on (100) and (311)A GaAs substrates by MBE

    PubMed Central

    2011-01-01

    The growth of high mobility two-dimensional hole gases (2DHGs) using GaAs-GaAlAs heterostructures has been the subject of many investigations. However, despite many efforts hole mobilities in Be-doped structures grown on (100) GaAs substrate remained considerably lower than those obtained by growing on (311)A oriented surface using silicon as p-type dopant. In this study we will report on the properties of hole traps in a set of p-type Be-doped Al0.29Ga0.71As samples grown by molecular beam epitaxy on (100) and (311)A GaAs substrates using deep level transient spectroscopy (DLTS) technique. In addition, the effect of the level of Be-doping concentration on the hole deep traps is investigated. It was observed that with increasing the Be-doping concentration from 1 × 1016 to 1 × 1017 cm-3 the number of detected electrically active defects decreases for samples grown on (311)A substrate, whereas, it increases for (100) orientated samples. The DLTS measurements also reveal that the activation energies of traps detected in (311)A are lower than those in (100). From these findings it is expected that mobilities of 2DHGs in Be-doped GaAs-GaAlAs devices grown on (311)A should be higher than those on (100). PMID:21711687

  1. Study of subband electronic structure of Si δ-doped GaAs using magnetotransport measurements in tilted magnetic fields

    NASA Astrophysics Data System (ADS)

    Li, G.; Hauser, N.; Jagadish, C.; Antoszewski, J.; Xu, W.

    1996-06-01

    Si δ-doped GaAs grown by metal organic vapor phase epitaxy (MOVPE) is characterized using magnetotransport measurements in tilted magnetic fields. Angular dependence of the longitudinal magnetoresistance (Rxx) vs the magnetic field (B) traces in tilted magnetic fields is used to examine the existence of a quasi-two-dimensional electron gas. The subband electron densities (ni) are obtained applying fast Fourier transform (FFT) analysis to the Rxx vs B trace and using mobility spectrum (MS) analysis of the magnetic field dependent Hall data. Our results show that (1) the subband electron densities remain roughly constant when the tilted magnetic field with an angle <30° measured from the Si δ-doped plane normal is ramped up to 13 T; (2) FFT analysis of the Rxx vs B trace and MS analysis of the magnetic field dependent Hall data both give the comparable results on subband electron densities of Si δ-doped GaAs with low δ-doping concentration, however, for Si δ-doped GaAs with very high δ-doping concentration, the occupation of the lowest subbands cannot be well resolved in the MS analysis; (3) the highest subband electron mobility reported to date of 45 282 cm2/s V is observed in Si δ-doped GaAs at 77 K in the dark; and (4) the subband electron densities of Si δ-doped GaAs grown by MOVPE at 700 °C are comparable to those grown by MBE at temperatures below 600 °C. A detailed study of magnetotransport properties of Si δ-doped GaAs in the parallel magnetic fields is then carried out to further confirm the subband electronic structures revealed by FFT and MS analysis. Our results are compared to theoretical calculation previously reported in literature. In addition, influence of different cap layer structures on subband electronic structures of Si δ-doped GaAs is observed and also discussed.

  2. Structural and Optical Studies of ZnCdSe/ZnSe/ZnMgSSe Separate Confinement Heterostructures with Different Buffer Layers

    NASA Astrophysics Data System (ADS)

    Tu, Ru-Chin; Su, Yan-Kuin; Huang, Ying-Sheng; Chen, Giin-Sang; Chou, Shu-Tsun

    1998-09-01

    Detailed structural and optical studies of ZnCdSe/ZnSe/ZnMgSSe separate confinementheterostructures (SCH) grown on ZnSe, ZnSe/ZnSSe strained-layer superlattices (SLS),and GaAs buffer layers at the II VI/GaAs interface have been carried out by employingtransmission electron microscopy, variable temperature photoluminescence (PL), andcontactless electroreflectance (CER) measurements. A significant improvement onthe defect reduction and the optical quality has been observed by using either theZnSe/ZnSSe SLS or GaAs as the buffer layers when compared to that of the sample usingonly ZnSe as the buffer layer. However, the sample grown with the SLS buffer layersreveals a room temperature PL intensity higher than that of the sample grown witha GaAs buffer layer, which may still suffer from the great ionic differences betweenthe II V and III V atoms. Using 15 K CER spectra, we have also studied variousexcitonic transitions originating from strained Zn0.80Cd0.20Se/ZnSe single quantumwell in SCH with different buffer layers. An analysis of the CER spectra has ledto the identification of various excitonic transitions, mnH (L), between the mthconduction band state and the nth heavy (light)-hole band state. An excellentagreement between experiments and theoretical calculations based on the envelopefunction approximation model has been achieved.

  3. Silicon incorporation in GaAs: From delta-doping to monolayer insertion

    NASA Astrophysics Data System (ADS)

    Wagner, J.; Newman, R. C.; Roberts, C.

    1995-08-01

    Raman spectroscopy was used to study the incorporation of Si into doping layers in GaAs, grown by molecular beam epitaxy at a temperature of 400 °C, for Si concentrations ranging from the δ-doping level to a ML coverage. The strength of the scattering by local vibrational modes of substitutional Si was almost constant for Si areal concentration [Si]A in the range 5×1012<[Si]A<5×1013 cm-2 but then decreased, dropping below the detection limit for [Si]A≳3×1014 cm-2. At these concentrations a new vibrational band emerged at a frequency close to 470 cm-1 and developed into the optic zone center phonon of a coherently strained epitaxial layer of Si embedded in GaAs when a coverage of ≊1.5 ML (9.3×1014 cm-2) was reached. These findings strongly indicate that the observed saturation and the eventual decrease of the concentration of substitutional silicon is caused by an increasing incorporation of deposited Si into two-dimensional islands of covalently bonded Si.

  4. p-type zinc-blende GaN on GaAs substrates

    NASA Astrophysics Data System (ADS)

    Lin, M. E.; Xue, G.; Zhou, G. L.; Greene, J. E.; Morkoç, H.

    1993-08-01

    We report p-type cubic GaN. The Mg-doped layers were grown on vicinal (100) GaAs substrates by plasma-enhanced molecular beam epitaxy. Thermally sublimed Mg was, with N2 carrier gas, fed into an electron-cyclotron resonance source. p-type zinc-blende-structure GaN films were achieved with hole mobilities as high as 39 cm2/V s at room temperature. The cubic nature of the films were confirmed by x-ray diffractometry. The depth profile of Mg was investigated by secondary ions mass spectroscopy.

  5. Electrical and band structural analyses of Ti1-x Al x O y films grown by atomic layer deposition on p-type GaAs

    NASA Astrophysics Data System (ADS)

    An, Youngseo; Mahata, Chandreswar; Lee, Changmin; Choi, Sungho; Byun, Young-Chul; Kang, Yu-Seon; Lee, Taeyoon; Kim, Jiyoung; Cho, Mann-Ho; Kim, Hyoungsub

    2015-10-01

    Amorphous Ti1-x Al x O y films in the Ti-oxide-rich regime (x  <  0.5) were deposited on p-type GaAs via atomic layer deposition with titanium isopropoxide, trimethylaluminum, and H2O precursor chemistry. The electrical properties and energy band alignments were examined for the resulting materials with their underlying substrates, and significant frequency dispersion was observed in the accumulation region of the Ti-oxide-rich Ti1-x Al x O y films. Although a further reduction in the frequency dispersion and leakage current (under gate electron injection) could be somewhat achieved through a greater addition of Al-oxide in the Ti1-x Al x O y film, the simultaneous decrease in the dielectric constant proved problematic in finding an optimal composition for application as a gate dielectric on GaAs. The spectroscopic band alignment measurements of the Ti-oxide-rich Ti1-x Al x O y films indicated that the band gaps had a rather slow increase with the addition of Al-oxide, which was primarily compensated for by an increase in the valance band offset, while a nearly-constant conduction band offset with a negative electron barrier height was maintained.

  6. Materials Research Society Symposium Proceedings Held in Boston, Massachusetts on 4-6 December 1991. Low Temperature (LT) GaAs and Related Materials. Volume 241.

    DTIC Science & Technology

    1992-09-14

    AIGaAs-GaAs QUANTUM WELLS GROWN ON LOW TEMPERATURE GaAs 239 Y. Hwang, D. Zhang, T. Zhang, M. Mytych, and R.M. Kolbas MOLECULAR BEAM EPITAXY OF LOW...GaA/ quantum wells : 24i howvever, in our case. AIks layers were not introduced. Formation (if these rows is most prolf,.+l influenced hb the diffusimon...regions. Preliminary investigations into this method have been performed using GaAs quantum wells between thick AIGaAs barriers as shown in Fig. 7. This

  7. Effects of doping impurity and growth orientation on dislocation generation in GaAs crystals grown from the melt: A qualitative finite-element study

    NASA Astrophysics Data System (ADS)

    Zhu, X. A.; Tsai, C. T.

    2000-09-01

    Dislocations in gallium arsenide (GaAs) crystals are generated by excessive thermal stresses induced during the crystal growth process. The presence of dislocations has adverse effects on the performance and reliability of the GaAs-based devices. It is well known that dislocation density can be significantly reduced by doping impurity atoms into a GaAs crystal during its growth process. A viscoplastic constitutive equation that couples the microscopic dislocation density with the macroscopic plastic deformation is employed in a crystallographic finite element model for calculating the dislocation density generated in the GaAs crystal during its growth process. The dislocation density is considered as an internal state variable and the drag stress caused by doping impurity is included in this constitutive equation. A GaAs crystal grown by the vertical Bridgman process is adopted as an example to study the influences of doping impurity and growth orientation on dislocation generation. The calculated results show that doping impurity can significantly reduce the dislocation density generated in the crystal. The level of reduction is also influenced by the growth orientation during the crystal growth process.

  8. Structural and optical properties of GaAs(100) with a thin surface layer doped with chromium

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Seredin, P. V., E-mail: paul@phys.vsu.ru; Fedyukin, A. V.; Arsentyev, I. N.

    The aim of this study is to explore the structural and optical properties of single-crystal GaAs(100) doped with Cr atoms by burning them into the substrate at high temperatures. The diffusion of chromium into single-crystal GaAs(100) substrates brings about the formation of a thin (~20–40 μm) GaAs:Cr transition layer. In this case, chromium atoms are incorporated into the gallium-arsenide crystal lattice and occupy the regular atomic sites of the metal sublattice. As the chromium diffusion time is increased, such behavior of the dopant impurity yields changes in the energy structure of GaAs, a decrease in the absorption at free chargemore » carriers, and a lowering of the surface recombination rate. As a result, the photoluminescence signal from the sample is significantly enhanced.« less

  9. Epitaxial CuInSe2 thin films grown by molecular beam epitaxy and migration enhanced epitaxy

    NASA Astrophysics Data System (ADS)

    Abderrafi, K.; Ribeiro-Andrade, R.; Nicoara, N.; Cerqueira, M. F.; Gonzalez Debs, M.; Limborço, H.; Salomé, P. M. P.; Gonzalez, J. C.; Briones, F.; Garcia, J. M.; Sadewasser, S.

    2017-10-01

    While CuInSe2 chalcopyrite materials are mainly used in their polycrystalline form to prepare thin film solar cells, epitaxial layers have been used for the characterization of defects. Typically, epitaxial layers are grown by metal-organic vapor phase epitaxy or molecular beam epitaxy (MBE). Here we present epitaxial layers grown by migration enhanced epitaxy (MEE) and compare the materials quality to MBE grown layers. CuInSe2 layers were grown on GaAs (0 0 1) substrates by co-evaporation of Cu, In, and Se using substrate temperatures of 450 °C, 530 °C, and 620 °C. The layers were characterized by high resolution X-ray diffraction (HR-XRD), high-resolution transmission electron microscopy (HRTEM), Raman spectroscopy, and atomic force microscopy (AFM). HR-XRD and HR-TEM show a better crystalline quality of the MEE grown layers, and Raman scattering measurements confirm single phase CuInSe2. AFM shows the previously observed faceting of the (0 0 1) surface into {1 1 2} facets with trenches formed along the [1 1 0] direction. The surface of MEE-grown samples appears smoother compared to MBE-grown samples, a similar trend is observed with increasing growth temperature.

  10. Image quality of a pixellated GaAs X-ray detector

    NASA Astrophysics Data System (ADS)

    Sun, G. C.; Makham, S.; Bourgoin, J. C.; Mauger, A.

    2007-02-01

    X-ray detection requires materials with large atomic numbers Z in order to absorb the radiation efficiently. In case of X-ray imaging, fluorescence is a limiting factor for the spatial resolution and contrast at energies above the kα threshold. Since both the energy and yield of the fluorescence of a given material increase with the atomic number, there is an optimum value of Z. GaAs, which can now be epitaxially grown as self-supported thick layers to fulfil the requirements for imaging (good homogeneity of the electronic properties) corresponds to this optimum. Image performances obtained with this material are evaluated in terms of line spread function and modulation transfer function, and a comparison with CsI is made. We evaluate the image contrast obtained for a given object contrast with GaAs and CsI detectors, in the photon energy range of medical applications. Finally, we discuss the minimum object size, which can be detected by these detectors in of mammography conditions. This demonstrates that an object of a given size can be detected using a GaAs detector with a dose at least 100 times lower than using a CsI detector.

  11. GaAs core--shell nanowires for photovoltaic applications.

    PubMed

    Czaban, Josef A; Thompson, David A; LaPierre, Ray R

    2009-01-01

    We report the use of Te as an n-type dopant in GaAs core-shell p-n junction nanowires for use in photovoltaic devices. Te produced significant change in the morphology of GaAs nanowires grown by the vapor-liquid-solid process in a molecular beam epitaxy system. The increase in radial growth of nanowires due to the surfactant effect of Te had a significant impact on the operating characteristics of photovoltaic devices. A decrease in solar cell efficiency occurred when the Te-doped GaAs growth duration was increased.

  12. Role of many-body effects in the coherent dynamics of excitons in low-temperature-grown GaAs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Webber, D.; Hacquebard, L.; Hall, K. C.

    2015-10-05

    Femtosecond four-wave mixing experiments on low-temperature-grown (LT-) GaAs indicate a polarization-dependent nonlinear optical response at the exciton, which we attribute to Coulomb-mediated coupling between excitons and electron-hole pairs simultaneously excited by the broad-bandwidth laser pulses. Strong suppression of the exciton response through screening by carriers injected by a third pump pulse was observed, an effect that is transient due to rapid carrier trapping. Our findings highlight the need to account for the complex interplay of disorder and many-body effects in the design of ultrafast optoelectronic devices using this material.

  13. Laser induced OMCVD growth of AlGaAs on GaAs

    NASA Technical Reports Server (NTRS)

    Wilt, David M.; Warner, Joseph D.; Aron, Paul R.; Pouch, John J.; Hoffman, Richard W., Jr.

    1987-01-01

    A major factor limiting the efficiency of the GaAs-GaAlAs solar cell is the rate of recombination at the GaAs-AlGaAs interface. Evidence has been previously reported which indicates that recombination at this interface can be greatly reduced if the AlGaAs layer is grown at lower than normal temperatures. The authors examine the epitaxial growth of AlGaAs on GaAs using a horizontal OMCVD reactor and an excimer laser operating in the UV (lambda = 193 nm) region. The growth temperatures were 450 and 500 C. The laser beam was utilized in two orientations: 75 deg angle of incidence and parallel to the substrate. Film composition and structure were determined by Auger electron spectroscopy (AES) and transmission electron microscopy (TEM). Auger analysis of epilayers grown at 500 C with the laser impinging show no carbon or oxygen contamination of the epitaxial layers or interfaces. TEM diffraction patterns of these same epilayers exhibit single crystal (100) zone axis patterns.

  14. Theoretical utmost performance of the (1 0 0) long-wave HgCdTe Auger suppressed photodetectors grown on GaAs

    NASA Astrophysics Data System (ADS)

    Martyniuk, P.; Gawron, W.; Madejczyk, P.; Rogalski, A.

    2017-08-01

    The vast majority of HgCdTe detectors designed to detect long wavelength (8-14 μm) infrared radiation must be cooled to achieve the required performance. It must be stressed that cooling requirement is both expensive and bulky and the main objective is to reach higher operating temperature condition preserving near background limited performance and high speed response. In order to reach that goal the thermal generation rate needs to be reduced below the photon generation rate. Except Auger 7, p-type HgCdTe active layers are mostly limited by technology dependent Shockley-Read-Hall generation-recombination processes. One of the ways to reduce of the trap density is a growth of the (1 0 0) HgCdTe epilayers on GaAs substrates. In addition, that orientation allows reaching lower carrier concentration in comparison to the commonly used (1 1 1) orientation (5 × 1015-1016 cm-3). In this paper we report on theoretical utmost performance of (1 0 0) HgCdTe Auger suppressed photodetectors grown on GaAs substrates. (1 0 0) HgCdTe orientation allows to reduce p-type doping to the level of ∼5 × 1014 cm-3 in analyzed long wavelength range. In addition Shockley-Read-Hall traps could be reduced to the level of ∼4.4 × 108 cm-3 resulting in suppression of the dark current by nearly two orders of magnitude within the range ∼20 ÷ 0.31 A/cm2 and detectivity, ∼1010-1011 cmHz1/2/W at temperature 230 K, voltage 200 mV.

  15. Structure characterization of MHEMT heterostructure elements with In0.4Ga0.6As quantum well grown by molecular beam epitaxy on GaAs substrate using reciprocal space mapping

    NASA Astrophysics Data System (ADS)

    Aleshin, A. N.; Bugaev, A. S.; Ermakova, M. A.; Ruban, O. A.

    2016-03-01

    The crystallographic parameters of elements of a metamorphic high-electron-mobility transistor (MHEMT) heterostructure with In0.4Ga0.6As quantum well are determined using reciprocal space mapping. The heterostructure has been grown by molecular-beam epitaxy (MBE) on the vicinal surface of a GaAs substrate with a deviation angle of 2° from the (001) plane. The structure consists of a metamorphic step-graded buffer (composed of six layers, including an inverse step), a high-temperature buffer of constant composition, and active high-electron-mobility transistor (HEMT) layers. The InAs content in the metamorphic buffer layers varies from 0.1 to 0.48. Reciprocal space mapping has been performed for the 004 and 224 reflections (the latter in glancing exit geometry). Based on map processing, the lateral and vertical lattice parameters of In x Ga1- x As ternary solid solutions of variable composition have been determined. The degree of layer lattice relaxation and the compressive stress are found within the linear elasticity theory. The high-temperature buffer layer of constant composition (on which active MHEMT layers are directly formed) is shown to have the highest (close to 100%) degree of relaxation in comparison with all other heterostructure layers and a minimum compressive stress.

  16. Spectroscopic and microscopic investigation of MBE-grown CdTe (211)B epitaxial thin films on GaAs (211)B substrates

    NASA Astrophysics Data System (ADS)

    Özden, Selin; Koc, Mumin Mehmet

    2018-03-01

    CdTe epitaxial thin films, for use as a buffer layer for HgCdTe defectors, were grown on GaAs (211)B using the molecular beam epitaxy method. Wet chemical etching (Everson method) was applied to the epitaxial films using various concentrations and application times to quantify the crystal quality and dislocation density. Surface characterization of the epitaxial films was achieved using Atomic force microscopy and Scanning electron microscopy (SEM) before and after each treatment. The Energy Dispersive X-Ray apparatus of SEM was used to characterize the chemical composition. Untreated CdTe films show smooth surface characteristics with root mean square (RMS) roughnesses of 1.18-3.89 nm. The thicknesses of the CdTe layers formed were calculated via FTIR spectrometry and obtained by ex situ spectroscopic ellipsometry. Raman spectra were obtained for various temperatures. Etch pit densities (EPD) were measured, from which it could be seen that EPD changes between 1.7 × 108 and 9.2 × 108 cm-2 depending on the concentration of the Everson etch solution and treatment time. Structure, shape and depth of pits resulting from each etch pit implementation were also evaluated. Pit widths varying between 0.15 and 0.71 µm with heights varying between 2 and 80 nm were observed. RMS roughness was found to vary by anything from 1.56 to 26 nm.

  17. GaAs quantum dots in a GaP nanowire photodetector

    NASA Astrophysics Data System (ADS)

    Kuyanov, P.; McNamee, S. A.; LaPierre, R. R.

    2018-03-01

    We report the structural, optical and electrical properties of GaAs quantum dots (QDs) embedded along GaP nanowires. The GaP nanowires contained p-i-n junctions with 15 consecutively grown GaAs QDs within the intrinsic region. The nanowires were grown by molecular beam epitaxy using the self-assisted vapor-liquid-solid process. The crystal structure of the NWs alternated between twinned ZB and WZ as the composition along the NW alternated between the GaP barriers and the GaAs QDs, respectively, leading to a polytypic structure with a periodic modulation of the NW sidewall facets. Photodetector devices containing QDs showed absorption beyond the bandgap of GaP in comparison to nanowires without QDs. Voltage-dependent measurements suggested a field emission process of carriers from the QDs.

  18. Single Junction InGaP/GaAs Solar Cells Grown on Si Substrates using SiGe Buffer Layers

    NASA Technical Reports Server (NTRS)

    Ringel, S. A.; Carlin, J. A.; Andre, C. L.; Hudait, M. K.; Gonzalez, M.; Wilt, D. M.; Clark, E. B.; Jenkins, P.; Scheiman, D.; Allerman, A.

    2002-01-01

    Single junction InGaP/GaAs solar cells displaying high efficiency and record high open circuit voltage values have been grown by metalorganic chemical vapor deposition on Ge/graded SiGe/Si substrates. Open circuit voltages as high as 980 mV under AM0 conditions have been verified to result from a single GaAs junction, with no evidence of Ge-related sub-cell photoresponse. Current AM0 efficiencies of close to 16% have been measured for a large number of small area cells, whose performance is limited by non-fundamental current losses due to significant surface reflection resulting from greater than 10% front surface metal coverage and wafer handling during the growth sequence for these prototype cells. It is shown that at the material quality currently achieved for GaAs grown on Ge/SiGe/Si substrates, namely a 10 nanosecond minority carrier lifetime that results from complete elimination of anti-phase domains and maintaining a threading dislocation density of approximately 8 x 10(exp 5) per square centimeter, 19-20% AM0 single junction GaAs cells are imminent. Experiments show that the high performance is not degraded for larger area cells, with identical open circuit voltages and higher short circuit current (due to reduced front metal coverage) values being demonstrated, indicating that large area scaling is possible in the near term. Comparison to a simple model indicates that the voltage output of these GaAs on Si cells follows ideal behavior expected for lattice mismatched devices, demonstrating that unaccounted for defects and issues that have plagued other methods to epitaxially integrate III-V cells with Si are resolved using SiGe buffers and proper GaAs nucleation methods. These early results already show the enormous and realistic potential of the virtual SiGe substrate approach for generating high efficiency, lightweight and strong III-V solar cells.

  19. GaAs photoconductive semiconductor switch

    DOEpatents

    Loubriel, Guillermo M.; Baca, Albert G.; Zutavern, Fred J.

    1998-01-01

    A high gain, optically triggered, photoconductive semiconductor switch (PCSS) implemented in GaAs as a reverse-biased pin structure with a passivation layer above the intrinsic GaAs substrate in the gap between the two electrodes of the device. The reverse-biased configuration in combination with the addition of the passivation layer greatly reduces surface current leakage that has been a problem for prior PCSS devices and enables employment of the much less expensive and more reliable DC charging systems instead of the pulsed charging systems that needed to be used with prior PCSS devices.

  20. InGaAs/GaAsP strain balanced multi-quantum wires grown on misoriented GaAs substrates for high efficiency solar cells

    NASA Astrophysics Data System (ADS)

    Alonso-Álvarez, D.; Thomas, T.; Führer, M.; Hylton, N. P.; Ekins-Daukes, N. J.; Lackner, D.; Philipps, S. P.; Bett, A. W.; Sodabanlu, H.; Fujii, H.; Watanabe, K.; Sugiyama, M.; Nasi, L.; Campanini, M.

    2014-08-01

    Quantum wires (QWRs) form naturally when growing strain balanced InGaAs/GaAsP multi-quantum wells (MQW) on GaAs [100] 6° misoriented substrates under the usual growth conditions. The presence of wires instead of wells could have several unexpected consequences for the performance of the MQW solar cells, both positive and negative, that need to be assessed to achieve high conversion efficiencies. In this letter, we study QWR properties from the point of view of their performance as solar cells by means of transmission electron microscopy, time resolved photoluminescence and external quantum efficiency (EQE) using polarised light. We find that these QWRs have longer lifetimes than nominally identical QWs grown on exact [100] GaAs substrates, of up to 1 μs, at any level of illumination. We attribute this effect to an asymmetric carrier escape from the nanostructures leading to a strong 1D-photo-charging, keeping electrons confined along the wire and holes in the barriers. In principle, these extended lifetimes could be exploited to enhance carrier collection and reduce dark current losses. Light absorption by these QWRs is 1.6 times weaker than QWs, as revealed by EQE measurements, which emphasises the need for more layers of nanostructures or the use light trapping techniques. Contrary to what we expected, QWR show very low absorption anisotropy, only 3.5%, which was the main drawback a priori of this nanostructure. We attribute this to a reduced lateral confinement inside the wires. These results encourage further study and optimization of QWRs for high efficiency solar cells.

  1. Electrodeposition of Metal on GaAs Nanowires

    NASA Astrophysics Data System (ADS)

    Liu, Chao; Einabad, Omid; Watkins, Simon; Kavanagh, Karen

    2010-10-01

    Copper (Cu) electrical contacts to freestanding gallium arsenide (GaAs) nanowires have been fabricated via electrodeposition. The nanowires are zincblende (111) oriented grown epitaxially on n-type Si-doped GaAs (111)B substrates by gold-catalyzed Vapor Liquid Solid (VLS) growth in a metal organic vapour phase epitaxy (MOVPE) reactor. The epitaxial electrodeposition process, based on previous work with bulk GaAs substrates, consists of a substrate oxide pre-etch in dilute ammonium-hydroxide carried out prior to galvanostatic electrodeposition in a pure Cu sulphate aqueous electrolyte at 20-60^oC. For GaAs nanowires, we find that Cu or Fe has a preference for growth on the gold catalyst avoiding the sidewalls. After removing gold, both metals still prefer to grow only on top of the nanowire, which has the largest potential field.

  2. Structural and electronic properties of isovalent boron atoms in GaAs

    NASA Astrophysics Data System (ADS)

    Krammel, C. M.; Nattermann, L.; Sterzer, E.; Volz, K.; Koenraad, P. M.

    2018-04-01

    Boron containing GaAs, which is grown by metal organic vapour phase epitaxy, is studied at the atomic level by cross-sectional scanning tunneling microscopy (X-STM) and spectroscopy (STS). In topographic X-STM images, three classes of B related features are identified, which are attributed to individual B atoms on substitutional Ga sites down to the second layer below the natural {110} cleavage planes. The X-STM contrast of B atoms below the surface reflects primarily the structural modification of the GaAs matrix by the small B atoms. However, B atoms in the cleavage plane have in contrast to conventional isovalent impurities, such as Al and In, a strong influence on the local electronic structure similar to donors or acceptors. STS measurements show that B in the GaAs {110} surfaces gives rise to a localized state short below the conduction band (CB) edge while in bulk GaAs, the B impurity state is resonant with the CB. The analysis of BxGa1-xAs/GaAs quantum wells reveals a good crystal quality and shows that the incorporation of B atoms in GaAs can be controlled along the [001] growth direction at the atomic level. Surprisingly, the formation of the first and fourth nearest neighbor B pairs, which are oriented along the <110 > directions, is strongly suppressed at a B concentration of 1% while the third nearest neighbor B pairs are found more than twice as often than expected for a completely spatially random pattern.

  3. Doping assessment in GaAs nanowires.

    PubMed

    Goktas, N Isik; Fiordaliso, E M; LaPierre, R R

    2018-06-08

    Semiconductor nanowires (NWs) are a candidate technology for future optoelectronic devices. One of the critical issues in NWs is the control of impurity doping for the formation of p-n junctions. In this study, beryllium (p-type dopant) and tellurium (n-type dopant) in self-assisted GaAs NWs was studied. The GaAs NWs were grown on (111) Si by molecular beam epitaxy using the self-assisted method. The dopant incorporation in the self-assisted GaAs NWs was investigated using Raman spectroscopy, photoluminescence, secondary ion mass spectrometry and electron holography. Be-doped NWs showed similar carrier concentration as compared to thin film (TF) standards. However, Te-doped NWs showed at least a one order of magnitude lower carrier concentration as compared to TF standards. Dopant incorporation mechanisms in NWs are discussed.

  4. Doping assessment in GaAs nanowires

    NASA Astrophysics Data System (ADS)

    Isik Goktas, N.; Fiordaliso, E. M.; LaPierre, R. R.

    2018-06-01

    Semiconductor nanowires (NWs) are a candidate technology for future optoelectronic devices. One of the critical issues in NWs is the control of impurity doping for the formation of p–n junctions. In this study, beryllium (p-type dopant) and tellurium (n-type dopant) in self-assisted GaAs NWs was studied. The GaAs NWs were grown on (111) Si by molecular beam epitaxy using the self-assisted method. The dopant incorporation in the self-assisted GaAs NWs was investigated using Raman spectroscopy, photoluminescence, secondary ion mass spectrometry and electron holography. Be-doped NWs showed similar carrier concentration as compared to thin film (TF) standards. However, Te-doped NWs showed at least a one order of magnitude lower carrier concentration as compared to TF standards. Dopant incorporation mechanisms in NWs are discussed.

  5. GaAs photoconductive semiconductor switch

    DOEpatents

    Loubriel, G.M.; Baca, A.G.; Zutavern, F.J.

    1998-09-08

    A high gain, optically triggered, photoconductive semiconductor switch (PCSS) implemented in GaAs as a reverse-biased pin structure with a passivation layer above the intrinsic GaAs substrate in the gap between the two electrodes of the device is disclosed. The reverse-biased configuration in combination with the addition of the passivation layer greatly reduces surface current leakage that has been a problem for prior PCSS devices and enables employment of the much less expensive and more reliable DC charging systems instead of the pulsed charging systems that needed to be used with prior PCSS devices. 5 figs.

  6. New Passivation Methods of GaAs.

    DTIC Science & Technology

    1980-01-01

    Fabrication of Thin Nitride Layers on GaAs 33 - 35 CHAPTER 7 Passivation of InGaAsP 36 - 37 CHAPTER 8 Emulsions on GaAs Surfaces 38 - 42 APPENDIX...not yet given any useful results. The deposition of SiO2 by using emulsions is pursued and first results on the possibility of GaAs doping are...glycol-tartaric acid based aqueous solution was used in order to anodically oxidise the gate notch after the source and drain ohmic contacts were formed

  7. Heteroepitaxial growth of Cd(1-x)Mn(x)Te on GaAs by metalorganic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Nouhi, Akbar; Stirn, Richard J.

    1987-01-01

    In this letter, preliminary results are reported of heteroepitaxial growth of the dilute magnetic semiconductor alloy Cd(1-x)Mn(x)Te on GaAs by metalorganic chemical vapor deposition. Dimethylcadmium (DMCd), diethyltellurium (DETe), and tricarbonyl (methylcyclopentadienyl) manganese (TCPMn) were used as source materials. The TCPMn had to be heated to as high as 140 C to provide the required vapor pressure. Films with Mn atomic fractions up to 30 percent have been grown over the temperature range 410-450 C. Results of optical absorption/transmission, photoluminescence, and X-ray diffraction measurements are presented along with a scanning electron micrograph showing good surface morphology of the grown layers.

  8. Photoluminescence and capacitance voltage characterization of GaAs surface passivated by an ultrathin GaN interface control layer

    NASA Astrophysics Data System (ADS)

    Anantathanasarn, Sanguan; Hasegawa, Hideki

    2002-05-01

    A novel surface passivation technique for GaAs using an ultrathin GaN interface control layer (GaN ICL) formed by surface nitridation was characterized by ultrahigh vacuum (UHV) photoluminescence (PL) and capacitance-voltage ( C- V) measurements. The PL quantum efficiency was dramatically enhanced after being passivated by the GaN ICL structure, reaching as high as 30 times of the initial clean GaAs surface. Further analysis of PL data was done by the PL surface state spectroscopy (PLS 3) simulation technique. PL and C- V results are in good agreement indicating that ultrathin GaN ICL reduces the gap states and unpins the Fermi level, realizing a wide movement of Fermi level within the midgap region and reduction of the effective surface recombination velocity by a factor of 1/60. GaN layer also introduced a large negative surface fixed charge of about 10 12 cm -2. A further improvement took place by depositing a Si 3N 4 layer on GaN ICL/GaAs structure.

  9. Electrical properties of GaAs metal–oxide–semiconductor structure comprising Al{sub 2}O{sub 3} gate oxide and AlN passivation layer fabricated in situ using a metal–organic vapor deposition/atomic layer deposition hybrid system

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aoki, Takeshi, E-mail: aokit@sc.sumitomo-chem.co.jp; Fukuhara, Noboru; Osada, Takenori

    2015-08-15

    This paper presents a compressive study on the fabrication and optimization of GaAs metal–oxide–semiconductor (MOS) structures comprising a Al{sub 2}O{sub 3} gate oxide, deposited via atomic layer deposition (ALD), with an AlN interfacial passivation layer prepared in situ via metal–organic chemical vapor deposition (MOCVD). The established protocol afforded self-limiting growth of Al{sub 2}O{sub 3} in the atmospheric MOCVD reactor. Consequently, this enabled successive growth of MOCVD-formed AlN and ALD-formed Al{sub 2}O{sub 3} layers on the GaAs substrate. The effects of AlN thickness, post-deposition anneal (PDA) conditions, and crystal orientation of the GaAs substrate on the electrical properties of the resultingmore » MOS capacitors were investigated. Thin AlN passivation layers afforded incorporation of optimum amounts of nitrogen, leading to good capacitance–voltage (C–V) characteristics with reduced frequency dispersion. In contrast, excessively thick AlN passivation layers degraded the interface, thereby increasing the interfacial density of states (D{sub it}) near the midgap and reducing the conduction band offset. To further improve the interface with the thin AlN passivation layers, the PDA conditions were optimized. Using wet nitrogen at 600 °C was effective to reduce D{sub it} to below 2 × 10{sup 12} cm{sup −2} eV{sup −1}. Using a (111)A substrate was also effective in reducing the frequency dispersion of accumulation capacitance, thus suggesting the suppression of traps in GaAs located near the dielectric/GaAs interface. The current findings suggest that using an atmosphere ALD process with in situ AlN passivation using the current MOCVD system could be an efficient solution to improving GaAs MOS interfaces.« less

  10. InGaAs/GaAsP strain balanced multi-quantum wires grown on misoriented GaAs substrates for high efficiency solar cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alonso-Álvarez, D.; Thomas, T.; Führer, M.

    Quantum wires (QWRs) form naturally when growing strain balanced InGaAs/GaAsP multi-quantum wells (MQW) on GaAs [100] 6° misoriented substrates under the usual growth conditions. The presence of wires instead of wells could have several unexpected consequences for the performance of the MQW solar cells, both positive and negative, that need to be assessed to achieve high conversion efficiencies. In this letter, we study QWR properties from the point of view of their performance as solar cells by means of transmission electron microscopy, time resolved photoluminescence and external quantum efficiency (EQE) using polarised light. We find that these QWRs have longermore » lifetimes than nominally identical QWs grown on exact [100] GaAs substrates, of up to 1 μs, at any level of illumination. We attribute this effect to an asymmetric carrier escape from the nanostructures leading to a strong 1D-photo-charging, keeping electrons confined along the wire and holes in the barriers. In principle, these extended lifetimes could be exploited to enhance carrier collection and reduce dark current losses. Light absorption by these QWRs is 1.6 times weaker than QWs, as revealed by EQE measurements, which emphasises the need for more layers of nanostructures or the use light trapping techniques. Contrary to what we expected, QWR show very low absorption anisotropy, only 3.5%, which was the main drawback a priori of this nanostructure. We attribute this to a reduced lateral confinement inside the wires. These results encourage further study and optimization of QWRs for high efficiency solar cells.« less

  11. Single quantum dot emission by nanoscale selective growth of InAs on GaAs: A bottom-up approach

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Patella, F.; Arciprete, F.; Placidi, E.

    2008-12-08

    We report on single dot microphotoluminescence ({mu}PL) emission at low temperature and low power from InAs dots grown by molecular beam epitaxy in nanoscale holes of a SiO{sub 2} mask deposited on GaAs(001). By comparing atomic force microscopy measurements with {mu}PL data, we show that the dot sizes inside the nanoholes are smaller than those of the dots nucleated on the extended GaAs surface. PL of dots spans a wide energy range depending on their size and on the thickness and composition of the InGaAs capping layer. Time-resolved PL experiments demonstrate a negligible loss of radiative recombination efficiency, proving highlymore » effective in the site-controlled dot nucleation.« less

  12. Heterostructures of metamorphic GaInAs photovoltaic converters fabricated by MOCVD on GaAs substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mintairov, S. A., E-mail: mintairov@scell.ioffe.ru; Emelyanov, V. M.; Rybalchenko, D. V.

    Heterostructures of metamorphic GaInAs photovoltaic converters (PVCs) are on GaAs substrates by the metal-organic chemical vapor deposition (MOCVD) method. It is shown that using a multilayer metamorphic buffer with a step of 2.5% in indium content and layer thicknesses of 120 nm provides the high quality of bulk layers subsequently grown on the buffer up to an indium content of 24%. PVCs with a long-wavelength photosensitivity edge up to 1300 nm and a quantum efficiency of ~80% in the spectral range 1050–1100 nm are fabricated. Analysis of the open-circuit voltage of the PVCs and diffusion lengths of minority carriers inmore » the layers demonstrates that the density of misfit dislocations penetrating into the bulk layers increases at an indium content exceeding 10%.« less

  13. Deep level defects in dilute GaAsBi alloys grown under intense UV illumination

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mooney, P. M.; Tarun, Marianne; Beaton, D. A.

    2016-07-21

    Dilute GaAs1-xBix alloys exhibiting narrow band edge photoluminescence (PL) were recently grown by molecular beam epitaxy (MBE) with the growth surface illuminated by intense UV radiation. To investigate whether the improved optical quality of these films results from a reduction in the concentration of deep level defects, p+/n and n+/p junction diodes were fabricated on both the illuminated and dark areas of several samples. Deep Level Transient Spectroscopy (DLTS) measurements show that the illuminated and dark areas of both the n- and p-type GaAs1-xBix epi-layers have similar concentrations of near mid-gap electron and hole traps, in the 1015 cm-3 range.more » Thus the improved PL spectra cannot be explained by a reduction in non-radiative recombination at deep level defects. We note that carrier freeze-out above 35 K is significantly reduced in the illuminated areas of the p-type GaAs1-xBix layers compared to the dark areas, allowing the first DLTS measurements of defect energy levels close to the valence band edge. These defect levels may account for differences in the PL spectra from the illuminated and dark areas of un-doped layers with a similar Bi fraction.« less

  14. Fermi energy control of vacancy coalescence and dislocation density in melt-grown GaAs

    NASA Technical Reports Server (NTRS)

    Lagowski, J.; Gatos, H. C.; Lin, D. G.; Aoyama, T.

    1984-01-01

    A striking effect of the Fermi energy on the dislocation density in melt-grown GaAs has been discovered. Thus, a shift of the Fermi energy from 0.1 eV above to 0.2 eV below its intrinsic value (at high temperature, i.e., near 1100 K) increases the dislocation density by as much as five orders of magnitude. The Fermi energy shift was brought about by n-type and p-type doping at a level of about 10 to the 17th per cu cm (under conditions of optimum partial pressure of As, i.e., under optimum melt stoichiometry). This effect must be associated with the fact that the Fermi energy controls the charge state of vacancies (i.e., the occupancy of the associated electronic states) which in turn must control their tendency to coalesce and thus the dislocation density. It appears most likely that gallium vacancies are the critical species.

  15. LEC GaAs for integrated circuit applications

    NASA Technical Reports Server (NTRS)

    Kirkpatrick, C. G.; Chen, R. T.; Homes, D. E.; Asbeck, P. M.; Elliott, K. R.; Fairman, R. D.; Oliver, J. D.

    1984-01-01

    Recent developments in liquid encapsulated Czochralski techniques for the growth of semiinsulating GaAs for integrated circuit applications have resulted in significant improvements in the quality and quantity of GaAs material suitable for device processing. The emergence of high performance GaAs integrated circuit technologies has accelerated the demand for high quality, large diameter semiinsulating GaAs substrates. The new device technologies, including digital integrated circuits, monolithic microwave integrated circuits and charge coupled devices have largely adopted direct ion implantation for the formation of doped layers. Ion implantation lends itself to good uniformity and reproducibility, high yield and low cost; however, this technique also places stringent demands on the quality of the semiinsulating GaAs substrates. Although significant progress was made in developing a viable planar ion implantation technology, the variability and poor quality of GaAs substrates have hindered progress in process development.

  16. Structure characterization of MHEMT heterostructure elements with In{sub 0.4}Ga{sub 0.6}As quantum well grown by molecular beam epitaxy on GaAs substrate using reciprocal space mapping

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aleshin, A. N., E-mail: a.n.aleshin@mail.ru; Bugaev, A. S.; Ermakova, M. A.

    2016-03-15

    The crystallographic parameters of elements of a metamorphic high-electron-mobility transistor (MHEMT) heterostructure with In{sub 0.4}Ga{sub 0.6}As quantum well are determined using reciprocal space mapping. The heterostructure has been grown by molecular-beam epitaxy (MBE) on the vicinal surface of a GaAs substrate with a deviation angle of 2° from the (001) plane. The structure consists of a metamorphic step-graded buffer (composed of six layers, including an inverse step), a high-temperature buffer of constant composition, and active high-electron-mobility transistor (HEMT) layers. The InAs content in the metamorphic buffer layers varies from 0.1 to 0.48. Reciprocal space mapping has been performed for themore » 004 and 224 reflections (the latter in glancing exit geometry). Based on map processing, the lateral and vertical lattice parameters of In{sub x}Ga{sub 1–x}As ternary solid solutions of variable composition have been determined. The degree of layer lattice relaxation and the compressive stress are found within the linear elasticity theory. The high-temperature buffer layer of constant composition (on which active MHEMT layers are directly formed) is shown to have the highest (close to 100%) degree of relaxation in comparison with all other heterostructure layers and a minimum compressive stress.« less

  17. New method for MBE growth of GaAs nanowires on silicon using colloidal Au nanoparticles

    NASA Astrophysics Data System (ADS)

    Bouravleuv, A.; Ilkiv, I.; Reznik, R.; Kotlyar, K.; Soshnikov, I.; Cirlin, G.; Brunkov, P.; Kirilenko, D.; Bondarenko, L.; Nepomnyaschiy, A.; Gruznev, D.; Zotov, A.; Saranin, A.; Dhaka, V.; Lipsanen, H.

    2018-01-01

    We present a new method for the deposition of colloidal Au nanoparticles on the surface of silicon substrates based on short-time Ar plasma treatment without the use of any polymeric layers. The elaborated method is compatible with molecular beam epitaxy, which allowed us to carry out the detailed study of GaAs nanowire synthesis on Si(111) substrates using colloidal Au nanoparticles as seeds for their growth. The results obtained elucidated the causes of the difference between the initial nanoparticle sizes and the diameters of the grown nanowires.

  18. Defect interactions in GaAs single crystals

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.; Lagowski, J.

    1984-01-01

    The two-sublattice structural configuration of GaAs and deviations from stoichiometry render the generation and interaction of electrically active point defects (and point defect complexes) critically important for device applications and very complex. Of the defect-induced energy levels, those lying deep into the energy band are very effective lifetime ""killers". The level 0.82 eV below the condition band, commonly referred to as EL2, is a major deep level, particularly in melt-grown GaAs. This level is associated with an antisite defect complex (AsGa - VAS). Possible mechanisms of its formation and its annihilation were further developed.

  19. Formation of embedded plasmonic Ga nanoparticle arrays and their influence on GaAs photoluminescence

    NASA Astrophysics Data System (ADS)

    Kang, M.; Jeon, S.; Jen, T.; Lee, J.-E.; Sih, V.; Goldman, R. S.

    2017-07-01

    We introduce a novel approach to the seamless integration of plasmonic nanoparticle (NP) arrays into semiconductor layers and demonstrate their enhanced photoluminescence (PL) efficiency. Our approach utilizes focused ion beam-induced self-assembly of close-packed arrays of Ga NPs with tailorable NP diameters, followed by overgrowth of GaAs layers using molecular beam epitaxy. Using a combination of PL spectroscopy and electromagnetic computations, we identify a regime of Ga NP diameter and overgrown GaAs layer thickness where NP-array-enhanced absorption in GaAs leads to enhanced GaAs near-band-edge (NBE) PL efficiency, surpassing that of high-quality epitaxial GaAs layers. As the NP array depth and size are increased, the reduction in spontaneous emission rate overwhelms the NP-array-enhanced absorption, leading to a reduced NBE PL efficiency. This approach provides an opportunity to enhance the PL efficiency of a wide variety of semiconductor heterostructures.

  20. Luminescence and Electroluminescence of Nd, Tm and Yb Doped GaAs and some II-Vi Compounds

    DTIC Science & Technology

    1994-02-28

    from the bandgap discontinuity (as was proposed in my publications [1,2]). Also, by using superlattice structure A1GaAs / GaAs: Er / AlGaAs, we could...n ipact ightemiting evic 10 3. The AlGaAs/GaAs: Er/A1GaAs superlattice structure. For the first time we designed the unipolar n’ - superlattice - n...structure as shown in Figure 5. The GaAs: Er/Alo.45Gao.55As superlattice was grown by MBE on an n’ GaAs: Si substrate. It consisted of 60 periods of

  1. LEED and AES characterization of the GaAs(110)-ZnSe interface

    NASA Technical Reports Server (NTRS)

    Tu, D.-W.; Kahn, A.

    1984-01-01

    In this paper, a study is conducted of the composition and structure of epitaxial ZnSe films grown by congruent evaporation on GaAs(110) at a rate of 2 A/min. It is found that the films grown on 300 C GaAs are nearly stoichiometric and form an abrupt interface with the substrate. Films grown at higher temperature (T greater than 350-400 C) are Se rich. The crystallinity of films grown at 300 C is good and their surface atomic geometry is identical to that of a ZnSe crystal. The GaAs-ZnSe interface geometry seems to be dominated by the Se-substrate bonds. The adsorption of Se, during the formation of very thin ZnSe films (2-3 A), produces a (1 x 2) LEED pattern and modifications of the LEED I-V profiles, which probably indicate a change in the substrate atomic relaxation.

  2. Heavily doped GaAs:Te layers grown by MOVPE using diisopropyl telluride as a source

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Daniltsev, V. M.; Demidov, E. V.; Drozdov, M. N.

    2016-11-15

    The capabilities of GaAs epitaxial layers extremely heavily doped with tellurium by metal-organic vapor-phase epitaxy using diisopropyl telluride as a source are studied. It is shown that tellurium incorporation into GaAs occurs to an atomic concentration of 10{sup 21} cm{sup –3} without appreciable diffusion and segregation effects. Good carrier concentrations (2 × 10{sup 19} cm{sup –3}) and specific contact resistances of non-alloyed ohmic contacts (1.7 × 10{sup –6} Ω cm{sup 2}) give grounds to use such layers to create non-alloyed ohmic contacts in electronic devices. A sharp decrease in the electrical activity of Te atoms, a decrease in the electronmore » mobility, and an increase in the contact resistance at atomic concentrations above 2 × 10{sup 20} cm{sup –3} are detected.« less

  3. GaAs MOEMS Technology

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    SPAHN, OLGA B.; GROSSETETE, GRANT D.; CICH, MICHAEL J.

    2003-03-01

    Many MEMS-based components require optical monitoring techniques using optoelectronic devices for converting mechanical position information into useful electronic signals. While the constituent piece-parts of such hybrid opto-MEMS components can be separately optimized, the resulting component performance, size, ruggedness and cost are substantially compromised due to assembly and packaging limitations. GaAs MOEMS offers the possibility of monolithically integrating high-performance optoelectronics with simple mechanical structures built in very low-stress epitaxial layers with a resulting component performance determined only by GaAs microfabrication technology limitations. GaAs MOEMS implicitly integrates the capability for radiation-hardened optical communications into the MEMS sensor or actuator component, a vitalmore » step towards rugged integrated autonomous microsystems that sense, act, and communicate. This project establishes a new foundational technology that monolithically combines GaAs optoelectronics with simple mechanics. Critical process issues addressed include selectivity, electrochemical characteristics, and anisotropy of the release chemistry, and post-release drying and coating processes. Several types of devices incorporating this novel technology are demonstrated.« less

  4. Possibility of a quasi-liquid layer of As on GaAs substrate grown by MBE as observed by enhancement of Ga desorption at high As pressure

    NASA Astrophysics Data System (ADS)

    Asai, K.; Feng, J. M.; Vaccaro, P. O.; Fujita, K.; Ohachi, T.

    2000-06-01

    The As vapor pressure dependence of the Ga desorption rate during molecular beam epitaxy (MBE) growth on GaAs( n11)A ( n=1-4 hereafter) substrates was studied by photoluminescence (PL) measurements at 12 K for undoped AlGaAs/GaAs asymmetric double quantum wells (ADQWs). Reflection high energy electron diffraction (RHEED) oscillation measurements on a GaAs(100) surface were also used. Two K-cells of As solid sources (corresponding to beam equivalent pressures (BEPs) of 9.0×10 -6 and 4.5×10 -5 Torr) were used to change the As pressure rapidly. The Ga flux and substrate temperature were kept constant at 0.76 ML/s and 12 K, respectively, while the As flux changed from 7.6 (BEP 9.0×10 -6 Torr) to 32 ML/s (4.5×10 -5 Torr). With increasing As pressure, two separated PL peaks for the wide well (WW) of high index substrates were observed. This peak separation is attributed to a reduced well depth from an increasing Ga desorption rate. The energy differences of the PL peak depending on the off-angle from (111)A to (100) plane indicates an orientation-dependent Ga desorption rate. Moreover, amongst all ( n11)A and (100) planes, the Ga desorption rate was smallest from the (111)A surface. The increase of Ga desorption from the surface at high As pressures probably arose from an increasing coverage with a quasi-liquid layer (QLL).

  5. Defect characterization of proton irradiated GaAs pn-junction diodes with layers of InAs quantum dots

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sato, Shin-ichiro, E-mail: sato.shinichiro@jaea.go.jp; Optoelectronics and Radiation Effects Branch, U.S. Naval Research Laboratory, Washington, DC 20375; Schmieder, Kenneth J.

    2016-05-14

    In order to expand the technology of III-V semiconductor devices with quantum structures to both terrestrial and space use, radiation induced defects as well as native defects generated in the quantum structures should be clarified. Electrically active defects in GaAs p{sup +}n diodes with embedded ten layers of InAs quantum dots (QDs) are investigated using Deep Level Transient Fourier Spectroscopy. Both majority carrier (electron) and minority carrier (hole) traps are characterized. In the devices of this study, GaP layers are embedded in between the QD layers to offset the compressive stress introduced during growth of InAs QDs. Devices are irradiatedmore » with high energy protons for three different fluences at room temperature in order to characterize radiation induced defects. Seven majority electron traps and one minority hole trap are found after proton irradiation. It is shown that four electron traps induced by proton irradiation increase in proportion to the fluence, whereas the EL2 trap, which appears before irradiation, is not affected by irradiation. These defects correspond to electron traps previously identified in GaAs. In addition, a 0.53 eV electron trap and a 0.14 eV hole trap are found in the QD layers before proton irradiation. It is shown that these native traps are also unaffected by irradiation. The nature of the 0.14 eV hole trap is thought to be Ga-vacancies in the GaP strain balancing layers.« less

  6. Improved resonance characteristics of GaAs beam resonators by epitaxially induced strain

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yamaguchi, H.; Onomitsu, K.; Kato, K.

    2008-06-23

    Micromechanical-beam resonators were fabricated using a strained GaAs film grown on relaxed In{sub 0.1}Ga{sub 0.9}As/In{sub 0.1}Al{sub 0.9}As buffer layers. The natural frequency of the fundamental mode was increased 2.5-4 times by applying tensile strain, showing good agreement with the model calculation assuming strain of 0.35% along the beam. In addition, the Q factor of 19 000 was obtained for the best sample, which is one order of magnitude higher than that for the unstrained resonator. This technique can be widely applied for improving the performance of resonator-based micro-/nanoelectromechanical devices.

  7. Analysis of twin defects in GaAs(111)B molecular beam epitaxy growth

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Park, Yeonjoon; Cich, Michael J.; Zhao, Rian

    2000-05-01

    The formation of twin is common during GaAs(111) and GaN(0001) molecular beam epitaxy (MBE) metalorganic chemical vapor deposition growth. A stacking fault in the zinc-blende (ZB)(111) direction can be described as an insertion of one monolayer of wurtzite structure, sandwiched between two ZB structures that have been rotated 60 degree sign along the growth direction. GaAs(111)A/B MBE growth within typical growth temperature regimes is complicated by the formation of pyramidal structures and 60 degree sign rotated twins, which are caused by faceting and stacking fault formation. Although previous studies have revealed much about the structure of these twins, a well-establishedmore » simple nondestructive characterization method which allows the measurement of total aerial density of the twins does not exist at present. In this article, the twin density of AlGaAs layers grown on 1 degree sign miscut GaAs(111)B substrates has been measured using high resolution x-ray diffraction, and characterized with a combination of Nomarski microscopy, atomic force microscopy, and transmission electron microscopy. These comparisons permit the relationship between the aerial twin density and the growth condition to be determined quantitatively. (c) 2000 American Vacuum Society.« less

  8. Germanium- and tellurium-doped GaAs for non-alloyed p-type and n-type ohmic contacts

    NASA Astrophysics Data System (ADS)

    Park, Joongseo; Barnes, Peter A.; Lovejoy, Michael L.

    1995-08-01

    Epitaxial ohmic contacts to GaAs were grown by liquid phase epitaxy. Heavily Ge-doped GaAs was grown to prepare ohmic contacts to p-GaAs while Te was used for the n-type contacts. Hall measurements were carried out for the samples grown from melts in which the mole fraction of Ge was varied between 1.55 atomic % and 52.2 atomic %, while the Te mole fractions varied between 0.03% and 0.5%. Specific contact resistance, rc, as low as rcp=2.9×10-6 ohm-cm 2 for Ge doping of p=(Na-Nd)=6.0×1019 holes/cm3 was measured for p-contacts and rcn=9.6×10-5 ohm-cm2 was measured for Te doping of n=(Nd-Na)=8.9×1018 electrons/cm3 for GaAs metallized with non-alloyed contacts of Ti/Al.

  9. Magnesium doping of efficient GaAs and Ga(0.75)In(0.25)As solar cells grown by metalorganic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Lewis, C. R.; Ford, C. W.; Werthen, J. G.

    1984-01-01

    Magnesium has been substituted for zinc in GaAs and Ga(0.75)In(0.25)As solar cells grown by metalorganic chemical vapor deposition (MOCVD). Bis(cyclopentadienyl)magnesium (Cp2Mg) is used as the MOCVD transport agent for Mg. Full retention of excellent material quality and efficient cell performance results. The substitution of Mg for Zn would enhance the abruptness and reproducibility of doping profiles, and facilitate high temperature processing and operation, due to the much lower diffusion coefficient of Mg, relative to Zn, in these materials.

  10. GaAs/Ge crystals grown on Si substrates patterned down to the micron scale

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Taboada, A. G., E-mail: gonzalez@phys.ethz.ch; Kreiliger, T.; Falub, C. V.

    Monolithic integration of III-V compounds into high density Si integrated circuits is a key technological challenge for the next generation of optoelectronic devices. In this work, we report on the metal organic vapor phase epitaxy growth of strain-free GaAs crystals on Si substrates patterned down to the micron scale. The differences in thermal expansion coefficient and lattice parameter are adapted by a 2-μm-thick intermediate Ge layer grown by low-energy plasma enhanced chemical vapor deposition. The GaAs crystals evolve during growth towards a pyramidal shape, with lateral facets composed of (111) planes and an apex formed by (137) and (001) surfaces.more » The influence of the anisotropic GaAs growth kinetics on the final morphology is highlighted by means of scanning and transmission electron microscopy measurements. The effect of the Si pattern geometry, substrate orientation, and crystal aspect ratio on the GaAs structural properties was investigated by means of high resolution X-ray diffraction. The thermal strain relaxation process of GaAs crystals with different aspect ratio is discussed within the framework of linear elasticity theory by Finite Element Method simulations based on realistic geometries extracted from cross-sectional scanning electron microscopy images.« less

  11. Green, yellow and bright red (In,Ga,Al)P-GaP diode lasers grown on high-index GaAs substrates

    NASA Astrophysics Data System (ADS)

    Ledentsov, N. N.; Shchukin, V. A.; Shernyakov, Yu. M.; Kulagina, M. M.; Payusov, A. S.; Gordeev, N. Yu.; Maximov, M. V.; Cherkashin, N. A.

    2017-02-01

    Low threshold current density (<400 A/cm2) injection lasing in (AlxGa1-x)0.5In0.5P-GaAs-based diodes down to the green spectral range (<570 nm) is obtained. The epitaxial structures are grown on high-index (611)A and (211)A GaAs substrates by metal-organic vapor phase epitaxy and contain tensile-strained GaP-enriched insertions aimed at preventing escape of the injected nonequilibrium electrons from the active region. Extended waveguide concept results in a vertical beam divergence with a full width at half maximum of 15o for (611)A substrates. The lasing at 569 nm is realized at 85 K. In the orange-red laser diode structure low threshold current density (200 A/cm2) in the orange spectral range (598 nm) is realized at 85 K. The latter devices demonstrate room temperature lasing at 628 nm at 2 kA/cm2 and a total power above 3W. The red laser diodes grown on (211)A substrates demonstrate vertically multimode lasing far field pattern indicating a lower optical confinement factor for the fundamental mode as compared to the devices grown on (611)A. However the temperature stability of the threshold current and the wavelength stability are significantly higher for (211)A-grown structures in agreement with the conduction band modeling data.

  12. Effect of carbon tetrabromide on the morphology of GaAs nanowires.

    PubMed

    Salehzadeh, O; Watkins, S P

    2011-04-22

    Carbon is a commonly used p-type dopant in planar III-V semiconductors, however its use in nanowire (NW) growth has been much less reported. In this work we show that the morphology of gold assisted GaAs NWs can be strongly modified by the presence of CBr(4) vapor during growth by metalorganic vapor phase epitaxy. GaAs NWs were grown under conditions which result in strong tapering and lateral growth at low growth temperatures by the use of triethylgallium (TEGa) instead of the more usual precursor, trimethylgallium (TMGa). Under these conditions, NWs grown in the presence of CBr(4) exhibit higher axial and lower radial growth rates, and negligible tapering compared with NWs grown in the absence of CBr(4) under the same conditions. We attribute this primarily to the suppression of the 2d growth rate by CBr(4), which enhances the axial growth rate of the nanowires. NWs grown with CBr(4) show stacking-fault-free zincblende structure, while the NWs grown without CBr(4) show a high density of stacking faults. This work underlines the striking effects which precursor chemistry can have on nanowire morphology.

  13. Structural and physical properties of InAlAs quantum dots grown on GaAs

    NASA Astrophysics Data System (ADS)

    Vasile, B. S.; Daly, A. Ben; Craciun, D.; Alexandrou, I.; Lazar, S.; Lemaître, A.; Maaref, M. A.; Iacomi, F.; Craciun, V.

    2018-04-01

    Quantum dots (QDs), which have particular physical properties due to the three dimensions confinement effect, could be used in many advanced optoelectronic applications. We investigated the properties of InAlAs/AlGaAs QDs grown by molecular beam epitaxy on GaAs/Al0.5Ga0.5As layers. The optical properties of QDs were studied by low-temperature photoluminescence (PL). Two bandgap transitions corresponding to the X-Sh and X-Ph energy structure were observed. The QDs structure was investigated using high-resolution X-ray diffraction (HRXRD) and high-resolution transmission electron microscopy (HRTEM). HRXRD investigations showed that the layers grew epitaxially on the substrate, with no relaxation. HRTEM investigations confirmed the epitaxial nature of the grown structures. In addition, it was revealed that the In atoms aggregated in some prismatic regions, forming areas of high In concentration, that were still in perfect registry with the substrate.

  14. Probability of twin formation on self-catalyzed GaAs nanowires on Si substrate

    PubMed Central

    2012-01-01

    We attempted to control the incorporation of twin boundaries in self-catalyzed GaAs nanowires (NWs). Self-catalyzed GaAs NWs were grown on a Si substrate under various arsenic pressures using molecular beam epitaxy and the vapor-liquid-solid method. When the arsenic flux is low, wurtzite structures are dominant in the GaAs NWs. On the other hand, zinc blende structures become dominant as the arsenic flux rises. We discussed this phenomenon on the basis of thermodynamics and examined the probability of twin-boundary formation in detail. PMID:23043754

  15. Gettering of donor impurities by V in GaAs and the growth of semi-insulating crystals

    NASA Technical Reports Server (NTRS)

    Ko, K. Y.; Lagowski, J.; Gatos, H. C.

    1989-01-01

    Vanadium added to the GaAs melt getters shallow donor impurities (Si and S) and decreases their concentration in the grown crystals. This gettering is driven by chemical reactions in the melt rather than in the solid. Employing V gettering, reproducibly semi-insulating GaAs were grown by horizontal Bridgman and liquid-encapsulated Czochralski techniques, although V did not introduce any midgap energy levels. The compensation mechanism in these crystals was controlled by the balance between the native midgap donor EL2 and residual shallow acceptors. Vanadium gettering contributed to the reduction of the concentration of shallow donors below the concentration of acceptors. The present findings clarify the long-standing controversy on the role of V in achieving semi-insulating GaAs.

  16. Substrate temperature dependence of ZnTe epilayers grown on GaAs(0 0 1) by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Zhao, Jie; Zeng, Yiping; Liu, Chao; Li, Yanbo

    2010-04-01

    ZnTe thin films have been grown on GaAs(0 0 1) substrates at different temperatures with constant Zn and Te beam equivalent pressures (BEPs) by molecular beam epitaxy (MBE). In situ reflection high-energy electron diffraction (RHEED) observation indicates that two-dimensional (2D) growth mode can be established after around one-minute three-dimensional (3D) nucleation by increasing the substrate temperature to 340 °C. We found that Zn desorption from the ZnTe surface is much greater than that of Te at higher temperatures, and estimated the Zn sticking coefficient by the evolution of growth rate. The Zn sticking coefficient decreases from 0.93 to 0.58 as the temperature is elevated from 320 to 400 °C. The ZnTe epilayer grown at 360 °C displays the narrowest full-width at half-maximum (FWHM) of 660 arcsec from (0 0 4) reflection in double-crystal X-ray rocking curve (DCXRC) measurements. The surface morphology of ZnTe epilayers is strongly dependent on the substrate temperature, and the root-mean-square (RMS) roughness diminishes drastically with the increase in temperature.

  17. Growth characteristics of (100)HgCdTe layers in low-temperature MOVPE with ditertiarybutyltelluride

    NASA Astrophysics Data System (ADS)

    Yasuda, K.; Hatano, H.; Ferid, T.; Minamide, M.; Maejima, T.; Kawamoto, K.

    1996-09-01

    Low-temperature growth of (100)HgCdTe (MCT) layers in MOVPE has been studied using ditertiarybutyltelluride (DtBTe), dimethylcadmium (DMCd), and elementary mercury as precursors. MCT layers were grown at 275°C on (100)GaAs substrates. Growths were carried out in a vertical growth cell which has a narrow spacing between the substrate and cell ceiling. Using the growth cell, the Cd-composition ( x) of MCT layers was controlled over a wide range from 0 to 0.98 by the DMCd flow. The growth rate of the MCT layers was constant at 5 μm h -1 for the increased DMCd flow. Preferential Cd-incorporation into MCT layers and an increase of the growth rate were observed in the presence of mercury vapor. The growth characteristics were considered to be due to the alkyl-exchange reaction between DMCd and mercury. The electrical properties and crystallinity of grown layers were also evaluated, which showed that layers with high quality can be grown at 275°C.

  18. Defects in GaAs films grown by MOMBE

    NASA Astrophysics Data System (ADS)

    Werner, K.; Heinecke, H.; Weyers, M.; Lüth, H.; Balk, P.

    1987-02-01

    The nature and densities of the defects obtained in MOMBE GaAs films have been studied. In addition to particulate matter deposited on the surface, imperfections in the substrate will lead to defect generation. Furthermore, the rate of generation is strongly affected by the ratio of the pressures of the group III alkyl and the group V hydride in the molecular beams and by the growth temperature, also on defect-free substrates. Doping has no effect on the defect structure of the surface. By proper choice of experimental conditions defect densities below 100 cm -2 may be consistently obtained.

  19. Characterization of core/shell structures based on CdTe and GaAs nanocrystalline layers deposited on SnO2 microwires

    NASA Astrophysics Data System (ADS)

    Ghimpu, L.; Ursaki, V. V.; Pantazi, A.; Mesterca, R.; Brâncoveanu, O.; Shree, Sindu; Adelung, R.; Tiginyanu, I. M.; Enachescu, M.

    2018-04-01

    We report the fabrication and characterization of SnO2/CdTe and SnO2/GaAs core/shell microstructures. CdTe or GaAs shell layers were deposited by radio-frequency (RF) magnetron sputtering on core SnO2 microwires synthesized by a flame-based thermal oxidation method. The produced structures were characterized by scanning electron microscopy (SEM), high-resolution scanning transmission electron microscope (HR-STEM), X-ray diffraction (XRD), Raman scattering and FTIR spectroscopy. It was found that the SnO2 core is of the rutile type, while the shells are composed of CdTe or GaAs nanocrystallites of zincblende structure with the dimensions of crystallites in the range of 10-20 nm. The Raman scattering investigations demonstrated that the quality of the porous nanostructured shell is improved by annealing at temperatures of 420-450 °C. The prospects of implementing these microstructures in intrinsic type fiber optic sensors are discussed.

  20. Low Temperature Grown and Highly Non-Stoichiometric GaAs and Related Materials

    DTIC Science & Technology

    1994-08-03

    Ser. No. 67 (1983), p. 285.attributed to the nonuniformity of crystal growth 2T. Figielski, T. Wonsinski and A. Mokosa, Phys. Stat. Solidi (a) condition...1.75 pyramidal defect distribution was nonuniform in this pm; sample C, 1.6 pm; and sample D, 0.95 prm. Each sample, the defects being separated...the layers grown on [0011 oriented substrates whereas growth on the near [1101 substrates resulted in compositional nonuniformities , macrosteps for

  1. Paths to light trapping in thin film GaAs solar cells.

    PubMed

    Xiao, Jianling; Fang, Hanlin; Su, Rongbin; Li, Kezheng; Song, Jindong; Krauss, Thomas F; Li, Juntao; Martins, Emiliano R

    2018-03-19

    It is now well established that light trapping is an essential element of thin film solar cell design. Numerous light trapping geometries have already been applied to thin film cells, especially to silicon-based devices. Less attention has been paid to light trapping in GaAs thin film cells, mainly because light trapping is considered less attractive due to the material's direct bandgap and the fact that GaAs suffers from strong surface recombination, which particularly affects etched nanostructures. Here, we study light trapping structures that are implemented in a high-bandgap material on the back of the GaAs active layer, thereby not perturbing the integrity of the GaAs active layer. We study photonic crystal and quasi-random nanostructures both by simulation and by experiment and find that the photonic crystal structures are superior because they exhibit fewer but stronger resonances that are better matched to the narrow wavelength range where GaAs benefits from light trapping. In fact, we show that a 1500 nm thick cell with photonic crystals achieves the same short circuit current as an unpatterned 4000 nm thick cell. These findings are significant because they afford a sizeable reduction in active layer thickness, and therefore a reduction in expensive epitaxial growth time and cost, yet without compromising performance.

  2. Epitaxial growth of GaSb on V-grooved Si (001) substrates with an ultrathin GaAs stress relaxing layer

    NASA Astrophysics Data System (ADS)

    Li, Qiang; Lai, Billy; Lau, Kei May

    2017-10-01

    We report epitaxial growth of GaSb nano-ridge structures and planar thin films on V-groove patterned Si (001) substrates by leveraging the aspect ratio trapping technique. GaSb was deposited on {111} Si facets of the V-shaped trenches using metal-organic chemical vapor deposition with a 7 nm GaAs growth initiation layer. Transmission electron microscopy analysis reveals the critical role of the GaAs layer in providing a U-shaped surface for subsequent GaSb epitaxy. A network of misfit dislocations was uncovered at the GaSb/GaAs hetero-interface. We studied the evolution of the lattice relaxation as the growth progresses from closely pitched GaSb ridges to coalesced thin films using x-ray diffraction. The omega rocking curve full-width-at-half-maximum of the resultant GaSb thin film is among the lowest values reported by molecular beam epitaxy, substantiating the effectiveness of the defect necking mechanism. These results thus present promising opportunities for the heterogeneous integration of devices based on 6.1 Å family compound semiconductors.

  3. Oxygen in GaAs - Direct and indirect effects

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.; Skowronski, M.; Pawlowicz, L.; Lagowski, J.

    1984-01-01

    Oxygen has profound effects on the key electronic properties and point defects of GaAs crystals. Thus, when added in the growth system, it decreases the free electron concentration and enhances the concentration of deep donors in the resulting crystals. Both of these effects are highly beneficial for achieving semi-insulating material and have been utilized for that purpose. They have been attributed to the tendency of oxygen to getter silicon impurities during crystal growth. Only recently, it has been found that oxygen in GaAs introduces also a midgap level, ELO, with essentially the same activation energy as EL2 but with four times greater electron capture cross section. The present report reassesses the electrical and optical properties of the midgap levels in GaAs crystals grown by the horizontal Bridgman (HB) and the Czochralski-LEC techniques. Emphasis is placed on the identification of the specific effects of ELO.

  4. Dielectric functions, chemical and atomic compositions of the near surface layers of implanted GaAs by In+ ions

    NASA Astrophysics Data System (ADS)

    Kulik, M.; Kołodyńska, D.; Bayramov, A.; Drozdziel, A.; Olejniczak, A.; Żuk, J.

    2018-06-01

    The surfaces of (100) GaAs were irradiated with In+ ions. The implanted samples were isobaric annealed at 800 °C and then of dielectric function, the surface atomic concentrations of atoms and also the chemical composition of the near surface layers in these implanted semiconductor samples were obtained. The following investigation methods were used: spectroscopic ellipsometry (SE), Rutherford backscattering spectrometry analyses (RBSA) and X-ray photoelectron spectroscopy (XPS) in the study of the above mentioned quantities, respectively. The change of the shape spectra of the dielectric functions at about 3.0 eV phonon energy, diffusion of In+ ions as well as chemical composition changes were observed after ion implantation and the thermal treatment. Due to displacement of Ga ions from GaAs by the In+ ions the new chemical compound InAs was formed. The relative amounts Ga2O3 and As2O3 ratio increase in the native oxide layers with the fluences increase after the thermal treatment of the samples. Additionally, it was noticed that the quantities of InO2 increase with the increasing values of the irradiated ions before thermal treatment.

  5. MBE grown III-V strain relaxed buffer layers and superlattices characterized by atomic force microscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Howard, A.J.; Fritz, I.J.; Drummond, T.J.

    1993-11-01

    Using atomic force microscopy (AFM), the authors have investigated the effects of growth temperature and dopant incorporation on the surface morphology of MBE grown graded buffer layers and strained layer superlattices (SLSs) in the InGaAlAs/GaAs and InAsSb/InSb material systems. The AFM results show quantitatively that over the temperature range from 380 to 545 C, graded in{sub x}Al{sub 1{minus}x}As(x = 0.05 {minus} 0.32) buffer layers grown at high temperatures ({approximately}520 C), and graded In{sub x}Ga{sub 1{minus}x}As (x = 0.05 {minus} 0.33) buffer layers and In{sub 0.4}Ga{sub 0.6}As/In{sub 0.26}Al{sub 0.35}Ga{sub 0.39}As SLSs grown at low temperatures ({approximately}400 C) have the lowest RMSmore » roughness. Also, for SLSs InAs{sub 0.21}Sb{sub 0.79}/InSb, undoped layers grown at 470 C were smoother than undoped layers grown at 420 C and Be-doped layers grown at 470 C. These results illustrate the role of surface tension in the growth of strained layer materials near the melting temperature of the InAs{sub x}Sb{sub {minus}x}/InSb superlattice. Nomarski interference and transmission electron microscopies, IR photoluminescence, x-ray diffraction, and photocurrent spectroscopy were also used to evaluate the relative quality of the material but usually, the results were not conclusive.« less

  6. Multilayer self-organization of InGaAs quantum wires on GaAs surfaces

    NASA Astrophysics Data System (ADS)

    Wang, Zhiming M.; Kunets, Vasyl P.; Xie, Yanze Z.; Schmidbauer, Martin; Dorogan, Vitaliy G.; Mazur, Yuriy I.; Salamo, Gregory J.

    2010-12-01

    Molecular-Beam Epitaxy growth of multiple In 0.4Ga 0.6As layers on GaAs (311)A and GaAs (331)A has been investigated by Atomic Force Microscopy and Photoluminescence. On GaAs (311)A, uniformly distributed In 0.4Ga 0.6As quantum wires (QWRs) with wider lateral separation were achieved, presenting a significant improvement in comparison with the result on single layer [H. Wen, Z.M. Wang, G.J. Salamo, Appl. Phys. Lett. 84 (2004) 1756]. On GaAs (331)A, In 0.4Ga 0.6As QWRs were revealed to be much straighter than in the previous report on multilayer growth [Z. Gong, Z. Niu, Z. Fang, Nanotechnology 17 (2006) 1140]. These observations are discussed in terms of the strain-field interaction among multilayers, enhancement of surface mobility at high temperature, and surface stability of GaAs (311)A and (331)A surfaces.

  7. Temporal behavior of RHEED intensity oscillations during molecular beam epitaxial growth of GaAs and AlGaAs on (111)B GaAs substrates

    NASA Astrophysics Data System (ADS)

    Yen, Ming Y.; Haas, T. W.

    1990-10-01

    We present the temporal behavior of intensity oscillations in reflection high-energy electron diffraction (RHEED) during molecular beam epitaxial (MBE) growth of GaAs and A1GaAs on (1 1 1)B GaAs substrates. The RHEED intensity oscillations were examined as a function of growth parameters in order to provide the insight into the dynamic characteristics and to identify the optimal condition for the two-dimensional layer-by-layer growth. The most intense RHEED oscillation was found to occur within a very narrow temperature range which seems to optimize the surface migration kinetics of the arriving group III elements and the molecular dissodiative reaction of the group V elements. The appearance of an initial transient of the intensity upon commencement of the growth and its implications are described.

  8. Defect properties of InGaAsN layers grown as sub-monolayer digital alloys by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Baranov, Artem I.; Gudovskikh, Alexander S.; Kudryashov, Dmitry A.; Lazarenko, Alexandra A.; Morozov, Ivan A.; Mozharov, Alexey M.; Nikitina, Ekaterina V.; Pirogov, Evgeny V.; Sobolev, Maxim S.; Zelentsov, Kirill S.; Egorov, Anton Yu.; Darga, Arouna; Le Gall, Sylvain; Kleider, Jean-Paul

    2018-04-01

    The defect properties of InGaAsN dilute nitrides grown as sub-monolayer digital alloys (SDAs) by molecular beam epitaxy for photovoltaic application were studied by space charge capacitance spectroscopy. Alloys of i-InGaAsN (Eg = 1.03 eV) were lattice-matched grown on GaAs wafers as a superlattice of InAs/GaAsN with one monolayer of InAs (<0.5 nm) between wide GaAsN (7-12 nm) layers as active layers in single-junction solar cells. Low p-type background doping was demonstrated at room temperature in samples with InGaAsN layers 900 nm and 1200 nm thick (less 1 × 1015 cm-3). According to admittance spectroscopy and deep-level transient spectroscopy measurements, the SDA approach leads to defect-free growth up to a thickness of 900 nm. An increase in thickness to 1200 nm leads to the formation of non-radiative recombination centers with an activation energy of 0.5 eV (NT = 8.4 × 1014 cm-3) and a shallow defect level at 0.20 eV. The last one leads to the appearance of additional doping, but its concentration is low (NT = 5 × 1014 cm-3) so it does not affect the photoelectric properties. However, further increase in thickness to 1600 nm, leads to significant growth of its concentration to (3-5) × 1015 cm-3, while the concentration of deep levels becomes 1.3 × 1015 cm-3. Therefore, additional free charge carriers appearing due to ionization of the shallow level change the band diagram from p-i-n to p-n junction at room temperature. It leads to a drop of the external quantum efficiency due to the effect of pulling electric field decrease in the p-n junction and an increased number of non-radiative recombination centers that negatively impact lifetimes in InGaAsN.

  9. Surface Chemistry and Interface Evolution during the Atomic Layer Deposition of High-k Metal Oxides on InAs(100) and GaAs(100) Surfaces

    NASA Astrophysics Data System (ADS)

    Henegar, Alex J.

    Device scaling has been key for creating faster and more powerful electronic devices. Integral circuit components like the metal-oxide semiconductor field-effect transistor (MOSFET) now rely on material deposition techniques, like atomic layer deposition (ALD), that possess atomic-scale thickness precision. At the heart of the archetypal MOSFET is a SiO2/Si interface which can be formed to near perfection. However when the thickness of the SiO 2 layer is shrunk down to a few nanometers several complications arise like unacceptably high leakage current and power consumption. Replacing Si with III-V semiconductors and SiO2 with high-k dielectric materials is appealing but comes with its own set of challenges. While SiO2 is practically defect-free, the native oxides of III-Vs are poor dielectrics. In this dissertation, the surface chemistry and interface evolution during the ALD of high-k metal oxides on Si(100), GaAs(100) and InAs(100) was studied. In particular, the surface chemistry and crystallization of TiO2 films grown on Si(100) was investigated using transmission Fourier transform infrared spectroscopy (FTIR), X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), scanning electron microscopy (SEM) and atomic force microscopy (AFM). Large, stable, and highly reactive anatase TiO2 grains were found to form during a post-deposition heat treatment after the ALD at 100 °C. The remainder of this work was focused on the evolution of the interfacial oxides during the deposition of TiO2 and Al2O3 on InAs(100) and GaAs(100) and during the deposition of Ta2O 5 on InAs(100). In summary the ALD precursor type, deposited film, and substrate had an influence in the evolution of the native oxides. Alkyl amine precursors fared better at removing the native oxides but the deposited films (TiO2 and Ta2O5) were susceptible to significant native oxide diffusion. The alkyl precursor used for the growth of Al 2O3 was relatively ineffective at removing the oxides but was

  10. Growth of lattice-matched GaInAsP grown on vicinal GaAs(001) substrates within the miscibility gap for solar cells

    DOE PAGES

    Oshima, Ryuji; France, Ryan M.; Geisz, John F.; ...

    2016-10-13

    The growth of quaternary Ga 0.68In 0.32As 0.35P 0.65 by metal-organic vapor phase epitaxy is very sensitive to growth conditions because the composition is within a miscibility gap. In this investigation, we fabricated 1 um-thick lattice-matched GaInAsP films grown on GaAs(001) for application to solar cells. In order to characterize the effect of the surface diffusion of adatoms on the material quality of alloys, the growth temperature and substrate miscut are varied. Transmission electron microscopy and two-dimensional in-situ multi-beam optical stress determine that growth temperatures of 650 degrees C and below enhance the formation of the CuPtB atomic ordering andmore » suppress material decomposition, which is found to occur at the growth surface. The root-mean-square (RMS) roughness is reduced from 33.6 nm for 750 degrees C to 1.62 nm for 650 degrees C, determined by atomic force microscopy. Our initial investigations show that the RMS roughness can be further reduced using increased miscut angle, and substrates miscut toward (111)A, leading to an RMS roughness of 0.56 nm for the sample grown at 600 degrees C on GaAs miscut 6 degrees toward (111)A. Using these conditions, we fabricate an inverted hetero-junction 1.62 eV Ga 0.68In 0.32As 0.35P 0.65 solar cell without an anti-reflection coating with a short-circuit current density, open-circuit voltage, fill factor, and efficiency of 12.23 mA/cm2, 1.12 V, 86.18%, and 11.80%, respectively.« less

  11. Photoluminescence and Band Alignment of Strained GaAsSb/GaAs QW Structures Grown by MBE on GaAs

    PubMed Central

    Sadofyev, Yuri G.; Samal, Nigamananda

    2010-01-01

    An in-depth optimization of growth conditions and investigation of optical properties including discussions on band alignment of GaAsSb/GaAs quantum well (QW) on GaAs by molecular beam epitaxy (MBE) are reported. Optimal MBE growth temperature of GaAsSb QW is found to be 470 ± 10 °C. GaAsSb/GaAs QW with Sb content ~0.36 has a weak type-II band alignment with valence band offset ratio QV ~1.06. A full width at half maximum (FWHM) of ~60 meV in room temperature (RT) photoluminescence (PL) indicates fluctuation in electrostatic potential to be less than 20 meV. Samples grown under optimal conditions do not exhibit any blue shift of peak in RT PL spectra under varying excitation.

  12. The growth of low band-gap InAs on (111)B GaAs substrates

    NASA Technical Reports Server (NTRS)

    Welser, R. E.; Guido, L. J.

    1995-01-01

    The use of low band-gap materials is of interest for a number of photovoltaic and optoelectronic applications, such as bottom cells of optimized multijunction solar cell designs, long wavelength light sources, detectors, and thermophotovoltaics. However, low band-gap materials are generally mismatched with respect to lattice constant, thermal expansion coefficient, and chemical bonding to the most appropriate commercially available substrates (Si, Ge, and GaAs). For the specific case of III-V semiconductor heteroepitaxy, one must contend with the strain induced by both lattice constant mismatch at the growth temperature and differences in the rates of mechanical deformation during the cool down cycle. Several experimental techniques have been developed to minimize the impact of these phenomena (i.e., compositional grading, strained layer superlattices, and high-temperature annealing). However, in highly strained systems such as InAs-on-GaAs, three-dimensional island formation and large defect densities (greater than or equal to 10(exp 8)/ cm(exp -2)) tend to limit their applicability. In these particular cases, the surface morphology and defect density must be controlled during the initial stages of nucleation and growth. At the last SPRAT conference, we reported on a study of the evolution of InAs islands on (100) and (111)B GaAs substrates. Growth on the (111)B orientation exhibits a number of advantageous properties as compared to the (100) during these early stages of strained-layer epitaxy. In accordance with a developing model of nucleation and growth, we have deposited thin (60 A - 2500 A), fully relaxed InAs films on (111)B GaAs substrates. Although thicker InAs films are subject to the formation of twin defects common to epitaxy on the (111)B orientation, appropriate control of the growth parameters can greatly minimize their density. Using this knowledge base, InAs films up to 2 microns in thickness with improved morphology and structural quality have

  13. Supernormal hardness increase of dilute Ga(As, N) thin films

    NASA Astrophysics Data System (ADS)

    Berggren, Jonas; Hanke, Michael; Luna, Esperanza; Trampert, Achim

    2017-03-01

    Hardness of epitaxial GaAs1-xNx films on GaAs(001) with different film thicknesses, varying from 80 to 700 nm, and nitrogen compositions x between zero (pure GaAs) and 0.031, were studied by means of nano-indentation. As a result, a disproportionate and monotonic increase by 17% in hardness was proved in the dilute range from GaAs to GaAs0.969N0.031. We are tracing this observation to solid solution strengthening, an extrinsic effect based on dislocation pinning due to interstitial nitrogen. On the other hand, intrinsic effects related to different electronegativities of As and N (i.e., altered bonding conditions) could be ruled out. Furthermore, in tensilely strained GaAs1-xNx layers, the appearance of cracks acts as the main strain relieving mechanism. A correlation between cracking and hardness reduction is investigated and discussed as a further relaxation pathway.

  14. Effect of ZnO seed layer on the morphology and optical properties of ZnO nanorods grown on GaN buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nandi, R., E-mail: rajunandi@iitb.ac.in; Mohan, S., E-mail: rajunandi@iitb.ac.in; Major, S. S.

    2014-04-24

    ZnO nanorods were grown by chemical bath deposition on sputtered, polycrystalline GaN buffer layers with and without ZnO seed layer. Scanning electron microscopy and X-ray diffraction show that the ZnO nanorods on GaN buffer layers are not vertically well aligned. Photoluminescence spectrum of ZnO nanorods grown on GaN buffer layer, however exhibits a much stronger near-band-edge emission and negligible defect emission, compared to the nanorods grown on ZnO buffer layer. These features are attributed to gallium incorporation at the ZnO-GaN interface. The introduction of a thin (25 nm) ZnO seed layer on GaN buffer layer significantly improves the morphology andmore » vertical alignment of ZnO-NRs without sacrificing the high optical quality of ZnO nanorods on GaN buffer layer. The presence of a thick (200 nm) ZnO seed layer completely masks the effect of the underlying GaN buffer layer on the morphology and optical properties of nanorods.« less

  15. Ultrathin type-II GaSb/GaAs quantum wells grown by OMVPE

    NASA Astrophysics Data System (ADS)

    Pitts, O. J.; Watkins, S. P.; Wang, C. X.; Stotz, J. A. H.; Meyer, T. A.; Thewalt, M. L. W.

    2004-09-01

    Heterostructures containing monolayer (ML) and submonolayer GaSb insertions in GaAs were grown using organometallic vapour phase epitaxy. At the GaAs-on-GaSb interface, strong intermixing occurs due to the surface segregation of Sb. To form structures with relatively abrupt interfaces, a flashoff growth sequence, in which growth interruptions are employed to desorb Sb from the surface, was introduced. Reflectance-difference spectroscopy and high-resolution X-ray diffraction data demonstrate that interfacial grading is strongly reduced by this procedure. For layer structures grown with the flashoff sequence, a GaSb coverage up to 1 ML can be obtained in the two-dimensional (2D) growth mode. For uncapped GaSb layers, on the other hand, atomic force microscope images show that the 2D-3D growth mode transition occurs at a submonolayer coverage between 0.3 and 0.5 ML. Low-temperature photoluminescence spectra of multiple quantum well samples grown using the flashoff sequence show a strong quantum well-related peak which shifts to lower energies as the amount of Sb incorporated increases. The PL peak energies are consistent with a type-II band lineup at the GaAs/GaSb interface.

  16. Epitaxial lateral overgrowth of GaAs: effect of doping on LPE growth behaviour

    NASA Astrophysics Data System (ADS)

    Zytkiewicz, Z. R.; Dobosz, D.; Pawlowska, M.

    1999-05-01

    Results of epitaxial lateral overgrowth (ELO) of GaAs on (001) GaAs substrates by liquid phase epitaxy are reported. We show that by introducing Si, Sn or Te impurities to the Ga-As solution the vertical growth rate is reduced while the lateral growth rate is significantly enhanced, which leads to a growth habit modification. Furthermore, the impurity incorporation into the growing layer is different on the upper and side surfaces of the ELO, reflecting the fundamental differences between the lateral and vertical growth modes. This phenomenon can be applied for studying the temporal development of ELO layers.

  17. Fermi level pinning at epitaxial Si on GaAs(100) interfaces

    NASA Astrophysics Data System (ADS)

    Silberman, J. A.; de Lyon, T. J.; Woodall, J. M.

    1991-12-01

    GaAs Schottky barrier contacts and metal-insulator-semiconductor structures that include thin epitaxial Si interfacial layers operate in a manner consistent with an unpinned Fermi level at the GaAs interface. These findings raise the question of whether this effect is an intrinsic property of the epitaxial GaAs(100)-Si interface. We have used x-ray photoemission spectroscopy to monitor the Fermi level position during in situ growth of thin epitaxial Si layers. In particular, films formed on heavily doped n- and p-type substrates were compared so as to use the large depletion layer fields available with high impurity concentration as a field-effect probe of the interface state density. The results demonstrate that epitaxial bonding at the interface alone is insufficient to eliminate Fermi level pinning, indicating that other mechanisms affect the interfacial charge balance in the devices that utilize Si interlayers.

  18. Scaling behavior of GaAs and GaMnAs quantum rings grown by droplet epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Placidi, E.; Dipartimento di Fisica, Universita di Roma 'Tor Vergata,' via della Ricerca Scientifica 1, 00133 Roma Italy; Arciprete, F.

    2012-10-01

    The transition from the liquid phase of Ga droplets to the formation of GaAs and GaMnAs quantum rings has been studied as a function of temperature. We show that different aggregation processes involve the GaAs (GaMnAs) island and the droplet formation. Furthermore, the aspect ratio of the islands exhibits an anomalous scaling law related to a tendency to aggregate in the vertical direction.

  19. Short-period (AlAs)(GaAs) superlattice lasers grown by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Blood, P.; Fletcher, E.D.; Foxon, C.T.

    1988-07-25

    We have used short-period all-binary (AlAs)(GaAs) superlattices with layers as thin as three monolayers to synthesize the barrier and cladding regions of GaAs quantum well lasers grown by molecular beam epitaxy. By studying the threshold current of single- and double-well devices as a function of cavity length and temperature, we conclude that the optical scattering losses are very low, that the gain-current characteristics are similar to alloy barrier devices, and that there is evidence for current leakage by recombination in the barriers.

  20. SEMICONDUCTOR TECHNOLOGY: GaAs surface wet cleaning by a novel treatment in revolving ultrasonic atomization solution

    NASA Astrophysics Data System (ADS)

    Zaijin, Li; Liming, Hu; Ye, Wang; Ye, Yang; Hangyu, Peng; Jinlong, Zhang; Li, Qin; Yun, Liu; Lijun, Wang

    2010-03-01

    A novel process for the wet cleaning of GaAs surface is presented. It is designed for technological simplicity and minimum damage generated within the GaAs surface. It combines GaAs cleaning with three conditions consisting of (1) removal of thermodynamically unstable species and (2) surface oxide layers must be completely removed after thermal cleaning, and (3) a smooth surface must be provided. Revolving ultrasonic atomization technology is adopted in the cleaning process. At first impurity removal is achieved by organic solvents; second NH4OH:H2O2:H2O = 1:1:10 solution and HCl: H2O2:H2O = 1:1:20 solution in succession to etch a very thin GaAs layer, the goal of the step is removing metallic contaminants and forming a very thin oxidation layer on the GaAs wafer surface; NH4OH:H2O = 1:5 solution is used as the removed oxide layers in the end. The effectiveness of the process is demonstrated by the operation of the GaAs wafer. Characterization of the oxide composition was carried out by X-ray photoelectron spectroscopy. Metal-contamination and surface morphology was observed by a total reflection X-ray fluorescence spectroscopy and atomic force microscope. The research results show that the cleaned surface is without contamination or metal contamination. Also, the GaAs substrates surface is very smooth for epitaxial growth using the rotary ultrasonic atomization technology.

  1. New MBE buffer for micron- and quarter-micron-gateGaAs MESFETs

    NASA Technical Reports Server (NTRS)

    1988-01-01

    A new buffer layer has been developed that eliminates backgating in GaAs MESFETs and substantially reduces short-channel effects in GaAs MESFETs with 0.27-micron-long gates. The new buffer is grown by molecular beam epitaxy (MBE) at a substrate temperature of 200 C using Ga and As sub 4 beam fluxes. The buffer is crystalline, highly resistive, optically inactive, and can be overgrown with high quality GaAs. GaAs MESFETs with a gate length of 0.27 microns that incorporate the new buffer show improved dc and RF properties in comparison with a similar MESFET with a thin undoped GaAs buffer. To demonstrate the backgating performance improvement afforded by the new buffer, MESFETs were fabricated using a number of different buffer layers and structures. A schematic cross section of the MESFET structure used in this study is shown. The measured gate length, gate width, and source-drain spacing of this device are 2,98, and 5.5 microns, respectively. An ohmic contact, isolated from the MESFET by mesa etching, served as the sidegate. The MESFETs were fabricated in MBE n-GaAs layers grown on the new buffer and also in MBE n-GaAs layers grown on buffer layers of undoped GaAs, AlGaAs, and GaAs/AlGaAs superlattices. All the buffer layers were grown by MBE and are 2 microns thick. The active layer is doped to approximately 2 x 10 to the 17th/cu cm with silicon and is 0.3 microns thick.

  2. Atomic-scale structural and electronic properties of SrTiO3/GaAs interfaces: A combined STEM-EELS and first-principles study

    NASA Astrophysics Data System (ADS)

    Hong, Liang; Bhatnagar, Kunal; Droopad, Ravi; Klie, Robert F.; Öǧüt, Serdar

    2017-07-01

    The electronic properties of epitaxial oxide thin films grown on compound semiconductors are largely determined by the interfacial atomic structure, as well as the thermodynamic conditions during synthesis. Ferroelectric polarization and Fermi-level pinning in SrTiO3 films have been attributed to the presence of oxygen vacancies at the oxide/semiconductor interface. Here, we present scanning transmission electron microscopy (STEM) and electron energy-loss spectroscopy analyses of GaAs films grown on SrTiO3 combined with first-principles calculations to determine the atomic and electronic structures of the SrTiO3/GaAs interfaces. An atomically abrupt SrO/As interface is observed and the interfacial SrO layer is found to be O-deficient. First-principles density functional theory (DFT) calculations show SrO/Ga and Sr/As interfaces are favorable under O-rich and O-poor conditions, respectively. The SrO/Ga interface is reconstructed via the formation of Ga-Ga dimers while the Sr/As interface is abrupt and consistent with the experiment. DFT calculations further reveal that intrinsic two-dimensional electron gas (2DEG) forms in both SrO/Ga and Sr/As interfaces, and the Fermi level is pinned to the localized 2DEG states. Interfacial O vacancies can enhance the 2DEG density while it is possible for Ga/As vacancies to unpin the Fermi level from the 2DEG states.

  3. GaAs droplet quantum dots with nanometer-thin capping layer for plasmonic applications.

    PubMed

    Park, Suk In; Trojak, Oliver Joe; Lee, Eunhye; Song, Jin Dong; Kyhm, Jihoon; Han, Ilki; Kim, Jongsu; Yi, Gyu-Chul; Sapienza, Luca

    2018-05-18

    We report on the growth and optical characterization of droplet GaAs quantum dots (QDs) with extremely-thin (11 nm) capping layers. To achieve such result, an internal thermal heating step is introduced during the growth and its role in the morphological properties of the QDs obtained is investigated via scanning electron and atomic force microscopy. Photoluminescence measurements at cryogenic temperatures show optically stable, sharp and bright emission from single QDs, at visible wavelengths. Given the quality of their optical properties and the proximity to the surface, such emitters are good candidates for the investigation of near field effects, like the coupling to plasmonic modes, in order to strongly control the directionality of the emission and/or the spontaneous emission rate, crucial parameters for quantum photonic applications.

  4. GaAs droplet quantum dots with nanometer-thin capping layer for plasmonic applications

    NASA Astrophysics Data System (ADS)

    In Park, Suk; Trojak, Oliver Joe; Lee, Eunhye; Song, Jin Dong; Kyhm, Jihoon; Han, Ilki; Kim, Jongsu; Yi, Gyu-Chul; Sapienza, Luca

    2018-05-01

    We report on the growth and optical characterization of droplet GaAs quantum dots (QDs) with extremely-thin (11 nm) capping layers. To achieve such result, an internal thermal heating step is introduced during the growth and its role in the morphological properties of the QDs obtained is investigated via scanning electron and atomic force microscopy. Photoluminescence measurements at cryogenic temperatures show optically stable, sharp and bright emission from single QDs, at visible wavelengths. Given the quality of their optical properties and the proximity to the surface, such emitters are good candidates for the investigation of near field effects, like the coupling to plasmonic modes, in order to strongly control the directionality of the emission and/or the spontaneous emission rate, crucial parameters for quantum photonic applications.

  5. Effects of Light Exposure on Dopant Incorporation and Migration in MBE-Grown GaAs(001)

    NASA Astrophysics Data System (ADS)

    Sanders, Charlotte E.; Beaton, D. A.; Alberi, K.

    2015-03-01

    Light-stimulated epitaxy of II-VI semiconducting materials is known to reduce crystalline defect density and enhance substitutional dopant incorporation relative to traditional ``dark'' epitaxial growth. These effects have been speculated to arise from photon-adatom interactions at the growth front, and from involvement in bonding processes by photogenerated carriers; however, a conclusive explanation of the observed effects has yet to be found. We are revisiting this topic, attempting to clarify the mechanisms of light-stimulated epitaxy and to explore its effects on the class of III-V materials. Here we report an ongoing investigation into dopant incorporation and migration in MBE-grown GaAs(001) when the growth front is irradiated during deposition. On the basis of our preliminary findings, and by comparing our new results with results previously obtained for light-stimulated effects on doping of II-VI systems, we can begin to draw conclusions about the mechanisms underlying light-stimulated epitaxy and their potential utility to MBE growth of complex multilayer structures. This work was supported by the DOE Office of Science, Basic Energy Sciences, under contract DE-AC36-08G028308.

  6. In 0.35Ga 0.65P light-emitting diodes grown by gas-source MBE

    NASA Astrophysics Data System (ADS)

    Masselink, W. Ted; Zachau, Martin

    1993-02-01

    This paper describes the growth and optical characteristics of In yGa 1- yP with 0.3< y<0.5, and the LED operation of p-i-n structures in the same materials system. The InGaP is grown using gas-source molecular beam epitaxy (GSMBE). The non-lattice-matched In yGa 1- yP grown on GaAs using GSMBE has a specularly smooth surface morphology through the use of unique strained-layer superlattice (SLS) buffer. We have measured the luminescence, luminescence excitation, and Raman spectra of these undoped films and observe strong excitonic luminescence over the entire composition range investigated. The band gap derived from the luminescence excitation spectra corresponds to that of a fully relaxed InGaP film with no residual strain, which is confirmed by the Raman measurements. Light-emitting diodes with peak (300 K) emission centered at less than 590 nm have been fabricated from p-i-n junctions in In 0.35Ga 0.65P. This alloy is close to that with the largest direct band gap in the In yGa 1- y P system and has lattice mismatch from the GaAs substrate of 1%.

  7. Spontaneous perpendicular exchange bias effect in L10-MnGa/FeMn bilayers grown by molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Zhao, X. P.; Lu, J.; Mao, S. W.; Yu, Z. F.; Wei, D. H.; Zhao, J. H.

    2018-01-01

    We report on the spontaneous perpendicular exchange bias effect in as-grown L10-MnGa/FeMn bilayers. An FeMn layer with different thicknesses is introduced as an antiferromagnetic layer to couple with single-crystalline ferromagnetic L10-MnGa, which is epitaxially grown on a GaAs (001) substrate by molecular-beam epitaxy. The perpendicular exchange bias shows a strong dependence on both the thickness of the FeMn layer and the measurement temperature. A large spontaneous perpendicular exchange bias up to 8.9 kOe is achieved in L10-MnGa/FeMn bilayers at 5 K without any external magnetic treatment. The corresponding effective interfacial exchange energy Jeff is estimated to be 1.4 mJ/m2. The spontaneous perpendicular exchange bias effect in the (001) textured L10-MnGa/FeMn bilayers paves the way for spintronic devices based on exchange biased perpendicularly magnetized materials.

  8. Impact of dislocation densities on n+/p and p+/n junction GaAs diodes and solar cells on SiGe virtual substrates

    NASA Astrophysics Data System (ADS)

    Andre, C. L.; Wilt, D. M.; Pitera, A. J.; Lee, M. L.; Fitzgerald, E. A.; Ringel, S. A.

    2005-07-01

    Recent experimental measurements have shown that in GaAs with elevated threading dislocation densities (TDDs) the electron lifetime is much lower than the hole lifetime [C. L. Andre, J. J. Boeckl, D. M. Wilt, A. J. Pitera, M. L. Lee, E. A. Fitzgerald, B. M. Keyes, and S. A. Ringel, Appl. Phys. Lett. 84, 3884 (2004)]. This lower electron lifetime suggests an increase in depletion region recombination and thus in the reverse saturation current (J0 for an n+/p diode compared with a p+/n diode at a given TDD. To confirm this, GaAs diodes of both polarities were grown on compositionally graded Ge /Si1-xGex/Si (SiGe) substrates with a TDD of 1×106cm-2. It is shown that the ratio of measured J0 values is consistent with the inverse ratio of the expected lifetimes. Using a TDD-dependent lifetime in solar cell current-voltage models we found that the Voc, for a given short-circuit current, also exhibits a poorer TDD tolerance for GaAs n+/p solar cells compared with GaAs p+/n solar cells. Experimentally, the open-circuit voltage (Voc) for the n+/p GaAs solar cell grown on a SiGe substrate with a TDD of ˜1×106cm-2 was ˜880mV which was significantly lower than the ˜980mV measured for a p+/n GaAs solar cell grown on SiGe at the same TDD and was consistent with the solar cell modeling results reported in this paper. We conclude that p+/n polarity GaAs junctions demonstrate superior dislocation tolerance than n+/p configured GaAs junctions, which is important for optimization of lattice-mismatched III-V devices.

  9. Characterization of nonpolar a-plane GaN epi-layers grown on high-density patterned r-plane sapphire substrates

    NASA Astrophysics Data System (ADS)

    Jinno, Daiki; Otsuki, Shunya; Sugimori, Shogo; Daicho, Hisayoshi; Iwaya, Motoaki; Takeuchi, Tetsuya; Kamiyama, Satoshi; Akasaki, Isamu

    2018-02-01

    To reduce the number of threading dislocations (TDs) in nonpolar a-plane GaN (a-GaN) epi-layers grown on flat r-plane sapphire substrates (r-FSS), we investigated the effects on the crystalline quality of the a-GaN epi-layers of high-density patterned r-plane sapphire substrates (r-HPSS), the patterns of which were placed at intervals of several hundred nanometers. Two types of r-HPSS, the patterns of which had diameters and heights on the order of several hundred nanometers (r-NHPSS) or several micrometers (r-MHPSS), were prepared with conventional r-FSS. The effect of these r-HPSS on the a-GaN epi-layers was demonstrated by evaluating the surface morphology and the crystalline quality of the epi-layers. The surfaces of the a-GaN epi-layer grown on r-FSS and r-NHPSS were pit-free and mirror-like, whereas the surface of the a-GaN epi-layer grown on r-MHPSS was very rough due to the large, irregular GaN islands that grew on the patterns, mainly at the initial growth stage. The crystalline quality of the a-GaN epi-layer grown on r-NHPSS was better than that of the a-GaN epi-layer grown on r-FSS. We confirmed that there were fewer TDs in the a-GaN epi-layer grown on r-NHPSS than there were in the a-GaN epi-layer grown on r-FSS. The TDs propagating to the surface in a-GaN epi-layer grown on r-NHPSS were mainly generated on the flat sapphire regions between the patterns. Interestingly, it was also found that the TDs that propagated to the surface concentrated with a periodic pitch along the c-axis direction. The TD densities of a-GaN epi-layers grown on r-FSS and r-NHPSS were estimated to be approximately 5.0 × 1010 and 1.5 × 109 cm-2, respectively. This knowledge will contribute to the further development of a-GaN epi-layers for high-performance devices.

  10. Effects of in-situ UV irradiation on the uniformity and optical properties of GaAsBi epi-layers grown by MBE

    NASA Astrophysics Data System (ADS)

    Beaton, Daniel A.; Steger, M.; Christian, T.; Mascarenhas, A.

    2018-02-01

    In-situ UV illumination influences the incorporation dynamics of bismuth adatom in GaAs. Here we use the inherent variation of the fluence across the sample to explore the role of the incident irradiation. With illumination it is found that steady state growth processes are achieved more quickly resulting in more abrupt interfaces, as well as uniform GaAs1-xBix epi-layers. Comparisons of low temperature photoluminescence spectra show an increasing density of clusters of incorporated bismuth atoms with decreasing incident fluence.

  11. Effects of in-situ UV irradiation on the uniformity and optical properties of GaAsBi epi-layers grown by MBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Beaton, Daniel A.; Steger, M.; Christian, T.

    In-situ UV illumination influences the incorporation dynamics of bismuth adatom in GaAs. Here we use the inherent variation of the fluence across the sample to explore the role of the incident irradiation. With illumination it is found that steady state growth processes are achieved more quickly resulting in more abrupt interfaces, as well as uniform GaAs 1-xBi x epi-layers. Comparisons of low temperature photoluminescence spectra show an increasing density of clusters of incorporated bismuth atoms with decreasing incident fluence.

  12. Effects of in-situ UV irradiation on the uniformity and optical properties of GaAsBi epi-layers grown by MBE

    DOE PAGES

    Beaton, Daniel A.; Steger, M.; Christian, T.; ...

    2017-12-14

    In-situ UV illumination influences the incorporation dynamics of bismuth adatom in GaAs. Here we use the inherent variation of the fluence across the sample to explore the role of the incident irradiation. With illumination it is found that steady state growth processes are achieved more quickly resulting in more abrupt interfaces, as well as uniform GaAs 1-xBi x epi-layers. Comparisons of low temperature photoluminescence spectra show an increasing density of clusters of incorporated bismuth atoms with decreasing incident fluence.

  13. Accumulation of Background Impurities in Hydride Vapor Phase Epitaxy Grown GaN Layers

    NASA Astrophysics Data System (ADS)

    Usikov, Alexander; Soukhoveev, Vitali; Kovalenkov, Oleg; Syrkin, Alexander; Shapovalov, Liza; Volkova, Anna; Ivantsov, Vladimir

    2013-08-01

    We report on accumulation of background Si and O impurities measured by secondary ion mass spectrometry (SIMS) at the sub-interfaces in undoped, Zn- and Mg-doped multi-layer GaN structures grown by hydride vapor phase epitaxy (HVPE) on sapphire substrates with growth interruptions. The impurities accumulation is attributed to reaction of ammonia with the rector quartz ware during the growth interruptions. Because of this effect, HVPE-grown GaN layers had excessive Si and O concentration on the surface that may hamper forming of ohmic contacts especially in the case of p-type layers and may complicate homo-epitaxial growth of a device structure.

  14. Giant and reversible enhancement of the electrical resistance of GaAs1-xNx by hydrogen irradiation

    NASA Astrophysics Data System (ADS)

    Alvarez, J.; Kleider, J.-P.; Trotta, R.; Polimeni, A.; Capizzi, M.; Martelli, F.; Mariucci, L.; Rubini, S.

    2011-08-01

    The electrical properties of untreated and hydrogen-irradiated GaAs1-xNx are investigated by conductive-probe atomic force microscopy (CP-AFM). After hydrogen irradiation, the resistance R of GaAs1-xNx increases by more than three orders of magnitude while that of a N-free GaAs reference slightly decreases. Thermal annealing at 550 °C of H-irradiated GaAs1-xNx restores the pristine electrical properties of the as-grown sample thus demonstrating that this phenomenon is fully reversible. These effects are attributed to the nitrogen-hydrogen complexes that passivate N in GaAs1-xNx (thus restoring the energy gap of N-free GaAs) and, moreover, reduce the carrier scattering time by more than one order of magnitude. This opens up a route to the fabrication of planar conductive/resistive/conductive heterostructures with submicrometer spatial resolution, which is also reported here.

  15. Role of surface energy on the morphology and optical properties of GaP micro & nano structures grown on polar and non-polar substrates

    NASA Astrophysics Data System (ADS)

    Roychowdhury, R.; Kumar, Shailendra; Wadikar, A.; Mukherjee, C.; Rajiv, K.; Sharma, T. K.; Dixit, V. K.

    2017-10-01

    Role of surface energy on the morphology, crystalline quality, electronic structure and optical properties of GaP layer grown on Si (001), Si (111), Ge (111) and GaAs (001) is investigated. GaP layers are grown on four different substrates under identical growth kinetics by metal organic vapour phase epitaxy. The atomic force microscopy images show that GaP layer completely covers the surface of GaAs substrate. On the other hand, the surfaces of Si (001), Si (111), Ge (111) substrates are partially covered with crystallographically morphed GaP island type micro and nano-structures. Origin of these crystallographically morphed GaP island is explained by the theoretical calculation of surface energy of the layer and corresponding substrates respectively. The nature of GaP island type micro and nano-structures and layers are single crystalline with existence of rotational twins on Si and Ge (111) substrates which is confirmed by the phi, omega and omega/2theta scans of high resolution x-ray diffraction. The electronic valence band offsets between the GaP and substrates have been determined from the valence band spectra of ultraviolet photoelectron spectroscopy. The valence electron plasmon of GaP are investigated by studying the energy values of Ga (3d) core level along with loss peaks in the energy dependent photoelectron spectra. The peak observed within the range of 3-6 eV from the Ga (3d) core level in the photoelectron spectra are associated to inter band transitions as their energy values are estimated from the pseudo dielectric function by the spectroscopic ellipsometry.

  16. Surface reflectance anisotropy of indium-terminated GaAs(100) surfaces

    NASA Astrophysics Data System (ADS)

    Springer, C.; Resch-Esser, U.; Goletti, C.; Richter, W.; Fimland, B. O.

    1997-04-01

    The growth of thin indium-layers on the GaAs(100) As-rich {(2 × 4)}/{c(2 × 8) } surface has been investigated by reflectance anisotropy spectroscopy (RAS), LEED and AES. Clean surfaces of the {(2 × 4)}/{c(2 × 8) } reconstruction were prepared in UHV by thermal desorption of a protective arsenic layer deposited on homoepitaxially grown MBE layers. Room temperature deposition of indium on the {(2 × 4)}/{c(2 × 8) } surface and subsequent annealing at 450°C leads to a 90° rotation of symmetry in the LEED pattern at a threshold coverage of 0.5 monolayers, i.e. a change from the {(2 × 4)}/{c(2 × 8) } to the {(4 × 2)}/{c(8 × 2) } reconstruction. The RAS spectra show the evolution of a distinct negative feature at 1.8 eV, that shifts to 2.1 eV after annealing, corresponding to optical transitions attributed to In-dimers orientated along the [011]-direction. AES analysis shows a change in growth mode beyond 0.5 ML indicating saturation of all available adsorption sites at this coverage and RAS spectra show a contribution from additional disordered In. The AES spectra display no evidence of a surface exchange reaction between gallium and arsenic atoms, thus indicating a surface termination by In-dimers adsorbed on a layer of As.

  17. Reflection high energy electron diffraction and reflectance difference studies of surface anisotropy in InGaAs chemical beam epitaxy on flat and vicinal (001) GaAs

    NASA Astrophysics Data System (ADS)

    Junno, B.; Paulsson, G.; Miller, M.; Samuelson, L.

    1994-03-01

    InGaAs quantum wells (QWs) were grown in a chemical beam epitaxy (CBE) machine with trimethylindium (TMI), triethylgallium (TEG) and tertiarybutylarsine (TBA) as precursors. Growth was monitored in-situ by reflectance difference (RD) and reflection high energy electron diffraction (RHEED), on both flat and vicinal (2° off in the <111> A direction) (001)GaAs substrates. The RD was monitored at 632.8 nm. At this wavelength the RD signal from a GaAs surface is primarily related to the absorption by Ga dimers. When InGaAs had been grown, both the average RD signal and the amplitude of the RD oscillations for the subsequent growth of GaAs increased significantly, compared to GaAs growth on GaAs. This In influence was found to persist even after the growth of 20-30 ML of pure GaAs. As a result we were able to monitor growth oscillations with RD and RHEED simultaneously during growth of quantum wells of InGaAs in GaAs. As a conclusion to these observations we suggest that the group III dimer bond concentration, detected in the RD signal, increases.

  18. Growth control and design principles of self-assembled quantum dot multiple layer structures for photodetector applications

    NASA Astrophysics Data System (ADS)

    Asano, Tetsuya

    -QDIP with detectivites > 1011 cmHz1/2/W that become competitive with other photodetector technologies in the mid IR (3 -- 5 mum) and long wavelength IR (8 -- 12 mum) ranges with the added advantage of materials stability and normal incidence sensitivity. Extended defect-free and size-uniform MQD structures of shallow InAs on GaAs (001) SAQDs capped with In0.15Ga0.85As strain relief layers and separated by GaAs spacer layer were grown up to 20 periods employing a judicious combination of MBE and migration enhanced epitaxy (MEE) techniques and examined by detailed transmission electron microscopy studies to reveal the absence of detectable extended defects (dislocation density < ˜ 107 /cm2). Photoluminescence studies revealed high optical quality. As our focus was on mid-infrared detectors, the MQD structures were grown in n (GaAs) -- i (MQD) -- n (GaAs) structures providing electron occupancy in at least the quantum confined ground energy states of the SAQDs and thus photodetection based upon transitions to electron excited states. Bias and temperature-dependent dark and photocurrent measurements were carried out for a variety of doping profiles and the electron density spatial distribution was determined from the resulting band bending profiles. It is revealed that almost no free electrons are present in the middle SAQD layers in the 10-period and 20-period n--i--n QDIP structures, indicating the existence of a high density (˜1015/cm3) of negative charges which can be attributed to electrons trapped in deep levels. To examine the nature of these deep traps, samples suitable for deep level transient spectroscopy measurement were synthesized and examined. These studies, carried out for the first time for SAQDs, revealed that the deep traps are dominantly present in the GaAs overgrowth layers grown at 500°C by MBE. For structures involving GaAs overgrowths using MEE at temperatures as low as 350°C, the deep trap density in the GaAs overgrowth layer was found to be

  19. In-plane InSb nanowires grown by selective area molecular beam epitaxy on semi-insulating substrate.

    PubMed

    Desplanque, L; Bucamp, A; Troadec, D; Patriarche, G; Wallart, X

    2018-07-27

    In-plane InSb nanostructures are grown on a semi-insulating GaAs substrate using an AlGaSb buffer layer covered with a patterned SiO 2 mask and selective area molecular beam epitaxy. The shape of these nanostructures is defined by the aperture in the silicon dioxide layer used as a selective mask thanks to the use of an atomic hydrogen flux during the growth. Transmission electron microscopy reveals that the mismatch accommodation between InSb and GaAs is obtained in two steps via the formation of an array of misfit dislocations both at the AlGaSb buffer layer/GaAs and at the InSb nanostructures/AlGaSb interfaces. Several micron long in-plane nanowires (NWs) can be achieved as well as more complex nanostructures such as branched NWs. The electrical properties of the material are investigated by the characterization of an InSb NW MOSFET down to 77 K. The resulting room temperature field effect mobility values are comparable with those reported on back-gated MOSFETs based on InSb NWs obtained by vapor liquid solid growth or electrodeposition. This growth method paves the way to the fabrication of complex InSb-based nanostructures.

  20. Microwave GaAs Integrated Circuits On Quartz Substrates

    NASA Technical Reports Server (NTRS)

    Siegel, Peter H.; Mehdi, Imran; Wilson, Barbara

    1994-01-01

    Integrated circuits for use in detecting electromagnetic radiation at millimeter and submillimeter wavelengths constructed by bonding GaAs-based integrated circuits onto quartz-substrate-based stripline circuits. Approach offers combined advantages of high-speed semiconductor active devices made only on epitaxially deposited GaAs substrates with low-dielectric-loss, mechanically rugged quartz substrates. Other potential applications include integration of antenna elements with active devices, using carrier substrates other than quartz to meet particular requirements using lifted-off GaAs layer in membrane configuration with quartz substrate supporting edges only, and using lift-off technique to fabricate ultrathin discrete devices diced separately and inserted into predefined larger circuits. In different device concept, quartz substrate utilized as transparent support for GaAs devices excited from back side by optical radiation.

  1. Nitride passivation reduces interfacial traps in atomic-layer-deposited Al2O3/GaAs (001) metal-oxide-semiconductor capacitors using atmospheric metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Aoki, T.; Fukuhara, N.; Osada, T.; Sazawa, H.; Hata, M.; Inoue, T.

    2014-07-01

    Using an atmospheric metal-organic chemical vapor deposition system, we passivated GaAs with AlN prior to atomic layer deposition of Al2O3. This AlN passivation incorporated nitrogen at the Al2O3/GaAs interface, improving the capacitance-voltage (C-V) characteristics of the resultant metal-oxide-semiconductor capacitors (MOSCAPs). The C-V curves of these devices showed a remarkable reduction in the frequency dispersion of the accumulation capacitance. Using the conductance method at various temperatures, we extracted the interfacial density of states (Dit). The Dit was reduced over the entire GaAs band gap. In particular, these devices exhibited Dit around the midgap of less than 4 × 1012 cm-2eV-1, showing that AlN passivation effectively reduced interfacial traps in the MOS structure.

  2. High power cascaded mid-infrared InAs/GaSb light emitting diodes on mismatched GaAs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Provence, S. R., E-mail: sydney-provence@uiowa.edu; Ricker, R.; Aytac, Y.

    2015-09-28

    InAs/GaSb mid-wave, cascaded superlattice light emitting diodes are found to give higher radiance when epitaxially grown on mismatched GaAs substrates compared to lattice-matched GaSb substrates. Peak radiances of 0.69 W/cm{sup 2}-sr and 1.06 W/cm{sup 2}-sr for the 100 × 100 μm{sup 2} GaSb and GaAs-based devices, respectively, were measured at 77 K. Measurement of the recombination coefficients shows the shorter Shockley-Read-Hall recombination lifetime as misfit dislocations for growth on GaAs degrade the quantum efficiency only at low current injection. The improved performance on GaAs was found to be due to the higher transparency and improved thermal properties of the GaAs substrate.

  3. Frequency-tunable continuous-wave terahertz sources based on GaAs plasmonic photomixers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Shang-Hua; Jarrahi, Mona; Electrical Engineering Department, University of California Los Angeles, Los Angeles, California 90095

    2015-09-28

    We present frequency-tunable, continuous-wave terahertz sources based on GaAs plasmonic photomixers, which offer high terahertz radiation power levels at 50% radiation duty cycle. The use of plasmonic contact electrodes enhances photomixer quantum efficiency while maintaining its ultrafast operation by concentrating a large number of photocarriers in close proximity to the device contact electrodes. Additionally, the relatively high thermal conductivity and high resistivity of GaAs allow operation under high optical pump power levels and long duty cycles without reaching the thermal breakdown limit of the photomixer. We experimentally demonstrate continuous-wave terahertz radiation with a radiation frequency tuning range of more thanmore » 2 THz and a record-high radiation power of 17 μW at 1 THz through plasmonic photomixers fabricated on a low temperature grown GaAs substrate at 50% radiation duty cycle.« less

  4. Calcium impurity as a source of non-radiative recombination in (In,Ga)N layers grown by molecular beam epitaxy

    DOE PAGES

    Young, E. C.; Grandjean, N.; Mates, T. E.; ...

    2016-11-23

    Ca as an unintentional impurity has been investigated in III-nitride layers grown by molecular beam epitaxy (MBE). It has been found that Ca originates from the substrate surface, even if careful cleaning and rinsing procedures are applied. The initial Ca surface coverage is ~10 12 cm -2, which is consistent with previous reports on GaAs and silicon wafers. At the onset of growth, the Ca species segregates at the growth front while incorporating at low levels. The incorporation rate is strongly temperature dependent. It is about 0.03% at 820 °C and increases by two orders of magnitude when the temperaturemore » is reduced to 600 °C, which is the typical growth temperature for InGaN alloy. Consequently, [Ca] is as high as 10 18 cm -3 in InGaN/GaN quantum well structures. Such a huge concentration might be detrimental for the efficiency of light emitting diodes (LEDs) if one considers that Ca is potentially a source of Shockley-Read-Hall (SRH) defects. We thus developed a specific growth strategy to reduce [Ca] in the MBE grown LEDs, which consisted of burying Ca in a low temperature InGaN/GaN superlattice (SL) before the growth of the active region. Finally, two LED samples with and without an SL were fabricated. An increase in the output power by one order of magnitude was achieved when Ca was reduced in the LED active region, providing evidence for the role of Ca in the SRH recombination.« less

  5. Tandem Solar Cells Using GaAs Nanowires on Si: Design, Fabrication, and Observation of Voltage Addition.

    PubMed

    Yao, Maoqing; Cong, Sen; Arab, Shermin; Huang, Ningfeng; Povinelli, Michelle L; Cronin, Stephen B; Dapkus, P Daniel; Zhou, Chongwu

    2015-11-11

    Multijunction solar cells provide us a viable approach to achieve efficiencies higher than the Shockley-Queisser limit. Due to their unique optical, electrical, and crystallographic features, semiconductor nanowires are good candidates to achieve monolithic integration of solar cell materials that are not lattice-matched. Here, we report the first realization of nanowire-on-Si tandem cells with the observation of voltage addition of the GaAs nanowire top cell and the Si bottom cell with an open circuit voltage of 0.956 V and an efficiency of 11.4%. Our simulation showed that the current-matching condition plays an important role in the overall efficiency. Furthermore, we characterized GaAs nanowire arrays grown on lattice-mismatched Si substrates and estimated the carrier density using photoluminescence. A low-resistance connecting junction was obtained using n(+)-GaAs/p(+)-Si heterojunction. Finally, we demonstrated tandem solar cells based on top GaAs nanowire array solar cells grown on bottom planar Si solar cells. The reported nanowire-on-Si tandem cell opens up great opportunities for high-efficiency, low-cost multijunction solar cells.

  6. (In,Ga,Al)P-GaP laser diodes grown on high-index GaAs surfaces emitting in the green, yellow and bright red spectral range

    NASA Astrophysics Data System (ADS)

    Ledentsov, N. N.; Shchukin, V. A.; Shernyakov, Yu M.; Kulagina, M. M.; Payusov, A. S.; Gordeev, N. Yu; Maximov, M. V.; Cherkashin, N. A.

    2017-02-01

    We report on low threshold current density (<400 A cm-2) injection lasing in (Al x Ga1-x )0.5In0.5P-GaAs-based diodes down to the green spectral range (<570 nm). The epitaxial structures are grown on high-index (611)A and (211)A GaAs substrates by metal-organic vapor phase epitaxy and contain tensile-strained GaP-enriched insertions aimed at reflection of the injected nonequilibrium electrons preventing their escape from the active region. Extended waveguide concept results in a vertical beam divergence with a full width at half maximum of 15° for (611)A substrates. The lasing at the wavelength of 569 nm is realized at 85 K. In an orange-red laser diode structure low threshold current density (190 A cm-2) in the orange spectral range (598 nm) is realized at 85 K. The latter devices demonstrated room temperature lasing at 628 nm at ˜2 kA cm-2 and a total power above 3 W. The red laser diodes grown on (211)A substrates demonstrated a far field characteristic for vertically multimode lasing indicating a lower optical confinement factor for the fundamental mode as compared to the devices grown on (611)A. However, as expected from previous research, the temperature stability of the threshold current and the wavelength stability were significantly higher for (211)A-grown structures.

  7. Growth and Properties of Lattice Matched GaAsSbN Epilayer on GaAs for Solar Cell Applications

    NASA Technical Reports Server (NTRS)

    Bharatan, Sudhakar; Iyer, Shanthi; Matney, Kevin; Collis, Ward J.; Nunna Kalyan; Li, Jia; Wu, Liangjin; McGuire, Kristopher; McNeil, Laurie E.

    2006-01-01

    The growth and properties of GaAsSbN single quantum wells (SQWs) are investigated in this work. The heterostructures were grown on GaAs substrates in an elemental solid source molecular beam epitaxy (MBE) system assisted with a RF plasma nitrogen source. A systematic study has been carried out to determine the influence of various growth conditions, such as the growth temperature and the source shutter-opening sequence, on the quality of the grown layers and the incorporation of N and Sb. The effects of ex situ and in situ annealing under As overpressure on the optical properties of the layers have also been investigated. Substrate temperature in the range of 450-470 C was found to be optimum. Simultaneous opening of the source shutters was found to yield sharper QW interfaces. N and Sb incorporations were found to depend strongly upon substrate temperatures and source shutter opening sequences. A significant increase in PL intensity with a narrowing of PL line shape and blue shift in emission energy were observed on annealing the GaAsSbN/GaAs SQW, with in situ annealing under As overpressure providing better results, compared to ex situ annealing.

  8. Optical properties and carrier dynamics of GaAs/GaInAs multiple-quantum-well shell grown on GaAs nanowire by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Park, Kwangwook; Ravindran, Sooraj; Ju, Gun Wu

    GaAs/GaInAs multiple-quantum-well (MQW) shells having different GaInAs shell width formed on the surface of self-catalyzed GaAs core nanowires (NWs) are grown on (100) Si substrate using molecular beam epitaxy. The photoluminescence emission from GaAs/GaInAs MQW shells and the carrier lifetime could be varied by changing the width of GaInAs shell. Time-resolved photoluminescence measurements showed that the carrier lifetime had a fast and slow decay owing to the mixing of wurtzite and zinc-blende structures of the NWs. Furthermore, strain relaxation caused the carrier lifetime to decrease beyond a certain thickness of GaInAs quantum well shells.

  9. Rare-earth gate oxides for GaAs MOSFET application

    NASA Astrophysics Data System (ADS)

    Kwon, Kwang-Ho; Yang, Jun-Kyu; Park, Hyung-Ho; Kim, Jongdae; Roh, Tae Moon

    2006-08-01

    Rare-earth oxide films for gate dielectric on n-GaAs have been investigated. The oxide films were e-beam evaporated on S-passivated GaAs, considering interfacial chemical bonding state and energy band structure. Rare-earth oxides such as Gd 2O 3, (Gd xLa 1- x) 2O 3, and Gd-silicate were employed due to high resistivity and no chemical reaction with GaAs. Structural and bonding properties were characterized by X-ray photoemission, absorption, and diffraction. The electrical characteristics of metal-oxide-semiconductor (MOS) diodes were correlated with material properties and energy band structures to guarantee the feasibility for MOS field effect transistor (FET) application. Gd 2O 3 films were grown epitaxially on S-passivated GaAs (0 0 1) at 400 °C. The passivation induced a lowering of crystallization temperature with an epitaxial relationship of Gd 2O 3 (4 4 0) and GaAs (0 0 1). A better lattice matching relation between Gd 2O 3 and GaAs substrate was accomplished by the substitution of Gd with La, which has larger ionic radius. The in-plane relationship of (Gd xLa 1- x) 2O 3 (4 4 0) with GaAs (0 0 1) was found and the epitaxial films showed an improved crystalline quality. Amorphous Gd-silicate film was synthesized by the incorporation of SiO 2 into Gd 2O 3. These amorphous Gd-silicate films excluded defect traps or current flow path due to grain boundaries and showed a relatively larger energy band gap dependent on the contents of SiO 2. Energy band parameters such as Δ EC, Δ EV, and Eg were effectively controlled by the film composition.

  10. GaAs on Si epitaxy by aspect ratio trapping: Analysis and reduction of defects propagating along the trench direction

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Orzali, Tommaso, E-mail: tommaso.orzali@sematech.org; Vert, Alexey; O'Brien, Brendan

    2015-09-14

    The Aspect Ratio Trapping technique has been extensively evaluated for improving the quality of III-V heteroepitaxial films grown on Si, due to the potential for terminating defects at the sidewalls of SiO{sub 2} patterned trenches that enclose the growth region. However, defects propagating along the trench direction cannot be effectively confined with this technique. We studied the effect of the trench bottom geometry on the density of defects of GaAs fins, grown by metal-organic chemical vapor deposition on 300 mm Si (001) wafers inside narrow (<90 nm wide) trenches. Plan view and cross sectional Scanning Electron Microscopy and Transmission Electron Microscopy, togethermore » with High Resolution X-Ray Diffraction, were used to evaluate the crystal quality of GaAs. The prevalent defects that reach the top surface of GaAs fins are (111) twin planes propagating along the trench direction. The lowest density of twin planes, ∼8 × 10{sup 8 }cm{sup −2}, was achieved on “V” shaped bottom trenches, where GaAs nucleation occurs only on (111) Si planes, minimizing the interfacial energy and preventing the formation of antiphase boundaries.« less

  11. Effect of growth temperature on closely lattice-matched GaAsSbN intrinsic layer for GaAs-based 1.3 {mu}m p-i-n photodetector

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wicaksono, S.; Yoon, S.F.; Loke, W.K.

    2006-05-15

    GaAsSbN layers closely lattice-matched to GaAs were studied for application as the intrinsic layer in GaAs-based 1.3 {mu}m p-i-n photodetector. The GaAsSbN was grown as the intrinsic layer for the GaAs/GaAsSbN/GaAs photodetector structure using solid-source molecular beam epitaxy in conjunction with a radio frequency plasma-assisted nitrogen source and valved antimony cracker source. The lattice mismatch of the GaAsSbN layer to GaAs was kept below 4000 ppm, which is sufficient to maintain coherent growth of {approx}0.45 {mu}m thick GaAsSbN on the GaAs substrate. The growth temperature of the GaAsSbN layer was varied from 420-480 deg. C. All samples exhibit room temperaturemore » photocurrent response in the 1.3 {mu}m wavelength region, with dark current density of {approx}0.3-0.5 mA/cm{sup 2} and responsivity of up to 33 mA/W at 2 V reverse bias. Reciprocal space maps reveal traces of point defects and segregation (clustering) of N and Sb, which may have a detrimental effect on the photocurrent responsivity.« less

  12. Monolithic high voltage nonlinear transmission line fabrication process

    DOEpatents

    Cooper, Gregory A.

    1994-01-01

    A process for fabricating sequential inductors and varactor diodes of a monolithic, high voltage, nonlinear, transmission line in GaAs is disclosed. An epitaxially grown laminate is produced by applying a low doped active n-type GaAs layer to an n-plus type GaAs substrate. A heavily doped p-type GaAs layer is applied to the active n-type layer and a heavily doped n-type GaAs layer is applied to the p-type layer. Ohmic contacts are applied to the heavily doped n-type layer where diodes are desired. Multiple layers are then either etched away or Oxygen ion implanted to isolate individual varactor diodes. An insulator is applied between the diodes and a conductive/inductive layer is thereafter applied on top of the insulator layer to complete the process.

  13. Identification of oxygen-related midgap level in GaAs

    NASA Technical Reports Server (NTRS)

    Lagowski, J.; Lin, D. G.; Gatos, H. C.; Aoyama, T.

    1984-01-01

    An oxygen-related deep level ELO was identified in GaAs employing Bridgman-grown crystals with controlled oxygen doping. The activation energy of ELO is almost the same as that of the dominant midgap level: EL2. This fact impedes the identification of ELO by standard deep level transient spectroscopy. However, it was found that the electron capture cross section of ELO is about four times greater than that of EL2. This characteristic served as the basis for the separation and quantitative investigation of ELO employing detailed capacitance transient measurements in conjunction with reference measurements on crystals grown without oxygen doping and containing only EL2.

  14. Heavily boron-doped Si layers grown below 700 C by molecular beam epitaxy using a HBO2 source

    NASA Technical Reports Server (NTRS)

    Lin, T. L.; Fathauer, R. W.; Grunthaner, P. J.

    1989-01-01

    Boron doping in Si layers grown by molecular beam epitaxy (MBE) at 500-700 C using an HBO2 source has been studied. The maximum boron concentration without detectable oxygen incorporation for a given substrate temperature and Si growth rate has been determined using secondary-ion mass spectrometry analysis. Boron present in the Si MBE layers grown at 550-700 C was found to be electrically active, independent of the amount of oxygen incorporation. By reducing the Si growth rate, highly boron-doped layers have been grown at 600 C without detectable oxygen incorporation.

  15. Adding GaAs Monolayers to InAs Quantum-Dot Lasers on (001) InP

    NASA Technical Reports Server (NTRS)

    Qiu, Yueming; Chacon, Rebecca; Uhl, David; Yang, Rui

    2005-01-01

    In a modification of the basic configuration of InAs quantum-dot semiconductor lasers on (001)lnP substrate, a thin layer (typically 1 to 2 monolayer thick) of GaAs is incorporated into the active region. This modification enhances laser performance: In particular, whereas it has been necessary to cool the unmodified devices to temperatures of about 80 K in order to obtain lasing at long wavelengths, the modified devices can lase at wavelengths of about 1.7 microns or more near room temperature. InAs quantum dots self-assemble, as a consequence of the lattice mismatch, during epitaxial deposition of InAs on ln0.53Ga0.47As/lnP. In the unmodified devices, the quantum dots as thus formed are typically nonuniform in size. Strainenergy relaxation in very large quantum dots can lead to poor laser performance, especially at wavelengths near 2 microns, for which large quantum dots are needed. In the modified devices, the thin layers of GaAs added to the active regions constitute potential-energy barriers that electrons can only penetrate by quantum tunneling and thus reduce the hot carrier effects. Also, the insertion of thin GaAs layer is shown to reduce the degree of nonuniformity of sizes of the quantum dots. In the fabrication of a batch of modified InAs quantum-dot lasers, the thin additional layer of GaAs is deposited as an interfacial layer in an InGaAs quantum well on (001) InP substrate. The device as described thus far is sandwiched between InGaAsPy waveguide layers, then further sandwiched between InP cladding layers, then further sandwiched between heavily Zn-doped (p-type) InGaAs contact layer.

  16. A new structure for comparing surface passivation materials of GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Desalvo, Gregory C.; Barnett, Allen M.

    1989-01-01

    The surface recombination velocity (S sub rec) for bare GaAs is typically as high as 10 to the 6th power to 10 to the 7th power cm/sec, which dramatically lowers the efficiency of GaAs solar cells. Early attempts to circumvent this problem by making an ultra thin junction (xj less than .1 micron) proved unsuccessful when compared to lowering S sub rec by surface passivation. Present day GaAs solar cells use an GaAlAs window layer to passivate the top surface. The advantages of GaAlAs in surface passivation are its high bandgap energy and lattice matching to GaAs. Although GaAlAs is successful in reducing the surface recombination velocity, it has other inherent problems of chemical instability (Al readily oxidizes) and ohmic contact formation. The search for new, more stable window layer materials requires a means to compare their surface passivation ability. Therefore, a device structure is needed to easily test the performance of different passivating candidates. Such a test device is described.

  17. Effect of an Electrochemically Oxidized ZnO Seed Layer on ZnO Nanorods Grown by using Electrodeposition

    NASA Astrophysics Data System (ADS)

    Jeon, Woosung; Leem, Jae-Young

    2018-05-01

    ZnO nanorods were prepared on a Si substrate with and without a ZnO seed layer formed by electro-oxidation to investigate the effect of the seed layer on their growth. The ZnO nanorods grown on the ZnO seed layer had top surfaces that were flat whereas those grown without it had rough top surfaces, as observed in field-emission scanning electron microscopy images. In the Xray diffraction analysis, all ZnO nanorods showed preferential orientation with the (002) plane. In the case of ZnO nanorods prepared with a ZnO seed layer, the residual stress decreased, and the full width at half maximum of the ZnO (002) plane peak decreased. The photoluminescence spectra show a strong and narrow near-band-edge emission peak and high near-band-edge emission to deep-level emission peak ratio for the ZnO nanorods prepared with the seed layer. With respect to the photoresponse properties, the ZnO nanorods grown with the ZnO seed layer showed higher responsivity and faster rise/decay curves than those grown without it. Thus, the ZnO seed layer formed by electro-oxidation improves the structural, optical, and photoresponse properties of the ZnO nanorods formed on it. This method could serve as a new route for improving the properties of optoelectronic devices.

  18. Stress engineering in GaN structures grown on Si(111) substrates by SiN masking layer application

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Szymański, Tomasz, E-mail: tomasz.szymanski@pwr.edu.pl; Wośko, Mateusz; Paszkiewicz, Bogdan

    2015-07-15

    GaN layers without and with an in-situ SiN mask were grown by using metal organic vapor phase epitaxy for three different approaches used in GaN on silicon(111) growth, and the physical and optical properties of the GaN layers were studied. For each approach applied, GaN layers of 1.4 μm total thickness were grown, using silan SiH{sub 4} as Si source in order to grow Si{sub x}N{sub x} masking layer. The optical micrographs, scanning electron microscope images, and atomic force microscope images of the grown samples revealed cracks for samples without SiN mask, and micropits, which were characteristic for the samples grownmore » with SiN mask. In situ reflectance signal traces were studied showing a decrease of layer coalescence time and higher degree of 3D growth mode for samples with SiN masking layer. Stress measurements were conducted by two methods—by recording micro-Raman spectra and ex-situ curvature radius measurement—additionally PLs spectra were obtained revealing blueshift of PL peak positions with increasing stress. The authors have shown that a SiN mask significantly improves physical and optical properties of GaN multilayer systems reducing stress in comparison to samples grown applying the same approaches but without SiN masking layer.« less

  19. Heterojunction photovoltaics using GaAs nanowires and conjugated polymers.

    PubMed

    Ren, Shenqiang; Zhao, Ni; Crawford, Samuel C; Tambe, Michael; Bulović, Vladimir; Gradecak, Silvija

    2011-02-09

    We demonstrate an organic/inorganic solar cell architecture based on a blend of poly(3-hexylthiophene) (P3HT) and narrow bandgap GaAs nanowires. The measured increase of device photocurrent with increased nanowire loading is correlated with structural ordering within the active layer that enhances charge transport. Coating the GaAs nanowires with TiO(x) shells passivates nanowire surface states and further improves the photovoltaic performance. We find that the P3HT/nanowire cells yield power conversion efficiencies of 2.36% under white LED illumination for devices containing 50 wt % of TiO(x)-coated GaAs nanowires. Our results constitute important progress for the use of nanowires in large area solution processed hybrid photovoltaic cells and provide insight into the role of structural ordering in the device performance.

  20. Highly Oriented Atomically Thin Ambipolar MoSe2 Grown by Molecular Beam Epitaxy

    PubMed Central

    2017-01-01

    Transition metal dichalcogenides (TMDCs), together with other two-dimensional (2D) materials, have attracted great interest due to the unique optical and electrical properties of atomically thin layers. In order to fulfill their potential, developing large-area growth and understanding the properties of TMDCs have become crucial. Here, we have used molecular beam epitaxy (MBE) to grow atomically thin MoSe2 on GaAs(111)B. No intermediate compounds were detected at the interface of as-grown films. Careful optimization of the growth temperature can result in the growth of highly aligned films with only two possible crystalline orientations due to broken inversion symmetry. As-grown films can be transferred onto insulating substrates, allowing their optical and electrical properties to be probed. By using polymer electrolyte gating, we have achieved ambipolar transport in MBE-grown MoSe2. The temperature-dependent transport characteristics can be explained by the 2D variable-range hopping (2D-VRH) model, indicating that the transport is strongly limited by the disorder in the film. PMID:28530829

  1. ALD Al2O3 passivation of Lg = 100 nm metamorphic InAlAs/InGaAs HEMTs with Si-doped Schottky layers on GaAs substrates

    NASA Astrophysics Data System (ADS)

    Sun, Bing; Chang, Hudong; Wang, Shengkai; Niu, Jiebin; Liu, Honggang

    2017-12-01

    In0.52Al0.48As/In0.7Ga0.3As metamorphic high-electron-mobility transistors (mHEMTs) on GaAs substrates have been demonstrated. The devices feature an epitaxial structure with Si-doped InP/In0.52Al0.48As Schottky layers, together with an atomic layer deposition (ALD) Al2O3 passivation process. In comparison to the GaAs mHEMTs with plasma enhanced chemical vapor deposition (PECVD) SiN passivation, the devices with ALD Al2O3 passivation exhibit more than one order of magnitude lower gate leakage current (Jg) and much lower contact resistance (RC) and specific contact resistivity (ρC). 100-nm gate length (Lg) In0.52Al0.48As/In0.7Ga0.3As mHEMTs with Si-doped InP/In0.52Al0.48As Schottky layers and ALD Al2O3 passivation exhibit excellent DC and RF characteristics, such as a maximum oscillation frequency (fmax) of 388.2 GHz.

  2. Ion-beam doping of GaAs with low-energy (100 eV) C + using combined ion-beam and molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Iida, Tsutomu; Makita, Yunosuke; Kimura, Shinji; Winter, Stefan; Yamada, Akimasa; Fons, Paul; Uekusa, Shin-ichiro

    1995-01-01

    A combined ion-beam and molecular-beam-epitaxy (CIBMBE) system has been developed. This system consists of an ion implanter capable of producing ions in the energy range of 30 eV-30 keV and conventional solid-source MBE. As a successful application of CIBMBE, low-energy (100 eV) carbon ion (C+) irradiation during MBE growth of GaAs was carried out at substrate temperatures Tg between 500 and 590 °C. C+-doped layers were characterized by low-temperature (2 K) photoluminescence (PL), Raman scattering, and van der Pauw measurements. PL spectra of undoped GaAs grown by CIBMBE revealed that unintentional impurity incorporation into the epilayer is extremely small and precise doping effects are observable. CAs acceptor-related emissions such as ``g,'' [g-g], and [g-g]β are observed and their spectra are significantly changed with increasing C+ beam current density Ic. PL measurements showed that C atoms were efficiently incorporated during MBE growth by CIBMBE and were optically well activated as an acceptor in the as-grown condition even for Tg as low as 500 °C. Raman measurement showed negligible lattice damage of the epilayer bombarded with 100 eV C+ with no subsequent heat treatment. These results indicate that contamination- and damage-free impurity doping without postgrowth annealing can be achieved by the CIBMBE method.

  3. Ion-beam doping of GaAs with low-energy (100 eV) C(+) using combined ion-beam and molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Lida, Tsutomu; Makita, Yunosuke; Kimura, Shinji; Winter, Stefan; Yamada, Akimasa; Fons, Paul; Uekusa, Shin-Ichiro

    1995-01-01

    A combined ion-beam and molecular-beam-epitaxy (CIBMBE) system has been developed. This system consists of an ion implanter capable of producing ions in the energy range of 30 eV - 30 keV and conventional solid-source MBE. As a successful application of CIBMBE, low-energy (100 eV) carbon ion (C(+)) irradiation during MBE growth of GaAs was carried out at substrate temperatures T(sub g) between 500 and 590 C. C(+)-doped layers were characterized by low-temperature (2 K) photoluminescence (PL), Raman scattering, and van der Pauw measurements. PL spectra of undoped GaAs grown by CIBMBE revealed that unintentional impurity incorporation into the epilayer is extremely small and precise doping effects are observable. C(sub As) acceptor-related emissions such as 'g', (g-g), and (g-g)(sub beta) are observed and their spectra are significantly changed with increasing C(+) beam current density I(sub c). PL measurements showed that C atoms were efficiently incorporated during MBE growth by CIBMBE and were optically well activated as an acceptor in the as-grown condition even for T(sub g) as low as 500 C. Raman measurement showed negligible lattice damage of the epilayer bombarded with 100 eV C(+) with no subsequent heat treatment. These results indicate that contamination- and damage-free impurity doping without postgrowth annealing can be achieved by the CIBMBE method.

  4. Optical design of ZnO-based antireflective layers for enhanced GaAs solar cell performance.

    PubMed

    Lee, Hye Jin; Lee, Jae Won; Kim, Hee Jun; Jung, Dae-Han; Lee, Ki-Suk; Kim, Sang Hyeon; Geum, Dae-myeong; Kim, Chang Zoo; Choi, Won Jun; Baik, Jeong Min

    2016-01-28

    A series of hierarchical ZnO-based antireflection coatings with different nanostructures (nanowires and nanosheets) is prepared hydrothermally, followed by means of RF sputtering of MgF2 layers for coaxial nanostructures. Structural analysis showed that both ZnO had a highly preferred orientation along the 〈0001〉 direction with a highly crystalline MgF2 shell coated uniformly. However, a small amount of Al was present in nanosheets, originating from Al diffusion from the Al seed layer, resulting in an increase of the optical bandgap. Compared with the nanosheet-based antireflection coatings, the nanowire-based ones exhibited a significantly lower reflectance (∼2%) in ultraviolet and visible light wavelength regions. In particular, they showed perfect light absorption at wavelength less than approximately 400 nm. However, a GaAs single junction solar cell with nanosheet-based antireflection coatings showed the largest enhancement (43.9%) in power conversion efficiency. These results show that the increase of the optical bandgap of the nanosheets by the incorporation of Al atoms allows more photons enter the active region of the solar cell, improving the performance.

  5. Reduced interface spin polarization by antiferromagnetically coupled Mn segregated to the C o2MnSi /GaAs (001) interface

    NASA Astrophysics Data System (ADS)

    Rath, Ashutosh; Sivakumar, Chockalingam; Sun, C.; Patel, Sahil J.; Jeong, Jong Seok; Feng, J.; Stecklein, G.; Crowell, Paul A.; Palmstrøm, Chris J.; Butler, William H.; Voyles, Paul M.

    2018-01-01

    We have investigated the interfacial structure and its correlation with the calculated spin polarization in C o2MnSi /GaAs(001) lateral spin valves. C o2MnSi (CMS) films were grown on As-terminated c(4 ×4 ) GaAs(100) by molecular beam epitaxy using different first atomic layers: MnSi, Co, and Mn. Atomically resolved Z -contrast scanning transmission electron microscopy (STEM) imaging and electron energy loss spectroscopy (EELS) were used to develop atomic structural models of the CMS/GaAs interfaces that were used as inputs for first-principles calculations to understand the magnetic and electronic properties of the interface. First-principles structures were relaxed and then validated by comparing experimental and simulated high-resolution STEM images. STEM-EELS results show that all three films have similar six atomic layer thick, Mn- and As-rich multilayer interfaces. However, the Co-initiated interface contains a M n2As -like layer, which is antiferromagnetic, and which is not present in the other two interfaces. Density functional theory calculations show a higher degree of interface spin polarization in the Mn- and MnSi-initiated cases, compared to the Co-initiated case, although none of the interfaces are half-metallic. The loss of half-metallicity is attributed, at least in part, to the segregation of Mn at the interface, which leads to the formation of interface states. The implications for the performance of lateral spin valves based on these interfaces are discussed briefly.

  6. Nucleant layer effect on nanocolumnar ZnO films grown by electrodeposition

    NASA Astrophysics Data System (ADS)

    Tolosa, Maria D. Reyes; Damonte, Laura C.; Brine, Hicham; Bolink, Henk J.; Hernández-Fenollosa, María A.

    2013-03-01

    Different ZnO nanostructured films were electrochemically grown, using an aqueous solution based on ZnCl2, on three types of transparent conductive oxides grow on commercial ITO (In2O3:Sn)-covered glass substrates: (1) ZnO prepared by spin coating, (2) ZnO prepared by direct current magnetron sputtering, and (3) commercial ITO-covered glass substrates. Although thin, these primary oxide layers play an important role on the properties of the nanostructured films grown on top of them. Additionally, these primary oxide layers prevent direct hole combination when used in optoelectronic devices. Structural and optical characterizations were carried out by scanning electron microscopy, atomic force microscopy, and optical transmission spectroscopy. We show that the properties of the ZnO nanostructured films depend strongly on the type of primary oxide-covered substrate used. Previous studies on different electrodeposition methods for nucleation and growth are considered in the final discussion.

  7. Nucleant layer effect on nanocolumnar ZnO films grown by electrodeposition.

    PubMed

    Tolosa, Maria D Reyes; Damonte, Laura C; Brine, Hicham; Bolink, Henk J; Hernández-Fenollosa, María A

    2013-03-23

    Different ZnO nanostructured films were electrochemically grown, using an aqueous solution based on ZnCl2, on three types of transparent conductive oxides grow on commercial ITO (In2O3:Sn)-covered glass substrates: (1) ZnO prepared by spin coating, (2) ZnO prepared by direct current magnetron sputtering, and (3) commercial ITO-covered glass substrates. Although thin, these primary oxide layers play an important role on the properties of the nanostructured films grown on top of them. Additionally, these primary oxide layers prevent direct hole combination when used in optoelectronic devices. Structural and optical characterizations were carried out by scanning electron microscopy, atomic force microscopy, and optical transmission spectroscopy. We show that the properties of the ZnO nanostructured films depend strongly on the type of primary oxide-covered substrate used. Previous studies on different electrodeposition methods for nucleation and growth are considered in the final discussion.

  8. N/P GaAs concentrator solar cells with an improved grid and bushbar contact design

    NASA Technical Reports Server (NTRS)

    Desalvo, G. C.; Mueller, E. H.; Barnett, A. M.

    1985-01-01

    The major requirements for a solar cell used in space applications are high efficiency at AMO irradiance and resistance to high energy radiation. Gallium arsenide, with a band gap of 1.43 eV, is one of the most efficient sunlight to electricity converters (25%) when the the simple diode model is used to calculate efficiencies at AMO irradiance, GaAs solar cells are more radiation resistant than silicon solar cells and the N/P GaAs device has been reported to be more radiation resistant than similar P/N solar cells. This higher resistance is probably due to the fact that only 37% of the current is generated in the top N layer of the N/P cell compared to 69% in the top layer of a P/N solar cell. This top layer of the cell is most affected by radiation. It has also been theoretically calculated that the optimized N/P device will prove to have a higher efficiency than a similar P/N device. The use of a GaP window layer on a GaAs solar cell will avoid many of the inherent problems normally associated with a GaAlAs window while still proving good passivation of the GaAs surface. An optimized circular grid design for solar cell concentrators has been shown which incorporates a multi-layer metallization scheme. This multi-layer design allows for a greater current carrying capacity for a unit area of shading, which results in a better output efficiency.

  9. Energy band-gap calculations of short-period (ZnTe)m(ZnSe)n and (ZnS)m(ZnSe)n strained-layer superlattices

    NASA Astrophysics Data System (ADS)

    Wu, Yi-hong; Fujita, Shizuo; Fujita, Shigeo

    1990-01-01

    We report on the calculations of energy band gaps based on the semiempirical tight-binding model for short-period (ZnTe)m(ZnSe)n and (ZnS)m(ZnSe)n strained-layer superlattices (SLSs). During the calculation, much attention has been paid to the modeling of strain effect. It is found that (ZnTe)m(ZnSe)n superlattices grown on InAs, InP, and GaAs substrates show very different electronic properties from each other, which is consistent with experimental results now available. Assuming that the emission observed for (ZnTe)m(ZnSe)n SLS originates from intrinsic luminescence, we obtain an unstrained valence-band offset of 1.136±0.1 eV for this superlattice. On the other hand, the band gap of (ZnS)m(ZnSe)n superlattice grown coherently on GaP is found to exhibit a much stronger structure dependence than that grown coherently on GaAs. The difference of energy gap between superlattice with equal monolayers (m=n) and the corresponding alloy with equal chalcogenide composition is also discussed.

  10. Photoconductive antennas based on epitaxial films In0.5Ga0.5As on GaAs (1 1 1)A and (1 0 0)A substrates with a metamorphic buffer

    NASA Astrophysics Data System (ADS)

    Kuznetsov, K. A.; Galiev, G. B.; Kitaeva, G. Kh; Kornienko, V. V.; Klimov, E. A.; Klochkov, A. N.; Leontyev, A. A.; Pushkarev, S. S.; Maltsev, P. P.

    2018-07-01

    The terahertz (THz) wave generation by the spiral photoconductive antennas fabricated on the low-temperature and high-temperature grown undoped and Si-doped In0.5Ga0.5As films is studied by the terahertz time-domain spectroscopy method. The In0.5Ga0.5As layers were grown by molecular beam epitaxy on GaAs substrates with (1 0 0) and (1 1 1)A crystallographic orientations utilizing step-graded In x Ga1‑x As metamorphic buffer. The antennas are excited by radiation of Er3+-fiber laser at 1.56 μm wavelength in two regimes: with pulse durations of 2.5 ps or 100 fs. It is found that the THz wave generation is 3–4 times more effective in the case of InGaAs-based antennas on (1 1 1)A GaAs substrates as compared to the (1 0 0) substrates. Power-voltage characteristic of the LT-InGaAs antenna up to and beyond threshold breakdown voltage are reported.

  11. Near-infrared cathodoluminescence imaging of defect distributions in In(0.2)Ga(0.8)As/GaAs multiple quantum wells grown on prepatterned GaAs

    NASA Technical Reports Server (NTRS)

    Rich, D. H.; Fajkumar, K. C.; Chen, LI; Madhukar, A.; Grunthaner, F. J.

    1992-01-01

    The defect distribution in a highly strained In(0.2)Ga(0.8)As/GaAs multiple-quantum-well (MQW) structure grown on a patterned GaAs substrate is examined with cathodoluminescence imaging and spectroscopy in the near IR. By spatially correlating the luminescence arising from the MQW exciton recombination (950 nm) with the longer wavelength (1000-1200 nm) luminescence arising from the defect-induced recombination, it is demonstrated that it is possible to determine the regions of highest film quality in both the mesa and valley regions. The present approach enables a judicious determination of the optimal regions to be used for active pixels in InGaAs/GaAs spatial light modulators.

  12. Mode-locking of an InAs Quantum Dot Based Vertical External Cavity Surface Emitting Laser Using Atomic Layer Graphene

    DTIC Science & Technology

    2015-07-16

    SECURITY CLASSIFICATION OF: The InAs quantum dot (QD) grown on GaAs substrates represents a highly performance active region in the 1 - 1.3 µm...2015 Approved for Public Release; Distribution Unlimited Final Report: Mode-locking of an InAs Quantum Dot Based Vertical External Cavity Surface...ABSTRACT Final Report: Mode-locking of an InAs Quantum Dot Based Vertical External Cavity Surface Emitting Laser Using Atomic Layer Graphene Report

  13. In-situ ellipsometric studies of optical and surface properties of GaAs(100) at elevated temperatures

    NASA Technical Reports Server (NTRS)

    Yao, Huade; Snyder, Paul G.

    1991-01-01

    A rotating-polarizer ellipsometer was attached to an ultrahigh vacuum (UHV) chamber. A GaAs(100) sample was introduced into the UHV chamber and heated at anumber of fixed elevated temperatures, without arsenic overpressure. In-situ spectroscopic ellipsometric (SE) measurements were taken, through a pair of low-strain quartz windows, to monitor the surface changes and measure the pseudodielectric functions at elevated temperatures. Real-time data from GaAs surface covered with native oxide showed clearly the evolution of oxide desorption at approximately 580 C. In addition, surface degradation was found before and after the oxide desorption. An oxide free and smooth GaAs surface was obtained by depositing an arsenic protective coating onto a molecular beam epitaxy grown GaAs surface. The arsenic coating was evaporated immediately prior to SE measurements. A comparison showed that our room temperature data from this GaAs surface, measured in the UHV, are in good agreement with those in the literature obtained by wet-chemical etching. The surface also remained clean and smooth at higher temperatures, so that reliable temperature-dependent dielectric functions were obtained.

  14. Diffusion and interface evolution during the atomic layer deposition of TiO{sub 2} on GaAs(100) and InAs(100) surfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ye, Liwang; Gougousi, Theodosia, E-mail: gougousi@umbc.edu

    2016-01-15

    Atomic layer deposition is used to form TiO{sub 2} films from tetrakis dimethyl amino titanium and H{sub 2}O on native oxide GaAs(100) and InAs(100) surfaces. The evolution of the film/substrate interface is examined as a function of the deposition temperature (100–325 °C) using ex situ x-ray photoelectron spectroscopy. An increase in the deposition temperature up to 250 °C leads to enhancement of the native oxide removal. For depositions at 300 °C and above, interface reoxidation is observed during the initial deposition cycles but when the films are thicker than 3 nm, the surface oxides are removed steadily. Based on these observations, two distinct filmmore » growth regimes are identified; up to 250 °C, layer-by-layer dominates while at higher temperatures island growth takes over. Angle resolved x-ray photoelectron spectroscopy measurements performed on 3 nm TiO{sub 2} film deposited at 325 °C on both surfaces demonstrates a very important difference between the two substrates: for GaAs the native oxides remaining in the stack are localized at the interface, while for InAs(100), the indium oxides are mixed in the TiO{sub 2} film.« less

  15. Monolithic high voltage nonlinear transmission line fabrication process

    DOEpatents

    Cooper, G.A.

    1994-10-04

    A process for fabricating sequential inductors and varistor diodes of a monolithic, high voltage, nonlinear, transmission line in GaAs is disclosed. An epitaxially grown laminate is produced by applying a low doped active n-type GaAs layer to an n-plus type GaAs substrate. A heavily doped p-type GaAs layer is applied to the active n-type layer and a heavily doped n-type GaAs layer is applied to the p-type layer. Ohmic contacts are applied to the heavily doped n-type layer where diodes are desired. Multiple layers are then either etched away or Oxygen ion implanted to isolate individual varistor diodes. An insulator is applied between the diodes and a conductive/inductive layer is thereafter applied on top of the insulator layer to complete the process. 6 figs.

  16. Velocity surface measurements for ZnO films over /001/-cut GaAs

    NASA Technical Reports Server (NTRS)

    Kim, Yoonkee; Hunt, William D.; Liu, Yongsheng; Jen, Cheng-Kuei

    1994-01-01

    A potential application for a piezoelectic film deposited on a GaAs substrate is the monolithic integration of surface acoustic wave (SAW) devices with GaAs electronics. Knowledge of the SAW properties of the filmed structure is critical for the optimum design of such devices. In this article, the measurements of the velocity surface, which directly affects the SAW diffraction, on the bare and metallized ZnO/SiO2 or Si3N4/GaAs /001/-cut samples are reported using two different techniques: (1) knife-edge laser probe, (2) line-focus-beam scanning acoustic microscope. Comparisons, such as measurement accuracy and tradeoffs, between the former (dry) and the latter (wet) method are given. It is found that near the group of zone axes (110) propagation direction the autocollimating SAW property of the bare GaAs changes into a noncollimating one for the layered structure, but a reversed phenomenon exists near the group of zone axes (100) direction. The passivation layer of SiO2 or Si3N4 (less than 0.2 micrometer thick) and the metallization layer change the relative velocity but do not significantly affect the velocity surface. On the other hand, the passivation layer reduces the propagation loss by 0.5-1.3 dB/microseconds at 240 MHz depending upon the ZnO film thickness. Our SAW propagation measurements agree well with theorectical calculations. We have also obtained the anisotropy factors for samples with ZnO films of 1.6, 2.8, and 4.0 micrometer thickness. Comparisons concerning the piezoelectric coupling and acoustic loss between dc triode and rf magnetron sputtered ZnO films are provided.

  17. Structural ordering and interface morphology in symmetrically strained(GaIn)As/Ga(PAs) superlattices grown on off-oriented GaAs(100)

    NASA Astrophysics Data System (ADS)

    Giannini, C.; Tapfer, L.; Zhuang, Y.; de Caro, L.; Marschner, T.; Stolz, W.

    1997-02-01

    In this work we investigate the structural properties of symmetrically strained (GaIn)As/GaAs/Ga(PAs)/GaAs superlattices by means of x-ray diffraction, reciprocal-space mapping, and x-ray reflectivity. The multilayers were grown by metalorganic vapor-phase epitaxy on (001) GaAs substrates intentionally off-oriented towards one of the nearest <110> directions. High-resolution triple-crystal reciprocal-space maps recorded for different azimuth angles in the vicinity of the (004) Bragg diffraction clearly show a double periodicity of the x-ray peak intensity that can be ascribed to a lateral and a vertical periodicity occurring parallel and perpendicular to the growth surface. Moreover, from the intensity modulation of the satellite peaks, a lateral-strain gradient within the epilayer unit cell is found, varying from a tensile to a compressive strain. Thus, the substrate off-orientation promotes a lateral modulation of the layer thickness (ordered interface roughness) and of the lattice strain, giving rise to laterally ordered macrosteps. In this respect, contour maps of the specular reflected beam in the vicinity of the (000) reciprocal lattice point were recorded in order to inspect the vertical and lateral interface roughness correlation. A semiquantitative analysis of our results shows that the interface morphology and roughness is greatly influenced by the off-orientation angle and the lateral strain distribution. Two mean spatial wavelengths can be determined, one corresponding exactly to the macrostep periodicity and the other indicating a further interface waviness along the macrosteps. The same spatial periodicities were found on the surface by atomic-force-microscopy images confirming the x-ray results and revealing a strong vertical correlation of the interfaces up to the outer surface.

  18. Optical transparency of graphene layers grown on metal surfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rut’kov, E. V.; Lavrovskaya, N. P.; Sheshenya, E. S., E-mail: sheshenayket@gmail.ru

    It is shown that, in contradiction with the fundamental results obtained for free graphene, graphene films grown on the Rh(111) surface to thicknesses from one to ~(12–15) single layers do not absorb visible electromagnetic radiation emitted from the surface and influence neither the brightness nor true temperature of the sample. At larger thicknesses, such absorption occurs. This effect is observed for the surfaces of other metals, specifically, Pt(111), Re(1010), and Ni(111) and, thus, can be considered as being universal. It is thought that the effect is due to changes in the electronic properties of thin graphene layers because of electronmore » transfer between graphene and the metal substrate.« less

  19. Nucleant layer effect on nanocolumnar ZnO films grown by electrodeposition

    PubMed Central

    2013-01-01

    Different ZnO nanostructured films were electrochemically grown, using an aqueous solution based on ZnCl2, on three types of transparent conductive oxides grow on commercial ITO (In2O3:Sn)-covered glass substrates: (1) ZnO prepared by spin coating, (2) ZnO prepared by direct current magnetron sputtering, and (3) commercial ITO-covered glass substrates. Although thin, these primary oxide layers play an important role on the properties of the nanostructured films grown on top of them. Additionally, these primary oxide layers prevent direct hole combination when used in optoelectronic devices. Structural and optical characterizations were carried out by scanning electron microscopy, atomic force microscopy, and optical transmission spectroscopy. We show that the properties of the ZnO nanostructured films depend strongly on the type of primary oxide-covered substrate used. Previous studies on different electrodeposition methods for nucleation and growth are considered in the final discussion. PMID:23522332

  20. Texture analysis of CoGe2 alloy films grown heteroepitaxially on GaAs(100) using partially ionized beam deposition

    NASA Astrophysics Data System (ADS)

    Mello, K. E.; Murarka, S. P.; Lu, T.-M.; Lee, S. L.

    1997-06-01

    Reflection x-ray pole figure analysis techniques were used to study the heteroepitaxial relationships of the cobalt germanide CoGe2 to GaAs(100). The alloy films were grown using the partially ionized beam deposition technique, in which low energy Ge+ ions are employed to alter the heteroepitaxial orientation of the CoGe2 deposits. The CoGe2[001](100)∥GaAs[100](001) orientation, which has the smallest lattice mismatch, was found to occur for depositions performed at a substrate temperature around 280 °C and with ˜1200 eV Ge+ ions. Lowering the substrate temperature or reducing the Ge+ ion energy leads to CoGe2(100) orientation domination with CoGe2[100](010)∥GaAs[100](001) and CoGe2[100](001)∥GaAs[100](001). Substrate temperature alone was seen to produce only the CoGe2(100) orientation. For CoGe2(001) films, additional energy was required from Ge+ ions in the evaporant stream.

  1. GaAs Solar Cells on V-Grooved Silicon via Selective Area Growth: Preprint

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Warren, Emily L; Jain, Nikhil; Tamboli, Adele C

    Interest in integrating III-Vs onto Si has recently resurged as a promising pathway towards high-efficiency, low-cost tandem photovoltaics. Here, we present a single junction GaAs solar cell grown monolithically on polished Si (001) substrates using V-grooves, selective area growth, and aspect ratio trapping to mitigate defect formation without the use of expensive, thick graded buffers. The GaAs is free of antiphase domains and maintains a relatively low TDD of 4x107 cm-2, despite the lack of a graded buffer. This 6.25 percent-efficient demonstration solar cell shows promise for further improvements to III-V/Si tandems to enable cost-competitive photovoltaics.

  2. Impurity and Defect Interactions in GaAs.

    DTIC Science & Technology

    1984-02-29

    3 VPE a X X ASW 3 vIE 33 34 35 36"M-cVO Wawwmba (CM - Z TS 32 -~ - .35T 2II i I MS . 34 35 3 , b Wovor%~~e (€cm -) X3 FiS.l Characteristic donor peaks ...2). Far infrared photoconductivity measurements on Si doped GaAs grown by molecular beam epitaxy (MBE) indicated that the impurity peak previously...difference is donor species dependent, each hydrogenic transition in a photothermal ionization spectrum contains several closely spaced peaks . Each peak cor

  3. Superconducting proximity effect in MBE grown Nb-InAs junctions

    NASA Astrophysics Data System (ADS)

    Kan, Carolyn; Xue, Chi; Law, Stephanie; Eckstein, James

    2013-03-01

    Several proposals for the realization of Majorana fermions rely on excellent quality proximity coupling between a superconductor and a high-mobility semiconductor. We examine the long-range proximity coupling between MBE-grown InAs and in situ grown superconducting overlayers by fabricating transport devices, and investigate the effect of substrate choice and growth conditions on the quality of the MBE InAs. GaAs is commonly available as a high quality insulating substrate. Overcoming its lattice mismatch with InAs using GaSb and AlSb layers results in locally smooth terraced surfaces, but global spiral dislocation structures also appear and have a negative impact on the InAs mobility. Growing InAs on homoepitaxial GaSb results in improved morphology and increases the mean free path. We compare the proximity effect in devices made both ways. This material is based upon work supported by the U.S. Department of Energy, Division of Materials Sciences under Award No. DE-FG02 07ER46453, through the Frederick Seitz Materials Research Laboratory at the University of Illinois at Urbana-Champaign.

  4. Novel anti-reflection technology for GaAs single-junction solar cells using surface patterning and Au nanoparticles.

    PubMed

    Kim, Youngjo; Lam, Nguyen Dinh; Kim, Kangho; Kim, Sangin; Rotermund, Fabian; Lim, Hanjo; Lee, Jaejin

    2012-07-01

    Single-junction GaAs solar cell structures were grown by low-pressure MOCVD on GaAs (100) substrates. Micro-rod arrays with diameters of 2 microm, 5 microm, and 10 microm were fabricated on the surfaces of the GaAs solar cells via photolithography and wet chemical etching. The patterned surfaces were coated with Au nanoparticles using an Au colloidal solution. Characteristics of the GaAs solar cells with and without the micro-rod arrays and Au nanoparticles were investigated. The short-circuit current density of the GaAs solar cell with 2 microm rod arrays and Au nanoparticles increased up to 34.9% compared to that of the reference cell without micro-rod arrays and Au nanoparticles. The conversion efficiency of the GaAs solar cell that was coated with Au nanoparticles on the patterned surface with micro-rod arrays can be improved from 14.1% to 19.9% under 1 sun AM 1.5G illumination. These results show that micro-rod arrays and Au nanoparticle coating can be applied together in surface patterning to achieve a novel cost-effective anti-reflection technology.

  5. Low defect InGaAs quantum well selectively grown by metal organic chemical vapor deposition on Si(100) 300 mm wafers for next generation non planar devices

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cipro, R.; Gorbenko, V.; Univ. Grenoble Alpes, F-38000, France CEA-LETI, MINATEC Campus, F-38054 Grenoble

    2014-06-30

    Metal organic chemical vapor deposition of GaAs, InGaAs, and AlGaAs on nominal 300 mm Si(100) at temperatures below 550 °C was studied using the selective aspect ratio trapping method. We clearly show that growing directly GaAs on a flat Si surface in a SiO{sub 2} cavity with an aspect ratio as low as 1.3 is efficient to completely annihilate the anti-phase boundary domains. InGaAs quantum wells were grown on a GaAs buffer and exhibit room temperature micro-photoluminescence. Cathodoluminescence reveals the presence of dark spots which could be associated with the presence of emerging dislocation in a direction parallel to the cavity. Themore » InGaAs layers obtained with no antiphase boundaries are perfect candidates for being integrated as channels in n-type metal oxide semiconductor field effect transistor (MOSFET), while the low temperatures used allow the co-integration of p-type MOSFET.« less

  6. Application of galvanomagnetic measurements in temperature range 70-300 K to MBE GaAs layers characterization

    NASA Astrophysics Data System (ADS)

    Wolkenberg, Andrzej; Przeslawski, Tomasz

    1996-04-01

    Galvanomagnetic measurements were performed on the square shaped samples after Van der Pauw and on the Hall bar at low electric fields app. 1.5 V/cm and magnetic induction app. 6 kG in order to make a comparison between the theoretical and experimental results of the temperature dependence of mobility and resistivity from 70 K to 300 K. A calculation method was obtained of the drift mobility and the Hall mobility in which the scatterings are applied: on ionized impurities, on polar optical phonons, on acoustic phonons (deformation potential), on acoustic phonons (piezoelectric potential) and on dislocations. The elaborated method transformed to a computer program allows us to fit experimental values of the resistivity and the Hall mobility to those calculated. The fitting procedure makes it possible to characterize the quality of the n-type GaAs MBE layer, i.e. the net electron concentration, whole ionized impurities concentration and dislocation density after Read space charge cylinders model. The calculations together with the measurements allow us to obtain compensation ratio value in the layer, too. The influence of the epitaxial layer thickness on layers measurements accuracy in the case of Van der Pauw square probe was investigated. It was stated that in the layers under 3 micrometer the bulk properties are strongly influenced by both surfaces. The results of measurements of the same layer using the Van der Pauw and the Hall bar structure were compared. It was stated that the Hall bar structure only could be used to obtain proper measurements results.

  7. Atomic structure and stoichiometry of In(Ga)As/GaAs quantum dots grown on an exact-oriented GaP/Si(001) substrate

    NASA Astrophysics Data System (ADS)

    Schulze, C. S.; Huang, X.; Prohl, C.; Füllert, V.; Rybank, S.; Maddox, S. J.; March, S. D.; Bank, S. R.; Lee, M. L.; Lenz, A.

    2016-04-01

    The atomic structure and stoichiometry of InAs/InGaAs quantum-dot-in-a-well structures grown on exactly oriented GaP/Si(001) are revealed by cross-sectional scanning tunneling microscopy. An averaged lateral size of 20 nm, heights up to 8 nm, and an In concentration of up to 100% are determined, being quite similar compared with the well-known quantum dots grown on GaAs substrates. Photoluminescence spectra taken from nanostructures of side-by-side grown samples on GaP/Si(001) and GaAs(001) show slightly blue shifted ground-state emission wavelength for growth on GaP/Si(001) with an even higher peak intensity compared with those on GaAs(001). This demonstrates the high potential of GaP/Si(001) templates for integration of III-V optoelectronic components into silicon-based technology.

  8. High indium content homogenous InAlN layers grown by plasma-assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Kyle, Erin C. H.; Kaun, Stephen W.; Wu, Feng; Bonef, Bastien; Speck, James S.

    2016-11-01

    InAlN grown by plasma-assisted molecular beam epitaxy often contains a honeycomb microstructure. The honeycomb microstructure consists of 5-10 nm diameter aluminum-rich regions which are surrounded by indium-rich regions. Layers without this microstructure were previously developed for nominally lattice-matched InAlN and have been developed here for higher indium content InAlN. In this study, InAlN was grown in a nitrogen-rich environment with high indium to aluminum flux ratios at low growth temperatures. Samples were characterized by high-resolution x-ray diffraction, atomic force microscopy, high-angle annular dark-field scanning transmission electron microscopy, and atom probe tomography. Atomic force microscopy showed InAlN layers grown at temperatures below 450 °C under nitrogen-rich conditions were free of droplets. InAlN films with indium contents up to 81% were grown at temperatures between 410 and 440 °C. High-angle annular dark-field scanning transmission electron microscopy and atom probe tomography showed no evidence of honeycomb microstructure for samples with indium contents of 34% and 62%. These layers are homogeneous and follow a random alloy distribution. A growth diagram for InAlN of all indium contents is reported.

  9. Effects of ultrathin oxides in conducting MIS structures on GaAs

    NASA Technical Reports Server (NTRS)

    Childs, R. B.; Ruths, J. M.; Sullivan, T. E.; Fonash, S. J.

    1978-01-01

    Schottky barrier-type GaAs baseline devices (semiconductor surface etched and then immediately metalized) and GaAs conducting metal oxide-semiconductor devices are fabricated and characterized. The baseline surfaces (no purposeful oxide) are prepared by a basic or an acidic etch, while the surface for the MIS devices are prepared by oxidizing after the etch step. The metallizations used are thin-film Au, Ag, Pd, and Al. It is shown that the introduction of purposeful oxide into these Schottky barrier-type structures examined on n-type GaAs modifies the barrier formation, and that thin interfacial layers can modify barrier formation through trapping and perhaps chemical reactions. For Au- and Pd-devices, enhanced photovoltaic performance of the MIS configuration is due to increased barrier height.

  10. Sulfur doping of GaAs with (NH4)2Sx solution

    NASA Astrophysics Data System (ADS)

    Lee, Jong-Lam

    1999-01-01

    A novel technique for sulfur doping to GaAs was demonstrated. The surface of GaAs was treated with (NH4)2Sx solution, subsequent to annealing using either furnace or rapid thermal processing. Sulfur atoms adsorbed at the surface of GaAs during the (NH4)2Sx treatment diffuse into GaAs during the annealing. The diffusion profiles of sulfur in both types of annealing treatments show a concave shape from the GaAs surface. Diffusion constants of sulfur determined using the Boltzmann-Matano technique increase with the decrease of sulfur concentration via the depth from the surface of GaAs. This suggests that immobile sulfur donor SAs+ forms at the near surface interacts with a Ga divacancy, and results in the production of mobile As interstitials, IAs. The IAs moves fast toward the inside of GaAs and kickout the SAs+ donor, producing a fast diffusing species of interstitial S atoms. The diffusion coefficients of sulfur determined are 2.5×10-14 cm2/s at 840 °C and 5×10-12 cm2/s at 900 °C. The sulfur doping technique is applied to the fabrication of metal-semiconductor field-effect transistors (MESFETs). The MESFETs with 1.0 μm gate length exhibit transconductance of 190 mS/mm, demonstrating the applicability of this technique to the formation of active channel layer of MESFETs.

  11. Atomic force microscopy studies of homoepitaxial GaN layers grown on GaN template by laser MBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Choudhary, B. S.; Rajasthan Technical University, Rawatbhata Road, Kota 324010; Singh, A.

    We have grown homoepitaxial GaN films on metal organic chemical vapor deposition (MOCVD) grown 3.5 µm thick GaN on sapphire (0001) substrate (GaN template) using an ultra-high vacuum (UHV) laser assisted molecular beam epitaxy (LMBE) system. The GaN films were grown by laser ablating a polycrystalline solid GaN target in the presence of active r.f. nitrogen plasma. The influence of laser repetition rates (10-30 Hz) on the surface morphology of homoepitaxial GaN layers have been studied using atomic force microscopy. It was found that GaN layer grown at 10 Hz shows a smooth surface with uniform grain size compared to the rough surfacemore » with irregular shape grains obtained at 30 Hz. The variation of surface roughness of the homoepitaxial GaN layer with and without wet chemical etching has been also studied and it was observed that the roughness of the film decreased after wet etching due to the curved structure/rough surface.« less

  12. Atomic structure and stoichiometry of In(Ga)As/GaAs quantum dots grown on an exact-oriented GaP/Si(001) substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schulze, C. S.; Prohl, C.; Füllert, V.

    2016-04-04

    The atomic structure and stoichiometry of InAs/InGaAs quantum-dot-in-a-well structures grown on exactly oriented GaP/Si(001) are revealed by cross-sectional scanning tunneling microscopy. An averaged lateral size of 20 nm, heights up to 8 nm, and an In concentration of up to 100% are determined, being quite similar compared with the well-known quantum dots grown on GaAs substrates. Photoluminescence spectra taken from nanostructures of side-by-side grown samples on GaP/Si(001) and GaAs(001) show slightly blue shifted ground-state emission wavelength for growth on GaP/Si(001) with an even higher peak intensity compared with those on GaAs(001). This demonstrates the high potential of GaP/Si(001) templates for integration ofmore » III-V optoelectronic components into silicon-based technology.« less

  13. Accelerated GaAs growth through MOVPE for low-cost PV applications

    NASA Astrophysics Data System (ADS)

    Ubukata, Akinori; Sodabanlu, Hassanet; Watanabe, Kentaroh; Koseki, Shuichi; Yano, Yoshiki; Tabuchi, Toshiya; Sugaya, Takeyoshi; Matsumoto, Koh; Nakano, Yoshiaki; Sugiyama, Masakazu

    2018-05-01

    The high growth rate of epitaxial GaAs was investigated using a novel horizontal metalorganic vapor phase epitaxy (MOVPE) reactor, from the point of view of realizing low-cost photovoltaic (PV) solar cells. The GaAs growth rate exhibited an approximately linear relationship with the amount of trimethylgalium (TMGa) supplied, up to a rate of 90 μm/h. The distribution of growth rate was observed for a two-inch wafer, along the flow direction, and the normalized profile of the distribution was found to be independent of the precursor input, from 20 to 70 μm/h. These tendencies indicated that significant parasitic prereaction did not occur in the gaseous phase, for this range of growth rate. GaAs p-n single-junction solar cells were successfully fabricated at growth rates of 20, 60, and 80 μm/h. The conversion efficiency of the cell grown at 80 μm/h was comparable to that of the 20 μm/h cell, indicating the good quality and properties of GaAs. The epitaxial growth exhibited good uniformity, as evidenced by the uniformity of the cell performance across the wafer, from the center to the edge. The result indicated the potential of high-throughput MOVPE for low-cost production, not only for PV devices but also for other semiconductor applications.

  14. Deep levels in osmium doped p-type GaAs grown by metal organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Iqbal, M. Zafar; Majid, A.; Dadgar, A.; Bimberg, D.

    2005-06-01

    Results of a preliminary study on deep level transient spectroscopy (DLTS) investigations of osmium (Os) impurity in p-type GaAs, introduced in situ during MOCVD crystal growth, are reported for the first time. Os is clearly shown to introduce two prominent deep levels in the lower half-bandgap of GaAs at energy positions Ev + 0.42 eV (OsA) and Ev + 0.72 eV (OsB). A minority-carrier emitting defect feature observed in the upper half-bandgap is shown to consist of a band of Os-related deep levels with a concentration significantly higher than that of the majority carrier emitting deep levels. Detailed data on the emission rate signatures and related parameters of the Os-related deep levels are reported.

  15. Nanoepitaxy of GaAs on a Si(001) substrate using a round-hole nanopatterned SiO2 mask.

    PubMed

    Hsu, Chao-Wei; Chen, Yung-Feng; Su, Yan-Kuin

    2012-12-14

    GaAs is grown by metal-organic vapor-phase epitaxy on a 55 nm round-hole patterned Si substrate with SiO(2) as a mask. The threading dislocations, which are stacked on the lowest energy facet plane, move along the SiO(2) walls, reducing the number of dislocations. The etching pit density of GaAs on the 55 nm round-hole patterned Si substrate is about 3.3 × 10(5) cm(-2). Compared with the full width at half maximum measurement from x-ray diffraction and photoluminescence spectra of GaAs on a planar Si(001) substrate, those of GaAs on the 55 nm round-hole patterned Si substrate are reduced by 39.6 and 31.4%, respectively. The improvement in material quality is verified by transmission electron microscopy, field-emission scanning electron microscopy, Hall measurements, Raman spectroscopy, photoluminescence, and x-ray diffraction studies.

  16. Effects of gold diffusion on n-type doping of GaAs nanowires.

    PubMed

    Tambe, Michael J; Ren, Shenqiang; Gradecak, Silvija

    2010-11-10

    The deposition of n-GaAs shells is explored as a method of n-type doping in GaAs nanowires grown by the Au-mediated metal-organic chemical vapor deposition. Core-shell GaAs/n-GaAs nanowires exhibit an unintended rectifying behavior that is attributed to the Au diffusion during the shell deposition based on studies using energy dispersive X-ray spectroscopy, current-voltage, capacitance-voltage, and Kelvin probe force measurements. Removing the gold prior to n-type shell deposition results in the realization of n-type GaAs nanowires without rectification. We directly correlate the presence of gold impurities to nanowire electrical properties and provide an insight into the role of seed particles on the properties of nanowires and nanowire heterostructures.

  17. Growth and characterization of metamorphic InAs/GaSb tunnel heterojunction on GaAs by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, Jheng-Sin; Clavel, Michael B.; Hudait, Mantu K., E-mail: mantu.hudait@vt.edu

    The structural, morphological, optical, and electrical transport characteristics of a metamorphic, broken-gap InAs/GaSb p-i-n tunnel diode structure, grown by molecular beam epitaxy on GaAs, were demonstrated. Precise shutter sequences were implemented for the strain-balanced InAs/GaSb active layer growth on GaAs, as corroborated by high-resolution X-ray analysis. Cross-sectional transmission electron microscopy and detailed micrograph analysis demonstrated strain relaxation primarily via the formation of 90° Lomer misfit dislocations (MDs) exhibiting a 5.6 nm spacing and intermittent 60° MDs at the GaSb/GaAs heterointerface, which was further supported by a minimal lattice tilt of 180 arc sec observed during X-ray analysis. Selective area diffraction and Fastmore » Fourier Transform patterns confirmed the full relaxation of the GaSb buffer layer and quasi-ideal, strain-balanced InAs/GaSb heteroepitaxy. Temperature-dependent photoluminescence measurements demonstrated the optical band gap of the GaSb layer. Strong optical signal at room temperature from this structure supports a high-quality material synthesis. Current–voltage characteristics of fabricated InAs/GaSb p-i-n tunnel diodes measured at 77 K and 290 K demonstrated two bias-dependent transport mechanisms. The Shockley–Read–Hall generation–recombination mechanism at low bias and band-to-band tunneling transport at high bias confirmed the p-i-n tunnel diode operation. This elucidated the importance of defect control in metamorphic InAs/GaSb tunnel diodes for the implementation of low-voltage and high-performance tunnel field effect transistor applications.« less

  18. The barrier to misfit dislocation glide in continuous, strained, epitaxial layers on patterned substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Watson, G.P.; Ast, D.G.; Anderson, T.J.

    1993-09-01

    In a previous report [G. P. Watson, D. G. Ast, T. J. Anderson, and Y. Hayakawa, Appl. Phys. Lett. [bold 58], 2517 (1991)] we demonstrated that the motion of misfit dislocations in InGaAs, grown by organometallic vapor phase epitaxy on patterned GaAs substrates, can be impeded even if the strained epitaxial layer is continuous. Trenches etched into GaAs before growth are known to act as a barrier to misfit dislocation propagation [E. A. Fitzgerald, G. P. Watson, R. E. Proano, D. G. Ast, P. D. Kirchner, G. D. Pettit, and J. M. Woodall, J. Appl. Phys. [bold 65], 2220 (1989)]more » when those trenches create discontinuities in the epitaxial layers; but even shallow trenches, with continuous strained layers following the surface features, can act as barriers. By considering the strain energy required to change the length of the dislocation glide segments that stretch from the interface to the free surface, a simple model is developed that explains the major features of the unique blocking action observed at the trench edges. The trench wall angle is found to be an important parameter in determining whether or not a trench will block dislocation glide. The predicted blocking angles are consistent with observations made on continuous 300 and 600 nm thick In[sub 0.04]Ga[sub 0.96]As films on patterned GaAs. Based on the model, a structure is proposed that may be used as a filter to yield misfit dislocations with identical Burgers vectors or dislocations which slip in only one glide plane.« less

  19. Effect of substrate nitridation temperature on the persistent photoconductivity of unintentionally-doped GaN layer grown by PAMBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Prakash, Nisha, E-mail: prakasnisha@gmail.com; Barvat, Arun; Anand, Kritika

    2016-05-23

    The surface roughness and defect density of GaN epitaxial layers grown on c-plane sapphire substrate are investigated and found to be dependent on nitridation temperature. GaN epitaxial layers grown after nitridation of sapphire at 200°C have a higher defect density and higher surface roughness compared to the GaN layers grown at 646°C nitridation as confirmed by atomic force microscopy (AFM). The persistent photoconductivity (PPC) was observed in both samples and it was found to be decreasing with decreasing temperature in the range 150-300°C due to long carrier lifetime and high electron mobility at low temperature. The photoresponse of the GaNmore » films grown in this study exhibit improved PPC due to their better surface morphology at 646°C nitrided sample. The point defects or extended microstructure defects limits the photocarrier lifetime and electron mobility at 200°C nitrided sample.« less

  20. Scanning microwave microscopy applied to semiconducting GaAs structures

    NASA Astrophysics Data System (ADS)

    Buchter, Arne; Hoffmann, Johannes; Delvallée, Alexandra; Brinciotti, Enrico; Hapiuk, Dimitri; Licitra, Christophe; Louarn, Kevin; Arnoult, Alexandre; Almuneau, Guilhem; Piquemal, François; Zeier, Markus; Kienberger, Ferry

    2018-02-01

    A calibration algorithm based on one-port vector network analyzer (VNA) calibration for scanning microwave microscopes (SMMs) is presented and used to extract quantitative carrier densities from a semiconducting n-doped GaAs multilayer sample. This robust and versatile algorithm is instrument and frequency independent, as we demonstrate by analyzing experimental data from two different, cantilever- and tuning fork-based, microscope setups operating in a wide frequency range up to 27.5 GHz. To benchmark the SMM results, comparison with secondary ion mass spectrometry is undertaken. Furthermore, we show SMM data on a GaAs p-n junction distinguishing p- and n-doped layers.

  1. GaAs1-xBix/GaNyAs1-y type-II quantum wells: novel strain-balanced heterostructures for GaAs-based near- and mid-infrared photonics.

    PubMed

    Broderick, Christopher A; Jin, Shirong; Marko, Igor P; Hild, Konstanze; Ludewig, Peter; Bushell, Zoe L; Stolz, Wolfgang; Rorison, Judy M; O'Reilly, Eoin P; Volz, Kerstin; Sweeney, Stephen J

    2017-04-19

    The potential to extend the emission wavelength of photonic devices further into the near- and mid-infrared via pseudomorphic growth on conventional GaAs substrates is appealing for a number of communications and sensing applications. We present a new class of GaAs-based quantum well (QW) heterostructure that exploits the unusual impact of Bi and N on the GaAs band structure to produce type-II QWs having long emission wavelengths with little or no net strain relative to GaAs, while also providing control over important laser loss processes. We theoretically and experimentally demonstrate the potential of GaAs 1-x Bi x /GaN y As 1-y type-II QWs on GaAs and show that this approach offers optical emission and absorption at wavelengths up to ~3 µm utilising strain-balanced structures, a first for GaAs-based QWs. Experimental measurements on a prototype GaAs 0.967 Bi 0.033 /GaN 0.062 As 0.938 structure, grown via metal-organic vapour phase epitaxy, indicate good structural quality and exhibit both photoluminescence and absorption at room temperature. The measured photoluminescence peak wavelength of 1.72 μm is in good agreement with theoretical calculations and is one of the longest emission wavelengths achieved on GaAs to date using a pseudomorphically grown heterostructure. These results demonstrate the significant potential of this new class of III-V heterostructure for long-wavelength applications.

  2. GaAs1-xBix/GaNyAs1-y type-II quantum wells: novel strain-balanced heterostructures for GaAs-based near- and mid-infrared photonics

    NASA Astrophysics Data System (ADS)

    Broderick, Christopher A.; Jin, Shirong; Marko, Igor P.; Hild, Konstanze; Ludewig, Peter; Bushell, Zoe L.; Stolz, Wolfgang; Rorison, Judy M.; O'Reilly, Eoin P.; Volz, Kerstin; Sweeney, Stephen J.

    2017-04-01

    The potential to extend the emission wavelength of photonic devices further into the near- and mid-infrared via pseudomorphic growth on conventional GaAs substrates is appealing for a number of communications and sensing applications. We present a new class of GaAs-based quantum well (QW) heterostructure that exploits the unusual impact of Bi and N on the GaAs band structure to produce type-II QWs having long emission wavelengths with little or no net strain relative to GaAs, while also providing control over important laser loss processes. We theoretically and experimentally demonstrate the potential of GaAs1-xBix/GaNyAs1-y type-II QWs on GaAs and show that this approach offers optical emission and absorption at wavelengths up to ~3 µm utilising strain-balanced structures, a first for GaAs-based QWs. Experimental measurements on a prototype GaAs0.967Bi0.033/GaN0.062As0.938 structure, grown via metal-organic vapour phase epitaxy, indicate good structural quality and exhibit both photoluminescence and absorption at room temperature. The measured photoluminescence peak wavelength of 1.72 μm is in good agreement with theoretical calculations and is one of the longest emission wavelengths achieved on GaAs to date using a pseudomorphically grown heterostructure. These results demonstrate the significant potential of this new class of III-V heterostructure for long-wavelength applications.

  3. Material growth and characterization directed toward improving III-V heterojunction solar cells

    NASA Technical Reports Server (NTRS)

    Stefanakos, E. K.; Alexander, W. E.; Collis, W.; Abul-Fadl, A.

    1979-01-01

    In addition to the existing materials growth laboratory, the photolithographic facility and the device testing facility were completed. The majority of equipment for data acquisition, solar cell testing, materials growth and device characterization were received and are being put into operation. In the research part of the program, GaAs and GaA1As layers were grown reproducibly on GaAs substrates. These grown layers were characterized as to surface morphology, thickness and thickness uniformity. The liquid phase epitaxial growth process was used to fabricate p-n junctions in Ga(1-x)A1(x)As. Sequential deposition of two alloy layers was accomplished and detailed analysis of the effect of substrate quality and dopant on the GaA1As layer quality is presented. Finally, solar cell structures were formed by growing a thin p-GaA1As layer upon an epitaxial n-GaA1As layer. The energy gap corresponding to the long wavelength cutoff of the spectral response characteristic was 1.51-1.63 eV. Theoretical calculations of the spectral response were matched to the measured response.

  4. Blueish green photoluminescence from nitrided GaAs(100) surfaces

    NASA Astrophysics Data System (ADS)

    Shimaoka, Goro; Udagawa, Takashi

    1999-04-01

    Optical and structural studies were made on the Si-doped (100)GaAs surfaces nitrided at a temperature between 650° and 750°C for 15 min in the flowing NH 3 gas. The wavelength of photoluminescence (PL) spectra were observed to be shortened from 820 nm of the GaAs nitrided at 650°C with increasing nitridation temperature. Blueish green PL with wavelengths of approx. 490 nm and 470 nm were emitted from the nitrided surfaces at 700° and 750°C, respectively. Results of AES and SIMS indicated that the surfaces are nitrided as GaAs 1- xN x, (0< x≤1) alloy layer, and the nitrided region also tended to increase as the temperature raised. High-resolution transmission electron microscopic (HRTEM), transmission electron diffraction (TED) and energy dispersive X-ray (EDX) results showed that films peeled off from the nitrided surfaces consisted mainly of hexagonal, wurtzite-type gallium nitride (GaN) with stacking faults and microtwins.

  5. 830-nm Polarization Controlled Lasing of InGaAs Quantum Wire Vertical-Cavity Surface-Emitting Lasers Grown on (775)B GaAs Substrates by Molecular Beam Epitaxy

    NASA Astrophysics Data System (ADS)

    Higuchi, Yu; Osaki, Shinji; Sasahata, Yoshifumi; Kitada, Takahiro; Shimomura, Satoshi; Ogura, Mutsuo; Hiyamizu, Satoshi

    2007-02-01

    We report the first demonstration of room temperature (RT) current injection lasing of vertical-cavity surface-emitting lasers (VCSELs), with self-organized InGaAs/(GaAs)6(AlAs)1 quantum wires (QWRs) in their active region, grown on (775)B-oriented GaAs substrates by molecular beam epitaxy. A (775)B InGaAs QWR-VCSEL with an aperture diameter of 4 μm lased at a wavelength of 829.7 nm and a threshold current of 0.7 mA at RT. The light output was linearly polarized in the direction parallel to the QWRs due to optical anisotropy of the self-organized (775)B InGaAs QWRs.

  6. Doping and electronic properties of GaAs grown by close-spaced vapor transport from powder sources for scalable III–V photovoltaics

    DOE PAGES

    Ritenour, Andrew J.; Boucher, Jason W.; DeLancey, Robert; ...

    2014-09-01

    The high balance-of-system costs of photovoltaic (PV) installations indicate that reductions in cell $/W costs alone are likely insufficient for PV electricity to reach grid parity unless energy conversion efficiency is also increased. Technologies which yield both high-efficiency cells (>25%) and maintain low costs are needed. GaAs and related III-V semiconductors are used in the highest-efficiency single- and multi-junction photovoltaics, but the technology is too expensive for non-concentrated terrestrial applications. This is due in part to the difficulty of scaling the metal-organic chemical vapor deposition (MOCVD) process, which relies on expensive reactors and employs toxic and pyrophoric gas-phase precursors suchmore » as arsine and trimethyl gallium, respectively. In this study, we describe GaAs films made by an alternative close-spaced vapor transport (CSVT) technique which is carried out at atmospheric pressure and requires only bulk GaAs, water vapor, and a temperature gradient in order to deposit crystalline films with similar electronic properties to that of GaAs deposited by MOCVD. CSVT is similar to the vapor transport process used to deposit CdTe thin films and is thus a potentially scalable low-cost route to GaAs thin films.« less

  7. Effect of low and staggered gap quantum wells inserted in GaAs tunnel junctions

    NASA Astrophysics Data System (ADS)

    Louarn, K.; Claveau, Y.; Marigo-Lombart, L.; Fontaine, C.; Arnoult, A.; Piquemal, F.; Bounouh, A.; Cavassilas, N.; Almuneau, G.

    2018-04-01

    In this article, we investigate the impact of the insertion of either a type I InGaAs or a type II InGaAs/GaAsSb quantum well on the performances of MBE-grown GaAs tunnel junctions (TJs). The devices are designed and simulated using a quantum transport model based on the non-equilibrium Green’s function formalism and a 6-band k.p Hamiltonian. We experimentally observe significant improvements of the peak tunneling current density on both heterostructures with a 460-fold increase for a moderately doped GaAs TJ when the InGaAs QW is inserted at the junction interface, and a 3-fold improvement on a highly doped GaAs TJ integrating a type II InGaAs/GaAsSb QW. Thus, the simple insertion of staggered band lineup heterostructures enables us to reach a tunneling current well above the kA cm‑2 range, equivalent to the best achieved results for Si-doped GaAs TJs, implying very interesting potential for TJ-based components, such as multi-junction solar cells, vertical cavity surface emitting lasers and tunnel-field effect transistors.

  8. Effects of substrate on the structure and orientation of ZnO thin film grown by rf-magnetron sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, H. F.; Chua, S. J.; Hu, G. X.

    2007-10-15

    X-ray diffractions, Nomarski microscopy, scanning electron microscopy, and photoluminescence have been used to study the effects of substrate on the structure and orientation of ZnO thin films grown by rf-magnetron sputtering. GaAs(001), GaAs(111), Al{sub 2}O{sub 3}(0002) (c-plane), and Al{sub 2}O{sub 3}(1102) (r-plane) wafers have been selected as substrates in this study. X-ray diffractions reveal that the ZnO film grown on GaAs(001) substrate is purely textured with a high c-axis orientation while that grown on GaAs(111) substrate is a single ZnO(0002) crystal; a polycrystalline structure with a large-single-crystal area of ZnO(0002) is obtained on a c-plane Al{sub 2}O{sub 3} substrate whilemore » a ZnO(1120) single crystal is formed on an r-plane Al{sub 2}O{sub 3} substrate. There is absence of significant difference between the photoluminescence spectra collected from ZnO/GaAs(001), ZnO/GaAs(111), and ZnO/Al{sub 2}O{sub 3}(0002), while the photoluminescence from ZnO/Al{sub 2}O{sub 3}(1102) shows a reduced intensity together with an increased linewidth, which is, likely, due to the increased incorporation of native defects during the growth of ZnO(1120)« less

  9. Design of a three-layer antireflection coating for high efficiency indium phosphide solar cells using a chemical oxide as first layer

    NASA Technical Reports Server (NTRS)

    Moulot, Jacques; Faur, M.; Faur, M.; Goradia, C.; Goradia, M.; Bailey, S.

    1995-01-01

    It is well known that the behavior of III-V compound based solar cells is largely controlled by their surface, since the majority of light generated carriers (63% for GaAs and 79% for InP) are created within 0.2 mu m of the surface of the illuminated cell. Consequently, the always observed high surface recombination velocity (SRV) on these cells is a serious limiting factor for their high efficiency performance, especially for those with p-n junction made by either thermal diffusion or ion implantation. A good surface passivation layer, ideally a grown oxide as opposed to a deposited one, will cause a significant reduction in the SRV without adding interface problems, thus improving the performance of III-V compound based solar cells. Another significant benefit to the overall performance of the solar cells can be achieved by a substantial reduction of their large surface optical reflection by the use of a well designed antireflection (AR) coating. In this paper, we demonstrate the effectiveness of using a chemically grown thermally and chemically stable oxide, not only for surface passivation but also as an integral part of a 3-layer AR coating for thermally diffused p+n InP solar cells. A phosphorus-rich interfacial oxide, In(PO3)3, is grown at the surface of the p+ emitter using an etchant based on HNO3, o-H3PO4 and H2O2. This oxide has the unique properties of passivating the surface as well as serving as an efficient antireflective layer yielding a measured record high AMO open-circuit voltage of 890.3 mV on a thermally diffused InP(Cd,S) solar cell. Unlike conventional single layer AR coatings such as ZnS, Sb2O3, SiO or double layer AR coatings such as ZnS/MgF2 deposited by e-beam or resistive evaporation, this oxide preserves the stoichiometry of the InP surface. We show that it is possible to design a three-layer AR coating for a thermally diffused InP solar cell using the In(PO3)3 grown oxide as the first layer and Al2O3 and MgF2 as the second and third

  10. Hydrogen-related defects in Al2O3 layers grown on n-type Si by the atomic layer deposition technique

    NASA Astrophysics Data System (ADS)

    Kolkovsky, Vl.; Stübner, R.

    2018-04-01

    The electrical properties of alumina films with thicknesses varying from 15 nm to 150 nm, grown by the atomic layer deposition technique on n-type Si, were investigated. We demonstrated that the annealing of the alumina layers in argon (Ar) or hydrogen (H) atmosphere at about 700 K resulted in the introduction of negatively charged defects irrespective of the type of the substrate. These defects were also observed in samples subjected to a dc H plasma treatment at temperatures below 400 K, whereas they were not detected in as-grown samples and in samples annealed in Ar atmosphere at temperatures below 400 K. The concentration of these defects increased with a higher H content in the alumina films. In good agreement with theory we assigned these defects to interstitial H-related defects.

  11. Nitride passivation reduces interfacial traps in atomic-layer-deposited Al{sub 2}O{sub 3}/GaAs (001) metal-oxide-semiconductor capacitors using atmospheric metal-organic chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aoki, T., E-mail: aokit@sc.sumitomo-chem.co.jp; Fukuhara, N.; Osada, T.

    2014-07-21

    Using an atmospheric metal-organic chemical vapor deposition system, we passivated GaAs with AlN prior to atomic layer deposition of Al{sub 2}O{sub 3}. This AlN passivation incorporated nitrogen at the Al{sub 2}O{sub 3}/GaAs interface, improving the capacitance-voltage (C–V) characteristics of the resultant metal-oxide-semiconductor capacitors (MOSCAPs). The C–V curves of these devices showed a remarkable reduction in the frequency dispersion of the accumulation capacitance. Using the conductance method at various temperatures, we extracted the interfacial density of states (D{sub it}). The D{sub it} was reduced over the entire GaAs band gap. In particular, these devices exhibited D{sub it} around the midgap ofmore » less than 4 × 10{sup 12} cm{sup −2}eV{sup −1}, showing that AlN passivation effectively reduced interfacial traps in the MOS structure.« less

  12. Density of dislocations in CdHgTe heteroepitaxial structures on GaAs(013) and Si(013) substrates

    NASA Astrophysics Data System (ADS)

    Sidorov, Yu. G.; Yakushev, M. V.; Varavin, V. S.; Kolesnikov, A. V.; Trukhanov, E. M.; Sabinina, I. V.; Loshkarev, I. D.

    2015-11-01

    Epitaxial layers of Cd x Hg1- x Te (MCT) on GaAs(013) and Si(013) substrates were grown by molecular beam epitaxy. The introduction of ZnTe and CdTe intermediate layers into the structures made it possible to retain the orientation close to that of the substrate in MCT epitaxial layers despite the large mismatch between the lattice parameters. The structures were investigated using X-ray diffraction and transmission electron microscopy. The dislocation families predominantly removing the mismatch between the lattice parameters were found. Transmission electron microscopy revealed Γ-shaped misfit dislocations (MDs), which facilitated the annihilation of threading dislocations. The angles of rotation of the lattice due to the formation of networks of misfit dislocations were measured. It was shown that the density of threading dislocations in the active region of photodiodes is primarily determined by the network of misfit dislocations formed in the MCT/CdTe heterojunction. A decrease in the density of threading dislocations in the MCT film was achieved by cyclic annealing under conditions of the maximally facilitated nonconservative motion of dislocations. The dislocation density was determined from the etch pits.

  13. Suppression of Mg propagation into subsequent layers grown by MOCVD

    NASA Astrophysics Data System (ADS)

    Agarwal, Anchal; Tahhan, Maher; Mates, Tom; Keller, Stacia; Mishra, Umesh

    2017-01-01

    Low temperature (LT) flow modulation epitaxy (FME) or "pulsed" growth was successfully used to prevent magnesium from Metalorganic Chemical Vapor Deposition (MOCVD) grown p-GaN:Mg layers riding into subsequently deposited n-type layers. Mg concentration in the subsequent layers was lowered from ˜1 × 1018 cm-3 for a medium temperature growth at 950 °C to ˜1 × 1016 cm-3 for a low temperature growth at 700 °C via FME. The slope of the Mg concentration drop in the 700 °C FME sample was 20 nm/dec—the lowest ever demonstrated by MOCVD. For growth on Mg implanted GaN layers, the drop for a medium temperature regrowth at 950 °C was ˜10 nm/dec compared to >120 nm/dec for a high temperature regrowth at 1150 °C. This drop-rate obtained at 950 °C or lower was maintained even when the growth temperature in the following layers was raised to 1150 °C. A controlled silicon doping series using LT FME was also demonstrated with the lowest and highest achieved doping levels being 5 × 1016 cm-3 and 6 × 1019 cm-3, respectively.

  14. GaAs photovoltaics and optoelectronics using releasable multilayer epitaxial assemblies.

    PubMed

    Yoon, Jongseung; Jo, Sungjin; Chun, Ik Su; Jung, Inhwa; Kim, Hoon-Sik; Meitl, Matthew; Menard, Etienne; Li, Xiuling; Coleman, James J; Paik, Ungyu; Rogers, John A

    2010-05-20

    Compound semiconductors like gallium arsenide (GaAs) provide advantages over silicon for many applications, owing to their direct bandgaps and high electron mobilities. Examples range from efficient photovoltaic devices to radio-frequency electronics and most forms of optoelectronics. However, growing large, high quality wafers of these materials, and intimately integrating them on silicon or amorphous substrates (such as glass or plastic) is expensive, which restricts their use. Here we describe materials and fabrication concepts that address many of these challenges, through the use of films of GaAs or AlGaAs grown in thick, multilayer epitaxial assemblies, then separated from each other and distributed on foreign substrates by printing. This method yields large quantities of high quality semiconductor material capable of device integration in large area formats, in a manner that also allows the wafer to be reused for additional growths. We demonstrate some capabilities of this approach with three different applications: GaAs-based metal semiconductor field effect transistors and logic gates on plates of glass, near-infrared imaging devices on wafers of silicon, and photovoltaic modules on sheets of plastic. These results illustrate the implementation of compound semiconductors such as GaAs in applications whose cost structures, formats, area coverages or modes of use are incompatible with conventional growth or integration strategies.

  15. Selective Area Growth of GaAs on Si Patterned Using Nanoimprint Lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Warren, Emily L.; Makoutz, Emily A.; Horowitz, Kelsey A. W.

    Heteroepitaxial selective area growth (SAG) of GaAs on patterned Si substrates is a potential low-cost approach to integrate III-V and Si materials for tandem or multijunction solar cells. The use of nanoscale openings in a dielectric material can minimize nucleation-related defects and allow thinner buffer layers to be used to accommodate lattice mismatch between Si and an epitaxial III-V layer. For photovoltaic applications, the cost of patterning and growth, as well as the impact on the performance of the Si bottom cell must be considered. We present preliminary results on the use of soft nanoimprint lithography (SNIL) to create patternedmore » nucleation templates for the heteroepitaxial SAG of GaAs on Si. We demonstrate that SNIL patterning of passivating layers on the Si substrate improves measured minority carrier properties relative to unprotected Si. Cost modeling of the SNIL process shows that adding a patterning step only adds a minor contribution to the overall cost of a tandem III-V/Si solar cell, and can enable significant savings if it enables thinner buffer layers.« less

  16. GaAs1−xBix/GaNyAs1−y type-II quantum wells: novel strain-balanced heterostructures for GaAs-based near- and mid-infrared photonics

    PubMed Central

    Broderick, Christopher A.; Jin, Shirong; Marko, Igor P.; Hild, Konstanze; Ludewig, Peter; Bushell, Zoe L.; Stolz, Wolfgang; Rorison, Judy M.; O’Reilly, Eoin P.; Volz, Kerstin; Sweeney, Stephen J.

    2017-01-01

    The potential to extend the emission wavelength of photonic devices further into the near- and mid-infrared via pseudomorphic growth on conventional GaAs substrates is appealing for a number of communications and sensing applications. We present a new class of GaAs-based quantum well (QW) heterostructure that exploits the unusual impact of Bi and N on the GaAs band structure to produce type-II QWs having long emission wavelengths with little or no net strain relative to GaAs, while also providing control over important laser loss processes. We theoretically and experimentally demonstrate the potential of GaAs1−xBix/GaNyAs1−y type-II QWs on GaAs and show that this approach offers optical emission and absorption at wavelengths up to ~3 µm utilising strain-balanced structures, a first for GaAs-based QWs. Experimental measurements on a prototype GaAs0.967Bi0.033/GaN0.062As0.938 structure, grown via metal-organic vapour phase epitaxy, indicate good structural quality and exhibit both photoluminescence and absorption at room temperature. The measured photoluminescence peak wavelength of 1.72 μm is in good agreement with theoretical calculations and is one of the longest emission wavelengths achieved on GaAs to date using a pseudomorphically grown heterostructure. These results demonstrate the significant potential of this new class of III-V heterostructure for long-wavelength applications. PMID:28422129

  17. Room Temperature Sensing Achieved by GaAs Nanowires and oCVD Polymer Coating.

    PubMed

    Wang, Xiaoxue; Ermez, Sema; Goktas, Hilal; Gradečak, Silvija; Gleason, Karen

    2017-06-01

    Novel structures comprised of GaAs nanowire arrays conformally coated with conducting polymers (poly(3,4-ethylenedioxythiophene) (PEDOT) or poly(3,4-ethylenedioxythiophene-co-3-thiophene acetic acid) display both sensitivity and selectivity to a variety of volatile organic chemicals. A key feature is room temperature operation, so that neither a heater nor the power it would consume, is required. It is a distinct difference from traditional metal oxide sensors, which typically require elevated operational temperature. The GaAs nanowires are prepared directly via self-seeded metal-organic chemical deposition, and conducting polymers are deposited on GaAs nanowires using oxidative chemical vapor deposition (oCVD). The range of thickness for the oCVD layer is between 100 and 200 nm, which is controlled by changing the deposition time. X-ray diffraction analysis indicates an edge-on alignment of the crystalline structure of the PEDOT coating layer on GaAs nanowires. In addition, the positive correlation between the improvement of sensitivity and the increasing nanowire density is demonstrated. Furthermore, the effect of different oCVD coating materials is studied. The sensing mechanism is also discussed with studies considering both nanowire density and polymer types. Overall, the novel structure exhibits good sensitivity and selectivity in gas sensing, and provides a promising platform for future sensor design. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. Progress toward thin-film GaAs solar cells using a single-crystal Si substrate with a Ge interlayer

    NASA Technical Reports Server (NTRS)

    Yeh, Y. C. M.; Wang, K. L.; Zwerdling, S.

    1982-01-01

    Development of a technology for fabricating light-weight, high-efficiency, radiation-resistant solar cells for space applications is reported. The approaches currently adopted are to fabricate shallow homojunction n(+)/p as well as p/n AlGaAs-heteroface GaAs solar cells by organometallic chemical vapor deposition (OM-CVD) on single-crystal Si substrates using in each case, a thin Ge epi-interlayer first grown by CVD. This approach maintains the advantages of the low specific gravity of Si as well as the high efficiency and radiation-resistant properties of the GaAs solar cell which can lead to greatly improved specific power for a solar array. The growth of single-crystal GaAs epilayers on Ge epi-interlayers on Si substrates is investigated. Related solar cell fabrication is reviewed.

  19. Time-resolved photoluminescence characterization of GaAs nanowire arrays on native substrate

    NASA Astrophysics Data System (ADS)

    Dagytė, Vilgailė; Barrigón, Enrique; Zhang, Wei; Zeng, Xulu; Heurlin, Magnus; Otnes, Gaute; Anttu, Nicklas; Borgström, Magnus T.

    2017-12-01

    Time-resolved photoluminescence (TRPL) measurements of nanowires (NWs) are often carried out on broken-off NWs in order to avoid the ensemble effects as well as substrate contribution. However, the development of NW-array solar cells could benefit from non-destructive optical characterization to allow faster feedback and further device processing. With this work, we show that different NW array and substrate spectral behaviors with delay time and excitation power can be used to determine which part of the sample dominates the detected spectrum. Here, we evaluate TRPL characterization of dense periodic as-grown GaAs NW arrays on a p-type GaAs substrate, including a sample with uncapped GaAs NWs and several samples passivated with AlGaAs radial shell of varied composition and thickness. We observe a strong spectral overlap of substrate and NW signals and find that the NWs can absorb part of the substrate luminescence signal, thus resulting in a modified substrate signal. The level of absorption depends on the NW-array geometry, making a deconvolution of the NW signal very difficult. By studying TRPL of substrate-only and as-grown NWs at 770 and 400 nm excitation wavelengths, we find a difference in spectral behavior with delay time and excitation power that can be used to assess whether the signal is dominated by the NWs. We find that the NW signal dominates with 400 nm excitation wavelength, where we observe two different types of excitation power dependence for the NWs capped with high and low Al composition shells. Finally, from the excitation power dependence of the peak TRPL signal, we extract an estimate of background carrier concentration in the NWs.

  20. Development of a 1K x 1K GaAs QWIP Far IR Imaging Array

    NASA Technical Reports Server (NTRS)

    Jhabvala, M.; Choi, K.; Goldberg, A.; La, A.; Gunapala, S.

    2003-01-01

    In the on-going evolution of GaAs Quantum Well Infrared Photodetectors (QWIPs) we have developed a 1,024 x 1,024 (1K x1K), 8.4-9 microns infrared focal plane array (FPA). This 1 megapixel detector array is a hybrid using the Rockwell TCM 8050 silicon readout integrated circuit (ROIC) bump bonded to a GaAs QWIP array fabricated jointly by engineers at the Goddard Space Flight Center (GSFC) and the Army Research Laboratory (ARL). The finished hybrid is thinned at the Jet Propulsion Lab. Prior to this development the largest format array was a 512 x 640 FPA. We have integrated the 1K x 1K array into an imaging camera system and performed tests over the 40K-90K temperature range achieving BLIP performance at an operating temperature of 76K (f/2 camera system). The GaAs array is relatively easy to fabricate once the superlattice structure of the quantum wells has been defined and grown. The overall arrays costs are currently dominated by the costs associated with the silicon readout since the GaAs array fabrication is based on high yield, well-established GaAs processing capabilities. In this paper we will present the first results of our 1K x 1K QWIP array development including fabrication methodology, test data and our imaging results.

  1. Low temperature growth and electrical characterization of insulators for GaAs MISFETS

    NASA Technical Reports Server (NTRS)

    Borrego, J. M.; Ghandhi, S. K.

    1981-01-01

    Progress in the low temperature growth of oxides and layers on GaAs and the detailed electrical characterization of these oxides is reported. A plasma anodization system was designed, assembled, and put into operation. A measurement system was assembled for determining capacitance and conductance as a function of gate voltage for frequencies in the range from 1 Hz to 1 MHz. Initial measurements were carried out in Si-SiO2 capacitors in order to test the system and in GaAs MIS capacitors abricated using liquid anodization.

  2. Optical Properties of Zinc Selenide Grown Using Molecular Beam Deposition Techniques

    DTIC Science & Technology

    1989-06-01

    studied were grown using a standard MBE machine with insitu diagnostics. The ZnSe material used for growing the samples is highly pure polycrystalline...width of the interference maxima n can be found from equation (1). Beyond 550 nm absorption is varying rapidly and this will cause Tmax to vary...nonlinearity Is utilized - such as in an optically bistable switch. It is known from previous work on ZnSe grown on GaAs 113] that the material begins growing

  3. Strain-Compensated InGaAsP Superlattices for Defect Reduction of InP Grown on Exact-Oriented (001) Patterned Si Substrates by Metal Organic Chemical Vapor Deposition.

    PubMed

    Megalini, Ludovico; Šuran Brunelli, Simone Tommaso; Charles, William O; Taylor, Aidan; Isaac, Brandon; Bowers, John E; Klamkin, Jonathan

    2018-02-26

    We report on the use of InGaAsP strain-compensated superlattices (SC-SLs) as a technique to reduce the defect density of Indium Phosphide (InP) grown on silicon (InP-on-Si) by Metal Organic Chemical Vapor Deposition (MOCVD). Initially, a 2 μm thick gallium arsenide (GaAs) layer was grown with very high uniformity on exact oriented (001) 300 mm Si wafers; which had been patterned in 90 nm V-grooved trenches separated by silicon dioxide (SiO₂) stripes and oriented along the [110] direction. Undercut at the Si/SiO₂ interface was used to reduce the propagation of defects into the III-V layers. Following wafer dicing; 2.6 μm of indium phosphide (InP) was grown on such GaAs-on-Si templates. InGaAsP SC-SLs and thermal annealing were used to achieve a high-quality and smooth InP pseudo-substrate with a reduced defect density. Both the GaAs-on-Si and the subsequently grown InP layers were characterized using a variety of techniques including X-ray diffraction (XRD); atomic force microscopy (AFM); transmission electron microscopy (TEM); and electron channeling contrast imaging (ECCI); which indicate high-quality of the epitaxial films. The threading dislocation density and RMS surface roughness of the final InP layer were 5 × 10⁸/cm² and 1.2 nm; respectively and 7.8 × 10⁷/cm² and 10.8 nm for the GaAs-on-Si layer.

  4. Strain-Compensated InGaAsP Superlattices for Defect Reduction of InP Grown on Exact-Oriented (001) Patterned Si Substrates by Metal Organic Chemical Vapor Deposition

    PubMed Central

    Megalini, Ludovico; Šuran Brunelli, Simone Tommaso; Charles, William O.; Taylor, Aidan; Isaac, Brandon; Klamkin, Jonathan

    2018-01-01

    We report on the use of InGaAsP strain-compensated superlattices (SC-SLs) as a technique to reduce the defect density of Indium Phosphide (InP) grown on silicon (InP-on-Si) by Metal Organic Chemical Vapor Deposition (MOCVD). Initially, a 2 μm thick gallium arsenide (GaAs) layer was grown with very high uniformity on exact oriented (001) 300 mm Si wafers; which had been patterned in 90 nm V-grooved trenches separated by silicon dioxide (SiO2) stripes and oriented along the [110] direction. Undercut at the Si/SiO2 interface was used to reduce the propagation of defects into the III–V layers. Following wafer dicing; 2.6 μm of indium phosphide (InP) was grown on such GaAs-on-Si templates. InGaAsP SC-SLs and thermal annealing were used to achieve a high-quality and smooth InP pseudo-substrate with a reduced defect density. Both the GaAs-on-Si and the subsequently grown InP layers were characterized using a variety of techniques including X-ray diffraction (XRD); atomic force microscopy (AFM); transmission electron microscopy (TEM); and electron channeling contrast imaging (ECCI); which indicate high-quality of the epitaxial films. The threading dislocation density and RMS surface roughness of the final InP layer were 5 × 108/cm2 and 1.2 nm; respectively and 7.8 × 107/cm2 and 10.8 nm for the GaAs-on-Si layer. PMID:29495381

  5. Microprocessor design for GaAs technology

    NASA Astrophysics Data System (ADS)

    Milutinovic, Veljko M.

    Recent advances in the design of GaAs microprocessor chips are examined in chapters contributed by leading experts; the work is intended as reading material for a graduate engineering course or as a practical R&D reference. Topics addressed include the methodology used for the architecture, organization, and design of GaAs processors; GaAs device physics and circuit design; design concepts for microprocessor-based GaAs systems; a 32-bit GaAs microprocessor; a 32-bit processor implemented in GaAs JFET; and a direct coupled-FET-logic E/D-MESFET experimental RISC machine. Drawings, micrographs, and extensive circuit diagrams are provided.

  6. High quality of InAsSb epilayer with cutoff wavelength longer than 10 μm grown on GaAs by the modified LPE technique

    NASA Astrophysics Data System (ADS)

    Hu, S. H.; Sun, C. H.; Sun, Y.; Ge, J.; Wang, R.; Wu, J.; Wang, Q. W.; Dai, N.

    2009-04-01

    The InAsSb epilayers with a cutoff wavelength of 11.5 μm were successfully grown on highly lattice-mismatched semi-insulating (1 0 0) GaAs substrate by the modified liquid phase epitaxy (LPE) technique. Fourier transform infrared (FTIR) transmission spectrum revealed a strong band gap narrowing for this alloy. The electrical properties were investigated by the Van der Pauw measurements at 300 and 77 K. InAsSb epilayers showed high Hall mobilities being 11,800 cm 2/V s at room temperature (RT). After an annealing treament for 10 h, the electron mobility at 77 K were improved from 1730 cm 2/V s (prior to annealing) to 13,470 cm 2/V s. Wet etching was used to display the surface etch pits prior to and after annealing treatment, showing that the mobility improvement was due to the reduction of the etch pits density.

  7. Spatial structure of single and interacting Mn acceptors in GaAs

    NASA Astrophysics Data System (ADS)

    Koenraad, Paul

    2005-03-01

    Ferromagnetic semiconductors such as Ga1-xMnxAs are receiving a lot of attention at the moment because of their application in spintronic devices. However, despite intense study of deep acceptors in III-V semiconductors such as MnGa, little information has been obtained on their electronic properties at the atomic scale. Yet the spatial shape of the Mn acceptor state will influence the hole-mediated Mn-Mn coupling and thus all of the magnetic properties of ferromagnetic semiconductors such as Ga1-xMnxAs. This study presents an experimental and theoretical description of the spatial symmetry of the Mn acceptor wave-function in GaAs. We present measurements of the spatial mapping of the anisotropic wavefunction of a hole localized at a Mn acceptor. To achieve this, we have used the STM tip not only to image the Mn acceptor but also to manipulate its charge state A^0/A^- at room temperature. Within an envelope function effective mass model (EFM) the anisotropy in the acceptor wave-function can be traced to the influence of the cubic symmetry of the GaAs crystal which selects specific d-states that mix into the ground state due to the spin-orbit interaction in the valence band. Comparison with calculations based on a tight-binding model (TBM) for the Mn acceptor structure supports this conclusion. Using the same experimental and theoretical approach we furthermore explored the interaction between Mn acceptors directly by analyzing close Mn-Mn pairs, which were separated by less than 2 nm. We will discuss some implications of these results for Mn delta-doped layers grown on differently oriented growth surfaces.

  8. Hybrid Molecular and Spin-Semiconductor Based Research

    DTIC Science & Technology

    2005-02-02

    thick layers of low- temperature-grown (LTG) GaAs, i.e. GaAs grown at lower than normal substrate temperatures in a molecular beam epitaxy system...1999 – Oct.31, 2004 4. TITLE AND SUBTITLE Hybrid Molecular and Spin-Semiconductor Based research 5. FUNDING NUMBERS DAAD19-99-1-0198...spintronic devices. Thrust III is entitled “ Molecular Electronics” and its objective is to develop, characterize and model organic/inorganic

  9. A semi-empirical model for the complete orientation dependence of the growth rate for vapor phase epitaxy - Chloride VPE of GaAs

    NASA Technical Reports Server (NTRS)

    Seidel-Salinas, L. K.; Jones, S. H.; Duva, J. M.

    1992-01-01

    A semi-empirical model has been developed to determine the complete crystallographic orientation dependence of the growth rate for vapor phase epitaxy (VPE). Previous researchers have been able to determine this dependence for a limited range of orientations; however, our model yields relative growth rate information for any orientation. This model for diamond and zincblende structure materials is based on experimental growth rate data, gas phase diffusion, and surface reactions. Data for GaAs chloride VPE is used to illustrate the model. The resulting growth rate polar diagrams are used in conjunction with Wulff constructions to simulate epitaxial layer shapes as grown on patterned substrates. In general, this model can be applied to a variety of materials and vapor phase epitaxy systems.

  10. Linear thermal expansion coefficient determination using in situ curvature and temperature dependent X-ray diffraction measurements applied to metalorganic vapor phase epitaxy-grown AlGaAs

    NASA Astrophysics Data System (ADS)

    Maaßdorf, A.; Zeimer, U.; Grenzer, J.; Weyers, M.

    2013-07-01

    AlxGa1-xAs grown on GaAs is known to be almost perfectly lattice matched with a maximum lattice mismatch of 0.14% at room temperature and even less at temperatures of 700 °C-800 °C. However, as layer structures for edge-emitting diode lasers exhibit an increasing overall thickness of several microns of AlxGa1-xAs, e.g., diode lasers comprising a super-large optical cavity, the accumulated elastic strain energy increases as well. Depending on the growth temperature the formation energy of dislocations can be reached, which is limiting the pseudomorphic growth. In this regard, the thermal expansion coefficient difference between layer and substrate is an important parameter. We utilize in situ curvature measurements during growth of AlxGa1-xAs by metal-organic vapour phase epitaxy to determine the thermal expansion coefficient α. The curvature change with increasing layer thickness, as well as with wafer temperature at constant layer thickness is used to assess α. This is compared to ex situ temperature dependent X-ray diffraction measurements to obtain α. All determined values for α are in good agreement, yielding αAlAs=4.1×10-6 K-1 for a given GaAs linear thermal expansion coefficient of αGaAs=5.73×10-6 K-1.

  11. Reducing the layer number of AB stacked multilayer graphene grown on nickel by annealing at low temperature.

    PubMed

    Velasco, J Marquez; Giamini, S A; Kelaidis, N; Tsipas, P; Tsoutsou, D; Kordas, G; Raptis, Y S; Boukos, N; Dimoulas, A

    2015-10-09

    Controlling the number of layers of graphene grown by chemical vapor deposition is crucial for large scale graphene application. We propose here an etching process of graphene which can be applied immediately after growth to control the number of layers. We use nickel (Ni) foil at high temperature (T = 900 °C) to produce multilayer-AB-stacked-graphene (MLG). The etching process is based on annealing the samples in a hydrogen/argon atmosphere at a relatively low temperature (T = 450 °C) inside the growth chamber. The extent of etching is mainly controlled by the annealing process duration. Using Raman spectroscopy we demonstrate that the number of layers was reduced, changing from MLG to few-layer-AB-stacked-graphene and in some cases to randomly oriented few layer graphene near the substrate. Furthermore, our method offers the significant advantage that it does not introduce defects in the samples, maintaining their original high quality. This fact and the low temperature our method uses make it a good candidate for controlling the layer number of already grown graphene in processes with a low thermal budget.

  12. Design of a Three-Layer Antireflection Coating for High Efficiency Indium Phosphide Solar Cells Using a Chemical Oxide as First Layer

    NASA Technical Reports Server (NTRS)

    Moulot, Jacques; Faur, Mircea; Faur, Maria; Goradia, Chandra; Goradia, Manju; Bailey, Sheila

    1995-01-01

    It is well known that the behavior of III-V compound based solar cells is largely controlled by their surface, since the majority of light generated carriers (63% for GaAs and 79% for InP) are created within 0.2 microns of the illuminated surface of the cell. Consequently, the always observed high surface recombination velocity (SRV) on these cells is a serious limiting factor for their high efficiency performance, especially for those with the p-n junction made by either thermal diffusion or ion implantation. A good surface passivation layer, ideally, a grown oxide as opposed to a deposited one, will cause a significant reduction in the SRV without adding interface problems, thus improving the performance of III-V compound based solar cells. Another significant benefit to the overall performance of the solar cells can be achieved by a substantial reduction of their large surface optical reflection by the use of a well designed antireflection (AR) coating. In this paper, we demonstrate the effectiveness of using a chemically grown, thermally and chemically stable oxide, not only for surface passivation but also as an integral part of a 3- layer AR coating for thermally diffused p(+)n InP solar cells. A phosphorus-rich interfacial oxide, In(PO3)3, is grown at the surface of the p(+) emitter using an etchant based on HNO3, o-H3PO4 and H2O2. This oxide has the unique properties of passivating the surface as well as serving as a fairly efficient antireflective layer yielding a measured record high AM0, 25 C, open-circuit voltage of 890.3 mV on a thermally diffused InP(Cd,S) solar cell. Unlike conventional single layer AR coatings such as ZnS, Sb2O3, SiO or double layer AR coatings such as ZnS/MgF2 deposited by e-beam or resistive evaporation, this oxide preserves the stoichiometry of the InP surface. We show that it is possible to design a three-layer AR coating for a thermally diffused InP solar cell using the In(PO3)3 grown oxide as the first layer and Al2O3, MgF2 or

  13. Hydrogenation of GaAs covered by GaAlAs and subgrain boundary passivation

    NASA Astrophysics Data System (ADS)

    Djemel, A.; Castaing, J.; Chevallier, J.; Henoc, P.

    1992-12-01

    Cathodoluminescence (CL) has been performed to study the influence of hydrogen on electronic properties of GaAs with and without a GaAlAs layer. Recombination at sub-boundaries has been examined. These extended defects have been introduced by high temperature plastic deformation. The results show that they are passivated by hydrogen. The penetration of hydrogen is slowed down by the GaAlAs layer. La cathodoluminescence (CL) a été utilisée pour étudier l'influence de l'hydrogène sur les propriétés électroniques de GaAs nu et recouvert d'une couche de GaAlAs. Le caractère recombinant des sous-joints de grains a été examiné. Ces défauts étendus ont été introduits par déformation plastique à chaud. Les résultats montrent que l'hydrogène passive ces défauts. La pénétration de l'hydrogène à l'intérieur de GaAs est retardée par la présence de la couche de GaAlAs.

  14. I-V curve hysteresis induced by gate-free charging of GaAs nanowires' surface oxide

    NASA Astrophysics Data System (ADS)

    Alekseev, P. A.; Geydt, P.; Dunaevskiy, M. S.; Lähderanta, E.; Haggrén, T.; Kakko, J.-P.; Lipsanen, H.

    2017-09-01

    The control of nanowire-based device performance requires knowledge about the transport of charge carriers and its limiting factors. We present the experimental and modeled results of a study of electrical properties of GaAs nanowires (NWs), considering their native oxide cover. Measurements of individual vertical NWs were performed by conductive atomic force microscopy (C-AFM). Experimental C-AFM observations with numerical simulations revealed the complex resistive behavior of NWs. A hysteresis of current-voltage characteristics of the p-doped NWs as-grown on substrates with different types of doping was registered. The emergence of hysteresis was explained by the trapping of majority carriers in the surface oxide layer near the reverse-biased barriers under the source-drain current. It was found that the accumulation of charge increases the current for highly doped p+-NWs on n+-substrates, while for moderately doped p-NWs on p+-substrates, charge accumulation decreases the current due to blocking of the conductive channel of NWs.

  15. GaAs metal-oxide-semiconductor based non-volatile flash memory devices with InAs quantum dots as charge storage nodes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Islam, Sk Masiul, E-mail: masiulelt@gmail.com; Chowdhury, Sisir; Sarkar, Krishnendu

    2015-06-24

    Ultra-thin InP passivated GaAs metal-oxide-semiconductor based non-volatile flash memory devices were fabricated using InAs quantum dots (QDs) as charge storing elements by metal organic chemical vapor deposition technique to study the efficacy of the QDs as charge storage elements. The grown QDs were embedded between two high-k dielectric such as HfO{sub 2} and ZrO{sub 2}, which were used for tunneling and control oxide layers, respectively. The size and density of the QDs were found to be 5 nm and 1.8×10{sup 11} cm{sup −2}, respectively. The device with a structure Metal/ZrO{sub 2}/InAs QDs/HfO{sub 2}/GaAs/Metal shows maximum memory window equivalent to 6.87 V. Themore » device also exhibits low leakage current density of the order of 10{sup −6} A/cm{sup 2} and reasonably good charge retention characteristics. The low value of leakage current in the fabricated memory device is attributed to the Coulomb blockade effect influenced by quantum confinement as well as reduction of interface trap states by ultra-thin InP passivation on GaAs prior to HfO{sub 2} deposition.« less

  16. Thermally activated decomposition of (Ga,Mn)As thin layer at medium temperature post growth annealing

    NASA Astrophysics Data System (ADS)

    Melikhov, Y.; Konstantynov, P.; Domagala, J.; Sadowski, J.; Chernyshova, M.; Wojciechowski, T.; Syryanyy, Y.; Demchenko, I. N.

    2016-05-01

    The redistribution of Mn atoms in Ga1-xMnxAs layer during medium-temperature annealing, 250-450 oC, by Mn K-edge X-ray absorption fine structure (XAFS) recorded at ALBA facility, was studied. For this purpose Ga1-xMnxAs thin layer with x=0.01 was grown on AlAs buffer layer deposited on GaAs(100) substrate by molecular beam epitaxy (MBE) followed by annealing. The examined layer was detached from the substrate using a “lift-off” procedure in order to eliminate elastic scattering in XAFS spectra. Fourier transform analysis of experimentally obtained EXAFS spectra allowed to propose a model which describes a redistribution/diffusion of Mn atoms in the host matrix. Theoretical XANES spectra, simulated using multiple scattering formalism (FEFF code) with the support of density functional theory (WIEN2k code), qualitatively describe the features observed in the experimental fine structure.

  17. Study on the high-power semi-insulating GaAs PCSS with quantum well structure

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Luan, Chongbiao; Wang, Bo; Huang, Yupeng

    A high-power semi-insulating GaAs photoconductive semiconductor switch (PCSS) with quantum well structure was fabricated. The AlGaAs layer was deposited on the surface of the GaAs material, and the reflecting film and the antireflection film have been made on the surface of the GaAs and AlGaAs, respectively. When the prepared PCSS worked at a bias voltage of 9.8 kV and triggered by a laser pulse with an incident optical energy of 5.4 mJ, a wavelength of 1064 nm and an optical pulse width of 25 ns, the on-state resistance of the AlGaAs/GaAs PCSS was only 0.45 Ω, and the longevity ofmore » the AlGaAs/GaAs PCSS was larger than 10{sup 6} shots. The results show that this structure reduces the on-state resistance and extends the longevity of the GaAs PCSS.« less

  18. Electrically pumped 1.3 microm room-temperature InAs/GaAs quantum dot lasers on Si substrates by metal-mediated wafer bonding and layer transfer.

    PubMed

    Tanabe, Katsuaki; Guimard, Denis; Bordel, Damien; Iwamoto, Satoshi; Arakawa, Yasuhiko

    2010-05-10

    An electrically pumped InAs/GaAs quantum dot laser on a Si substrate has been demonstrated. The double-hetero laser structure was grown on a GaAs substrate by metal-organic chemical vapor deposition and layer-transferred onto a Si substrate by GaAs/Si wafer bonding mediated by a 380-nm-thick Au-Ge-Ni alloy layer. This broad-area Fabry-Perot laser exhibits InAs quantum dot ground state lasing at 1.31 microm at room temperature with a threshold current density of 600 A/cm(2). (c) 2010 Optical Society of America.

  19. Diffusion of cations in chromia layers grown on iron-base alloys

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lobnig, R.E.; Hennesen, K.; Grabke, H.J.

    Diffusion of the cations Cr, Fe, Mn, and Ni in Cr{sub 2}O{sub 3} has been investigated at 1,173 K. The diffusion measurements were performed on chromia layers grown on the model alloys Fe-20Cr and Fe-20Cr-12Ni in order to consider effects of small amounts of dissolved alien cations in Cr{sub 2}O{sub 3}. The samples were diffusion annealed in H{sub 2}-H{sub 2}O at an oxygen partial pressure close to the Cr{sub 2}O{sub 3}/Cr equilibrium. For all tracers the lattice-diffusion coefficients are 3-5 orders of magnitude smaller than the grain-boundary diffusion coefficients. The lattice diffusivity of Mn is about two orders of magnitudemore » greater than the other lattice-diffusion coefficients, especially in Cr{sub 2}O{sub 3} grown on Fe-20Cr-12Ni. The values of the diffusion coefficients for Cr, Fe, and Ni are in the same range. Diffusion of the tracers in Cr{sub 2}O{sub 3} grown on different alloys did not show significant differences with the exception of Mn.« less

  20. Millimeter-wave monolithic diode-grid frequency multiplier

    NASA Technical Reports Server (NTRS)

    Maserjian, Joseph (Inventor)

    1990-01-01

    A semiconductor diode structure useful for harmonic generation of millimeter or submillimeter wave radiation from a fundamental input wave is fabricated on a GaAs substrate. A heavily doped layer of n(sup ++) GaAs is produced on the substrate and then a layer of intrinsic GaAs on said heavily doped layer on top of which a sheet of heavy doping (++) is produced. A thin layer of intrinsic GaAs grown over the sheet is capped with two metal contacts separated by a gap to produce two diodes connected back to back through the n(sup ++) layer for multiplication of frequency by an odd multiple. If only one metal contact caps the thin layer of intrinsic GaAs, the second diode contact is produced to connect to the n(sup ++) layer for multiplication of frequency by an even number. The odd or even frequency multiple is selected by a filter. A phased array of diodes in a grid will increase the power of the higher frequency generated.

  1. LPE growth of crack-free PbSe layers on Si(100) using MBE-Grown PbSe/BaF2CaF2 buffer layers

    NASA Astrophysics Data System (ADS)

    Strecker, B. N.; McCann, P. J.; Fang, X. M.; Hauenstein, R. J.; O'Steen, M.; Johnson, M. B.

    1997-05-01

    Crack-free PbSe on (100)-oriented Si has been obtained by a combination of liquid phase epitaxy (LPE) and molecular beam epitaxy (MBE) techniques. MBE is employed first to grow a PbSe/BaF2/CaF2 buffer structure on the (100)-oriented Si. A 2.5 μm thick PbSe layer is then grown by LPE. The LPE-grown PbSe displays excellent surface morphology and is continuous over the entire 8×8 mm2 area of growth. This result is surprising because of the large mismatch in thermal expansion coefficients between PbSe and Si. Previous attempts to grow crack-free PbSe by MBE alone using similar buffer structures on (100)-oriented Si have been unsuccessful. It is speculated that the large concentration of Se vacancies in the LPE-grown PbSe layer may allow dislocation climb along higher order slip planes, providing strain relaxation.

  2. Enhanced B doping in CVD-grown GeSn:B using B δ-doping layers

    NASA Astrophysics Data System (ADS)

    Kohen, David; Vohra, Anurag; Loo, Roger; Vandervorst, Wilfried; Bhargava, Nupur; Margetis, Joe; Tolle, John

    2018-02-01

    Highly doped GeSn material is interesting for both electronic and optical applications. GeSn:B is a candidate for source-drain material in future Ge pMOS device because Sn adds compressive strain with respect to pure Ge, and therefore can boost the Ge channel performances. A high B concentration is required to obtain low contact resistivity between the source-drain material and the metal contact. To achieve high performance, it is therefore highly desirable to maximize both the Sn content and the B concentration. However, it has been shown than CVD-grown GeSn:B shows a trade-off between the Sn incorporation and the B concentration (increasing B doping reduces Sn incorporation). Furthermore, the highest B concentration of CVD-grown GeSn:B process reported in the literature has been limited to below 1 × 1020 cm-3. Here, we demonstrate a CVD process where B δ-doping layers are inserted in the GeSn layer. We studied the influence of the thickness between each δ-doping layers and the δ-doping layers process conditions on the crystalline quality and the doping density of the GeSn:B layers. For the same Sn content, the δ-doping process results in a 4-times higher B doping than the co-flow process. In addition, a B doping concentration of 2 × 1021 cm-3 with an active concentration of 5 × 1020 cm-3 is achieved.

  3. On Controlling the Hydrophobicity of Nanostructured Zinc-Oxide Layers Grown by Pulsed Electrodeposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Klochko, N. P., E-mail: klochko-np@mail.ru; Klepikova, K. S.; Kopach, V. R.

    The possibility of fabricating highly hydrophobic nanostructured zinc-oxide layers by the inexpensive method of pulsed electrodeposition from aqueous solutions without water-repellent coatings, adapted for large-scale production, is shown. The conditions of the deposition of highly hydrophobic nanostructured zinc-oxide layers exhibiting the “rose-petal” effect with specific morphology, optical properties, crystal structure and texture are determined. The grown ZnO nanostructures are promising for micro- and nanoelectronics as an adaptive material able to reversibly transform to the hydrophilic state upon exposure to ultraviolet radiation.

  4. Excitation and De-Excitation Mechanisms of Er-Doped GaAs and A1GaAs.

    DTIC Science & Technology

    1992-12-01

    AD-A258 814 EXCITATION AND DE -EXCITATION MECHANISMS OF Er-DOPED GaAs AND A1GaAs DISSERTATION David W. Elsaesser, Captain, USAF DTICY. ft £ICTE’’ )AN...0 8 1993U -o Wo- .%Approved for public release; Distribution unlimited 93 1 04 022 AFIT/DS/ENP/92-5 EXCITATION AND DE -EXCITATION MECHANISMS OF Er...public release; Distribution unlimited AFIT/DS/ENP/92D-005 EXCITATION AND DE -EXCITATION MECHANISMS OF Er-DOPED GaAs AND A1GaAs 4 toFlor -- David W

  5. Determination of carrier concentration and compensation microprofiles in GaAs

    NASA Technical Reports Server (NTRS)

    Jastrzebski, L.; Lagowski, J.; Walukiewicz, W.; Gatos, H. C.

    1980-01-01

    Simultaneous microprofiling of semiconductor free carrier, donor, and acceptor concentrations was achieved for the first time from the absolute value of the free carrier absorption coefficient and its wavelength dependence determined by IR absorption in a scanning mode. Employing Ge- and Si-doped melt-grown GaAs, striking differences were found between the variations of electron concentration and those of ionized impurity concentrations. These results showed clearly that the electronic characteristics of this material are controlled by amphoteric doping and deviations from stoichiometry rather than by impurity segregation.

  6. EL2 and related defects in GaAs - Challenges and pitfalls

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.; Lagowski, J.

    1985-01-01

    The incorporation process of nonequilibrium vacancies in melt-grown GaAs is strongly complicated by deviations from stoichiometry, and the presence of two sublattices. Many of the microdefects originating in these vacancies and their interactions introduce energy levels (shallow and deep) within the energy gap. The direct identification of the chemical or structural signature of these defects and its direct correlation to their electronic behavior is not generally possible. It is therefore necessary to rely on indirect methods and phenomenological models and be confronted with the associated pitfalls. EL2, a microdefect introducing a deep donor level, has been in the limelight in recent years because it is believed to be responsible for the semi-insulating behavior of undoped GaAs. Although much progress has been made towards understanding its origin and nature, some relevant questions remain unanswered. An attempt is made to assess the present status of understanding of EL2 in the light of the most recent results.

  7. Flexible metal-semiconductor-metal device prototype on wafer-scale thick boron nitride layers grown by MOVPE.

    PubMed

    Li, Xin; Jordan, Matthew B; Ayari, Taha; Sundaram, Suresh; El Gmili, Youssef; Alam, Saiful; Alam, Muhbub; Patriarche, Gilles; Voss, Paul L; Paul Salvestrini, Jean; Ougazzaden, Abdallah

    2017-04-11

    Practical boron nitride (BN) detector applications will require uniform materials over large surface area and thick BN layers. To report important progress toward these technological requirements, 1~2.5 µm-thick BN layers were grown on 2-inch sapphire substrates by metal-organic vapor phase epitaxy (MOVPE). The structural and optical properties were carefully characterized and discussed. The thick layers exhibited strong band-edge absorption near 215 nm. A highly oriented two-dimensional h-BN structure was formed at the film/sapphire interface, which permitted an effective exfoliation of the thick BN film onto other adhesive supports. And this structure resulted in a metal-semiconductor-metal (MSM) device prototype fabricated on BN membrane delaminating from the substrate. MSM photodiode prototype showed low dark current of 2 nA under 100 V, and 100 ± 20% photoconductivity yield for deep UV light illumination. These wafer-scale MOVPE-grown thick BN layers present great potential for the development of deep UV photodetection applications, and even for flexible (opto-) electronics in the future.

  8. GaAs Computer Technology

    DTIC Science & Technology

    1992-01-07

    AD-A259 259 FASTC-ID FOREIGN AEROSPACE SCIENCE AND TECHNOLOGY CENTER GaAs COMPUTER TECHNOLOGY (1) by Wang Qiao-yu 93-00999 Distrir bution t,,,Nm ted...FASTC- ID(RS)T-0310-92 HUMAN TRANSLATION FASTC-ID(RS)T-0310-92 7 January 1993 GaAs COMPUTER TECHNOLOGY (1) By: Wang Qiao-yu English pages: 6 Source...the best quality copy available. j C] " ------ GaAs Computer Technology (1) Wang Qiao-yu (Li-Shan Microelectronics Institute) Abstract: The paper

  9. Growth rate dependence of boron incorporation into BxGa1-xAs layers

    NASA Astrophysics Data System (ADS)

    Detz, H.; MacFarland, D.; Zederbauer, T.; Lancaster, S.; Andrews, A. M.; Schrenk, W.; Strasser, G.

    2017-11-01

    This work provides a comprehensive study of the incorporation behavior of B in growing GaAs under molecular beam epitaxy conditions. Structural characterization of superlattices revealed a strong dependence of the BAs growth rate on the GaAs growth rate used. In general, higher GaAs growth rates lead to a higher apparent BAs growth rate, although lower B cell temperatures showed saturation behavior. Each B cell temperature requires a minimum GaAs growth rate for producing smooth films. The B incorporation into single thick layers was found to be reduced to 75-80% compared to superlattice structures. The p-type carrier densities in 1000 nm thick layers were found to be indirectly proportional to the B content. Furthermore, 500 nm thick BxGa1-xAs layers showed significantly lower carrier concentrations, indicating B segregation on the surface during growth of thicker layers.

  10. Formation and photoluminescence of GaAs1-xNx dilute nitride achieved by N-implantation and flash lamp annealing

    NASA Astrophysics Data System (ADS)

    Gao, Kun; Prucnal, S.; Skorupa, W.; Helm, M.; Zhou, Shengqiang

    2014-07-01

    In this paper, we present the fabrication of dilute nitride semiconductor GaAs1-xNx by nitrogen-ion-implantation and flash lamp annealing (FLA). N was implanted into the GaAs wafers with atomic concentration of about ximp1 = 0.38% and ximp2 = 0.76%. The GaAs1-xNx layer is regrown on GaAs during FLA treatment in a solid phase epitaxy process. Room temperature near band-edge photoluminescence (PL) has been observed from the FLA treated GaAs1-xNx samples. According to the redshift of the near band-edge PL peak, up to 80% and 44% of the implanted N atoms have been incorporated into the lattice by FLA for ximp1 = 0.38% and ximp2 = 0.76%, respectively. Our investigation shows that ion implantation followed by ultrashort flash lamp treatment, which allows for large scale production, exhibits a promising prospect on bandgap engineering of GaAs based semiconductors.

  11. Interfacial band-edge engineered TiO2 protection layer on Cu2O photocathodes for efficient water reduction reaction

    NASA Astrophysics Data System (ADS)

    Choi, Jaesuk; Song, Jun Tae; Jang, Ho Seong; Choi, Min-Jae; Sim, Dong Min; Yim, Soonmin; Lim, Hunhee; Jung, Yeon Sik; Oh, Jihun

    2017-01-01

    Photoelectrochemical (PEC) water splitting has emerged as a potential pathway to produce sustainable and renewable chemical fuels. Here, we present a highly active Cu2O/TiO2 photocathode for H2 production by enhancing the interfacial band-edge energetics of the TiO2 layer, which is realized by controlling the fixed charge density of the TiO2 protection layer. The band-edge engineered Cu2O/TiO2 (where TiO2 was grown at 80 °C via atomic layer deposition) enhances the photocurrent density up to -2.04 mA/cm2 at 0 V vs. RHE under 1 sun illumination, corresponding to about a 1,200% enhancement compared to the photocurrent density of the photocathode protected with TiO2 grown at 150 °C. Moreover, band-edge engineering of the TiO2 protection layer prevents electron accumulation at the TiO2 layer and enhances both the Faraday efficiency and the stability for hydrogen production during the PEC water reduction reaction. This facile control over the TiO2/electrolyte interface will also provide new insight for designing highly efficient and stable protection layers for various other photoelectrodes such as Si, InP, and GaAs. [Figure not available: see fulltext.

  12. Seed layer effect on different properties and UV detection capability of hydrothermally grown ZnO nanorods over SiO2/p-Si substrate

    NASA Astrophysics Data System (ADS)

    Sannakashappanavar, Basavaraj S.; Byrareddy, C. R.; Kumar, Pesala Sudheer; Yadav, Aniruddh Bahadur

    2018-05-01

    Hydrothermally grown one dimensional ZnO nanostructures are among the most widely used semiconductor materials to build high-efficiency electronic devices for various applications. Few researchers have addressed the growth mechanism and effect of ZnO seed layer on different properties of ZnO nanorods grown by hydrothermal method, instead, no one has synthesized ZnO nanorod over SiO2/p-Si substrate. The aim of this study is to study the effect of ZnO seed layer and the growth mechanism of ZnO nanorods over SiO2/p-Si substrate. To achieve the goal, we have synthesized ZnO nanorods over different thickness ZnO seed layers by using the hydrothermal method on SiO2/p-Si substrate. The effects of c-plane area ratio were identified for the growth rate of c-plane, reaction rate constant and stagnant layer thickness also calculated by using a modified rate growth equation. We have identified maximum seed layer thickness for the growth of vertical ZnO nanorod. A step dislocation in the ZnO nanorods grown on 150and 200 nm thick seed layers was observed, the magnitude of Burges vector was calculated for this disorder. The seed layer and ZnO nanorods were characterized by AFM, XPS, UV-visible, XRD (X-ray diffraction, and SEM(scanning electron microscope). To justify the application of the grown ZnO nanorods Ti/Au was deposited over ZnO nanorods grown over all seed layers for the fabrication of photoconductor type UV detector.

  13. Conductivity and structure of ErAs nanoparticles embedded in GaAs pn junctions analyzed via conductive atomic force microscopy

    NASA Astrophysics Data System (ADS)

    Park, K. W.; Dasika, V. D.; Nair, H. P.; Crook, A. M.; Bank, S. R.; Yu, E. T.

    2012-06-01

    We have used conductive atomic force microscopy to investigate the influence of growth temperature on local current flow in GaAs pn junctions with embedded ErAs nanoparticles grown by molecular beam epitaxy. Three sets of samples, one with 1 ML ErAs deposited at different growth temperatures and two grown at 530 °C and 575 °C with varying ErAs depositions, were characterized. Statistical analysis of local current images suggests that the structures grown at 575 °C have about 3 times thicker ErAs nanoparticles than structures grown at 530 °C, resulting in degradation of conductivity due to reduced ErAs coverage. These findings explain previous studies of macroscopic tunnel junctions.

  14. Relevance of GaAs(001) surface electronic structure for high frequency dispersion on n-type accumulation capacitance

    NASA Astrophysics Data System (ADS)

    Pi, T. W.; Chen, W. S.; Lin, Y. H.; Cheng, Y. T.; Wei, G. J.; Lin, K. Y.; Cheng, C.-P.; Kwo, J.; Hong, M.

    2017-01-01

    This study investigates the origin of long-puzzled high frequency dispersion on the accumulation region of capacitance-voltage characteristics in an n-type GaAs-based metal-oxide-semiconductor. Probed adatoms with a high Pauling electronegativity, Ag and Au, unexpectedly donate charge to the contacted As/Ga atoms of as-grown α2 GaAs(001)-2 × 4 surfaces. The GaAs surface atoms behave as charge acceptors, and if not properly passivated, they would trap those electrons accumulated at the oxide and semiconductor interface under a positive bias. The exemplified core-level spectra of the Al2O3/n-GaAs(001)-2 × 4 and the Al2O3/n-GaAs(001)-4 × 6 interfaces exhibit remnant of pristine surface As emission, thereby causing high frequency dispersion in the accumulation region. For the p-type GaAs, electrons under a negatively biased condition are expelled from the interface, thereby avoiding becoming trapped.

  15. A study of the nature of the emission centres and mechanisms of radiative recombination in semi-insulating GaAs crystals (in English)

    NASA Astrophysics Data System (ADS)

    Komarov, V. G.; Motsnyi, F. V.; Motsnyi, V. F.; Zinets, O. S.

    The low temperature photoluminescence spectra of semi-insulating GaAs crystals grown by Czochralski method at different technological conditions have been studied. One of the main background impurities in such materials is carbon. The traditional high temperature annealing of semi-insulating GaAs wafers significantly aggravates their structure perfection because near the surface the creation of conductive layers with the thickness of several microns takes place. The fine structure of the bands of 1.514 and 1.490 eV has been registered. This structure caused by a) polariton emission from upper and low polariton branches; b) radiative recombination of free holes on shallow neutral donors (D^0, h); c) radiative recombination of excitons bound to shallow neutral donors (D^0, X) and to shallow carbon acceptors (C^0_{As}, X); d) excitons bound to the point structure defects (d, X); e) electron transitions between the conduction band and shallow neutral carbon acceptor; f) the electron transitions between donor-acceptor pairs in which carbon and possibly zinc are acceptors in the ground 1S_{3/2} state. The lux-intensity dependencies of the polariton emission from upper polariton branch and photoluminescence of (D^0, h), (C^0_{As}, X), (d, X) complexes are in good agreement with the theory. It is shown that one of the best available semi-insulating GaAs materials is a new commercial AGCP-5V material which differs from others by considerable concentration of shallow donors and new acceptors alongside of the known shallow C^0_{As} acceptor centres.

  16. Modeling charge collection efficiency degradation in partially depleted GaAs photodiodes using the 1- and 2-carrier Hecht equations

    DOE PAGES

    Auden, E. C.; Vizkelethy, G.; Serkland, D. K.; ...

    2017-03-24

    Here, the Hecht equation can be used to model the nonlinear degradation of charge collection efficiency (CCE) in response to radiation-induced displacement damage in both fully and partially depleted GaAs photodiodes. CCE degradation is measured for laser-generated photocurrent as a function of fluence and bias in Al 0.3Ga 0.7As/GaAs/Al 0.25Ga 0.75As p-i-n photodiodes which have been irradiated with 12 MeV C and 7.5 MeV Si ions. CCE is observed to degrade more rapidly with fluence in partially depleted photodiodes than in fully depleted photodiodes. When the intrinsic GaAs layer is fully depleted, the 2-carrier Hecht equation describes CCE degradation asmore » photogenerated electrons and holes recombine at defect sites created by radiation damage in the depletion region. If the GaAs layer is partially depleted, CCE degradation is more appropriately modeled as the sum of the 2-carrier Hecht equation applied to electrons and holes generated within the depletion region and the 1-carrier Hecht equation applied to minority carriers that diffuse from the field-free (non-depleted) region into the depletion region. Enhanced CCE degradation is attributed to holes that recombine within the field-free region of the partially depleted intrinsic GaAs layer before they can diffuse into the depletion region.« less

  17. Modeling charge collection efficiency degradation in partially depleted GaAs photodiodes using the 1- and 2-carrier Hecht equations

    NASA Astrophysics Data System (ADS)

    Auden, E. C.; Vizkelethy, G.; Serkland, D. K.; Bossert, D. J.; Doyle, B. L.

    2017-05-01

    The Hecht equation can be used to model the nonlinear degradation of charge collection efficiency (CCE) in response to radiation-induced displacement damage in both fully and partially depleted GaAs photodiodes. CCE degradation is measured for laser-generated photocurrent as a function of fluence and bias in Al0.3Ga0.7As/GaAs/Al0.25Ga0.75As p-i-n photodiodes which have been irradiated with 12 MeV C and 7.5 MeV Si ions. CCE is observed to degrade more rapidly with fluence in partially depleted photodiodes than in fully depleted photodiodes. When the intrinsic GaAs layer is fully depleted, the 2-carrier Hecht equation describes CCE degradation as photogenerated electrons and holes recombine at defect sites created by radiation damage in the depletion region. If the GaAs layer is partially depleted, CCE degradation is more appropriately modeled as the sum of the 2-carrier Hecht equation applied to electrons and holes generated within the depletion region and the 1-carrier Hecht equation applied to minority carriers that diffuse from the field-free (non-depleted) region into the depletion region. Enhanced CCE degradation is attributed to holes that recombine within the field-free region of the partially depleted intrinsic GaAs layer before they can diffuse into the depletion region.

  18. Modeling charge collection efficiency degradation in partially depleted GaAs photodiodes using the 1- and 2-carrier Hecht equations

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Auden, E. C.; Vizkelethy, G.; Serkland, D. K.

    Here, the Hecht equation can be used to model the nonlinear degradation of charge collection efficiency (CCE) in response to radiation-induced displacement damage in both fully and partially depleted GaAs photodiodes. CCE degradation is measured for laser-generated photocurrent as a function of fluence and bias in Al 0.3Ga 0.7As/GaAs/Al 0.25Ga 0.75As p-i-n photodiodes which have been irradiated with 12 MeV C and 7.5 MeV Si ions. CCE is observed to degrade more rapidly with fluence in partially depleted photodiodes than in fully depleted photodiodes. When the intrinsic GaAs layer is fully depleted, the 2-carrier Hecht equation describes CCE degradation asmore » photogenerated electrons and holes recombine at defect sites created by radiation damage in the depletion region. If the GaAs layer is partially depleted, CCE degradation is more appropriately modeled as the sum of the 2-carrier Hecht equation applied to electrons and holes generated within the depletion region and the 1-carrier Hecht equation applied to minority carriers that diffuse from the field-free (non-depleted) region into the depletion region. Enhanced CCE degradation is attributed to holes that recombine within the field-free region of the partially depleted intrinsic GaAs layer before they can diffuse into the depletion region.« less

  19. Defect studies in one MeV electron irradiated GaAs and in Al/sub x Ga/sub l-x As P-N junction solar cells

    NASA Technical Reports Server (NTRS)

    Li, S. S.; Wang, W. L.; Loo, R. Y.; Rahilly, W. P.

    1984-01-01

    Deep level transient spectroscopy reveals that the main electron traps for one-MeV electron irradiated GaAs cells are E9c)-0.31, E(c)-0.90 eV, and the main hole trap is due to the level. Electron trap density was found to vary from 3/tens-trillion ccm for 2/one quadrillion cm 3/3.7 quadrillion cm for 21 sextillion cm electron fluence for electron fluence; a similar result was also obtained for the hole trap density. As for the grown-in defects in the Al(x)Ga(1-x)As p-n junciton cells, only two electron traps with energies of E(c)-0.20 and E(c)-0.34 eV were observed in samples with x = 0.17, and none was found for x 0.05. Auger analysis on the Al(x)Ga(1-x) As window layer of the GaAs solar cell showed a large amount of oxygen and carbon contaminants near the surface of the AlGaAs epilayer. Thermal annealing experiment performed at 250 C for up to 100 min. showed a reduction in the density of both electron traps.

  20. Biexciton emission from single isoelectronic traps formed by nitrogen-nitrogen pairs in GaAs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Takamiya, Kengo; Fukushima, Toshiyuki; Yagi, Shuhei

    2013-12-04

    We have studied photoluminescence (PL) from individual isoelectronic traps formed by nitrogen-nitrogen (NN) pairs in GaAs. Sharp emission lines due to exciton and biexciton were observed from individual isoelectronic traps in nitrogen atomic-layer doped (ALD) GaAs. The binding energy of biexciton bound to individual isoelectronic traps was approximately 8 meV. Both the exciton and biexciton luminescence lines show completely random polarization and no fine-structure splitting. These results are desirable to the application to the quantum cryptography used in the field of quantum information technology.

  1. Effects of a GaSb buffer layer on an InGaAs overlayer grown on Ge(111) substrates: Strain, twin generation, and surface roughness

    NASA Astrophysics Data System (ADS)

    Kajikawa, Y.; Nishigaichi, M.; Tenma, S.; Kato, K.; Katsube, S.

    2018-04-01

    InGaAs layers were grown by molecular-beam epitaxy on nominal and vicinal Ge(111) substrates with inserting GaSb buffer layers. High-resolution X-ray diffraction using symmetric 333 and asymmetric 224 reflections was employed to analyze the crystallographic properties of the grown layers. By using the two reflections, we determined the lattice constants (the unit cell length a and the angle α between axes) of the grown layers with taking into account the rhombohedral distortion of the lattices of the grown layers. This allowed us the independent determination of the strain components (perpendicular and parallel components to the substrate surface, ε⊥ and ε//) and the composition x of the InxGa1-xAs layers by assuming the distortion coefficient D, which is defined as the ratio of ε⊥ against ε//. Furthermore, the twin ratios were determined for the GaSb and the InGaAs layers by comparing asymmetric 224 reflections from the twin domain with that from the normal domain of the layers. As a result, it has been shown that the twin ratio in the InGaAs layer can be decreased to be less than 0.1% by the use of the vicinal substrate together with annealing the GaSb buffer layer during the growth interruption before the InGaAs overgrowth.

  2. Design of a three-layer antireflection coating for high efficiency indium phosphide solar cells using a chemical oxide as first layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Moulot, J.; Faur, M.; Faur, M.

    1995-10-01

    It is well known that the behavior of III-V compound based solar cells is largely controlled by their surface, since the majority of light generated carriers (63% for GaAs and 79% for InP) are created within 0.2 mu m of the surface of the illuminated cell. Consequently, the always observed high surface recombination velocity (SRV) on these cells is a serious limiting factor for their high efficiency performance, especially for those with p-n junction made by either thermal diffusion or ion implantation. A good surface passivation layer, ideally a grown oxide as opposed to a deposited one, will cause amore » significant reduction in the SRV without adding interface problems, thus improving the performance of III-V compound based solar cells. Another significant benefit to the overall performance of the solar cells can be achieved by a substantial reduction of their large surface optical reflection by the use of a well designed antireflection (AR) coating. In this paper, the authors demonstrate the effectiveness of using a chemically grown thermally and chemically stable oxide, not only for surface passivation but also as an integral part of a 3-layer AR coating for thermally diffused p+n InP solar cells. A phosphorus-rich interfacial oxide, In(PO3)3, is grown at the surface of the p+ emitter using an etchant based on HNO3, o-H3PO4 and H2O2. This oxide has the unique properties of passivating the surface as well as serving as an efficient antireflective layer yielding a measured record high AMO open-circuit voltage of 890.3 mV on a thermally diffused InP(Cd,S) solar cell. Unlike conventional single layer AR coatings such as ZnS, Sb2O3, SiO or double layer AR coatings such as ZnS/MgF2 deposited by e-beam or resistive evaporation, this oxide preserves the stoichiometry of the InP surface.« less

  3. Influence of growth temperature on laser molecular beam epitaxy and properties of GaN layers grown on c-plane sapphire

    NASA Astrophysics Data System (ADS)

    Dixit, Ripudaman; Tyagi, Prashant; Kushvaha, Sunil Singh; Chockalingam, Sreekumar; Yadav, Brajesh Singh; Sharma, Nita Dilawar; Kumar, M. Senthil

    2017-04-01

    We have investigated the influence of growth temperature on the in-plane strain, structural, optical and mechanical properties of heteroepitaxially grown GaN layers on sapphire (0001) substrate by laser molecular beam epitaxy (LMBE) technique in the temperature range 500-700 °C. The GaN epitaxial layers are found to have a large in-plane compressive stress of about 1 GPa for low growth temperatures but the strain drastically reduced in the layer grown at 700 °C. The nature of the in-plane strain has been analyzed using high resolution x-ray diffraction, atomic force microscopy (AFM), Raman spectroscopy and photoluminescence (PL) measurements. From AFM, a change in GaN growth mode from grain to island is observed at the high growth temperature above 600 °C. A blue shift of 20-30 meV in near band edge PL emission line has been noticed for the GaN layers containing the large in-plane strain. These observations indicate that the in-plane strain in the GaN layers is dominated by a biaxial strain. Using nanoindentation, it is found that the indentation hardness and Young's modulus of the GaN layers increases with increasing growth temperature. The results disclose the critical role of growth mode in determining the in-plane strain and mechanical properties of the GaN layers grown by LMBE technique.

  4. A comparative study of the influence of buoyancy driven fluid flow on GaAs crystal growth

    NASA Technical Reports Server (NTRS)

    Kafalas, J. A.; Bellows, A. H.

    1988-01-01

    A systematic investigation of the effect of gravity driven fluid flow on GaAs crystal growth was performed. It includes GaAs crystal growth in the microgravity environment aboard the Space Shuttle. The program involves a controlled comparative study of crystal growth under a variety of earth based conditions with variable orientation and applied magnetic field in addition to the microgravity growth. Earth based growth will be performed under stabilizing as well as destabilizing temperature gradients. The boules grown in space and on earth will be fully characterized to correlate the degree of convection with the distribution of impurities. Both macro- and micro-segregation will be determined. The space growth experiment will be flown in a self-contained payload container through NASA's Get Away Special program.

  5. Comparative analysis of strain fields in layers of step-graded metamorphic buffers of various designs

    NASA Astrophysics Data System (ADS)

    Aleshin, A. N.; Bugaev, A. S.; Ruban, O. A.; Tabachkova, N. Yu.; Shchetinin, I. V.

    2017-10-01

    Spatial distribution of residual elastic strain in the layers of two step-graded metamophic buffers of various designs, grown by molecular beam epitaxy from ternary InxAl1-xAs solutions on GaAs(001) substrates, is obtained using reciprocal space mapping by three-axis X-ray diffractometry and the linear theory of elasticity. The difference in the design of the buffers enabled the formation of a dislocation-free layer with different thickness in each of the heterostructures, which was the main basis of this study. It is shown that, in spite of the different design of graded metamorphic buffers, the nature of strain fields in them is the same, and the residual elastic strains in the final elements of both buffers adjusted for the effect of work hardening subject to the same phenomenological law, which describes the strain relief process in single-layer heterostructures.

  6. Microstructures of GaN1-xPx layers grown on (0001) GaN substrates by gas source molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Seong, Tae-Yeon; Bae, In-Tae; Choi, Chel-Jong; Noh, D. Y.; Zhao, Y.; Tu, C. W.

    1999-03-01

    Transmission electron microscope (TEM), transmission electron diffraction (TED), and synchrotron x-ray diffraction (XRD) studies have been performed to investigate microstructural behavior of gas source molecular beam epitaxial GaN1-xPx layers grown on (0001) GaN/sapphire at temperatures (Tg) in the range 500-760 °C. TEM, TED, and XRD results indicate that the samples grown at Tg⩽600 °C undergo phase separation resulting in a mixture of GaN-rich and GaP-rich GaNP with zinc-blende structure. However, the samples grown at Tg⩾730 °C are found to be binary zinc-blende GaN(P) single crystalline materials. As for the 500 °C layer, the two phases are randomly oriented and distributed, whereas the 600 °C layer consists of phases that are elongated and inclined by 60°-70° clockwise from the [0001]α-GaN direction. The samples grown at Tg⩾730 °C are found to consist of two types of microdomains, namely, GaN(P)I and GaN(P)II; the former having twin relation to the latter.

  7. High Bandwidth-Efficiency Resonant Cavity Enhanced Schottky Photodiodes for 800-850 nm Wavelength Operation

    DTIC Science & Technology

    1998-05-25

    at least 50 nm wide centered around 830 nm wavelength. The layers are grown by molecular beam epitaxy on a semi- insulating GaAs substrate. The...limited by the material properties. With the advent of GaAs vertical-cavity surface-emitting lasers ~ VCSEL !,2 the 800–850 nm wavelength range has recently

  8. Spin-hall-active platinum thin films grown via atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Schlitz, Richard; Amusan, Akinwumi Abimbola; Lammel, Michaela; Schlicht, Stefanie; Tynell, Tommi; Bachmann, Julien; Woltersdorf, Georg; Nielsch, Kornelius; Goennenwein, Sebastian T. B.; Thomas, Andy

    2018-06-01

    We study the magnetoresistance of yttrium iron garnet/Pt heterostructures in which the Pt layer was grown via atomic layer deposition (ALD). Magnetotransport experiments in three orthogonal rotation planes reveal the hallmark features of spin Hall magnetoresistance. To estimate the spin transport parameters, we compare the magnitude of the magnetoresistance in samples with different Pt thicknesses. We check the spin Hall angle and the spin diffusion length of the ALD Pt layers against the values reported for high-quality sputter-deposited Pt films. The spin diffusion length of 1.5 nm agrees well with that of platinum thin films reported in the literature, whereas the spin Hall magnetoresistance Δ ρ / ρ = 2.2 × 10 - 5 is approximately a factor of 20 smaller compared to that of our sputter-deposited films. Our results demonstrate that ALD allows fabricating spin-Hall-active Pt films of suitable quality for use in spin transport structures. This work provides the basis to establish conformal ALD coatings for arbitrary surface geometries with spin-Hall-active metals and could lead to 3D spintronic devices in the future.

  9. Divacancy complexes induced by Cu diffusion in Zn-doped GaAs

    NASA Astrophysics Data System (ADS)

    Elsayed, M.; Krause-Rehberg, R.; Korff, B.; Ratschinski, I.; Leipner, H. S.

    2013-08-01

    Positron annihilation spectroscopy was applied to investigate the nature and thermal behavior of defects induced by Cu diffusion in Zn-doped p-type GaAs crystals. Cu atoms were intentionally introduced in the GaAs lattice through thermally activated diffusion from a thin Cu capping layer at 1100 °C under defined arsenic vapor pressure. During isochronal annealing of the obtained Cu-diffused GaAs in the temperature range of 450-850 K, vacancy clusters were found to form, grow and finally disappear. We found that annealing at 650 K triggers the formation of divacancies, whereas further increasing in the annealing temperature up to 750 K leads to the formation of divacancy-copper complexes. The observations suggest that the formation of these vacancy-like defects in GaAs is related to the out-diffusion of Cu. Two kinds of acceptors are detected with a concentration of about 1016 - 1017 cm-3, negative ions and arsenic vacancy copper complexes. Transmission electron microscopy showed the presence of voids and Cu precipitates which are not observed by positron measurements. The positron binding energy to shallow traps is estimated using the positron trapping model. Coincidence Doppler broadening spectroscopy showed the presence of Cu in the immediate vicinity of the detected vacancies. Theoretical calculations suggested that the detected defect is VGaVAs-2CuGa.

  10. Low-temperature photoluminescence study of thin epitaxial GaAs films on Ge substrates

    NASA Astrophysics Data System (ADS)

    Brammertz, Guy; Mols, Yves; Degroote, Stefan; Motsnyi, Vasyl; Leys, Maarten; Borghs, Gustaaf; Caymax, Matty

    2006-05-01

    Thin epitaxial GaAs films, with thickness varying from 140 to 1000 nm and different Si doping levels, were grown at 650 °C by organometallic vapor phase epitaxy on Ge substrates and analyzed by low-temperature photoluminescence (PL) spectroscopy. All spectra of thin GaAs on Ge show two different structures, one narrow band-to-band (B2B) structure at an energy of ~1.5 eV and a broad inner-band-gap (IB) structure at an energy of ~1.1 eV. Small strain in the thin GaAs films causes the B2B structure to be separated into a light-hole and a heavy-hole peak. At 2.5 K the good structural quality of the thin GaAs films on Ge can be observed from the narrow excitonic peaks. Peak widths of less than 1 meV are measured. GaAs films with thickness smaller than 200 nm show B2B PL spectra with characteristics of an n-type doping level of approximately 1018 at./cm3. This is caused by heavy Ge diffusion from the substrate into the GaAs at the heterointerface between the two materials. The IB structure observed in all films consists of two Gaussian peaks with energies of 1.04 and 1.17 eV. These deep trapping states arise from Ge-based complexes formed within the GaAs at the Ge-GaAs heterointerface, due to strong diffusion of Ge atoms into the GaAs. Because of similarities with Si-based complexes, the peak at 1.04 eV was identified to be due to a GeGa-GeAs complex, whereas the peak at 1.17 eV was attributed to the GeGa-VGa complex. The intensity of the IB structure decreases strongly as the GaAs film thickness is increased. PL intensity of undoped GaAs films containing antiphase domains (APDs) is four orders of magnitude lower than for similar films without APDs. This reduction in intensity is due to the electrically active Ga-Ga and As-As bonds at the boundaries between the different APDs. When the Si doping level is increased, the PL intensity of the APD-containing films is increased again as well. A film containing APDs with a Si doping level of ~1018 at./cm3 has only a factor 10

  11. MBE growth of Topological Isolators based on strained semi-metallic HgCdTe layers

    NASA Astrophysics Data System (ADS)

    Grendysa, J.; Tomaka, G.; Sliz, P.; Becker, C. R.; Trzyna, M.; Wojnarowska-Nowak, R.; Bobko, E.; Sheregii, E. M.

    2017-12-01

    Particularities of Molecular Beam Epitaxial (MBE) technology for the growth of Topological Insulators (TI) based on the semi-metal Hg1-xCdx Te are presented. A series of strained layers grown on GaAs substrates with a composition close to the 3D Dirac point were studied. The composition of the layers was verified by means of the position of the E1 maximum in optical reflectivity in the visible region. The surface morphology was determined via atomic force and electron microscopy. Magneto-transport measurements show quantized Hall resistance curves and Shubnikov de Hass oscillations (up to 50 K). It has been demonstrated that a well-developed MBE technology enables one to grow strained Hg1-xCdx Te layers on GaAs/CdTe substrates with a well-defined composition near the 3D Dirac point and consequently allows one to produce a 3D topological Dirac semimetal - 3D analogy of graphene - for future applications.

  12. Influence of growth temperature on properties of zirconium dioxide films grown by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Kukli, Kaupo; Ritala, Mikko; Aarik, Jaan; Uustare, Teet; Leskela, Markku

    2002-08-01

    ZrO2 films were grown by atomic layer deposition from ZrCl4 and H2O or a mixture of H2O and H2O2 on Si(100) substrates in the temperature range of 180-600 degC. The films were evaluated in the as-deposited state, in order to follow the effect of deposition temperature on the film quality. The rate of crystal growth increased and the content of residual impurities decreased with increasing temperature. The zirconium-to-oxygen atomic ratio, determined by ion-beam analysis, corresponded to the stoichiometric dioxide regardless of the growth temperature. The effective permittivity of ZrO2 in Al/ZrO2/Si capacitor structures increased from 13-15 in the films grown at 180 degC to 19 in the films grown at 300-600 degC, measured at 100 kHz. The permittivity was relatively high in the crystallized films, compared to the amorphous ones, but rather insensitive to the crystal structure. The permittivity was higher in the films grown using water. The leakage current density tended to be lower and the breakdown field higher in the films grown using hydrogen peroxide.

  13. Heteroepitaxial growth of Ge films on (100) GaAs by pyrolysis of digermane

    NASA Astrophysics Data System (ADS)

    Eres, Djula; Lowndes, Douglas H.; Tischler, J. Z.; Sharp, J. W.; Geohegan, D. B.; Pennycook, S. J.

    1989-08-01

    Pyrolysis of high-purity digermane (Ge2 H6 ) has been used to grow epitaxial Ge films of high crystalline quality on (100) GaAs substrates in a low-pressure environment. X-ray double-crystal diffractometry shows that fully commensurate, coherently strained epitaxial Ge films can be grown on (100) GaAs at digermane partial pressures of 0.05-40 mTorr for substrate temperatures of 380-600 °C. Amorphous films also were deposited. Information about the crystalline films surface morphology, growth mode, and microstructure was obtained from scanning electron microscopy, cross-section transmission electron microscopy, and in situ reflectivity measurements. The amorphous-to-crystalline transition temperature and the morphology of the crystalline films were both found to depend on deposition conditions (primarily the incidence rate of Ge-bearing species and the substrate temperature). Epitaxial growth rates using digermane were found to be about two orders of magnitude higher than rates using germane (GeH4 ) under similar experimental conditions.

  14. Optimization of the interfacial misfit array growth mode of GaSb epilayers on GaAs substrate

    NASA Astrophysics Data System (ADS)

    Benyahia, D.; Kubiszyn, Ł.; Michalczewski, K.; Kębłowski, A.; Martyniuk, P.; Piotrowski, J.; Rogalski, A.

    2018-02-01

    The growth of undoped GaSb epilayers on GaAs (0 0 1) substrates with 2° offcut towards 〈1 1 0〉, by molecular beam epitaxy system (MBE) at low growth temperature is reported. The strain due to the lattice mismatch of 7.78% is relieved spontaneously at the interface by using interfacial misfit array (IMF) growth mode. Three approaches of this technique are investigated. The difference consists in the steps after the growth of GaAs buffer layer. These steps are the desorption of arsenic from the GaAs surface, and the cooling down to the growth temperature, under or without antimony flux. The X-ray analysis and the transmission electron microscopy point out that desorption of arsenic followed by the substrate temperature decreasing under no group V flux leads to the best structural and crystallographic properties in the GaSb layer. It is found that the 2 μm-thick GaSb is 99.8% relaxed, and that the strain is relieved by the formation of a periodic array of 90° pure-edge dislocations along the [1 1 0] direction with a periodicity of 5.6 nm.

  15. High Growth Rate Metal-Organic Molecular Beam Epitaxy for the Fabrication of GaAs Space Solar Cells

    NASA Technical Reports Server (NTRS)

    Freundlich, A.; Newman, F.; Monier, C.; Street, S.; Dargan, P.; Levy, M.

    2005-01-01

    In this work it is shown that high quality GaAs photovoltaic devices can be produced by Molecular Beam Epitaxy (MBE) with growth rates comparable to metal-organic chemical vapor deposition (MOCVD) through the subsitution of group III solid sources by metal-organic compounds. The influence the III/V flux-ratio and growth temperatures in maintaining a two dimensional layer by layer growth mode and achieving high growth rates with low residual background impurities is investigated. Finally subsequent to the study of the optimization of n- and p doping of such high growth rate epilayers, results from a preliminary attempt in the fabrication of GaAs photovoltaic devices such as tunnel diodes and solar cells using the proposed high growth rate approach are reported.

  16. STM studies of GeSi thin layers epitaxially grown on Si(111)

    NASA Astrophysics Data System (ADS)

    Motta, N.; Sgarlata, A.; De Crescenzi, M.; Derrien, J.

    1996-08-01

    Ge/Si alloys were prepared in UHV by solid phase epitaxy on Si(111) substrates. The alloy formation, as a function of the evaporation rate and the Ge layer thickness has been followed in situ by RHEED and scanning tunneling microscopy. The 5 × 5 surface reconstruction appeared after annealing at 450°C Ge layers (up to 10 Å thick), obtained from a low rate Knudsen cell evaporator. In this case a nearly flat and uniform layer of reconstructed alloy was observed. When using an e-gun high rate evaporator we needed to anneal the Ge layer up to 780°C to obtain a 5 × 5 reconstruction. The grown layer was not flat, with many steps and Ge clusters; at high coverages (10 Å and more) large Ge islands appeared. Moreover, we then succeeded in visualizing at atomic resolution the top of some of these Ge islands which displayed a 2 × 1 reconstruction, probably induced from the high compressive strain due to the lattice mismatch with the substrate. We suggest that this unusual behavior could be connected to the high evaporation rate, which helped the direct formation of Ge microcrystals on the Si substrate during the deposition process.

  17. Removal of GaAs growth substrates from II-VI semiconductor heterostructures

    NASA Astrophysics Data System (ADS)

    Bieker, S.; Hartmann, P. R.; Kießling, T.; Rüth, M.; Schumacher, C.; Gould, C.; Ossau, W.; Molenkamp, L. W.

    2014-04-01

    We report on a process that enables the removal of II-VI semiconductor epilayers from their GaAs growth substrate and their subsequent transfer to arbitrary host environments. The technique combines mechanical lapping and layer selective chemical wet etching and is generally applicable to any II-VI layer stack. We demonstrate the non-invasiveness of the method by transferring an all-II-VI magnetic resonant tunneling diode. High resolution x-ray diffraction proves that the crystal integrity of the heterostructure is preserved. Transport characterization confirms that the functionality of the device is maintained and even improved, which is ascribed to completely elastic strain relaxation of the tunnel barrier layer.

  18. Electrical properties of surface and interface layers of the N- and In-polar undoped and Mg-doped InN layers grown by PA MBE

    NASA Astrophysics Data System (ADS)

    Komissarova, T. A.; Kampert, E.; Law, J.; Jmerik, V. N.; Paturi, P.; Wang, X.; Yoshikawa, A.; Ivanov, S. V.

    2018-01-01

    Electrical properties of N-polar undoped and Mg-doped InN layers and In-polar undoped InN layers grown by plasma-assisted molecular beam epitaxy (PA MBE) were studied. Transport parameters of the surface and interface layers were determined from the measurements of the Hall coefficient and resistivity as well as the Shubnikov-de Haas oscillations at magnetic fields up to 60 T. Contributions of the 2D surface, 3D near-interface, and 2D interface layers to the total conductivity of the InN films were defined and discussed to be dependent on InN surface polarity, Mg doping, and PA MBE growth conditions.

  19. Critical layer thickness in In/sub 0. 2/Ga/sub 0. 8/As/GaAs single strained quantum well structures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fritz, I.J.; Gourley, P.L.; Dawson, L.R.

    1987-09-28

    We report accurate determination of the critical layer thickness (CLT) for single strained-layer epitaxy in the InGaAs/GaAs system. Our samples were molecular beam epitaxially grown, selectively doped, single quantum well structures comprising a strained In/sub 0.2/Ga/sub 0.8/As layer imbedded in GaAs. We determined the CLT by two sensitive techniques: Hall-effect measurements at 77 K and photoluminescence microscopy. Both techniques indicate a CLT of about 20 nm. This value is close to that determined previously (--15 nm) for comparable strained-layer superlattices, but considerably less than the value of --45 nm suggested by recent x-ray rocking-curve measurements. We show by a simplemore » calculation that photoluminescence microscopy is more than two orders of magnitude more sensitive to dislocations than x-ray diffraction. Our results re-emphasize the necessity of using high-sensitivity techniques for accurate determination of critical layer thicknesses.« less

  20. Planar regions of GaAs (001) prepared by Ga droplet motion

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zheng, Changxi, E-mail: changxi.zheng@monash.edu; Tang, Wen-Xin; Jesson, David E., E-mail: jessonDE@cardiff.ac.uk

    2016-07-15

    The authors describe a simple method for obtaining planar regions of GaAs (001) suitable for surface science studies. The technique, which requires no buffer layer growth, atomic hydrogen source, or the introduction of As flux, employs controllable Ga droplet motion to create planar trail regions during Langmuir evaporation. Low-energy electron microscopy/diffraction techniques are applied to monitor the droplet motion and characterize the morphology and the surface reconstruction. It is found that the planar regions exhibit atomic flatness at the level of a high-quality buffer layer.

  1. First principle calculation in FeCo overlayer on GaAs substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jain, Vishal, E-mail: vjain045@gmail.com; Lakshmi, N.; Jain, Vivek Kumar

    In this work the first principle electronic structure calculation is reported for FeCo/GaAs thin film system to investigate the effect of orientation on the electronic structural properties. A unit cell describing FeCo layers and GaAs layers is constructed for (100), (110), (111) orientation with vacuum of 30Å to reduce dimensions. It is found that although the (110) orientation is energetically more favorable than others, the magnetic moment is quite large in (100) and (111) system compared to the (110) and is due to the total DOS variation with orientation.

  2. Elastomeric nanoparticle composites covalently bound to Al2O3/GaAs surfaces.

    PubMed

    Song, Hyon Min; Ye, Peide D; Ivanisevic, Albena

    2007-08-28

    This article reports the modification of Al2O3/GaAs surfaces with multifunctional soft materials. Siloxane elastomers were covalently bound to dopamine-modified Al2O3/GaAs semiconductor surfaces using MPt (M = Fe, Ni) nanoparticles. The sizes of the monodisperse FePt and NiPt nanoparticles were less than 5 nm. The surfaces of the nanoparticles as well as the Al2O3/GaAs substrates were modified with allyl-functionalized dopamine that utilized a dihydroxy group as a strong ligand. The immobilization of the elastomers was performed via a hydrosilation reaction of the allyl-functionalized dopamines with the siloxane backbones. X-ray photoelectron spectroscopy (XPS) experiments confirmed the covalent bonding of the siloxane elastomers to the oxide layer on the semiconductor surface. Fourier transform-infrared reflection absorption spectroscopy (FT-IRRAS) measurements revealed that the allyl functional groups are bonded to the siloxane backbones. The FT-IRRAS data also showed that the density of the allyl groups on the surface was lower than that of the siloxane backbones. The mechanical properties of the surface-bound nanocomposites were tested using nanoindentation experiments. The nanoindentation data showed that the soft matrix composed of the elastomeric coating on the surfaces behaves differently from the inner, hard Al2O3/GaAs substrate.

  3. Electrodeposition of CdSe on GaAs and InP substrates

    NASA Astrophysics Data System (ADS)

    Etcheberry, A.; Cachet, H.; Cortes, R.; Froment, M.

    2001-06-01

    Epitaxial CdSe layers have been electrodeposited on the (1 0 0) and ( 1¯ 1¯ 1¯) faces of GaAs and InP single crystals. Chemical composition and crystalline quality of CdSe have been studied by X-photoelectron spectroscopy, reflection high energy electron diffraction and X-ray diffraction. Influence of the substrate has been pointed out.

  4. Bulk unipolar diodes formed in GaAs by ion implantation

    NASA Astrophysics Data System (ADS)

    Hutchinson, S.; Kelly, M. J.; Gwilliam, R.; Sealy, B. J.; Carr, M.

    1999-01-01

    In an attempt to emulate epitaxially manufactured semiconductor multilayers for microwave device applications, we have produced a camel diode structure in GaAs for the first time, using the tail of a Mg + implant into a molecular beam epitaxially grown n +-n --n + structure. Using a range of ion energies and doses, samples are observed to exhibit bulk unipolar diode characteristics. With low dose and energy, a diode with barrier height of ˜0.8 V and ideality factor ˜1.25 is achieved. 'Punch through' diode characteristics are obtained at high ion dose and energy, some with knee voltages in excess of 7 V.

  5. Arsine flow requirement for the flow modulation growth of high purity GaAs using adduct-grade triethylgallium

    NASA Astrophysics Data System (ADS)

    Pitts, B. L.; Emerson, D. T.; Shealy, J. R.

    1992-10-01

    Using arsine and triethylgallium with flow modulation, organometallic vapor phase epitaxy can produce high purity GaAs layers with V/III molar ratios near unity. We have estimated that under appropriate growth conditions the arsine incorporation efficiency into epitaxial GaAs can exceed 30%. The arsine flow requirement for obtaining good morphology has been identified over a range of substrate temperatures using adduct-grade triethylgallium. The process described reduces the environmental impact and life safety risk of the hydride based organometallic vapor phase epitaxial method.

  6. Surface ordering of (In,Ga)As quantum dots controlled by GaAs substrate indexes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Zh.M.; Seydmohamadi, Sh.; Lee, J.H.

    Self-organized surface ordering of (In,Ga)As quantum dots in a GaAs matrix was investigated using stacked multiple quantum dot layers prepared by molecular-beam epitaxy. While one-dimensional chain-like ordering is formed on singular and slightly misorientated GaAs(100) surfaces, we report on two-dimensional square-like ordering that appears on GaAs(n11)B, where n is 7, 5, 4, and 3. Using a technique to control surface diffusion, the different ordering patterns are found to result from the competition between anisotropic surface diffusion and anisotropic elastic matrix, a similar mechanism suggested before by Solomon [Appl. Phys. Lett. 84, 2073 (2004)].

  7. GaAs Monolithic Microwave Subsystem Technology Base

    DTIC Science & Technology

    1980-01-01

    To provide a captive source of reliable, high-quality GaAs substrates, a new crystal growth and substrate preparation facility which utilizes a high...Symp. GaAs and Related Compounds, Inst. Phys. Conf. Ser. 24, 6. 20. Wood, Woodcock and Harris (1978) GaAs and Related Compounds, Inst. Phys. Conf

  8. Ultrathin platinum nanowires grown on single-layered nickel hydroxide with high hydrogen evolution activity.

    PubMed

    Yin, Huajie; Zhao, Shenlong; Zhao, Kun; Muqsit, Abdul; Tang, Hongjie; Chang, Lin; Zhao, Huijun; Gao, Yan; Tang, Zhiyong

    2015-03-02

    Design and synthesis of effective electrocatalysts for hydrogen evolution reaction in alkaline environments is critical to reduce energy losses in alkaline water electrolysis. Here we report a hybrid nanomaterial comprising of one-dimensional ultrathin platinum nanowires grown on two-dimensional single-layered nickel hydroxide. Judicious surface chemistry to generate the fully exfoliated nickel hydroxide single layers is explored to be the key for controllable growth of ultrathin platinum nanowires with diameters of about 1.8 nm. Impressively, this hybrid nanomaterial exhibits superior electrocatalytic activity for hydrogen evolution reaction in alkaline solution, which outperforms currently reported catalysts, and the obviously improved catalytic stability. We believe that this work may lead towards the development of single-layered metal hydroxide-based hybrid materials for applications in catalysis and energy conversion.

  9. EDITORIAL: Atomic layer deposition Atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Godlewski, Marek

    2012-07-01

    The growth method of atomic layer deposition (ALD) was introduced in Finland by Suntola under the name of atomic layer epitaxy (ALE). The method was originally used for deposition of thin films of sulphides (ZnS, CaS, SrS) activated with manganese or rare-earth ions. Such films were grown for applications in thin-film electroluminescence (TFEL) displays. The ALE mode of growth was also tested in the case of molecular beam epitaxy. Films grown by ALD are commonly polycrystalline or even amorphous. Thus, the name ALE has been replaced by ALD. In the 80s ALD was developed mostly in Finland and neighboring Baltic countries. Deposition of a range of different materials was demonstrated at that time, including II-VI semiconductors (e.g. CdTe, CdS) and III-V (e.g. GaAs, GaN), with possible applications in e.g. photovoltaics. The number of publications on ALD was slowly increasing, approaching about 100 each year. A real boom in interest came with the development of deposition methods of thin films of high-k dielectrics. This research was motivated by a high leakage current in field-effect transistors with SiO2-based gate dielectrics. In 2007 Intel introduced a new generation of integrated circuits (ICs) with thin films of HfO2 used as gate isolating layers. In these and subsequent ICs, films of HfO2 are deposited by the ALD method. This is due to their unique properties. The introduction of ALD to the electronics industry led to a booming interest in the ALD growth method, with the number of publications increasing rapidly to well above 1000 each year. A number of new applications were proposed, as reflected in this special issue of Semiconductor Science and Technology. The included articles cover a wide range of possible applications—in microelectronics, transparent electronics, optoelectronics, photovoltaics and spintronics. Research papers and reviews on the basics of ALD growth are also included, reflecting a growing interest in precursor chemistry and growth

  10. Elimination of macrostep-induced current flow nonuniformity in vertical GaN PN diode using carbon-free drift layer grown by hydride vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Fujikura, Hajime; Hayashi, Kentaro; Horikiri, Fumimasa; Narita, Yoshinobu; Konno, Taichiro; Yoshida, Takehiro; Ohta, Hiroshi; Mishima, Tomoyoshi

    2018-04-01

    In vertical GaN PN diodes (PNDs) grown entirely by metal–organic chemical vapor deposition (MOCVD), large current nonuniformity was observed. This nonuniformity was induced by macrosteps on the GaN surface through modulation of carbon incorporation into the n-GaN crystal. It was eliminated in a hybrid PND consisting of a carbon-free n-GaN layer grown by hydride vapor phase epitaxy (HVPE) and an MOCVD-regrown p-GaN layer. The hybrid PND showed a fairly low on-resistance (2 mΩ cm2) and high breakdown voltage (2 kV) even without a field plate electrode. These results clearly indicated the strong advantages of the HVPE-grown drift layer for improving power device performance, uniformity, and yield.

  11. Low temperature plasma enhanced CVD epitaxial growth of silicon on GaAs: a new paradigm for III-V/Si integration

    NASA Astrophysics Data System (ADS)

    Cariou, Romain; Chen, Wanghua; Maurice, Jean-Luc; Yu, Jingwen; Patriarche, Gilles; Mauguin, Olivia; Largeau, Ludovic; Decobert, Jean; Roca I Cabarrocas, Pere

    2016-05-01

    The integration of III-V semiconductors with silicon is a key issue for photonics, microelectronics and photovoltaics. With the standard approach, namely the epitaxial growth of III-V on silicon, thick and complex buffer layers are required to limit the crystalline defects caused by the interface polarity issues, the thermal expansion, and lattice mismatches. To overcome these problems, we have developed a reverse and innovative approach to combine III-V and silicon: the straightforward epitaxial growth of silicon on GaAs at low temperature by plasma enhanced CVD (PECVD). Indeed we show that both GaAs surface cleaning by SiF4 plasma and subsequent epitaxial growth from SiH4/H2 precursors can be achieved at 175 °C. The GaAs native oxide etching is monitored with in-situ spectroscopic ellipsometry and Raman spectroscopy is used to assess the epitaxial silicon quality. We found that SiH4 dilution in hydrogen during deposition controls the layer structure: the epitaxial growth happens for deposition conditions at the transition between the microcrystalline and amorphous growth regimes. SIMS and STEM-HAADF bring evidences for the interface chemical sharpness. Together, TEM and XRD analysis demonstrate that PECVD enables the growth of high quality relaxed single crystal silicon on GaAs.

  12. Electrical and Optical Characterization of Sputtered Silicon Dioxide, Indium Tin Oxide, and Silicon Dioxide/Indium Tin Oxide Antireflection Coating on Single-Junction GaAs Solar Cells

    PubMed Central

    Ho, Wen-Jeng; Lin, Jian-Cheng; Liu, Jheng-Jie; Bai, Wen-Bin; Shiao, Hung-Pin

    2017-01-01

    This study characterized the electrical and optical properties of single-junction GaAs solar cells coated with antireflective layers of silicon dioxide (SiO2), indium tin oxide (ITO), and a hybrid layer of SiO2/ITO applied using Radio frequency (RF) sputtering. The conductivity and transparency of the ITO film were characterized prior to application on GaAs cells. Reverse saturation-current and ideality factor were used to evaluate the passivation performance of the various coatings on GaAs solar cells. Optical reflectance and external quantum efficiency response were used to evaluate the antireflective performance of the coatings. Photovoltaic current-voltage measurements were used to confirm the efficiency enhancement obtained by the presence of the anti-reflective coatings. The conversion efficiency of the GaAs cells with an ITO antireflective coating (23.52%) exceeded that of cells with a SiO2 antireflective coating (21.92%). Due to lower series resistance and higher short-circuit current-density, the carrier collection of the GaAs cell with ITO coating exceeded that of the cell with a SiO2/ITO coating. PMID:28773063

  13. Influence of substrates and rutile seed layers on the assembly of hydrothermally grown rutile TiO2 nanorod arrays

    NASA Astrophysics Data System (ADS)

    Kalb, Julian; Dorman, James A.; Folger, Alena; Gerigk, Melanie; Knittel, Vanessa; Plüisch, Claudia S.; Trepka, Bastian; Lehr, Daniela; Chua, Emily; Goodge, Berit H.; Wittemann, Alexander; Scheu, Christina; Polarz, Sebastian; Schmidt-Mende, Lukas

    2018-07-01

    Rutile TiO2 nanorod arrays (NRAs) are applicable in various prospective technologies. Hydrothermal methods present a simple technique to fabricate such NRAs. In this report, we present the fabrication of seed layers for the hydrothermal growth of rutile TiO2 nanorods via sputter deposition, electron-beam evaporation, and sol-gel method and study the influence of each on the growth behavior. To satisfy the requirements of numerous applications, p-type silicon, platinum, levitating carbon membranes, a template made of polystyrene spheres, and commercial fluorine tin oxide (FTO) were employed as substrates. We document the structural properties of the TiO2 seed layers and describe the relationship between the characteristics of the seed crystals, the growth evolution, and the appearance of as-grown nanorods. Various growth stages of rutile TiO2 nanorods are compared depending on whether they are grown on polycrystalline TiO2 or FTO seed layers. In both cases, a homogenous TiO2 bottom layer is formed at the seed layer/substrate interface, which is essential for electronic applications such as hybrid solar cells. Detached NRAs illustrate the effect of rutile FTO and TiO2 on the porosity of this bottom layer. Further details about the formation process of this layer are obtained from the growth on confined seed layers fabricated by electron-beam lithography.

  14. Surface potential driven dissolution phenomena of [0 0 0 1]-oriented ZnO nanorods grown from ZnO and Pt seed layers

    NASA Astrophysics Data System (ADS)

    Seo, Youngmi; Kim, Jung Hyeun

    2011-06-01

    Highly oriented ZnO nanorods are synthesized hydrothermally on ZnO and Pt seed layers, and they are dissolved in KOH solution. The rods grown on ZnO seed layer show uniform dissolution, but those grown on Pt seed layer are rod-selectively dissolved. The ZnO nanorods from both seed layers show the same crystalline structure through XRD and Raman spectrometer data. However, the surface potential analysis reveals big difference for ZnO and Pt seed cases. The surface potential distribution is very uniform for the ZnO seed case, but it is much fluctuated on the Pt seed case. It suggests that the rod-selective dissolution phenomena on Pt seed case are likely due to the surface energy difference.

  15. Carbon doping in molecular beam epitaxy of GaAs from a heated graphite filament

    NASA Technical Reports Server (NTRS)

    Malik, R. J.; Nottenberg, R. N.; Schubert, E. F.; Walker, J. F.; Ryan, R. W.

    1988-01-01

    Carbon doping of GaAs grown by molecular beam epitaxy has been obtained for the first time by use of a heated graphite filament. Controlled carbon acceptor concentrations over the range of 10 to the 17th-10 to the 20th/cu cm were achieved by resistively heating a graphite filament with a direct current power supply. Capacitance-voltage, p/n junction and secondary-ion mass spectrometry measurements indicate that there is negligible diffusion of carbon during growth and with postgrowth rapid thermal annealing. Carbon was used for p-type doping in the base of Npn AlGaAs/GaAs heterojunction bipolar transistors. Current gains greater than 100 and near-ideal emitter heterojunctions were obtained in transistors with a carbon base doping of 1 x 10 to the 19th/cu cm. These preliminary results indicate that carbon doping from a solid graphite source may be an attractive substitute for beryllium, which is known to have a relatively high diffusion coefficient in GaAs.

  16. Molecular beam epitaxy and metalorganic chemical vapor deposition growth of epitaxial CdTe on (100) GaAs/Si and (111) GaAs/Si substrates

    NASA Technical Reports Server (NTRS)

    Nouhi, A.; Radhakrishnan, G.; Katz, J.; Koliwad, K.

    1988-01-01

    Epitaxial CdTe has been grown on both (100)GaAs/Si and (111)GaAs/Si substrates. A combination of molecular beam epitaxy (MBE) and metalorganic chemical vapor deposition (MOCVD) has been employed for the first time to achieve this growth: the GaAs layers are grown on Si substrates by MBE and the CdTe film is subsequently deposited on GaAs/Si by MOCVD. The grown layers have been characterized by X-ray diffraction, scanning electron microscopy, and photoluminescence.

  17. Space qualification of IR-reflecting coverslides for GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Meulenberg, Andrew

    1995-01-01

    Improvements to GaAs solar array performance, from the use on solar cell coverslides of several reflecting coatings that reject unusable portions of the solar spectrum, are quantified. Blue-red-rejection (BRR) coverslides provide both infrared reflection (IRR) and ultraviolet rejection (UVR). BRR coverslides were compared to conventional antireflection (AR) and ultraviolet (UV) coated coverslides. A 2% improvement in peak-power output, relative to that from Ar-coated coverslides, is seen for cells utilizing BRR coverslides with the widest bandpass. Coverslide BRR-filter bandpass width and covered-solar-cell short-circuit current is a function of incident light angle and the observed narrower-bandpass filters are more sensitive to change in angle from the normal than are wide-bandpass filters. The first long-term (3000 hours) UV testing of unirradiated and 1 MeV electron-irradiated GaAs solar cells, with multilayer-coated coverslides to reduce solar array operating temperature, has indicated that all multilayer coatings on coverslides and solar cells will experience degradation from the space environment (UV and/or electrons). Five types of coverslide coatings, designed for GaAs solar cells, were tested as part of a NASA-sponsored space-flight qualification for BRR, multi-layer-coated, coverslides. The reponse to the different radiations varied with the coatings. The extent of degradation and its consequences on the solar cell electrical characteristics depend upon the coatings and the radiation. In some cases, an improved optical coupling was observed during long-term UV exposure to the optical stack. The benefits of multi-layered solar cell optics may depend upon both the duration and the radiation environment of a mission.

  18. ZnO Films on {001}-Cut <110>-Propagating GaAs Substrates for Surface Acoustic Wave Device Applications

    NASA Technical Reports Server (NTRS)

    Kim, Yoonkee; Hunt, William D.; Hickernell, Frederick S.; Higgins, Robert J.; Jen, Cheng-Kuei

    1995-01-01

    A potential application for piezoelectric films on GaAs substrates is the monolithic integration of surface acoustic wave (SAW) devices with GaAs electronics. Knowledge of the SAW properties of the layered structure is critical for the optimum and accurate design of such devices. The acoustic properties of ZnO films sputtered on {001}-cut <110> -propagating GaAs substrates are investigated in this article, including SAW Velocity effective piezoelectric coupling constant, propagation loss. diffraction, velocity surface, and reflectivity of shorted and open metallic gratings. The measurements of these essential SAW properties for the frequency range between 180 and 360 MHz have been performed using a knife-edge laser probe for film thicknesses over the range of 1.6-4 micron and with films or different grain sizes. The high quality of dc triode sputtered films was observed as evidenced by high K(exp 2) and low attenuation. The measurements of the velocity surface, which directly affects the SAW diffraction, on the bare and metalized ZnO on SiO2, or Si3N4 on {001}-cut GaAs samples are reported using two different techniques: 1) knife-edge laser probe, 2) line-focus-beam scanning acoustic microscope. It was found that near the <110> propagation direction, the focusing SAW property of the bare GaAs changes into a nonfocusing one for the layered structure, but a reversed phenomenon exists near the <100> direction. Furthermore, to some extent the diffraction of the substrate can be controlled with the film thickness. The reflectivity of shorted and open gratings are also analyzed and measured. Zero reflectivity is observed for a shorted grating. There is good agreement between the measured data and theoretical values.

  19. Tunneling effects in the current-voltage characteristics of high-efficiency GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Kachare, R.; Anspaugh, B. E.; Garlick, G. F. J.

    1988-01-01

    Evidence is that tunneling via states in the forbidden gap is the dominant source of excess current in the dark current-voltage (I-V) characteristics of high-efficiency DMCVD grown Al(x)Ga(1-x)As/GaAs(x is equal to or greater than 0.85) solar cells. The dark forward and reverse I-V measurements were made on several solar cells, for the first time, at temperatures between 193 and 301 K. Low-voltage reverse-bias I-V data of a number of cells give a thermal activation energy for excess current of 0.026 + or - 0.005 eV, which corresponds to the carbon impurity in GaAs. However, other energy levels between 0.02 eV and 0.04 eV were observed in some cells which may correspond to impurity levels introduced by Cu, Si, Ge, or Cd. The forward-bias excess current is mainly due to carrier tunneling between localized levels created in the space-charge layer by impurities such as carbon, which are incorporated during the solar cell growth process. A model is suggested to explain the results.

  20. Quantum Hall resistance standards from graphene grown by chemical vapour deposition on silicon carbide

    NASA Astrophysics Data System (ADS)

    Lafont, F.; Ribeiro-Palau, R.; Kazazis, D.; Michon, A.; Couturaud, O.; Consejo, C.; Chassagne, T.; Zielinski, M.; Portail, M.; Jouault, B.; Schopfer, F.; Poirier, W.

    2015-04-01

    Replacing GaAs by graphene to realize more practical quantum Hall resistance standards (QHRS), accurate to within 10-9 in relative value, but operating at lower magnetic fields than 10 T, is an ongoing goal in metrology. To date, the required accuracy has been reported, only few times, in graphene grown on SiC by Si sublimation, under higher magnetic fields. Here, we report on a graphene device grown by chemical vapour deposition on SiC, which demonstrates such accuracies of the Hall resistance from 10 T up to 19 T at 1.4 K. This is explained by a quantum Hall effect with low dissipation, resulting from strongly localized bulk states at the magnetic length scale, over a wide magnetic field range. Our results show that graphene-based QHRS can replace their GaAs counterparts by operating in as-convenient cryomagnetic conditions, but over an extended magnetic field range. They rely on a promising hybrid and scalable growth method and a fabrication process achieving low-electron-density devices.

  1. Quantum Hall resistance standards from graphene grown by chemical vapour deposition on silicon carbide

    PubMed Central

    Lafont, F.; Ribeiro-Palau, R.; Kazazis, D.; Michon, A.; Couturaud, O.; Consejo, C.; Chassagne, T.; Zielinski, M.; Portail, M.; Jouault, B.; Schopfer, F.; Poirier, W.

    2015-01-01

    Replacing GaAs by graphene to realize more practical quantum Hall resistance standards (QHRS), accurate to within 10−9 in relative value, but operating at lower magnetic fields than 10 T, is an ongoing goal in metrology. To date, the required accuracy has been reported, only few times, in graphene grown on SiC by Si sublimation, under higher magnetic fields. Here, we report on a graphene device grown by chemical vapour deposition on SiC, which demonstrates such accuracies of the Hall resistance from 10 T up to 19 T at 1.4 K. This is explained by a quantum Hall effect with low dissipation, resulting from strongly localized bulk states at the magnetic length scale, over a wide magnetic field range. Our results show that graphene-based QHRS can replace their GaAs counterparts by operating in as-convenient cryomagnetic conditions, but over an extended magnetic field range. They rely on a promising hybrid and scalable growth method and a fabrication process achieving low-electron-density devices. PMID:25891533

  2. Stabilizing Ir(001) Epitaxy on Yttria-Stabilized Zirconia Using a Thin Ir Seed Layer Grown by Pulsed Laser Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fan, Lisha; Jacobs, Christopher B.; Rouleau, Christopher M.

    In this paper, we demonstrate the reproducible epitaxial growth of 100 nm thick Ir(001) films on a heteroepitaxial stack consisting of 5 nm Ir and 100 nm yttria-stabilized zirconia (YSZ) grown on Si(001) substrates. It is shown that a 5 nm thick Ir layer grown by pulsed laser deposition in the same chamber as the YSZ film without breaking the vacuum is the key to stabilizing Ir(001) epitaxial growth. Growth of the Ir seed layer with pure (001) orientation occurs only in a narrow growth temperature window from 550 to 750 °C, and the fraction of Ir(111) increases at substratemore » temperatures outside of this window. The Ir seed layer prevents exposure of the YSZ film to air during sample transfer and enables highly reproducible Ir(001) heteroepitaxy on YSZ buffered Si(001). In contrast, if Ir is grown directly on a bare YSZ layer that was exposed to ambient conditions, the films are prone to change orientation to (111). These results reveal that preserving the chemical and structural purity of the YSZ surface is imperative for achieving Ir(001) epitaxy. The narrow range of the mosaic spread values from eight experiments demonstrates the high yield and high reproducibility of Ir(001) heteroepitaxy by this approach. Lastly, the improved Ir(001) epitaxial growth method is of great significance for integrating a variety of technologically important materials such as diamond, graphene, and functional oxides on a Si platform.« less

  3. Stabilizing Ir(001) Epitaxy on Yttria-Stabilized Zirconia Using a Thin Ir Seed Layer Grown by Pulsed Laser Deposition

    DOE PAGES

    Fan, Lisha; Jacobs, Christopher B.; Rouleau, Christopher M.; ...

    2016-11-18

    In this paper, we demonstrate the reproducible epitaxial growth of 100 nm thick Ir(001) films on a heteroepitaxial stack consisting of 5 nm Ir and 100 nm yttria-stabilized zirconia (YSZ) grown on Si(001) substrates. It is shown that a 5 nm thick Ir layer grown by pulsed laser deposition in the same chamber as the YSZ film without breaking the vacuum is the key to stabilizing Ir(001) epitaxial growth. Growth of the Ir seed layer with pure (001) orientation occurs only in a narrow growth temperature window from 550 to 750 °C, and the fraction of Ir(111) increases at substratemore » temperatures outside of this window. The Ir seed layer prevents exposure of the YSZ film to air during sample transfer and enables highly reproducible Ir(001) heteroepitaxy on YSZ buffered Si(001). In contrast, if Ir is grown directly on a bare YSZ layer that was exposed to ambient conditions, the films are prone to change orientation to (111). These results reveal that preserving the chemical and structural purity of the YSZ surface is imperative for achieving Ir(001) epitaxy. The narrow range of the mosaic spread values from eight experiments demonstrates the high yield and high reproducibility of Ir(001) heteroepitaxy by this approach. Lastly, the improved Ir(001) epitaxial growth method is of great significance for integrating a variety of technologically important materials such as diamond, graphene, and functional oxides on a Si platform.« less

  4. Multiband corrections for the semi-classical simulation of interband tunneling in GaAs tunnel junctions

    NASA Astrophysics Data System (ADS)

    Louarn, K.; Claveau, Y.; Hapiuk, D.; Fontaine, C.; Arnoult, A.; Taliercio, T.; Licitra, C.; Piquemal, F.; Bounouh, A.; Cavassilas, N.; Almuneau, G.

    2017-09-01

    The aim of this study is to investigate the impact of multiband corrections on the current density in GaAs tunnel junctions (TJs) calculated with a refined yet simple semi-classical interband tunneling model (SCITM). The non-parabolicity of the considered bands and the spin-orbit effects are considered by using a recently revisited SCITM available in the literature. The model is confronted to experimental results from a series of molecular beam epitaxy grown GaAs TJs and to numerical results obtained with a full quantum model based on the non-equilibrium Green’s function formalism and a 6-band k.p Hamiltonian. We emphasize the importance of considering the non-parabolicity of the conduction band by two different measurements of the energy-dependent electron effective mass in N-doped GaAs. We also propose an innovative method to compute the non-uniform electric field in the TJ for the SCITM simulations, which is of prime importance for a successful operation of the model. We demonstrate that, when considering the multiband corrections and this new computation of the non-uniform electric field, the SCITM succeeds in predicting the electrical characteristics of GaAs TJs, and are also in agreement with the quantum model. Besides the fundamental study of the tunneling phenomenon in TJs, the main benefit of this SCITM is that it can be easily embedded into drift-diffusion software, which are the most widely-used simulation tools for electronic and opto-electronic devices such as multi-junction solar cells, tunnel field-effect transistors, or vertical-cavity surface-emitting lasers.

  5. Growth and characterization of GaAs/Al/GaAs heterostructures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bhattacharya, P.; Oh, J.E.; Singh, J.

    Theoretical and experimental aspects of the growth of GaAs/Al/GaAs heterostructures have been investigated. In these heterostructures the GaAs on top of the buried metal layer is grown by migration-enhanced epitaxy (MEE) at low temperatures (200 and 400 {degree}C) to provide a kinetic barrier to the outdiffusion of Al during superlayer growth. The crystallinity and orientation of the Al film itself deposited on (100) GaAs at {approx}0 {degree}C was studied by transmission electron diffraction, dark-field imaging, and x-ray diffraction measurements. It is found that the Al growth is polycrystalline with a grain size {approx}60 A and the preferred growth orientation ismore » (111), which may be textured in plane but oriented out of plane. The quality of the GaAs superlayer grown on top of Al by MEE is very sensitive to the growth temperature. The layer grown at 400 {degree}C has good structural and optical quality, but is accompanied by considerable outdiffusion of Al at the Al-GaAs heterointerface. At 200 {degree}C, where the interface has good structural integrity, the superlayer exhibits twinning and no luminescence is observed.« less

  6. InAs nanowires grown by metal-organic vapor-phase epitaxy (MOVPE) employing PS/PMMA diblock copolymer nanopatterning.

    PubMed

    Huang, Yinggang; Kim, Tae Wan; Xiong, Shisheng; Mawst, Luke J; Kuech, Thomas F; Nealey, Paul F; Dai, Yushuai; Wang, Zihao; Guo, Wei; Forbes, David; Hubbard, Seth M; Nesnidal, Michael

    2013-01-01

    Dense arrays of indium arsenide (InAs) nanowire materials have been grown by selective-area metal-organic vapor-phase epitaxy (SA-MOVPE) using polystyrene-b-poly(methyl methacrylate) (PS/PMMA) diblock copolymer (DBC) nanopatterning technique, which is a catalyst-free approach. Nanoscale openings were defined in a thin (~10 nm) SiNx layer deposited on a (111)B-oriented GaAs substrate using the DBC process and CF4 reactive ion etching (RIE), which served as a hard mask for the nanowire growth. InAs nanowires with diameters down to ~ 20 nm and micrometer-scale lengths were achieved with a density of ~ 5 × 10(10) cm(2). The nanowire structures were characterized by scanning electron microscopy and transmission electron microscopy, which indicate twin defects in a primary zincblende crystal structure and the absence of threading dislocation within the imaged regions.

  7. Activation of an intense near band edge emission from ZnTe/ZnMgTe core/shell nanowires grown on silicon.

    PubMed

    Wojnar, P; Szymura, M; Zaleszczyk, W; Kłopotowski, L; Janik, E; Wiater, M; Baczewski, L T; Kret, S; Karczewski, G; Kossut, J; Wojtowicz, T

    2013-09-13

    The absence of luminescence in the near band edge energy region of Te-anion based semiconductor nanowires grown by gold catalyst assisted molecular beam epitaxy has strongly limited their applications in the field of photonics. In this paper, an enhancement of the near band edge emission intensity from ZnTe/ZnMgTe core/shell nanowires grown on Si substrates is reported. A special role of the use of Si substrates instead of GaAs substrates is emphasized, which results in an increase of the near band edge emission intensity by at least one order of magnitude accompanied by a simultaneous reduction of the defect related luminescence. A possible explanation of this effect relies on the presence of Ga-related deep level defects in structures grown on GaAs substrates, which are absent when Si substrates are used. Monochromatic mapping of the cathodoluminescence clearly confirms that the observed emission originates, indeed, from the ZnTe/ZnMgTe core/shell nanowires, whereas individual objects are studied by means of microphotoluminescence.

  8. Temperature effect on the coupling between coherent longitudinal phonons and plasmons in n -type and p -type GaAs

    NASA Astrophysics Data System (ADS)

    Hu, Jianbo; Zhang, Hang; Sun, Yi; Misochko, Oleg V.; Nakamura, Kazutaka G.

    2018-04-01

    The coupling between longitudinal optical (LO) phonons and plasmons plays a fundamental role in determining the performance of doped semiconductor devices. In this work, we report a comparative investigation into the dependence of the coupling on temperature and doping in n - and p -type GaAs by using ultrafast coherent phonon spectroscopy. A suppression of coherent oscillations has been observed in p -type GaAs at lower temperature, strikingly different from n -type GaAs and other materials in which coherent oscillations are strongly enhanced by cooling. We attribute this unexpected observation to a cooling-induced elongation of the depth of the depletion layer which effectively increases the screening time of the surface field due to a slow diffusion of photoexcited carriers in p -type GaAs. Such an increase breaks the requirement for the generation of coherent LO phonons and, in turn, LO phonon-plasmon coupled modes because of their delayed formation in time.

  9. Advantages of InGaN/GaN multiple quantum wells with two-step grown low temperature GaN cap layers

    NASA Astrophysics Data System (ADS)

    Zhu, Yadan; Lu, Taiping; Zhou, Xiaorun; Zhao, Guangzhou; Dong, Hailiang; Jia, Zhigang; Liu, Xuguang; Xu, Bingshe

    2017-11-01

    Two-step grown low temperature GaN cap layers (LT-cap) are employed to improve the optical and structural properties of InGaN/GaN multiple quantum wells (MQWs). The first LT-cap layer is grown in nitrogen atmosphere, while a small hydrogen flow is added to the carrier gas during the growth of the second LT-cap layer. High-resolution X-ray diffraction results indicate that the two-step growth method can improve the interface quality of MQWs. Room temperature photoluminescence (PL) tests show about two-fold enhancement in integrated PL intensity, only 25 meV blue-shift in peak energy and almost unchanged line width. On the basis of temperature-dependent PL characteristics analysis, it is concluded that the first and the second LT-cap layer play a different role during the growth of MQWs. The first LT-cap layer acts as a protective layer, which protects quantum well from serious indium loss and interface roughening resulting from the hydrogen over-etching. The hydrogen gas employed in the second LT-cap layer is in favor of reducing defect density and indium segregation. Consequently, interface/surface and optical properties are improved by adopting the two-step growth method.

  10. Plasma deposited diamondlike carbon on GaAs and InP

    NASA Technical Reports Server (NTRS)

    Warner, J. D.; Pouch, J. J.; Alterovitz, S. A.; Liu, D. C.; Lanford, W. A.

    1984-01-01

    The properties of diamond like carbon films grown by RF flow discharge 30 kHz plasma using methane are reported. The Cls XPS line shape of films showed localized hybrid carbon bonds as low as 40 to as high as 95 percent. Infrared spectroscopy and N(15) nuclear reaction profiling data indicated 35 to 42 percent hydrogen, depending inversely on deposition temperature. The deposition rate of films on Si falls off exponentially with substrate temperature, and nucleation does not occur above 200 C on GaAs and InP. Optical data of the films showed bandgap values of 2.0 to 2.4 eV increasing monotonically with CH4 flow rate.

  11. Radiation damage and annealing in large area n+/p/p+ GaAs shallow homojunction solar cells

    NASA Technical Reports Server (NTRS)

    Flood, D. J.; Brinker, D. J.; Swartz, C. K.; Hart, R. E., Jr.; Fan, J. C. C.

    1982-01-01

    Annealing of radiation damage was observed for the first time in VPE-grown, 2- by 2-cm, n+/p/p+ GaAs shallow homojunction solar cells. Electrical performance of several cells was determined as a function of 1-MeV electron fluence in the range of 10 to the 13th power to 10 to the 15th power e-/sq cm and as a function of thermal annealing time at various temperatures. Degradation of normalized power output after a fluence of 10 to the 15th power 1-MeV electrons/sq cm ranged from a low of 24 to 31 percent of initial maximum power. Normalized short circuit current degradation was limited to the range from 10 to 19 percent of preirradiated values. Thermal annealing was carried out in a flowing nitrogen gas ambient, with annealing temperatures spanning the range from 125 to 200 C. Substantial recovery of short circuit current was observed at temperatures as low as 175 C. In one case improvement by as much as 10 percent of the postirradiated value was observed. The key features of these cells are their extremely thin emitter layers (approxmately 0.05 micrometers), the absence of any Al sub xGd sub 1-x As passivating window layer, and their fabrication by vapor phase epitaxy.

  12. A graphene/single GaAs nanowire Schottky junction photovoltaic device.

    PubMed

    Luo, Yanbin; Yan, Xin; Zhang, Jinnan; Li, Bang; Wu, Yao; Lu, Qichao; Jin, Chenxiaoshuai; Zhang, Xia; Ren, Xiaomin

    2018-05-17

    A graphene/nanowire Schottky junction is a promising structure for low-cost high-performance optoelectronic devices. Here we demonstrate a graphene/single GaAs nanowire Schottky junction photovoltaic device. The Schottky junction is fabricated by covering a single layer graphene onto an n-doped GaAs nanowire. Under 532 nm laser excitation, the device exhibits a high responsivity of 231 mA W-1 and a short response/recover time of 85/118 μs at zero bias. Under AM 1.5 G solar illumination, the device has an open-circuit voltage of 75.0 mV and a short-circuit current density of 425 mA cm-2, yielding a remarkable conversion efficiency of 8.8%. The excellent photovoltaic performance of the device is attributed to the strong built-in electric field in the Schottky junction as well as the transparent property of graphene. The device is promising for self-powered high-speed photodetectors and low-cost high-efficiency solar cells.

  13. High-reliability GaAs image intensifier with unfilmed microchannel plate

    NASA Astrophysics Data System (ADS)

    Bender, Edward J.; Estrera, Joseph P.; Ford, C. E.; Giordana, A.; Glesener, John W.; Lin, P. P.; Nico, A. J.; Sinor, Timothy W.; Smithson, R. H.

    1999-07-01

    Current GaAs image intensifier technology requires that the microchannel plate (MCP) have a thin dielectric film on the side facing the photocathode. This protective coating substantially reduces the amount of outgassing of ions and neutral species from the microchannels. The prevention of MCP outgassing is necessary in order to prevent the `poisoning' of the Cs:O surface on the GaAs photocathode. Many authors have experimented with omitting the MCP coating. The results of such experiments invariably lead to an intensifier with a reported useful life of less than 100 hours, due to contamination of the Cs:O layer on the photocathode. Unfortunately, the MCP film is also a barrier to electron transport within the intensifier. Substantial enhancement of the image intensifier operating parameters is the motivation for the removal of the MCP film. This paper presents results showing for the first time that it is possible to fabricate a long lifetime image intensifier with a single uncoated MCP.

  14. Comparative research on activation technique for GaAs photocathodes

    NASA Astrophysics Data System (ADS)

    Chen, Liang; Qian, Yunsheng; Chang, Benkang; Chen, Xinlong; Yang, Rui

    2012-03-01

    The properties of GaAs photocathodes mainly depend on the material design and activation technique. In early researches, high-low temperature two-step activation has been proved to get more quantum efficiency than high-temperature single-step activation. But the variations of surface barriers for two activation techniques have not been well studied, thus the best activation temperature, best Cs-O ratio and best activation time for two-step activation technique have not been well found. Because the surface photovoltage spectroscopy (SPS) before activation is only in connection with the body parameters for GaAs photocathode such as electron diffusion length and the spectral response current (SRC) after activation is in connection with not only body parameters but also surface barriers, thus the surface escape probability (SEP) can be well fitted through the comparative research between SPS before activation and SEP after activation. Through deduction for the tunneling process of surface barriers by Schrödinger equation, the width and height for surface barrier I and II can be well fitted through the curves of SEP. The fitting results were well proved and analyzed by quantitative analysis of angle-dependent X-ray photoelectron spectroscopy (ADXPS) which can also study the surface chemical compositions, atomic concentration percentage and layer thickness for GaAs photocathodes. This comparative research method for fitting parameters of surface barriers through SPS before activation and SRC after activation shows a better real-time in system method for the researches of activation techniques.

  15. ZnO nanostructures directly grown on paper and bacterial cellulose substrates without any surface modification layer.

    PubMed

    Costa, Saionara V; Gonçalves, Agnaldo S; Zaguete, Maria A; Mazon, Talita; Nogueira, Ana F

    2013-09-21

    In this report, hierarchical ZnO nano- and microstructures were directly grown for the first time on a bacterial cellulose substrate and on two additional different papers by hydrothermal synthesis without any surface modification layer. Compactness and smoothness of the substrates are two important parameters that allow the growth of oriented structures.

  16. Structural, optical, and electrical properties of unintentionally doped NiO layers grown on MgO by plasma-assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Budde, Melanie; Tschammer, Carsten; Franz, Philipp; Feldl, Johannes; Ramsteiner, Manfred; Goldhahn, Rüdiger; Feneberg, Martin; Barsan, Nicolae; Oprea, Alexandru; Bierwagen, Oliver

    2018-05-01

    NiO layers were grown on MgO(100), MgO(110), and MgO(111) substrates by plasma-assisted molecular beam epitaxy under Ni-flux limited growth conditions. Single crystalline growth with a cube-on-cube epitaxial relationship was confirmed by X-ray diffraction measurements for all used growth conditions and substrates except MgO(111). A detailed growth series on MgO(100) was prepared using substrate temperatures ranging from 20 °C to 900 °C to investigate the influence on the layer characteristics. Energy-dispersive X-ray spectroscopy indicated close-to-stoichiometric layers with an oxygen content of ≈ 47 at. % and ≈ 50 at. % grown under low and high O-flux, respectively. All NiO layers had a root-mean-square surface roughness below 1 nm, measured by atomic force microscopy, except for rougher layers grown at 900 °C or using molecular oxygen. Growth at 900 °C led to a significant diffusion of Mg from the substrate into the film. The relative intensity of the quasi-forbidden one-phonon Raman peak is introduced as a gauge of the crystal quality, indicating the highest layer quality for growth at low oxygen flux and high growth temperature, likely due to the resulting high adatom diffusion length during growth. The optical and electrical properties were investigated by spectroscopic ellipsometry and resistance measurements, respectively. All NiO layers were transparent with an optical bandgap around 3.6 eV and semi-insulating at room temperature. However, changes upon exposure to reducing or oxidizing gases of the resistance of a representative layer at elevated temperature were able to confirm p-type conductivity, highlighting their suitability as a model system for research on oxide-based gas sensing.

  17. Phosphine Functionalization GaAs(111)A Surfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Traub, M.; Biteen, J; Michalak, D

    Phosphorus-functionalized GaAs surfaces have been prepared by exposure of Cl-terminated GaAs(111)A surfaces to triethylphosphine (PEt3) or trichlorophosphine (PCl3), or by the direct functionalization of the native-oxide terminated GaAs(111)A surface with PCl3. The presence of phosphorus on each functionalized surface was confirmed by X-ray photoelectron spectroscopy. High-resolution, soft X-ray photoelectron spectroscopy was used to evaluate the As and Ga 3d regions of such surfaces. On PEt3 treated surfaces, the Ga 3d spectra exhibited a bulk Ga peak as well as peaks that were shifted to 0.35, 0.92 and 1.86 eV higher binding energy. These peaks were assigned to residual Cl-terminated Gamore » surface sites, surficial Ga2O and surficial Ga2O3, respectively. For PCl3-treated surfaces, the Ga 3d spectra displayed peaks ascribable to bulk Ga(As), Ga2O, and Ga2O3, as well as a peak shifted 0.30 eV to higher binding energy relative to the bulk signal. A peak corresponding to Ga(OH)3, observed on the Cl-terminated surface, was absent from all of the phosphine-functionalized surfaces. After reaction of the Cl-terminated GaAs(111)A surface with PCl3 or PEt3, the As 3d spectral region was free of As oxides and As0. Although native oxide-terminated GaAs surfaces were free of As oxides after reaction with PCl3, such surfaces contained detectable amounts of As0. Photoluminescence measurements indicted that phosphine-functionalized surfaces prepared from Cl-terminated GaAs(111)A surfaces had better electrical properties than the native-oxide capped GaAs(111)A surface, while the native-oxide covered surface treated with PCl3 showed no enhancement in PL intensity.« less

  18. Au/n-InP Schottky diodes using an Al2O3 interfacial layer grown by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Kim, Hogyoung; Kim, Min Soo; Yoon, Seung Yu; Choi, Byung Joon

    2017-02-01

    We investigated the effect of an Al2O3 interfacial layer grown by atomic layer deposition on the electrical properties of Au Schottky contacts to n-type InP. Considering barrier inhomogeneity, modified Richardson plots yielded a Richardson constant of 8.4 and 7.5 Acm-2K-2, respectively, for the sample with and without the Al2O3 interlayer (theoretical value of 9.4 Acm-2K-2 for n-type InP). The dominant reverse current flow for the sample with an Al2O3 interlayer was found to be Poole-Frenkel emission. From capacitance-voltage measurements, it was observed that the capacitance for the sample without the Al2O3 interlayer was frequency dependent. Sputter-induced defects as well as structural defects were passivated effectively with an Al2O3 interlayer.

  19. Nitridation of porous GaAs by an ECR ammonia plasma

    NASA Astrophysics Data System (ADS)

    Naddaf, M.; Hullavarad, S. S.; Ganesan, V.; Bhoraskar, S. V.

    2006-02-01

    The effect of surface porosity of GaAs on the nature of growth of GaN, by use of plasma nitridation of GaAs, has been investigated. Porous GaAs samples were prepared by anodic etching of n-type (110) GaAs wafers in HCl solution. Nitridation of porous GaAs samples were carried out by using an electron-cyclotron resonance-induced ammonia plasma. The formation of mixed phases of GaN was investigated using the grazing angle x-ray diffraction method. A remarkable improvement in the intensity of photoluminescence (PL) compared with that of GaN synthesized by direct nitriding of GaAs surface has been observed. The PL intensity of nitrided porous GaAs at the temperature of 380 °C was found to be about two orders of magnitude higher as compared with the directly nitrided GaAs at the temperature of 500 °C. The changes in the morphology of nitrided porous GaAs have been investigated using both scanning electron microscopy and atomic force microscopy.

  20. High resolution x-ray diffraction analysis of annealed low-temperature gallium arsenide

    NASA Astrophysics Data System (ADS)

    Matyi, R. J.; Melloch, M. R.; Woodall, J. M.

    1992-05-01

    High resolution x-ray diffraction methods have been used to characterize GaAs grown at low substrate temperatures by molecular beam epitaxy and to examine the effects of post-growth annealing on the structure of the layers. Double crystal rocking curves from the as-deposited epitaxial layer show well-defined interference fringes, indicating a high level of structural perfection despite the presence of excess arsenic. Annealing at temperatures from 700 to 900 °C resulted in a decrease in the perpendicular lattice mismatch between the GaAs grown at low temperature and the substrate from 0.133% to 0.016% and a decrease (but not total elimination) of the visibility of the interference fringes. Triple-crystal diffraction scans around the 004 point in reciprocal space exhibited an increase in the apparent mosaic spread of the epitaxial layer with increasing anneal temperature. The observations are explained in terms of the growth of arsenic precipitates in the epitaxial layer.

  1. A metal-semiconductor-metal detector based on ZnO nanowires grown on a graphene layer.

    PubMed

    Xu, Qiang; Cheng, Qijin; Zhong, Jinxiang; Cai, Weiwei; Zhang, Zifeng; Wu, Zhengyun; Zhang, Fengyan

    2014-02-07

    High quality ZnO nanowires (NWs) were grown on a graphene layer by a hydrothermal method. The ZnO NWs revealed higher uniform surface morphology and better structural properties than ZnO NWs grown on SiO2/Si substrate. A low dark current metal-semiconductor-metal photodetector based on ZnO NWs with Au Schottky contact has also been fabricated. The photodetector displays a low dark current of 1.53 nA at 1 V bias and a large UV-to-visible rejection ratio (up to four orders), which are significantly improved compared to conventional ZnO NW photodetectors. The improvement in UV detection performance is attributed to the existence of a surface plasmon at the interface of the ZnO and the graphene.

  2. Sub-wavelength InAs quantum dot micro-disk lasers epitaxially grown on exact Si (001) substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wan, Yating; Li, Qiang; Lau, Kei May, E-mail: eekmlau@ust.hk

    Subwavelength micro-disk lasers (MDLs) as small as 1 μm in diameter on exact (001) silicon were fabricated using colloidal lithography. The micro-cavity gain medium incorporating five-stacked InAs quantum dot layers was grown on a high crystalline quality GaAs-on-V-grooved-Si template with no absorptive intermediate buffers. Under continuous-wave optical pumping, the MDLs on silicon exhibit lasing in the 1.2-μm wavelength range with low thresholds down to 35 μW at 10 K. The MDLs compare favorably with devices fabricated on native GaAs substrates and state-of-the-art work reported elsewhere. Feasibility of device miniaturization can be projected by size-dependent lasing characteristics. The results show a promising path towardsmore » dense integration of photonic components on the mainstream complementary metal–oxide–semiconductor platform.« less

  3. Infrared Reflectance Analysis of Epitaxial n-Type Doped GaN Layers Grown on Sapphire.

    PubMed

    Tsykaniuk, Bogdan I; Nikolenko, Andrii S; Strelchuk, Viktor V; Naseka, Viktor M; Mazur, Yuriy I; Ware, Morgan E; DeCuir, Eric A; Sadovyi, Bogdan; Weyher, Jan L; Jakiela, Rafal; Salamo, Gregory J; Belyaev, Alexander E

    2017-12-01

    Infrared (IR) reflectance spectroscopy is applied to study Si-doped multilayer n + /n 0 /n + -GaN structure grown on GaN buffer with GaN-template/sapphire substrate. Analysis of the investigated structure by photo-etching, SEM, and SIMS methods showed the existence of the additional layer with the drastic difference in Si and O doping levels and located between the epitaxial GaN buffer and template. Simulation of the experimental reflectivity spectra was performed in a wide frequency range. It is shown that the modeling of IR reflectance spectrum using 2 × 2 transfer matrix method and including into analysis the additional layer make it possible to obtain the best fitting of the experimental spectrum, which follows in the evaluation of GaN layer thicknesses which are in good agreement with the SEM and SIMS data. Spectral dependence of plasmon-LO-phonon coupled modes for each GaN layer is obtained from the spectral dependence of dielectric of Si doping impurity, which is attributed to compensation effects by the acceptor states.

  4. GaAs VLSI technology and circuit elements for DSP

    NASA Astrophysics Data System (ADS)

    Mikkelson, James M.

    1990-10-01

    Recent progress in digital GaAs circuit performance and complexity is presented to demonstrate the current capabilities of GaAs components. High density GaAs process technology and circuit design techniques are described and critical issues for achieving favorable complexity speed power and cost tradeoffs are reviewed. Some DSP building blocks are described to provide examples of what types of DSP systems could be implemented with present GaAs technology. DIGITAL GaAs CIRCUIT CAPABILITIES In the past few years the capabilities of digital GaAs circuits have dramatically increased to the VLSI level. Major gains in circuit complexity and power-delay products have been achieved by the use of silicon-like process technologies and simple circuit topologies. The very high speed and low power consumption of digital GaAs VLSI circuits have made GaAs a desirable alternative to high performance silicon in hardware intensive high speed system applications. An example of the performance and integration complexity available with GaAs VLSI circuits is the 64x64 crosspoint switch shown in figure 1. This switch which is the most complex GaAs circuit currently available is designed on a 30 gate GaAs gate array. It operates at 200 MHz and dissipates only 8 watts of power. The reasons for increasing the level of integration of GaAs circuits are similar to the reasons for the continued increase of silicon circuit complexity. The market factors driving GaAs VLSI are system design methodology system cost power and reliability. System designers are hesitant or unwilling to go backwards to previous design techniques and lower levels of integration. A more highly integrated system in a lower performance technology can often approach the performance of a system in a higher performance technology at a lower level of integration. Higher levels of integration also lower the system component count which reduces the system cost size and power consumption while improving the system reliability

  5. Surface acceptor states in MBE-grown CdTe layers

    NASA Astrophysics Data System (ADS)

    Wichrowska, Karolina; Wosinski, Tadeusz; Tkaczyk, Zbigniew; Kolkovsky, Valery; Karczewski, Grzegorz

    2018-04-01

    A deep-level hole trap associated with surface defect states has been revealed with deep-level transient spectroscopy investigations of metal-semiconductor junctions fabricated on nitrogen doped p-type CdTe layers grown by the molecular-beam epitaxy technique. The trap displayed the hole-emission activation energy of 0.33 eV and the logarithmic capture kinetics indicating its relation to extended defect states at the metal-semiconductor interface. Strong electric-field-induced enhancement of the thermal emission rate of holes from the trap has been attributed to the phonon-assisted tunneling effect from defect states involving very large lattice relaxation around the defect and metastability of its occupied state. Passivation with ammonium sulfide of the CdTe surface, prior to metallization, results in a significant decrease in the trap density. It also results in a distinct reduction in the width of the surface-acceptor-state-induced hysteresis loops in the capacitance vs. voltage characteristics of the metal-semiconductor junctions.

  6. Laser MBE-grown CoFeB epitaxial layers on MgO: Surface morphology, crystal structure, and magnetic properties

    NASA Astrophysics Data System (ADS)

    Kaveev, Andrey K.; Bursian, Viktor E.; Krichevtsov, Boris B.; Mashkov, Konstantin V.; Suturin, Sergey M.; Volkov, Mikhail P.; Tabuchi, Masao; Sokolov, Nikolai S.

    2018-01-01

    Epitaxial layers of CoFeB were grown on MgO by means of laser molecular beam epitaxy using C o40F e40B20 target. The growth was combined with in situ structural characterization by three-dimensional reciprocal space mapping obtained from reflection high energy electron diffraction (RHEED) data. High-temperature single stage growth regime was adopted to fabricate CoFeB layers. As confirmed by the atomic force microscopy, the surface of CoFeB layers consists of closely spaced nanometer sized islands with dimensions dependent on the growth temperature. As shown by RHEED and XRD analysis, the CoFeB layers grown at high-temperature on MgO(001) possess body centered cubic (bcc) crystal structure with the lattice constant a =2.87 Å close to that of the C o75F e25 alloy. It was further shown that following the same high-temperature growth technique the MgO/CoFeB/MgO(001) heterostructures can be fabricated with top and bottom MgO layers of the same crystallographic orientation. The CoFeB layers were also grown on the GaN(0001) substrates using MgO(111) as a buffer layer. In this case, the CoFeB layers crystallize in bcc crystal structure with the (111) axis perpendicular to the substrate surface. The magnetic properties of the CoFeB/MgO (001) heterostructures have been investigated by measuring magnetization curves with a vibrating sample magnetometer as well as by performing magneto-optical Kerr effect (MOKE) and ferromagnetic resonance (FMR) studies. FMR spectra were obtained for the variety of the magnetic field directions and typically consisted of a single relatively narrow resonance line. The magnetization orientations and the resonance conditions were calculated in the framework of a standard magnetic energy minimization procedure involving a single K1 c cubic term for the magnetocrystalline anisotropy. This allows a fairly accurate description of the angular dependences of the resonance fields—both in-plane and out-of-plane. It was shown that CoFeB layers exhibit

  7. Structural and optical properties of ZnO nanorods on Mg0.2Zn0.8O seed layers grown by hydrothermal method.

    PubMed

    Kim, Min Su; Kim, Do Yeob; Kim, Sung-O; Leem, Jae-Young

    2013-05-01

    ZnO nanorods were grown on the Mg0.2Zn0.8O seed layers with different thickness by hydrothermal method. Scanning electron microscopy (SEM), X-ray diffraction (XRD), and photoluminescence (PL) were carried out to investigate the effects of the Mg0.2Zn0.8O seed layer thickness on the structural and the optical properties of the ZnO nanorods. The residual stress in the Mg0.2Zn0.8O seed layers was depended on the thickness while the texture coefficient of the Mg0.2Zn0.8O seed layers was not affected significantly. The smaller full width at half maximum (FWHM) of the ZnO (002) diffraction and near-band-edge emission (NBE) peak and the larger average grain size were observed from the ZnO nanorods grown on the Mg0.2Zn0.8O seed layers with 5 layers (thickness of 350 nm), which indicate the enhancement the structural and the optical properties of the ZnO nanorods.

  8. Precision calibration of the silicon doping level in gallium arsenide epitaxial layers

    NASA Astrophysics Data System (ADS)

    Mokhov, D. V.; Berezovskaya, T. N.; Kuzmenkov, A. G.; Maleev, N. A.; Timoshnev, S. N.; Ustinov, V. M.

    2017-10-01

    An approach to precision calibration of the silicon doping level in gallium arsenide epitaxial layers is discussed that is based on studying the dependence of the carrier density in the test GaAs layer on the silicon- source temperature using the Hall-effect and CV profiling techniques. The parameters are measured by standard or certified measuring techniques and approved measuring instruments. It is demonstrated that the use of CV profiling for controlling the carrier density in the test GaAs layer at the thorough optimization of the measuring procedure ensures the highest accuracy and reliability of doping level calibration in the epitaxial layers with a relative error of no larger than 2.5%.

  9. Insulators obtained by electron cyclotron resonance plasmas on Si or GaAs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Diniz, J.A.; Doi, I.; Swart, J.W

    2003-03-15

    Silicon oxynitride (SiO{sub x}N{sub y}) and nitride (SiN{sub x}) insulators have been deposited or grown (with or without silane in the gas mixture, respectively) by electron cyclotron resonance (ECR) plasmas on Si and/or GaAs substrates at room temperature (20 deg. C) and low pressures (up to 10 mTorr). Chemical bonding characteristics of the SiO{sub x}N{sub y} and SiN{sub x} films were evaluated using Fourier transform infrared spectrometry (FTIR). The profile measurements determined the film thickness, the deposition (or oxidation) rate and the etch rates in buffered HF (BHF). The refractive indexes and the thicknesses were determined by ellipsometry. The effectivemore » interface charge densities were determined by capacitance-voltage (C-V) measurements. With these processes and analyses, different films were obtained and optimized. Suitable gate insulators for metal-insulator-semiconductor (MIS) devices with low interface charge densities were developed: (a) SiN{sub x} films deposited by ECR-chemical vapor deposition (ECR-PECVD) on GaAs substrates; (b) SiO{sub x}N{sub y} insulators obtained by low-energy molecular nitrogen ion ({sup 28}N{sub 2}{sup +}) implantation (energy of 5 keV and dose of 1x10{sup 15}/cm{sup 2}) in Si substrates prior to high-density O{sub 2} ECR plasma oxidation; and (c) SiO{sub x}N{sub y} insulators grown (without silane in the gas mixture) by O{sub 2}/N{sub 2}/Ar ECR plasma 'oxynitridation'. Furthermore, some SiN{sub x} films also present very good masking characteristics for local oxidation of silicon process.« less

  10. Photoelectron and Auger electron diffraction studies of a sulfur-terminated GaAs(001)-(2×6) surface

    NASA Astrophysics Data System (ADS)

    Shimoda, M.; Tsukamoto, S.; Koguchi, N.

    1998-01-01

    Core-level X-ray photoelectron diffraction (XPD) and Auger electron diffraction (AED) have been applied to investigate the sulfur-terminated GaAs(001)-(2×6) surface. No forward scattering peaks were found in the XPD pattern of S 2s emission, indicating that adsorbed S atoms form a single layer on the GaAs substrate. In accordance with the zincblende structure of GaAs, the AED patterns of Ga L 3M 45M 45 and As L 3M 45M 45 emission almost coincide with each other, if one of the emissions is rotated by 90° around the [001] direction. This fact suggests that the diffraction patterns mainly reflect the structure of the bulk GaAs crystal. In order to investigate the surface structure, AED patterns in large polar angles were analyzed with single scattering cluster (SSC) calculations. The best result was obtained with a model cluster where the S-S bond length was set at 0.28 nm, 30% shorter than the corresponding length of the ideal (1×1) structure, and the adsorption height was set at 0.12-0.13 nm, 10% shorter than the ideal interlayer distance of GaAs(001) planes. These values are in good agreement with the results of STM measurements. A modulation of the inter-dimer distance was also found, suggesting the existence of missing dimers.

  11. The effect of V/III ratio on the morphology and structure of GaAs nanowires by MOCVD

    NASA Astrophysics Data System (ADS)

    Liu, Yan; Peng, Yan; Guo, Jingwei; La, Dongsheng; Xu, Zhaopeng

    2018-05-01

    In this paper, GaAs nanowires with different V/III ratios (70, 140, 280 and 560) were vertically grown from bottom to top on GaAs substrates by using metal organic chemical vapor deposition based on gold assisted vapor-liquid-solid mechanism. It is found that the growth rate of nanowires is inversely proportional to their V/III ratio. And the V/III ratio can also change nanowire growth type. For the nanowire with small V/III ratios (≤280), the reactants are most from those atoms merged in the catalyst. But, for the nanowire with V/III ratio 560, the contribution mainly comes from the diffusions of atoms pyrolyzed on the surface of the nanowire and the substrate. A shrunken neck under the catalyst is observed in TEM characterizations. These results will provide a theoretical basis for potential practical applications of nanowire-based devices.

  12. Growth of quantum three-dimensional structure of InGaAs emitting at 1 μm applicable for a broadband near-infrared light source

    NASA Astrophysics Data System (ADS)

    Ozaki, Nobuhiko; Kanehira, Shingo; Hayashi, Yuma; Ohkouchi, Shunsuke; Ikeda, Naoki; Sugimoto, Yoshimasa; Hogg, Richard A.

    2017-11-01

    We obtained a high-intensity and broadband emission centered at 1 μm from InGaAs quantum three-dimensional (3D) structures grown on a GaAs substrate using molecular beam epitaxy. An InGaAs thin layer grown on GaAs with a thickness close to the critical layer thickness is normally affected by strain as a result of the lattice mismatch and introduced misfit dislocations. However, under certain growth conditions for the In concentration and growth temperature, the growth mode of the InGaAs layer can be transformed from two-dimensional to 3D growth. We found the optimal conditions to obtain a broadband emission from 3D structures with a high intensity and controlled center wavelength at 1 μm. This method offers an alternative approach for fabricating a broadband near-infrared light source for telecommunication and medical imaging systems such as for optical coherence tomography.

  13. MBE growth of few-layer 2H-MoTe2 on 3D substrates

    NASA Astrophysics Data System (ADS)

    Vishwanath, Suresh; Sundar, Aditya; Liu, Xinyu; Azcatl, Angelica; Lochocki, Edward; Woll, Arthur R.; Rouvimov, Sergei; Hwang, Wan Sik; Lu, Ning; Peng, Xin; Lien, Huai-Hsun; Weisenberger, John; McDonnell, Stephen; Kim, Moon J.; Dobrowolska, Margaret; Furdyna, Jacek K.; Shen, Kyle; Wallace, Robert M.; Jena, Debdeep; Xing, Huili Grace

    2018-01-01

    MoTe2 is the least explored material in the Molybdenum-chalcogen family. Molecular beam epitaxy (MBE) provides a unique opportunity to tackle the small electronegativity difference between Mo and Te while growing layer by layer away from thermodynamic equilibrium. We find that for a few-layer MoTe2 grown at a moderate rate of ∼6 min per monolayer, a narrow window in temperature (above Te cell temperature) and Te:Mo ratio exists, where we can obtain pure phase 2H-MoTe2. This is confirmed using reflection high-energy electron diffraction (RHEED), Raman spectroscopy and X-ray photoemission spectroscopy (XPS). For growth on CaF2, Grazing incidence X-ray diffraction (GI-XRD) reveals a grain size of ∼90 Å and presence of twinned grains. In this work, we hypothesis the presence of excess Te incorporation in MBE grown few layer 2H-MoTe2. For film on CaF2, it is based on >2 Te:Mo stoichiometry using XPS as well as 'a' and 'c' lattice spacing greater than bulk 2H-MoTe2. On GaAs, its based on observations of Te crystallite formation on film surface, 2 × 2 superstructure observed in RHEED and low energy electron diffraction, larger than bulk c-lattice spacing as well as the lack of electrical conductivity modulation by field effect. Finally, thermal stability and air sensitivity of MBE 2H-MoTe2 is investigated by temperature dependent XRD and XPS, respectively.

  14. Far-infrared transmission in GaN, AlN, and AlGaN thin films grown by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ibanez, J.; Hernandez, S.; Alarcon-Llado, E.

    2008-08-01

    We present a far-infrared transmission study on group-III nitride thin films. Cubic GaN and AlN layers and c-oriented wurtzite GaN, AlN, and Al{sub x}Ga{sub 1-x}N (x<0.3) layers were grown by molecular beam epitaxy on GaAs and Si(111) substrates, respectively. The Berreman effect allows us to observe simultaneously the transverse optic and the longitudinal optic phonons of both the cubic and the hexagonal films as transmission minima in the infrared spectra acquired with obliquely incident radiation. We discuss our results in terms of the relevant electromagnetic theory of infrared transmission in cubic and wurtzite thin films. We compare the infrared resultsmore » with visible Raman-scattering measurements. In the case of films with low scattering volumes and/or low Raman efficiencies and also when the Raman signal of the substrate material obscures the weaker peaks from the nitride films, we find that the Berreman technique is particularly useful to complement Raman spectroscopy.« less

  15. Dopant diffusion and segregation in semiconductor heterostructures: Part III, diffusion of Si into GaAs

    NASA Astrophysics Data System (ADS)

    Chen, C.-H.; Gösele, U. M.; Tan, T. Y.

    We have mentioned previously that in the third part of the present series of papers, a variety of n-doping associated phenomena will be treated. Instead, we have decided that this paper, in which the subject treated is diffusion of Si into GaAs, shall be the third paper of the series. This choice is arrived at because this subject is a most relevent heterostructure problem, and also because of space and timing considerations. The main n-type dopant Si in GaAs is amphoteric which may be incorporated as shallow donor species SiGa+ and as shallow acceptor species SiAs-. The solubility of SiAs- is much lower than that of SiGa+ except at very high Si concentration levels. Hence, a severe electrical self-compensation occurs at very high Si concentrations. In this study we have modeled the Si distribution process in GaAs by assuming that the diffusing species is SiGa+ which will convert into SiAs- in accordance with their solubilities and that the point defect species governing the diffusion of SiGa+ are triply-negatively-charged Ga vacancies VGa3-. The outstanding features of the Si indiffusion profiles near the Si/GaAs interface have been quantitatively explained for the first time. Deposited on the GaAs crystal surface, the Si source material is a polycrystalline Si layer which may be undoped or n+-doped using As or P. Without the use of an As vapor phase in the ambient, the As- and P-doped source materials effectively render the GaAs crystals into an As-rich composition, which leads to a much more efficient Si indiffusion process than for the case of using undoped source materials which maintains the GaAs crystals in a relatively As-poor condition. The source material and the GaAs crystal together form a heterostructure with its junction influencing the electron distribution in the region, which, in turn, affects the Si indiffusion process prominently.

  16. Tailoring graphene layer-to-layer growth

    NASA Astrophysics Data System (ADS)

    Li, Yongtao; Wu, Bin; Guo, Wei; Wang, Lifeng; Li, Jingbo; Liu, Yunqi

    2017-06-01

    A layered material grown between a substrate and the upper layer involves complex interactions and a confined reaction space, representing an unusual growth mode. Here, we show multi-layer graphene domains grown on liquid or solid Cu by the chemical vapor deposition method via this ‘double-substrate’ mode. We demonstrate the interlayer-induced coupling effect on the twist angle in bi- and multi-layer graphene. We discover dramatic growth disunity for different graphene layers, which is explained by the ideas of a chemical ‘gate’ and a material transport process within a confined space. These key results lead to a consistent framework for understanding the dynamic evolution of multi-layered graphene flakes and tailoring the layer-to-layer growth for practical applications.

  17. Quality improvements of ZnxCdyMg1-x-ySe layers grown on InP substrates by a thin ZnCdSe interfacial layer

    NASA Astrophysics Data System (ADS)

    Zeng, L.; Yang, B. X.; Tamargo, M. C.; Snoeks, E.; Zhao, L.

    1998-03-01

    The quality of lattice-matched ZnxCdyMg1-x-ySe epitaxial layers grown on (001) InP substrates with a III-V buffer layer has been improved by initially growing a ZnCdSe interfacial layer (50 Å) at low temperature. The widths of double crystal x-ray rocking curves for ZnxCdyMg1-x-ySe epilayers with band gaps as high as 3.05 eV were reduced to about 70 arcsec. The defect density evaluated from etch pit density and plan-view transmission electron microscopy measurements was reduced by two orders of magnitude, to 106-107cm-2. The photoluminescence band edge emission became more symmetric and slightly narrower. It is proposed that an initial two-dimensional growth mode has been achieved by incorporating such a lattice-matched ZnCdSe layer.

  18. Electrical characterization of thin nanoscale SiOx layers grown on plasma hydrogenated silicon

    NASA Astrophysics Data System (ADS)

    Halova, E.; Kojuharova, N.; Alexandrova, S.; Szekeres, A.

    2018-03-01

    We analyzed the electrical characteristics of MOS structures with a SiOx layer grown on Si treated in plasma without heating. The hysteresis effect observed indicates the presence of traps spatially distributed into the oxide near the interface. The shift and the shape of the curves reveal a small oxide charge and low leakage currents, i.e. a high-quality dielectric layer. The generalized C-V curve was generated by applying the two-frequency methods on the C-V and G-V characteristics at frequencies in the range from 1 kHz to 300 kHz and by accounting for the series resistance and the leakage through the oxide layer. The energy spectra of the interface traps were calculated by comparing the experimental and the ideal theoretical C-V curves. The spectra showed the presence of interface traps with localized energy levels in the Si bandgap. These conclusions correlate well with the results on this oxide’s mechanical stress level, composition and Si-O ring structure, as well as on the interfacial region composition, obtained by our previous detailed multi-angle spectral ellipsometric studies. The ellipsometric data and the capacitance in strong accumulation of the C-V curves were used to calculate the thickness and the dielectric constants of the oxide layers.

  19. High Performance 0.1 μm GaAs Pseudomorphic High Electron Mobility Transistors with Si Pulse-Doped Cap Layer for 77 GHz Car Radar Applications

    NASA Astrophysics Data System (ADS)

    Kim, Sungwon; Noh, Hunhee; Jang, Kyoungchul; Lee, JaeHak; Seo, Kwangseok

    2005-04-01

    In this study, 0.1 μm double-recessed T-gate GaAs pseudomorphic high electron mobility transistors (PHEMT’s), in which an InGaAs layer and a Si pulse-doped layer in the cap structure are inserted, have been successfully fabricated. This cap structure improves ohmic contact. The ohmic contact resistance is as small as 0.07 Ωmm, consequently the source resistance is reduced by about 20% compared to that of a conventional cap structure. This device shows good DC and microwave performance such as an extrinsic transconductance of 620 mS/mm, a maximum saturated drain current of 780 mA/mm, a cut-off frequency fT of 140 GHz and a maximum oscillation frequency of 260 GHz. The reverse breakdown is 5.7 V at a gate current density of 1 mA/mm. The maximum available gain is about 7 dB at 77 GHz. It is well suited for car radar monolithic microwave integrated circuits (MMICs).

  20. Growth and Photovoltaic Properties of High-Quality GaAs Nanowires Prepared by the Two-Source CVD Method.

    PubMed

    Wang, Ying; Yang, Zaixing; Wu, Xiaofeng; Han, Ning; Liu, Hanyu; Wang, Shuobo; Li, Jun; Tse, WaiMan; Yip, SenPo; Chen, Yunfa; Ho, Johnny C

    2016-12-01

    Growing high-quality and low-cost GaAs nanowires (NWs) as well as fabricating high-performance NW solar cells by facile means is an important development towards the cost-effective next-generation photovoltaics. In this work, highly crystalline, dense, and long GaAs NWs are successfully synthesized using a two-source method on non-crystalline SiO2 substrates by a simple solid-source chemical vapor deposition method. The high V/III ratio and precursor concentration enabled by this two-source configuration can significantly benefit the NW growth and suppress the crystal defect formation as compared with the conventional one-source system. Since less NW crystal defects would contribute fewer electrons being trapped by the surface oxides, the p-type conductivity is then greatly enhanced as revealed by the electrical characterization of fabricated NW devices. Furthermore, the individual single NW and high-density NW parallel arrays achieved by contact printing can be effectively fabricated into Schottky barrier solar cells simply by employing asymmetric Ni-Al contacts, along with an open circuit voltage of ~0.3 V. All these results indicate the technological promise of these high-quality two-source grown GaAs NWs, especially for the realization of facile Schottky solar cells utilizing the asymmetric Ni-Al contact.

  1. The electronic and optical properties of quaternary GaAs1-x-y N x Bi y alloy lattice-matched to GaAs: a first-principles study.

    PubMed

    Ma, Xiaoyang; Li, Dechun; Zhao, Shengzhi; Li, Guiqiu; Yang, Kejian

    2014-01-01

    First-principles calculations based on density functional theory have been performed for the quaternary GaAs1-x-y N x Bi y alloy lattice-matched to GaAs. Using the state-of-the-art computational method with the Heyd-Scuseria-Ernzerhof (HSE) hybrid functional, electronic, and optical properties were obtained, including band structures, density of states (DOSs), dielectric function, absorption coefficient, refractive index, energy loss function, and reflectivity. It is found that the lattice constant of GaAs1-x-y N x Bi y alloy with y/x =1.718 can match to GaAs. With the incorporation of N and Bi into GaAs, the band gap of GaAs1-x-y N x Bi y becomes small and remains direct. The calculated optical properties indicate that GaAs1-x-y N x Bi y has higher optical efficiency as it has less energy loss than GaAs. In addition, it is also found that the electronic and optical properties of GaAs1-x-y N x Bi y alloy can be further controlled by tuning the N and Bi compositions in this alloy. These results suggest promising applications of GaAs1-x-y N x Bi y quaternary alloys in optoelectronic devices.

  2. Gallium arsenide (GaAs) (001) after sublimation of arsenic (As) thin-film cap, by XPS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Engelhard, Mark H.; Lyubinetsky, Andre; Baer, Don R.

    2016-12-01

    Survey and high energy resolution spectra are reported for MBE grown GaAs (001) that had been capped with As. The As cap was removed by heating in situ prior to analysis. The current data expands upon the spectral regions previously reported in Surface Science Spectra. High energy resolution spectral features reported include: 2p, 3s, 3p, 3d, and L3M45M45 peaks for As; 2p, 3s, 3p, 3d, and L3M45M45 peaks for Ga; and the valance band region.

  3. Characteristics of GaAs with inverted thermal conversion

    NASA Technical Reports Server (NTRS)

    Kang, C. H.; Lagowski, J.; Gatos, H. C.

    1987-01-01

    GaAs crystals exhibiting inverted thermal conversion (ITC) of resistivity were investigated in conjunction with standard semiinsulating (SI) GaAs regarding characteristics important in device processing. It was established that dislocation density and Si implant activation are unaffected by transformation to the ITC state. However, in ITC GaAs the controlled increase of the EL2 (native midgap donor) concentration during annealing makes it possible to attain resistivities one order of magnitude greater (e.g., about 10 to the 9th ohm cm of 300 K) than those attained in standard SI GaAs (e.g., 10 to the 7th-10 to the 8th ohm cm).

  4. Reduction of structural defects in thick 4H-SiC epitaxial layers grown on 4° off-axis substrates

    NASA Astrophysics Data System (ADS)

    Yazdanfar, M.; Ivanov, I. G.; Pedersen, H.; Kordina, O.; Janzén, E.

    2013-06-01

    By carefully controlling the surface chemistry of the chemical vapor deposition process for silicon carbide (SiC), 100 μm thick epitaxial layers with excellent morphology were grown on 4° off-axis SiC substrates at growth rates exceeding 100 μm/h. In order to reduce the formation of step bunching and structural defects, mainly triangular defects, the effect of varying parameters such as growth temperature, C/Si ratio, Cl/Si ratio, Si/H2 ratio, and in situ pre-growth surface etching time are studied. It was found that an in-situ pre growth etch at growth temperature and pressure using 0.6% HCl in hydrogen for 12 min reduced the structural defects by etching preferentially on surface damages of the substrate surface. By then applying a slightly lower growth temperature of 1575 °C, a C/Si ratio of 0.8, and a Cl/Si ratio of 5, 100 μm thick, step-bunch free epitaxial layer with a minimum triangular defect density and excellent morphology could be grown, thus enabling SiC power device structures to be grown on 4° off axis SiC substrates.

  5. Dimensional crossover of electron weak localization in ZnO/TiO{sub x} stacked layers grown by atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Saha, D., E-mail: sahaphys@gmail.com, E-mail: pmisra@rrcat.gov.in; Misra, P., E-mail: sahaphys@gmail.com, E-mail: pmisra@rrcat.gov.in; Joshi, M. P.

    2016-01-25

    We report on the dimensional crossover of electron weak localization in ZnO/TiO{sub x} stacked layers having well-defined and spatially-localized Ti dopant profiles along film thickness. These films were grown by in situ incorporation of sub-monolayer TiO{sub x} on the growing ZnO film surface and subsequent overgrowth of thin conducting ZnO spacer layer using atomic layer deposition. Film thickness was varied in the range of ∼6–65 nm by vertically stacking different numbers (n = 1–7) of ZnO/TiO{sub x} layers of nearly identical dopant-profiles. The evolution of zero-field sheet resistance (R{sub ◻}) versus temperature with decreasing film thickness showed a metal to insulator transition. Onmore » the metallic side of the metal-insulator transition, R{sub ◻}(T) and magnetoresistance data were found to be well corroborated with the theoretical framework of electron weak localization in the diffusive transport regime. The temperature dependence of both R{sub ◻} and inelastic scattering length provided strong evidence for a smooth crossover from 2D to 3D weak localization behaviour. Results of this study provide deeper insight into the electron transport in low-dimensional n-type ZnO/TiO{sub x} stacked layers which have potential applications in the field of transparent oxide electronics.« less

  6. Impact of heavy hole-light hole coupling on optical selection rules in GaAs quantum dots

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Belhadj, T.; Amand, T.; Kunz, S.

    2010-08-02

    We report strong heavy hole-light hole mixing in GaAs quantum dots grown by droplet epitaxy. Using the neutral and charged exciton emission as a monitor we observe the direct consequence of quantum dot symmetry reduction in this strain free system. By fitting the polar diagram of the emission with simple analytical expressions obtained from k{center_dot}p theory we are able to extract the mixing that arises from the heavy-light hole coupling due to the geometrical asymmetry of the quantum dot.

  7. Band offset and electron affinity of MBE-grown SnSe2

    NASA Astrophysics Data System (ADS)

    Zhang, Qin; Li, Mingda Oscar; Lochocki, Edward B.; Vishwanath, Suresh; Liu, Xinyu; Yan, Rusen; Lien, Huai-Hsun; Dobrowolska, Malgorzata; Furdyna, Jacek; Shen, Kyle M.; Cheng, Guangjun; Hight Walker, Angela R.; Gundlach, David J.; Xing, Huili G.; Nguyen, N. V.

    2018-01-01

    SnSe2 is currently considered a potential two-dimensional material that can form a near-broken gap heterojunction in a tunnel field-effect transistor due to its large electron affinity which is experimentally confirmed in this letter. With the results from internal photoemission and angle-resolved photoemission spectroscopy performed on Al/Al2O3/SnSe2/GaAs and SnSe2/GaAs test structures where SnSe2 is grown on GaAs by molecular beam epitaxy, we ascertain a (5.2 ± 0.1) eV electron affinity of SnSe2. The band offset from the SnSe2 Fermi level to the Al2O3 conduction band minimum is found to be (3.3 ± 0.05) eV and SnSe2 is seen to have a high level of intrinsic electron (n-type) doping with the Fermi level positioned at about 0.2 eV above its conduction band minimum. It is concluded that the electron affinity of SnSe2 is larger than that of most semiconductors and can be combined with other appropriate semiconductors to form near broken-gap heterojunctions for the tunnel field-effect transistor that can potentially achieve high on-currents.

  8. A platform for large-scale graphene electronics--CVD growth of single-layer graphene on CVD-grown hexagonal boron nitride.

    PubMed

    Wang, Min; Jang, Sung Kyu; Jang, Won-Jun; Kim, Minwoo; Park, Seong-Yong; Kim, Sang-Woo; Kahng, Se-Jong; Choi, Jae-Young; Ruoff, Rodney S; Song, Young Jae; Lee, Sungjoo

    2013-05-21

    Direct chemical vapor deposition (CVD) growth of single-layer graphene on CVD-grown hexagonal boron nitride (h-BN) film can suggest a large-scale and high-quality graphene/h-BN film hybrid structure with a defect-free interface. This sequentially grown graphene/h-BN film shows better electronic properties than that of graphene/SiO2 or graphene transferred on h-BN film, and suggests a new promising template for graphene device fabrication. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  9. Overcoming Ehrlich-Schwöbel barrier in (1 1 1)A GaAs molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Ritzmann, Julian; Schott, Rüdiger; Gross, Katherine; Reuter, Dirk; Ludwig, Arne; Wieck, Andreas D.

    2018-01-01

    In this work, we first study the effect of different growth parameters on the molecular beam epitaxy (MBE) growth of GaAs layers on (1 1 1)A oriented substrates. After that we present a method for the MBE growth of atomically smooth layers by sequences of growth and annealing phases. The samples exhibit low surface roughness and good electrical properties shown by atomic force microscopy (AFM), scanning electron microscopy (SEM) and van-der-Pauw Hall measurements.

  10. GaAs Solar Cell Radiation Handbook

    NASA Technical Reports Server (NTRS)

    Anspaugh, B. E.

    1996-01-01

    The handbook discusses the history of GaAs solar cell development, presents equations useful for working with GaAs solar cells, describes commonly used instrumentation techniques for assessing radiation effects in solar cells and fundamental processes occurring in solar cells exposed to ionizing radiation, and explains why radiation decreases the electrical performance of solar cells. Three basic elements required to perform solar array degradation calculations: degradation data for GaAs solar cells after irradiation with 1 MeV electrons at normal incidence; relative damage coefficients for omnidirectional electron and proton exposure; and the definition of the space radiation environment for the orbit of interest, are developed and used to perform a solar array degradation calculation.

  11. Modeling of phase velocity and frequency spectrum of guided Lamb waves in piezoelectric-semiconductor multilayered structures made of AlAs and GaAs

    NASA Astrophysics Data System (ADS)

    Othmani, Cherif; Takali, Farid; Njeh, Anouar

    2017-11-01

    Modeling of guided Lamb waves propagation in piezoelectric-semiconductor multilayered structures made of AlAs and GaAs is evaluated in this paper. Here, the Legendre polynomial method is used to calculate dispersion curves, frequency spectrum and field distributions of guided Lamb waves propagation modes in AlAs, GaAs, AlAs/GaAs and AlAs/GaAs/AlAs-1/2/1 structures. In fact, formulations are given for open-circuit surface. Consequently, the polynomial method is numerically stable according to the total number of layers and the frequency range. This analysis is meaningful for the applications of the piezoelectric-semiconductor multilayered structures made of AlAs and GaAs such as in novel acoustic devices.

  12. Crystal growth of device quality GaAs in space

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.; Lagowski, J.

    1979-01-01

    The optimization of space processing of GaAs is described. The detailed compositional, structural, and electronic characterization of GaAs on a macro- and microscale and the relationships between growth parameters and the properties of GaAs are among the factors discussed. The key parameters limiting device performance are assessed.

  13. Optimization of GaAs Nanowire Pin Junction Array Solar Cells by Using AlGaAs/GaAs Heterojunctions

    NASA Astrophysics Data System (ADS)

    Wu, Yao; Yan, Xin; Wei, Wei; Zhang, Jinnan; Zhang, Xia; Ren, Xiaomin

    2018-04-01

    We optimized the performance of GaAs nanowire pin junction array solar cells by introducing AlGaAs/GaAs heterejunctions. AlGaAs is used for the p type top segment for axial junctions and the p type outer shell for radial junctions. The AlGaAs not only serves as passivation layers for GaAs nanowires but also confines the optical generation in the active regions, reducing the recombination loss in heavily doped regions and the minority carrier recombination at the top contact. The results show that the conversion efficiency of GaAs nanowires can be greatly enhanced by using AlGaAs for the p segment instead of GaAs. A maximum efficiency enhancement of 8.42% has been achieved in this study. And for axial nanowire, by using AlGaAs for the top p segment, a relatively long top segment can be employed without degenerating device performance, which could facilitate the fabrication and contacting of nanowire array solar cells. While for radial nanowires, AlGaAs/GaAs nanowires show better tolerance to p-shell thickness and surface condition.

  14. Optimization of GaAs Nanowire Pin Junction Array Solar Cells by Using AlGaAs/GaAs Heterojunctions.

    PubMed

    Wu, Yao; Yan, Xin; Wei, Wei; Zhang, Jinnan; Zhang, Xia; Ren, Xiaomin

    2018-04-25

    We optimized the performance of GaAs nanowire pin junction array solar cells by introducing AlGaAs/GaAs heterejunctions. AlGaAs is used for the p type top segment for axial junctions and the p type outer shell for radial junctions. The AlGaAs not only serves as passivation layers for GaAs nanowires but also confines the optical generation in the active regions, reducing the recombination loss in heavily doped regions and the minority carrier recombination at the top contact. The results show that the conversion efficiency of GaAs nanowires can be greatly enhanced by using AlGaAs for the p segment instead of GaAs. A maximum efficiency enhancement of 8.42% has been achieved in this study. And for axial nanowire, by using AlGaAs for the top p segment, a relatively long top segment can be employed without degenerating device performance, which could facilitate the fabrication and contacting of nanowire array solar cells. While for radial nanowires, AlGaAs/GaAs nanowires show better tolerance to p-shell thickness and surface condition.

  15. EL2 and related defects in GaAs - Challenges and pitfalls. [microdefect introducing a deep donor level

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.; Lagowski, J.

    1985-01-01

    The incorporation process of nonequilibrium vacancies in melt-grown GaAs is strongly complicated by deviations from stoichiometry and the presence of two sublattices. Many of the microdefects originating in these vacancies and their interactions introduce energy levels (shallow and deep) within the energy gap. The direct identification of the chemical or structural signature of these defects and its direct correlation to their electronic behavior is not generally possible. It is necessary, therefore, to rely on indirect methods and phenomenological models and deal with the associated pitfalls. EL2, a microdefect introducing a deep donor level, has been in the limelight in recent years because it is believed to be responsible for the semi-insulating behavior of undoped GaAs. Although much progress has been made towards understanding its origin and nature, some relevant questions remain unanswered. An attempt is made to assess the present status of understanding of EL2 in the light of most recent results.

  16. Evaluation of implantation-disordering of (InGa)As/GaAs strained-layer superlattices

    NASA Astrophysics Data System (ADS)

    Myers, D. R.; Barnes, C. E.; Arnold, G. W.; Dawson, L. R.; Biefeld, R. M.; Zipperian, T. E.; Gourley, P. L.; Fritz, I. J.

    The optical and transport properties of InO 2GaO 8As/GaAs strained-layer superlattices (SLS's) which were implanted either with 5 x 10 to the 15th power, 250 keV Zn(+) or with 5 x 10 to 14th power/square cm/cm(2), 70 keV Be(+) and annealed under an arsenic overpressure at 600 (0) C were examined. For both cases, electrical activation in the implantation-doped regions equalled that of similar implants and anneals in bulk GaAs, even though the Be implant retained the SLS structure, while the Zn implant intermixed the SLS layers to produce an alloy semiconductor of the average SLS composition. Photoluminescence intensities in the annealed implanted regions were significantly reduced from that of virgin material, apparently due to residual implant damage. Diodes formed from both the Be- and the Zn-implanted SLS' produced electroluminescence internsity comparable to that of grown-junction SLS diodes in the same chemical system, despite the implantation processing and the potential for vertical lattice mismatch in the Zn-disordered SLS device. These results indicate that Zn-disordering can be as useful for strained-layer superlattices as in lattice-matched systems.

  17. Controlling the defects and transition layer in SiO2 films grown on 4H-SiC via direct plasma-assisted oxidation

    PubMed Central

    Kim, Dae-Kyoung; Jeong, Kwang-Sik; Kang, Yu-Seon; Kang, Hang-Kyu; Cho, Sang W.; Kim, Sang-Ok; Suh, Dongchan; Kim, Sunjung; Cho, Mann-Ho

    2016-01-01

    The structural stability and electrical performance of SiO2 grown on SiC via direct plasma-assisted oxidation were investigated. To investigate the changes in the electronic structure and electrical characteristics caused by the interfacial reaction between the SiO2 film (thickness ~5 nm) and SiC, X-ray photoelectron spectroscopy (XPS), X-ray absorption spectroscopy (XAS), density functional theory (DFT) calculations, and electrical measurements were performed. The SiO2 films grown via direct plasma-assisted oxidation at room temperature for 300s exhibited significantly decreased concentrations of silicon oxycarbides (SiOxCy) in the transition layer compared to that of conventionally grown (i.e., thermally grown) SiO2 films. Moreover, the plasma-assisted SiO2 films exhibited enhanced electrical characteristics, such as reduced frequency dispersion, hysteresis, and interface trap density (Dit ≈ 1011 cm−2 · eV−1). In particular, stress induced leakage current (SILC) characteristics showed that the generation of defect states can be dramatically suppressed in metal oxide semiconductor (MOS) structures with plasma-assisted oxide layer due to the formation of stable Si-O bonds and the reduced concentrations of SiOxCy species defect states in the transition layer. That is, energetically stable interfacial states of high quality SiO2 on SiC can be obtained by the controlling the formation of SiOxCy through the highly reactive direct plasma-assisted oxidation process. PMID:27721493

  18. Molecular beam epitaxy growth of high electron mobility InAs/AlSb deep quantum well structure

    NASA Astrophysics Data System (ADS)

    Wang, Juan; Wang, Guo-Wei; Xu, Ying-Qiang; Xing, Jun-Liang; Xiang, Wei; Tang, Bao; Zhu, Yan; Ren, Zheng-Wei; He, Zhen-Hong; Niu, Zhi-Chuan

    2013-07-01

    InAs/AlSb deep quantum well (QW) structures with high electron mobility were grown by molecular beam epitaxy (MBE) on semi-insulating GaAs substrates. AlSb and Al0.75Ga0.25Sb buffer layers were grown to accommodate the lattice mismatch (7%) between the InAs/AlSb QW active region and GaAs substrate. Transmission electron microscopy shows abrupt interface and atomic force microscopy measurements display smooth surface morphology. Growth conditions of AlSb and Al0.75Ga0.25Sb buffer were optimized. Al0.75Ga0.25Sb is better than AlSb as a buffer layer as indicated. The sample with optimal Al0.75Ga0.25Sb buffer layer shows a smooth surface morphology with root-mean-square roughness of 6.67 Å. The electron mobility has reached as high as 27 000 cm2/Vs with a sheet density of 4.54 × 1011/cm2 at room temperature.

  19. Scanning capacitance microscopy of ErAs nanoparticles embedded in GaAs pn junctions

    NASA Astrophysics Data System (ADS)

    Park, K. W.; Nair, H. P.; Crook, A. M.; Bank, S. R.; Yu, E. T.

    2011-09-01

    Scanning capacitance microscopy is used to characterize the electronic properties of ErAs nanoparticles embedded in GaAs pn junctions grown by molecular beam epitaxy. Voltage-dependent capacitance images reveal localized variations in subsurface electronic structure near buried ErAs nanoparticles at lateral length scales of 20-30 nm. Numerical modeling indicates that these variations arise from inhomogeneities in charge modulation due to Fermi level pinning behavior associated with the embedded ErAs nanoparticles. Statistical analysis of image data yields an average particle radius of 6-8 nm—well below the direct resolution limit in scanning capacitance microscopy but discernible via analysis of patterns in nanoscale capacitance images.

  20. Submilliampere continuous-wave room-temperature lasing operation of a GaAs mushroom structure surface-emitting laser

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Y.J.; Dziura, T.G.; Wang, S.C.

    1990-05-07

    We report a GaAs mushroom structure surface-emitting laser at 900 nm with submilliampere (0.2--0.5 mA) threshold under room-temperature cw operation for the first time. The very low threshold current was achieved on devices which consisted of a 2--4 {mu}m diameter active region formed by chemical selective etching, and sandwiched between two Al{sub 0.05}Ga{sub 0.95} As/ Al{sub 0.53}Ga{sub 0.47} As distributed Bragg reflectors of very high reflectivity (98--99%) grown by metalorganic chemical vapor deposition.

  1. Submilliampere continuous-wave room-temperature lasing operation of a GaAs mushroom structure surface-emitting laser

    NASA Astrophysics Data System (ADS)

    Yang, Ying Jay; Dziura, Thaddeus G.; Wang, S. C.; Hsin, Wei; Wang, Shyh

    1990-05-01

    We report a GaAs mushroom structure surface-emitting laser at 900 nm with submilliampere (0.2-0.5 mA) threshold under room-temperature cw operation for the first time. The very low threshold current was achieved on devices which consisted of a 2-4 μm diameter active region formed by chemical selective etching, and sandwiched between two Al0.05Ga0.95 As/ Al0.53Ga0.47 As distributed Bragg reflectors of very high reflectivity (98-99%) grown by metalorganic chemical vapor deposition.

  2. On the optical evaluation of the EL2 deep level concentration in semi-insulating GaAs

    NASA Technical Reports Server (NTRS)

    Walukiewicz, W.; Lagowski, J.; Gatos, H. C.

    1983-01-01

    A practical procedure for the evaluation of the Fermi energy in semi-insulating (SI)GaAs from electrical measurements is presented. This procedure makes it possible to reliably extend the determination of the major deep level (EL2) concentration, by near-infrared absorption measurements, to SIGaAs. Employing this procedure, it is shown that the EL2 concentration in Czochralski-grown GaAs increases monotonically with increasing As/Ga ratio (throughout the conversion from SI n type to semiconducting p-type crystals) rather than abruptly as previously proposed.

  3. Metal-organic vapor-phase epitaxy-grown ultra-low density InGaAs/GaAs quantum dots exhibiting cascaded single-photon emission at 1.3 μm

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Paul, Matthias, E-mail: m.paul@ihfg.uni-stuttgart.de; Kettler, Jan; Zeuner, Katharina

    By metal-organic vapor-phase epitaxy, we have fabricated InGaAs quantum dots on GaAs substrate with an ultra-low lateral density (<10{sup 7} cm{sup −2}). The photoluminescence emission from the quantum dots is shifted to the telecom O-band at 1.31 μm by an InGaAs strain reducing layer. In time-resolved measurements, we find fast decay times for exciton (∼600 ps) and biexciton (∼300 ps). We demonstrate triggered single-photon emission (g{sup (2)}(0)=0.08) as well as cascaded emission from the biexciton decay. Our results suggest that these quantum dots can compete with their counterparts grown by state-of-the-art molecular beam epitaxy.

  4. GaAsBi/GaAs multi-quantum well LED grown by molecular beam epitaxy using a two-substrate-temperature technique.

    PubMed

    Patil, Pallavi Kisan; Luna, Esperanza; Matsuda, Teruyoshi; Yamada, Kohki; Kamiya, Keisuke; Ishikawa, Fumitaro; Shimomura, Satoshi

    2017-03-10

    We report a GaAs 0.96 Bi 0.04 /GaAs multiple quantum well (MQW) light emitting diode (LED) grown by molecular beam epitaxy using a two-substrate-temperature (TST) technique. In particular, the QWs and the barriers in the intrinsic region were grown at the different temperatures of [Formula: see text] = 350 °C and [Formula: see text] respectively. Investigations of the microstructure using transmission electron microscopy (TEM) reveal homogeneous MQWs free of extended defects. Furthermore, the local determination of the Bi distribution profile across the MQWs region using TEM techniques confirm the uniform Bi distribution, while revealing a slightly chemically graded GaAs-on-GaAsBi interface due to Bi surface segregation. Despite this small broadening, we found that Bi segregation is significantly reduced (up to 18% reduction) compared to previous reports on Bi segregation in GaAsBi/GaAs MQWs. Hence, the TST procedure proves as a very efficient method to reduce Bi segregation and thus increase the quality of the layers and interfaces. These improvements positively reflect in the optical properties. Room temperature photoluminescence and electroluminescence (EL) at 1.23 μm emission wavelength are successfully demonstrated using TST MQWs containing less Bi content than in previous reports. Finally, LED fabricated using the present TST technique show current-voltage (I-V) curves with a forward voltage of 3.3 V at an injection current of 130 mA under 1.0 kA cm -2 current excitation. These results not only demonstrate that TST technique provides optical device quality GaAsBi/GaAs MQWs but highlight the relevance of TST-based growth techniques on the fabrication of future heterostructure devices based on dilute bismides.

  5. GaAsBi/GaAs multi-quantum well LED grown by molecular beam epitaxy using a two-substrate-temperature technique

    NASA Astrophysics Data System (ADS)

    Kisan Patil, Pallavi; Luna, Esperanza; Matsuda, Teruyoshi; Yamada, Kohki; Kamiya, Keisuke; Ishikawa, Fumitaro; Shimomura, Satoshi

    2017-03-01

    We report a GaAs0.96Bi0.04/GaAs multiple quantum well (MQW) light emitting diode (LED) grown by molecular beam epitaxy using a two-substrate-temperature (TST) technique. In particular, the QWs and the barriers in the intrinsic region were grown at the different temperatures of {T}{{GaAsBi}} = 350 °C and {T}{{GaAs}} = 550 ^\\circ {{C}}, respectively. Investigations of the microstructure using transmission electron microscopy (TEM) reveal homogeneous MQWs free of extended defects. Furthermore, the local determination of the Bi distribution profile across the MQWs region using TEM techniques confirm the uniform Bi distribution, while revealing a slightly chemically graded GaAs-on-GaAsBi interface due to Bi surface segregation. Despite this small broadening, we found that Bi segregation is significantly reduced (up to 18% reduction) compared to previous reports on Bi segregation in GaAsBi/GaAs MQWs. Hence, the TST procedure proves as a very efficient method to reduce Bi segregation and thus increase the quality of the layers and interfaces. These improvements positively reflect in the optical properties. Room temperature photoluminescence and electroluminescence (EL) at 1.23 μm emission wavelength are successfully demonstrated using TST MQWs containing less Bi content than in previous reports. Finally, LED fabricated using the present TST technique show current-voltage (I-V) curves with a forward voltage of 3.3 V at an injection current of 130 mA under 1.0 kA cm-2 current excitation. These results not only demonstrate that TST technique provides optical device quality GaAsBi/GaAs MQWs but highlight the relevance of TST-based growth techniques on the fabrication of future heterostructure devices based on dilute bismides.

  6. Characterisation of semi-insulating GaAs

    NASA Technical Reports Server (NTRS)

    Walukiewicz, W.; Pawlowicz, L.; Lagowski, J.; Gatos, H. C.

    1982-01-01

    Hole and electron mobilities as functions of temperature and ionised impurity concentration are calculated for GaAs. It is shown that these calculations, when used to analyse electrical properties of semi-insulating GaAs, enable an assessment of the Fermi energy position and ionised impurity concentration to be made. In contrast to previous work, the analysis does not require any phenomenological assumptions.

  7. Technology requirements for GaAs photovoltaic arrays

    NASA Technical Reports Server (NTRS)

    Scott-Monck, J.; Rockey, D.

    1981-01-01

    An analysis based on percent GaAs solar cell weight and cost is performed to assess the utility of this cell for future space missions. It is shown that the GaAs substrate cost and the end-of-life (EOL) advantage the cell can provide over the space qualified silicon solar cell are the dominant factors determining potential use. Examples are presented to show that system level advantages resulting from reduction in solar panel area may warrant the use of GaAs at its current weight and projected initial cost provided the EOL advantage over silicon is at least 20 percent.

  8. Crystal Growth of Device Quality Gaas in Space

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.

    1985-01-01

    The GaAs research evolves about these key thrust areas. The overall program combines: (1) studies of crystal growth on novel approaches to engineering of semiconductor material (i.e., GaAs and related compounds); (2) investigation and correlation of materials properties and electronic characteristics on a macro- and microscale; and (3) investigation of electronic properties and phenomena controlling device applications and device performance. This effort is aimed at the essential ground-based program which would insure successful experimentation with and eventually processing of GaAs in near zero gravity environment. It is believed that this program addresses in a unique way materials engineering aspects which bear directly on the future exploitation of the potential of GaAs and related materials in device and systems applications.

  9. ZnO films on /001/-cut (110)-propagating GaAs substrates for surface acoustic wave device applications

    NASA Technical Reports Server (NTRS)

    Hickernell, Frederick S.; Higgins, Robert J.; Jen, Cheng-Kuei; Kim, Yoonkee; Hunt, William D.

    1995-01-01

    A potential application for piezoelectric films substrates is the monolithic integration of surface acoustic wave (SAW) devices with GaAs electronics. Knowledge of the SAW properties of the layered structure is critical for the optimum and accurate design of such devices. The acoustic properties of ZnO films sputtered on /001/-cut group of (110) zone axes-propagating GaAs substrates are investigated in this article, including SAW velocity, effective piezoelectric coupling constant, propagation loss, diffraction, velocity surface, and reflectivity of shorted and open metallic gratings. The measurements of these essential SAW properties for the frequency range between 180 and 360 MHz have been performed using a knife-edge laser probe for film thicknesses over the range of 1.6-4 micron and with films of different grain sizes. The high quality of dc triode sputtered films was observed as evidenced by high K(sup 2) and low attenuation. The measurements of the velocity surface, which directly affects the SAW diffraction, on the bare and metalized ZnO on SiO2 or Si3N4 on /001/-cut GaAs samples are reported using two different techniques: (1) knife-edge laser probe, (2) line-focus-beam scanning acoustic microscope. It was found that near the group of (110) zone axes propagation direction, the focusing SAW property of the bare GaAs changes into a nonfocusing one for the layered structure, but a reversed phenomenon exists near the (100) direction. Furthermore, to some extent the diffraction of the substrate can be controlled with the film thickness. The reflectivity of shorted and open gratings are also analyzed and measured. Zero reflectivity is observed for a shorted grating. There is good agreement between the measured data and theoretical values.

  10. Crystal growth of device quality GaAs in space

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.; Lagowski, J.

    1984-01-01

    The crystal growth, device processing and device related properties and phenomena of GaAs are investigated. Our GaAs research evolves about these key thrust areas. The overall program combines: (1) studies of crystal growth on novel approaches to engineering of semiconductor materials (i.e., GaAs and related compounds); (2) investigation and correlation of materials properties and electronic characteristics on a macro- and microscale; (3) investigation of electronic properties and phenomena controlling device applications and device performance. The ground based program is developed which would insure successful experimentation with and eventually processing of GaAs in a near zero gravity environment.

  11. Comparison of OARE Accelerometer Data with Dopant Distribution in Se-Doped GaAs Crystals Grown During USML-1

    NASA Technical Reports Server (NTRS)

    Moskowitz, Milton E.; Bly, Jennifer M.; Matthiesen, David H.

    1997-01-01

    Experiments were conducted in the crystal growth furnace (CGF) during the first United States Microgravity Laboratory (USML-1), the STS-50 flight of the Space Shuttle Columbia, to determine the segregation behavior of selenium in bulk GaAs in a microgravity environment. After the flight, the selenium-doped GaAs crystals were sectioned, polished, and analyzed to determine the free carrier concentration as a function of position, One of the two crystals initially exhibited an axial concentration profile indicative of diffusion controlled growth, but this profile then changed to that predicted for a complete mixing type growth. An analytical model, proposed by Naumann [R.J. Naumann, J. Crystal Growth 142 (1994) 253], was utilized to predict the maximum allowable microgravity disturbances transverse to the growth direction during the two different translation rates used for each of the experiments. The predicted allowable acceleration levels were 4.86 microgram for the 2.5 micrometers/s furnace translation rate and 38.9 microgram for the 5.0 micrometers/s rate. These predicted values were compared to the Orbital Acceleration Research Experiment (OARE) accelerometer data recorded during the crystal growth periods for these experiments. Based on the analysis of the OARE acceleration data and utilizing the predictions from the analytical model, it is concluded that the change in segregation behavior was not caused by any acceleration events in the microgravity environment.

  12. A two layer hermetic-like coating process for on-wafer encapsulation of GaAs MMIC`s

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kaleta, T.; Varmazis, C.; Carney, J.P.

    1995-12-31

    The authors have developed a low-cost, manufacturable, 2-layer coating process for on-wafer encapsulation of GaAs MMICs. This packaging approach takes advantage of the low dielectric permittivity of polymers such as Benzocyclobutene (BCB) and the sealing properties of ceramics such as SiC to provide both mechanical protection to MMICs during handling and also hermetic-like equivalence to moisture with predictable changes in the electrical performance of the coated MMICs. The effects of coatings on FET parameters, spiral inductors and a two stage X-Band LNA have been investigated. Results on FETs indicate that the internode capacitances Cgs and Cgd exhibited the same incrementalmore » change of 0.035 pF/mm (3 and 25 % increase respectively), while Cds changed by 0.051 pF/mm (27% increase) with very minimal changes in the other FET parameters. The only observed change in spiral inductors was a 112% increase in Cp from 0.006 pF to 0.013 pF. The LNA exhibited a 1 GHz shift in frequency response from 7 to 11 GHz to 6 to 11 GHz with no substantial changes in gain and noise figure. Preliminary reliability investigations on coated devices did not show any failures after 150 hours in autoclave (120C, 100% humidity).« less

  13. 1300 nm wavelength InAs quantum dot photodetector grown on silicon.

    PubMed

    Sandall, Ian; Ng, Jo Shien; David, John P R; Tan, Chee Hing; Wang, Ting; Liu, Huiyun

    2012-05-07

    The optical and electrical properties of InAs quantum dots epitaxially grown on a silicon substrate have been investigated to evaluate their potential as both photodiodes and avalanche photodiodes (APDs) operating at a wavelength of 1300 nm. A peak responsivity of 5 mA/W was observed at 1280 nm, with an absorption tail extending beyond 1300 nm, while the dark currents were two orders of magnitude lower than those reported for Ge on Si photodiodes. The diodes exhibited avalanche breakdown at 22 V reverse bias which is probably dominated by impact ionisation occurring in the GaAs and AlGaAs barrier layers. A red shift in the absorption peak of 61.2 meV was measured when the reverse bias was increased from 0 to 22 V, which we attributed to the quantum confined stark effect. This shift also leads to an increase in the responsivity at a fixed wavelength as the bias is increased, yielding a maximum increase in responsivity by a factor of 140 at the wavelength of 1365 nm, illustrating the potential for such a structure to be used as an optical modulator.

  14. n-type doping and morphology of GaAs nanowires in Aerotaxy

    DOE PAGES

    Metaferia, Wondwosen; Sivakumar, Sudhakar; Persson, Axel R.; ...

    2018-05-10

    Controlled doping in semiconductor nanowires modifies their electrical and optical properties, which are important for high efficiency optoelectronic devices. We have grown n-type (Sn) doped GaAs nanowires in Aerotaxy, a new continuous gas phase mass production technique. The morphology of Sn doped nanowires is found to be a strong function of dopant, tetraethyltin to trimethylgallium flow ratio, Au-Ga-Sn alloying, and nanowire growth temperatures. High temperature and high flow ratios result in low morphological quality nanowires and in parasitic growth on the wire base and surface. Alloying and growth temperatures of 400 and 530 degrees C, respectively, resulted in good morphologicalmore » quality nanowires for a flow ratio of TESn to TMGa up to 2.25 x 10 -3. The wires are pure Zinc-blende for all investigated growth conditions, whereas nanowires grown by MOVPE with the same growth conditions are usually mainly Wurtzite. The growth rate of the doped wires is found to be dependent more on the TESn flow fraction than on alloying and nanowire growth temperatures. Our photoluminescence measurements, supported by four-point probe resistivity measurements, reveal that the carrier concentration in the doped wires varies only slightly (1- 3) x 10 19 cm -3 with TESn flow fraction and both alloying and growth temperatures, indicating that good morphological quality wires with high carrier density can be grown with low TESn flow. Carrier concentrations lower than 1019 cm-3 can be grown by further reducing the flow fraction of TESn, which may give better morphology wires.« less

  15. n-type doping and morphology of GaAs nanowires in Aerotaxy

    NASA Astrophysics Data System (ADS)

    Metaferia, Wondwosen; Sivakumar, Sudhakar; Persson, Axel R.; Geijselaers, Irene; Reine Wallenberg, L.; Deppert, Knut; Samuelson, Lars; Magnusson, Martin H.

    2018-07-01

    Controlled doping in semiconductor nanowires modifies their electrical and optical properties, which are important for high efficiency optoelectronic devices. We have grown n-type (Sn) doped GaAs nanowires in Aerotaxy, a new continuous gas phase mass production technique. The morphology of Sn doped nanowires is found to be a strong function of dopant, tetraethyltin to trimethylgallium flow ratio, Au–Ga–Sn alloying, and nanowire growth temperatures. High temperature and high flow ratios result in low morphological quality nanowires and in parasitic growth on the wire base and surface. Alloying and growth temperatures of 400 °C and 530 °C, respectively, resulted in good morphological quality nanowires for a flow ratio of TESn to TMGa up to 2.25 × 10‑3. The wires are pure zinc-blende for all investigated growth conditions, whereas nanowires grown by metal-organic vapor phase epitaxy with the same growth conditions are usually mainly Wurtzite. The growth rate of the doped wires is found to be dependent more on the TESn flow fraction than on alloying and nanowire growth temperatures. Our photoluminescence measurements, supported by four-point probe resistivity measurements, reveal that the carrier concentration in the doped wires varies only slightly (1–3) × 1019 cm‑3 with TESn flow fraction and both alloying and growth temperatures, indicating that good morphological quality wires with high carrier density can be grown with low TESn flow. Carrier concentrations lower than 1019 cm‑3 can be grown by further reducing the flow fraction of TESn, which may give better morphology wires.

  16. n-type doping and morphology of GaAs nanowires in Aerotaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Metaferia, Wondwosen; sivakumar, sudhakar; R. Persson, Axel

    2018-04-17

    Controlled doping in semiconductor nanowires modifies their electrical and optical properties, which are important for high efficiency optoelectronic devices. We have grown n-type (Sn) doped GaAs nanowires in Aerotaxy, a new continuous gas phase mass production technique. The morphology of Sn doped nanowires is found to be a strong function of dopant, tetraethyltin to trimethylgallium flow ratio, Au-Ga-Sn alloying, and nanowire growth temperatures. High temperature and high flow ratios result in low morphological quality nanowires and in parasitic growth on the wire base and surface. Alloying and growth temperatures of 400 and 530 degrees C, respectively, resulted in good morphologicalmore » quality nanowires for a flow ratio of TESn to TMGa up to 2.25 x 10-3. The wires are pure Zinc-blende for all investigated growth conditions, whereas nanowires grown by MOVPE with the same growth conditions are usually mainly Wurtzite. The growth rate of the doped wires is found to be dependent more on the TESn flow fraction than on alloying and nanowire growth temperatures. Our photoluminescence measurements, supported by four-point probe resistivity measurements, reveal that the carrier concentration in the doped wires varies only slightly (1- 3) x 1019 cm-3 with TESn flow fraction and both alloying and growth temperatures, indicating that good morphological quality wires with high carrier density can be grown with low TESn flow. Carrier concentrations lower than 1019 cm-3 can be grown by further reducing the flow fraction of TESn, which may give better morphology wires.« less

  17. n-type doping and morphology of GaAs nanowires in Aerotaxy.

    PubMed

    Metaferia, Wondwosen; Sivakumar, Sudhakar; Persson, Axel R; Geijselaers, Irene; Wallenberg, L Reine; Deppert, Knut; Samuelson, Lars; Magnusson, Martin H

    2018-04-17

    Controlled doping in semiconductor nanowires modifies their electrical and optical properties, which are important for high efficiency optoelectronic devices. We have grown n-type (Sn) doped GaAs nanowires in Aerotaxy, a new continuous gas phase mass production technique. The morphology of Sn doped nanowires is found to be a strong function of dopant, tetraethyltin to trimethylgallium flow ratio, Au-Ga-Sn alloying, and nanowire growth temperatures. High temperature and high flow ratios result in low morphological quality nanowires and in parasitic growth on the wire base and surface. Alloying and growth temperatures of 400 °C and 530 °C, respectively, resulted in good morphological quality nanowires for a flow ratio of TESn to TMGa up to 2.25 × 10 -3 . The wires are pure zinc-blende for all investigated growth conditions, whereas nanowires grown by metal-organic vapor phase epitaxy with the same growth conditions are usually mainly Wurtzite. The growth rate of the doped wires is found to be dependent more on the TESn flow fraction than on alloying and nanowire growth temperatures. Our photoluminescence measurements, supported by four-point probe resistivity measurements, reveal that the carrier concentration in the doped wires varies only slightly (1-3) × 10 19 cm -3 with TESn flow fraction and both alloying and growth temperatures, indicating that good morphological quality wires with high carrier density can be grown with low TESn flow. Carrier concentrations lower than 10 19 cm -3 can be grown by further reducing the flow fraction of TESn, which may give better morphology wires.

  18. n-type doping and morphology of GaAs nanowires in Aerotaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Metaferia, Wondwosen; Sivakumar, Sudhakar; Persson, Axel R.

    Controlled doping in semiconductor nanowires modifies their electrical and optical properties, which are important for high efficiency optoelectronic devices. We have grown n-type (Sn) doped GaAs nanowires in Aerotaxy, a new continuous gas phase mass production technique. The morphology of Sn doped nanowires is found to be a strong function of dopant, tetraethyltin to trimethylgallium flow ratio, Au-Ga-Sn alloying, and nanowire growth temperatures. High temperature and high flow ratios result in low morphological quality nanowires and in parasitic growth on the wire base and surface. Alloying and growth temperatures of 400 and 530 degrees C, respectively, resulted in good morphologicalmore » quality nanowires for a flow ratio of TESn to TMGa up to 2.25 x 10 -3. The wires are pure Zinc-blende for all investigated growth conditions, whereas nanowires grown by MOVPE with the same growth conditions are usually mainly Wurtzite. The growth rate of the doped wires is found to be dependent more on the TESn flow fraction than on alloying and nanowire growth temperatures. Our photoluminescence measurements, supported by four-point probe resistivity measurements, reveal that the carrier concentration in the doped wires varies only slightly (1- 3) x 10 19 cm -3 with TESn flow fraction and both alloying and growth temperatures, indicating that good morphological quality wires with high carrier density can be grown with low TESn flow. Carrier concentrations lower than 1019 cm-3 can be grown by further reducing the flow fraction of TESn, which may give better morphology wires.« less

  19. Anisotropic structural and optical properties of semi-polar (11-22) GaN grown on m-plane sapphire using double AlN buffer layers.

    PubMed

    Zhao, Guijuan; Wang, Lianshan; Yang, Shaoyan; Li, Huijie; Wei, Hongyuan; Han, Dongyue; Wang, Zhanguo

    2016-02-10

    We report the anisotropic structural and optical properties of semi-polar (11-22) GaN grown on m-plane sapphire using a three-step growth method which consisted of a low temperature AlN buffer layer, followed by a high temperature AlN buffer layer and GaN growth. By introducing double AlN buffer layers, we substantially improve the crystal and optical qualities of semi-polar (11-22) GaN, and significantly reduce the density of stacking faults and dislocations. The high resolution x-ray diffraction measurement revealed that the in-plane anisotropic structural characteristics of GaN layer are azimuthal dependent. Transmission electron microscopy analysis showed that the majority of dislocations in the GaN epitaxial layer grown on m-sapphire are the mixed-type and the orientation of GaN layer was rotated 58.4° against the substrate. The room temperature photoluminescence (PL) spectra showed the PL intensity and wavelength have polarization dependence along parallel and perpendicular to the [1-100] axis (polarization degrees ~ 0.63). The realization of a high polarization semi-polar GaN would be useful to achieve III-nitride based lighting emission device for displays and backlighting.

  20. Self-ordering of InAs nanostructures on (631)A/B GaAs substrates

    NASA Astrophysics Data System (ADS)

    Eugenio-López, Eric; Alejandro Mercado-Ornelas, Christian; Kisan Patil, Pallavi; Cortes-Mestizo, Irving Eduardo; Ángel Espinoza-Figueroa, José; Gorbatchev, Andrei Yu; Shimomura, Satoshi; Ithsmel Espinosa-Vega, Leticia; Méndez-García, Víctor Hugo

    2018-02-01

    The high order self-organization of quantum dots is demonstrated in the growth of InAs on a GaAs(631)-oriented crystallographic plane. The unidimensional ordering of the quantum dots (QDs) strongly depends on the As flux beam equivalent pressure (P As) and the cation/anion terminated surface, i.e., A- or B-type GaAs(631). The self-organization of QDs occurs for both surface types along [\\bar{1}13], while the QD shape and size distribution were found to be different for the self-assembly on the A- and B-type surfaces. In addition, the experiments showed that any misorientation from the (631) plane, which results from the buffer layer waviness, does not allow a high order of unidimensional arrangements of QDs. The optical properties were studied by photoluminescence spectroscopy, where good correspondence was obtained between the energy transitions and the size of the QDs.

  1. Growth temperature optimization of GaAs-based In0.83Ga0.17As on InxAl1-xAs buffers

    NASA Astrophysics Data System (ADS)

    Chen, X. Y.; Gu, Y.; Zhang, Y. G.; Ma, Y. J.; Du, B.; Zhang, J.; Ji, W. Y.; Shi, Y. H.; Zhu, Y.

    2018-04-01

    Improved quality of gas source molecular beam epitaxy grown In0.83Ga0.17As layer on GaAs substrate was achieved by adopting a two-step InxAl1-xAs metamorphic buffer at different temperatures. With a high-temperature In0.83Al0.17As template following a low-temperature composition continuously graded InxAl1-xAs (x = 0.05-0.86) buffer, better structural, optical and electrical properties of succeeding In0.83Ga0.17As were confirmed by atomic force microscopy, photoluminescence and Hall-effect measurements. Cross-sectional transmission electron microscopy revealed significant effect of the two-step temperature grown InAlAs buffer layers on the inhibition of threading dislocations due to the deposition of high density nuclei on GaAs substrate at the low growth temperature. The limited reduction for the dark current of GaAs-based In0.83Ga0.17As photodetectors on the two-step temperature grown InxAl1-xAs buffer layers was ascribed to the contribution of impurities caused by the low growth temperature of InAlAs buffers.

  2. On the behaviour and origin of the major deep level (EL2) in GaAs

    NASA Technical Reports Server (NTRS)

    Lagowski, J.; Parsey, J. M.; Kaminska, M.; Wada, K.; Gatos, H. C.

    1982-01-01

    In an extensive crystal growth and characterization study of Bridgman-grown GaAs it was established that the following factors affect the concentration of the EL2 level: (1) the As pressure during growth; (2) the partial pressure of Ga2O; (3) the concentration of shallow donors and acceptors; and (4) the post-growth cooling cycle. The role of these factors is qualitatively and quantitatively explained by attributing the 0.82 eV donor state to the antisite defect As-sub-Ga formed as a result of Ga-vacancy migration during the post-growth cooling of the crystals.

  3. Determination of composition of non-homogeneous GaInNAs layers

    NASA Astrophysics Data System (ADS)

    Pucicki, D.; Bielak, K.; Ściana, B.; Radziewicz, D.; Latkowska-Baranowska, M.; Kováč, J.; Vincze, A.; Tłaczała, M.

    2016-01-01

    Dilute nitride GaInNAs alloys grown on GaAs have become perspective materials for so called low-cost GaAs-based devices working within the optical wavelength range up to 1.6 μm. The multilayer structures of GaInNAs/GaAs multi-quantum well (MQW) samples usually are analyzed by using high resolution X-ray diffraction (HRXRD) measurements. However, demands for precise structural characterization of the GaInNAs containing heterostructures requires taking into consideration all inhomogeneities of such structures. This paper describes some of the material challenges and progress in structural characterization of GaInNAs layers. A new algorithm for structural characterization of dilute nitrides which bounds contactless electro-reflectance (CER) or photo-reflectance (PR) measurements and HRXRD analysis results together with GaInNAs quantum well band diagram calculation is presented. The triple quantum well (3QW) GaInNAs/GaAs structures grown by atmospheric-pressure metalorganic vapor-phase epitaxy (AP-MOVPE) were investigated according to the proposed algorithm. Thanks to presented algorithm, more precise structural data including the nonuniformity in the growth direction of GaInNAs/GaAs QWs were achieved. Therefore, the proposed algorithm is mentioned as a nondestructive method for characterization of multicomponent inhomogeneous semiconductor structures with quantum wells.

  4. Visible GaAs/0.7/P/0.3/ CW heterojunction lasers

    NASA Technical Reports Server (NTRS)

    Kressel, H.; Olsen, G. H.; Nuese, C. J.

    1977-01-01

    The paper reports the first low-threshold red-light-emitting heterojunction laser diodes consisting of lattice-matched Ga(As,P)/(In,Ga)P heteroepitaxial layers. A room-temperature threshold current of 3400 A/sq cm was obtained at a wavelength of about 7000 A; this value is substantially lower than those achieved at this wavelength with (Al,Ga)As lasers. For the first time, continuous-wave laser operation at temperatures as high as 10 C has been obtained for GaAs(1-x)P(x).

  5. Effects of Complex Structured Anodic Oxide Dielectric Layer Grown in Pore Matrix for Aluminum Capacitor.

    PubMed

    Shin, Jin-Ha; Yun, Sook Young; Lee, Chang Hyoung; Park, Hwa-Sun; Suh, Su-Jeong

    2015-11-01

    Anodization of aluminum is generally divided up into two types of anodic aluminum oxide structures depending on electrolyte type. In this study, an anodization process was carried out in two steps to obtain high dielectric strength and break down voltage. In the first step, evaporated high purity Al on Si wafer was anodized in oxalic acidic aqueous solution at various times at a constant temperature of 5 degrees C. In the second step, citric acidic aqueous solution was used to obtain a thickly grown sub-barrier layer. During the second anodization process, the anodizing potential of various ranges was applied at room temperature. An increased thickness of the sub-barrier layer in the porous matrix was obtained according to the increment of the applied anodizing potential. The microstructures and the growth of the sub-barrier layer were then observed with an increasing anodizing potential of 40 to 300 V by using a scanning electron microscope (SEM). An impedance analyzer was used to observe the change of electrical properties, including the capacitance, dissipation factor, impedance, and equivalent series resistance (ESR) depending on the thickness increase of the sub-barrier layer. In addition, the breakdown voltage was measured. The results revealed that dielectric strength was improved with the increase of sub-barrier layer thickness.

  6. Growth of InAs Quantum Dots on GaAs (511)A Substrates: The Competition between Thermal Dynamics and Kinetics.

    PubMed

    Wen, Lei; Gao, Fangliang; Zhang, Shuguang; Li, Guoqiang

    2016-08-01

    The growth process of InAs quantum dots grown on GaAs (511)A substrates has been studied by atomic force microscopy. According to the atomic force microscopy studies for quantum dots grown with varying InAs coverage, a noncoherent nucleation of quantum dots is observed. Moreover, due to the long migration length of In atoms, the Ostwald ripening process is aggravated, resulting in the bad uniformity of InAs quantum dots on GaAs (511)A. In order to improve the uniformity of nucleation, the growth rate is increased. By studying the effects of increased growth rates on the growth of InAs quantum dots, it is found that the uniformity of InAs quantum dots is greatly improved as the growth rates increase to 0.14 ML s(-1) . However, as the growth rates increase further, the uniformity of InAs quantum dots becomes dual-mode, which can be attributed to the competition between Ostwald ripening and strain relaxation processes. The results in this work provide insights regarding the competition between thermal dynamical barriers and the growth kinetics in the growth of InAs quantum dots, and give guidance to improve the size uniformity of InAs quantum dots on (N11)A substrates. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  7. Photoluminescence of Molecular Beam Epitaxy-Grown Mercury Cadmium Telluride: Comparison of HgCdTe/GaAs and HgCdTe/Si Technologies

    NASA Astrophysics Data System (ADS)

    Mynbaev, K. D.; Bazhenov, N. L.; Dvoretsky, S. A.; Mikhailov, N. N.; Varavin, V. S.; Marin, D. V.; Yakushev, M. V.

    2018-05-01

    Properties of HgCdTe films grown by molecular beam epitaxy on GaAs and Si substrates have been studied by performing variable-temperature photoluminescence (PL) measurements. A substantial difference in defect structure between films grown on GaAs (013) and Si (013) substrates was revealed. HgCdTe/GaAs films were mostly free of defect-related energy levels within the bandgap, which was confirmed by PL and carrier lifetime measurements. By contrast, the properties of HgCdTe/Si films are affected by uncontrolled point defects. These could not be always associated with typical "intrinsic" HgCdTe defects, such as mercury vacancies, so consideration of other defects, possibly inherent in HgCdTe/Si structures, was required. The post-growth annealing was found to have a positive effect on the defect structure by reducing the full-widths at half-maximum of excitonic PL lines for both types of films and lowering the concentration of defects specific to HgCdTe/Si.

  8. Influence of GaAs surface termination on GaSb/GaAs quantum dot structure and band offsets

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zech, E. S.; Chang, A. S.; Martin, A. J.

    2013-08-19

    We have investigated the influence of GaAs surface termination on the nanoscale structure and band offsets of GaSb/GaAs quantum dots (QDs) grown by molecular-beam epitaxy. Transmission electron microscopy reveals both coherent and semi-coherent clusters, as well as misfit dislocations, independent of surface termination. Cross-sectional scanning tunneling microscopy and spectroscopy reveal clustered GaSb QDs with type I band offsets at the GaSb/GaAs interfaces. We discuss the relative influences of strain and QD clustering on the band offsets at GaSb/GaAs interfaces.

  9. Low defect densities in molecular beam epitaxial GaAs achieved by isoelectronic In doping

    NASA Technical Reports Server (NTRS)

    Bhattacharya, P. K.; Dhar, S.; Berger, P.; Juang, F.-Y.

    1986-01-01

    A study has been made of the effects of adding small amounts of In (0.2-1.2 pct) to GaAs grown by molecular beam epitaxy. The density of four electron traps decreases in concentration by an order of magnitude, and the peak intensities of prominent emissions in the excitonic spectra are reduced with increase in In content. Based on the higher surface migration rate of In, compared to Ga, at the growth temperatures it is apparent that the traps and the excitonic transitions are related to point defects. This agrees with earlier observations by Briones and Collins (1982) and Skromme et al. (1985).

  10. Structure of high-index GaAs surfaces - the discovery of the stable GaAs(2511) surface

    NASA Astrophysics Data System (ADS)

    Jacobi, K.; Geelhaar, L.; Márquez, J.

    We present a brief overview of surface structures of high-index GaAs surfaces, putting emphasis on recent progress in our own laboratory. By adapting a commercial scanning tunneling microscope (STM) to our molecular beam epitaxy and ultra high vacuum analysis chamber system, we have been able to atomically resolve the GaAs( {1} {1} {3})B(8 ×1), (114)Aα2(2×1), (137), (3715), and (2511) surface structures. In cooperation with P. Kratzer and M. Scheffler from the Theory Department of the Fritz-Haber Institute we determined the structure of some of these surfaces by comparing total-energy calculations and STM image simulations with the atomically resolved STM images. We present the results for the {112}, {113}, and {114} surfaces. Then we describe what led us to proceed into the inner parts of the stereographic triangle and to discover the hitherto unknown stable GaAs(2511) surface.

  11. Study of thermal stability of spontaneously grown superlattice structures by metalorganic vapor phase epitaxy in AlxGa1-xAs/GaAs heterostructure

    NASA Astrophysics Data System (ADS)

    Pradhan, A.; Maitra, T.; Mukherjee, S.; Mukherjee, S.; Satpati, B.; Nayak, A.; Bhunia, S.

    2018-04-01

    Spontaneous superlattice ordering in a length scale larger than an atomic layer has been observed in AlxGa1-xAs layers grown on (100) GaAs substrates by metalorganic vapor phase epitaxy. Transmission electron microscopic image clearly revealed superlattice structures and the selected area electron diffraction showed closely spaced superlattice spots around the main diffraction pattern. High resolution x-ray diffraction showed distinct and sharp superlattice peaks symmetrically positioned around the central (004) Bragg peak and the similar measurement for (002) planes, which is quasi-forbidden for Bragg reflections showed only superlattice peaks. Thermal annealing studies showed the superlattice structure was stable up to 800 °C and disappeared after annealing at 900 °C retaining the crystallinity of the epilayer. Study of inter-diffusivitiesin such superlattice structures has been carried out using high temperaturex-ray diffraction results. Here we present (004) x-ray θ-2θ scans of the AlGaAs/GaAs (100) sample with annealing time for different temperatures. Conclusions regarding interdiffusion in such superlattice structures are drawn from high temperature X-ray measurements.

  12. Interface structure and composition of MoO3/GaAs(0 0 1)

    NASA Astrophysics Data System (ADS)

    Sarkar, Anirban; Ashraf, Tanveer; Grafeneder, Wolfgang; Koch, Reinhold

    2018-04-01

    We studied growth, structure, stress, oxidation state as well as surface and interface structure and composition of thermally-evaporated thin MoO3 films on the technologically important III/V-semiconductor substrate GaAs(0 0 1). The MoO3 films grow with Mo in the 6+  oxidation state. The electrical resistance is tunable by the oxygen partial pressure during deposition from transparent insulating to semi-transparant halfmetallic. In the investigated growth temperature range (room temperature to 200 °C) no diffraction spots are detected by x-ray diffraction. However, high resolution transmission electron microscopy reveals the formation of MoO3 nanocrystal grains with diameters of 5–8 nm. At the interface a  ≈3 nm-thick intermediate layer has formed, where the single-crystal lattice of GaAs gradually transforms to the nanocrystalline MoO3 structure. This interpretation is corroborated by our in situ and real-time stress measurements evidencing a two-stage growth process as well as by elemental interface analysis revealing coexistance of Ga, As, Mo, and oxygen in a intermediate layer of 3–4 nm.

  13. Electrode pattern design for GaAs betavoltaic batteries

    NASA Astrophysics Data System (ADS)

    Haiyang, Chen; Jianhua, Yin; Darang, Li

    2011-08-01

    The sensitivities of betavoltaic batteries and photovoltaic batteries to series and parallel resistance are studied. Based on the study, an electrode pattern design principle of GaAs betavoltaic batteries is proposed. GaAs PIN junctions with and without the proposed electrode pattern are fabricated and measured under the illumination of 63Ni. Results show that the proposed electrode can reduce the backscattering and shadowing for the beta particles from 63Ni to increase the GaAs betavoltaic battery short circuit currents effectively but has little impact on the fill factors and ideal factors.

  14. Modeling and Simulation of Capacitance-Voltage Characteristics of a Nitride GaAs Schottky Diode

    NASA Astrophysics Data System (ADS)

    Ziane, Abderrezzaq; Amrani, Mohammed; Benamara, Zineb; Rabehi, Abdelaziz

    2018-06-01

    A nitride GaAs Schottky diode has been fabricated by the nitridation of GaAs substrates using a radio frequency discharge nitrogen plasma source with a layer thickness of approximately 0.7 nm of GaN. The capacitance-voltage (C-V) characteristics of the Au/GaN/GaAs structure were investigated at room temperature for different frequencies, ranging from 1 kHz to 1 MHz. The C-V measurements for the Au/GaN/GaAs Schottky diode were found to be strongly dependent on the bias voltage and the frequency. The capacitance curves depict an anomalous peak and a negative capacitance phenomenon, indicating the presence of continuous interface state density behavior. A numerical drift-diffusion model based on the Scharfetter-Gummel algorithm was elaborated to solve a system composed of the Poisson and continuities equations. In this model, we take into account the continuous interface state density, and we have considered exponential and Gaussian distributions of trap states in the band gap. The effects of the GaAs doping concentration and the trap state density are discussed. We deduce the shape and values of the trap states, then we validate the developed model by fitting the computed C-V curves with experimental measurements at low frequency.

  15. Strained GaSb/AlAsSb Quantum Wells for p-Channel Field-Effect Transistors

    DTIC Science & Technology

    2008-01-01

    Available online 18 October 2008 PACS: 72.80.Ey 73.61.Ey 81.05.Ea 85.30.Tv Keywords: A3. Molecular beam epitaxy A3. Quantum wells B2. Semiconducting III–V...were grown by molecular beam epitaxy on GaAs substrates. The buffer layer and barrier layers consisted of relaxed AlAsxSb1x. The composition of the...composition in order to control the strain in the GaSb quantum well. The heterostructures studied here are grown by molecular beam epitaxy (MBE) on semi

  16. Understanding and Curing Structural Defects in Colloidal GaAs Nanocrystals

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Srivastava, Vishwas; Liu, Wenyong; Janke, Eric M.

    2017-02-22

    Nearly three decades since the first report on the synthesis of colloidal GaAs nanocrystals (NCs), the preparation and properties of this material remain highly controversial. Traditional synthetic routes either fail to produce the GaAs phase or result in materials that do not show expected optical properties such as excitonic transitions. In this work, we demonstrate a variety of synthetic routes toward crystalline GaAs NCs. By using a combination of Raman, EXAFS and transient absorption spectroscopies, we conclude that unusual optical properties of 2 colloidal GaAs NCs can be related to the presence of vacancies and lattice disorder. We introduce novelmore » molten salt based annealing approach to alleviate these structural defects and show the emergence of size-dependent excitonic transitions in colloidal GaAs quantum dots.« less

  17. Magnetoelectric Effect in Gallium Arsenide-Nickel-Tin-Nickel Multilayer Structures

    NASA Astrophysics Data System (ADS)

    Filippov, D. A.; Tikhonov, A. A.; Laletin, V. M.; Firsova, T. O.; Manicheva, I. N.

    2018-02-01

    Experimental data have been presented for the magnetoelectric effect in nickel-tin-nickel multilayer structures grown on a GaAs substrate by cathodic electrodeposition. The method of fabricating these structures has been described, and the frequency dependence of the effect has been demonstrated. It has been shown that tin used as an intermediate layer reduces mechanical stresses due to the phase mismatch at the Ni-GaAs interface and, thus, makes it possible to grow good structures with a 70-μm-thick Ni layer. The grown structures offer good adhesion between layers and a high Q factor.

  18. Transverse magnetic focussing of heavy holes in a (100) GaAs quantum well

    NASA Astrophysics Data System (ADS)

    Rendell, M.; Klochan, O.; Srinivasan, A.; Farrer, I.; Ritchie, D. A.; Hamilton, A. R.

    2015-10-01

    We perform magnetic focussing of high mobility holes confined in a shallow GaAs/Al0.33Ga0.67As quantum well grown on a (100) GaAs substrate. We observe ballistic focussing of holes over a path length of up to 4.9 μm with a large number of focussing peaks. We show that additional structure on the focussing peaks can be caused by a combination of the finite width of the injector quantum point contact and Shubnikov-de Haas oscillations. These results pave the way to studies of spin-dependent magnetic focussing and spin relaxation lengths in two-dimentional hole systems without complications of crystal anisotropies and anisotropic g-tensors.

  19. GaAs homojunction solar cell development

    NASA Technical Reports Server (NTRS)

    Flood, D. J.; Swartz, C. K.; Hart, R. E., Jr.

    1980-01-01

    The Lincoln Laboratory n(+)/p/p(+) GaAs shallow homojunction cell structure was successfully demonstrated on 2 by 2 cm GaAs substrates. Air mass zero efficiencies of the seven cells produced to date range from 13.6 to 15.6 percent. Current voltage (I-V) characteristics, spectral response, and measurements were made on all seven cells. Preliminary analysis of 1 MeV electron radiation damage data indicate excellent radiation resistance for these cells.

  20. Cross-sectional scanning thermal microscopy of ErAs/GaAs superlattices grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Park, K. W.; Krivoy, E. M.; Nair, H. P.; Bank, S. R.; Yu, E. T.

    2015-07-01

    Scanning thermal microscopy has been implemented in a cross-sectional geometry, and its application for quantitative, nanoscale analysis of thermal conductivity is demonstrated in studies of an ErAs/GaAs nanocomposite superlattice. Spurious measurement effects, attributable to local thermal transport through air, were observed near large step edges, but could be eliminated by thermocompression bonding to an additional structure. Using this approach, bonding of an ErAs/GaAs superlattice grown on GaAs to a silicon-on-insulator wafer enabled thermal signals to be obtained simultaneously from Si, SiO2, GaAs, and ErAs/GaAs superlattice. When combined with numerical modeling, the thermal conductivity of the ErAs/GaAs superlattice measured using this approach was 11 ± 4 W m-1 K-1.

  1. Cross-sectional scanning thermal microscopy of ErAs/GaAs superlattices grown by molecular beam epitaxy.

    PubMed

    Park, K W; Krivoy, E M; Nair, H P; Bank, S R; Yu, E T

    2015-07-03

    Scanning thermal microscopy has been implemented in a cross-sectional geometry, and its application for quantitative, nanoscale analysis of thermal conductivity is demonstrated in studies of an ErAs/GaAs nanocomposite superlattice. Spurious measurement effects, attributable to local thermal transport through air, were observed near large step edges, but could be eliminated by thermocompression bonding to an additional structure. Using this approach, bonding of an ErAs/GaAs superlattice grown on GaAs to a silicon-on-insulator wafer enabled thermal signals to be obtained simultaneously from Si, SiO2, GaAs, and ErAs/GaAs superlattice. When combined with numerical modeling, the thermal conductivity of the ErAs/GaAs superlattice measured using this approach was 11 ± 4 W m(-1) K(-1).

  2. Copper-related defects in In0.53Ga0.47As grown by liquid-phase epitaxy

    NASA Astrophysics Data System (ADS)

    Tilly, L. P.; Grimmeiss, H. G.; Hansson, P. O.

    1993-01-01

    High-purity In0.53Ga0.47As lattice matched to InP was grown by liquid-phase epitaxy and used for the study of Cu-related defects. The samples had a free-electron carrier concentration of n=5.0×1014 cm-3 and an electron mobility of μ77 K=44 000 cm2/V s. A Cu-related acceptor level 25 meV above the valence-band edge was identified using photoluminescence measurements. Comparing the energy position of this shallow acceptor level with the Ev+157.8-meV Cu-acceptor level in GaAs supports the assumption of an internal energy reference level [J. M. Langer, C. Delerue, M. Lannoo, and H. Heinrich, Phys. Rev. B 38, 7723 (1988)] common to GaAs and InxGa1-xAs.

  3. Thickness dependence of crystal and optical characterization on ZnO thin film grown by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Baek, Seung-Hye; Lee, Hyun-Jin; Lee, Sung-Nam

    2018-06-01

    We studied the thickness dependence of the crystallographic and optical properties of ZnO thin films grown on c-plane sapphire substrate using atomic layer deposition. High-resolution X-ray diffraction (HR-XRD) revealed two peaks at 34.5° and 36.2° in the initial growth stage of ZnO on the sapphire substrate, corresponding to the (002) and (101) ZnO planes, respectively. However, as the thickness of the ZnO film increased, the XRD intensity of the (002) ZnO peak increased drastically, compared with that of the (101) ZnO peak. This indicated that (002) and (101) ZnO were simultaneously grown on the c-plane sapphire substrate in the initial growth stage, and that (002) ZnO was predominantly grown with the increase in the thickness of ZnO film. The ZnO thin film presented an anisotropic surface structure at the initial stage, whereas the isotropic surface morphology was developed with an increase in the film thickness of ZnO. These observations were consistent with the HR-XRD results.

  4. Determination of n-Type Doping Level in Single GaAs Nanowires by Cathodoluminescence.

    PubMed

    Chen, Hung-Ling; Himwas, Chalermchai; Scaccabarozzi, Andrea; Rale, Pierre; Oehler, Fabrice; Lemaître, Aristide; Lombez, Laurent; Guillemoles, Jean-François; Tchernycheva, Maria; Harmand, Jean-Christophe; Cattoni, Andrea; Collin, Stéphane

    2017-11-08

    We present an effective method of determining the doping level in n-type III-V semiconductors at the nanoscale. Low-temperature and room-temperature cathodoluminescence (CL) measurements are carried out on single Si-doped GaAs nanowires. The spectral shift to higher energy (Burstein-Moss shift) and the broadening of luminescence spectra are signatures of increased electron densities. They are compared to the CL spectra of calibrated Si-doped GaAs layers, whose doping levels are determined by Hall measurements. We apply the generalized Planck's law to fit the whole spectra, taking into account the electron occupation in the conduction band, the bandgap narrowing, and band tails. The electron Fermi levels are used to determine the free electron concentrations, and we infer nanowire doping of 6 × 10 17 to 1 × 10 18  cm -3 . These results show that cathodoluminescence provides a robust way to probe carrier concentrations in semiconductors with the possibility of mapping spatial inhomogeneities at the nanoscale.

  5. InGaAs(0.98 μm)/GaAs vertical cavity surface emitting laser grown by gas-source molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Houng, Y. M.; Tan, M. R. T.; Liang, B. W.; Wang, S. Y.; Yang, L.; Mars, D. E.

    1994-03-01

    We report the growth of InGaAs/GaAs vertical cavity surface emitting lasers (VCSELs) with an emission wavelength at 0.98 μm by gas-source molecular beam epitaxy (GSMBE). The surface emitting laser diodes are composed of a 15-pair p + GaAs/AlAs graded mirror with a 3-quantum well In 0.2Ga 0.8As active region and a 16.5-pair n + GaAs/AlAs grade mirror on an n + GaAs substrate. We use a simple interferometric technique for in-situ monitoring and feedback control of layer thickness to obtain a highly reproducible Bragg reflector. This technique uses an optical pyrometer to measure apparent temperature oscillations of the growing epi-layer surface. These measurements can be performed with continuous substrate rotation and without any growth interruption. The growing layer thickness can then be related to the apparent temperature oscillation spectrum. When the layer reaches the desired thickness, the growth of the subsequent layer is then initiated. By making layer thickness measurements and control in real-time throughout the entire growth cycle of the structure, the center of the mirror reflectivity and the Fabry-Pérot resonance at the desired wavelength can be reproducibly obtained. The reproducibility of the center wavelength and FWHM of the reflectivity stop-band with a variation of ≤ 0.2% was achieved in the AlAs/GaAs mirror stacks grown using this technique. The VCSEL structures with a variation of the Fabry-Pérot wavelength of ≤ 0.4% have been grown. Bottom-emitting laser diodes were fabricated and operated CW at room temperature. CW threshold currents of 3 and 6 mA are measured at room temperature for 10 and 25 μm diameter lasers, respectively. Output powers higher than 15 mW are obtained from these devices. These devices have an external quantum efficiency higher than 40%.

  6. The Effect of Buffer Types on the In0.82Ga0.18As Epitaxial Layer Grown on an InP (100) Substrate.

    PubMed

    Zhang, Min; Guo, Zuoxing; Zhao, Liang; Yang, Shen; Zhao, Lei

    2018-06-08

    In 0.82 Ga 0.18 As epitaxial layers were grown on InP (100) substrates at 530 °C by a low-pressure metalorganic chemical vapor deposition (LP-MOCVD) technique. The effects of different buffer structures, such as a single buffer layer, compositionally graded buffer layers, and superlattice buffer layers, on the crystalline quality and property were investigated. Double-crystal X-ray diffraction (DC-XRD) measurement, Raman scattering spectrum, and Hall measurements were used to evaluate the crystalline quality and electrical property. Scanning electron microscope (SEM), atomic force microscope (AFM), and transmission electron microscope (TEM) were used to characterize the surface morphology and microstructure, respectively. Compared with the In 0.82 Ga 0.18 As epitaxial layer directly grown on an InP substrate, the quality of the sample is not obviously improved by using a single In 0.82 Ga 0.18 As buffer layer. By introducing the graded In x Ga 1−x As buffer layers, it was found that the dislocation density in the epitaxial layer significantly decreased and the surface quality improved remarkably. In addition, the number of dislocations in the epitaxial layer greatly decreased under the combined action of multi-potential wells and potential barriers by the introduction of a In 0.82 Ga 0.18 As/In 0.82 Al 0.18 As superlattice buffer. However, the surface subsequently roughened, which may be explained by surface undulation.

  7. Photoluminescence intensity enhancement of GaAs by vapor-deposited GaS - A rational approach to surface passivation

    NASA Technical Reports Server (NTRS)

    Jenkins, Phillip P.; Hepp, Aloysius F.; Power, Michael B.; Macinnes, Andrew N.; Barron, Andrew R.

    1993-01-01

    A two order-of-magnitude enhancement of photoluminescence intensity relative to untreated GaAs has been observed for GaAs surfaces coated with chemical vapor-deposited GaS. The increase in photoluminescence intensity can be viewed as an effective reduction in surface recombination velocity and/or band bending. The gallium cluster (/t-Bu/GaS)4 was used as a single-source precursor for the deposition of GaS thin films. The cubane core of the structurally-characterized precursor is retained in the deposited film producing a cubic phase. Furthermore, a near-epitaxial growth is observed for the GaS passivating layer. Films were characterized by transmission electron microscopy, X-ray powder diffraction, and X-ray photoelectron and Rutherford backscattering spectroscopies.

  8. Stability domain of alumina thermally grown on Fe-Cr-Al-based model alloys and modified surface layers exposed to oxygen-containing molten Pb

    NASA Astrophysics Data System (ADS)

    Jianu, A.; Fetzer, R.; Weisenburger, A.; Doyle, S.; Bruns, M.; Heinzel, A.; Hosemann, P.; Mueller, G.

    2016-03-01

    The paper gives experimental results concerning the morphology, composition, structure and thickness of the oxide scales grown on Fe-Cr-Al-based bulk alloys during exposure to oxygen-containing molten lead. The results are discussed and compared with former results obtained on Al-containing surface layers, modified by melting with intense pulsed electron beam and exposed to similar conditions. The present and previous results provide the alumina stability domain and also the criterion of the Al/Cr ratio for the formation of a highly protective alumina layer on the surface of Fe-Cr-Al-based alloys and on modified surface layers exposed to molten lead with 10-6 wt.% oxygen at 400-600 °C. The protective oxide scales, grown on alumina-forming Fe-Cr-Al alloys under the given experimental conditions, were transient aluminas, namely, kappa-Al2O3 and theta-Al2O3.

  9. Poole-Frenkel effect and phonon-assisted tunneling in GaAs nanowires.

    PubMed

    Katzenmeyer, Aaron M; Léonard, François; Talin, A Alec; Wong, Ping-Show; Huffaker, Diana L

    2010-12-08

    We present electronic transport measurements of GaAs nanowires grown by catalyst-free metal-organic chemical vapor deposition. Despite the nanowires being doped with a relatively high concentration of substitutional impurities, we find them inordinately resistive. By measuring sufficiently high aspect ratio nanowires individually in situ, we decouple the role of the contacts and show that this semi-insulating electrical behavior is the result of trap-mediated carrier transport. We observe Poole-Frenkel transport that crosses over to phonon-assisted tunneling at higher fields, with a tunneling time found to depend predominantly on fundamental physical constants as predicted by theory. By using in situ electron beam irradiation of individual nanowires, we probe the nanowire electronic transport when free carriers are made available, thus revealing the nature of the contacts.

  10. Hydride VPE: the unexpected process for the fast growth of GaAs and GaN nanowires with record aspect ratio and polytypism-free crystalline structure

    NASA Astrophysics Data System (ADS)

    André, Yamina; Trassoudaine, Agnès.; Avit, Geoffrey; Lekhal, Kaddour; Ramdani, Mohammed R.; Leroux, Christine; Monier, Guillaume; Varenne, Christelle; Hoggan, Philip; Castelluci, Dominique; Bougerol, Catherine; Réveret, François; Leymarie, Joël.; Petit, Elodie; Dubrovskii, Vladimir G.; Gil, Evelyne

    2013-12-01

    Hydride Vapor Phase Epitaxy (HVPE) makes use of chloride III-Cl and hydride V-H3 gaseous growth precursors. It is known as a near-equilibrium process, providing the widest range of growth rates from 1 to more than 100 μm/h. When it comes to metal catalyst-assisted VLS (vapor-liquid-solid) growth, the physics of HVPE growth is maintained: high dechlorination frequency, high axial growth rate of nanowires (NWs) up to 170 μm/h. The remarkable features of NWs grown by HVPE are the untapered morphology with constant diameter and the stacking fault-free crystalline phase. Record pure zinc blende cubic phase for 20 μm long GaAs NWs with radii of 10 and 5 nm is shown. The absence of wurtzite phase in GaAs NWs grown by HVPE whatever the diameter is discussed with respect to surface energetic grounds and kinetics. Ni assisted, Ni-Au assisted and catalyst-free HVPE growth of wurtzite GaN NWs is also addressed. Micro-photoluminescence spectroscopy analysis revealed GaN nanowires of great optical quality, with a FWHM of 1 meV at 10 K for the neutral donor bound exciton transition.

  11. MBE growth technology for high quality strained III-V layers

    NASA Technical Reports Server (NTRS)

    Grunthaner, Frank J. (Inventor); Liu, John K. (Inventor); Hancock, Bruce R. (Inventor)

    1990-01-01

    The III-V films are grown on large automatically perfect terraces of III-V substrates which have a different lattice constant, with temperature and Group III and V arrival rates chosen to give a Group III element stable surface. The growth is pulsed to inhibit Group III metal accumulation of low temperature, and to permit the film to relax to equilibrium. The method of the invention: (1) minimizes starting step density on sample surface; (2) deposits InAs and GaAs using an interrupted growth mode (0.25 to 2 monolayers at a time); (3) maintains the instantaneous surface stoichiometry during growth (As-stable for GaAs, In-stable for InAs); and (4) uses time-resolved RHEED to achieve aspects (1) through (3).

  12. α-Ga2O3 grown by low temperature atomic layer deposition on sapphire

    NASA Astrophysics Data System (ADS)

    Roberts, J. W.; Jarman, J. C.; Johnstone, D. N.; Midgley, P. A.; Chalker, P. R.; Oliver, R. A.; Massabuau, F. C.-P.

    2018-04-01

    α-Ga2O3 is a metastable phase of Ga2O3 of interest for wide bandgap engineering since it is isostructural with α-In2O3 and α-Al2O3. α-Ga2O3 is generally synthesised under high pressure (several GPa) or relatively high temperature (∼500 °C). In this study, we report the growth of α-Ga2O3 by low temperature atomic layer deposition (ALD) on sapphire substrate. The film was grown at a rate of 0.48 Å/cycle, and predominantly consists of α-Ga2O3 in the form of (0001) -oriented columns originating from the interface with the substrate. Some inclusions were also present, typically at the tips of the α phase columns and most likely comprising ε-Ga2O3. The remainder of the Ga2O3 film - i.e. nearer the surface and between the α-Ga2O3 columns, was amorphous. The film was found to be highly resistive, as is expected for undoped material. This study demonstrates that α-Ga2O3 films can be grown by low temperature ALD and suggests the possibility of a new range of ultraviolet optoelectronic and power devices grown by ALD. The study also shows that scanning electron diffraction is a powerful technique to identify the different polymorphs of Ga2O3 present in multiphase samples.

  13. Gate Drain Underlapped-PNIN-GAA-TFET for Comprehensively Upgraded Analog/RF Performance

    NASA Astrophysics Data System (ADS)

    Madan, Jaya; Chaujar, Rishu

    2017-02-01

    This work integrates the merits of gate-drain underlapping (GDU) and N+ source pocket on cylindrical gate all around tunnel FET (GAA-TFET) to form GDU-PNIN-GAA-TFET. It is analysed that the source pocket located at the source-channel junction narrows the tunneling barrier width at the tunneling junction and thereby enhances the ON-state current of GAA-TFET. Further, it is obtained that the GDU resists the extension of carrier density (built-up under the gated region) towards the drain side (under the underlapped length), thereby suppressing the ambipolar current and reducing the parasitic capacitances of GAA-TFET. Consequently, the amalgamated merits of both engineering schemes are obtained in GDU-PNIN-GAA-TFET that thus conquers the greatest challenges faced by TFET. Thus, GDU-PNIN-GAA-TFET results in an up-gradation in the overall performance of GAA-TFET. Moreover, it is realised that the RF figure of merits FOMs such as cut-off frequency (fT) and maximum oscillation frequency (fMAX) are also considerably improved with integration of source pocket on GAA-TFET. Thus, the improved analog and RF performance of GDU-PNIN-GAA-TFET makes it ideal for low power and high-speed applications.

  14. Monolithic barrier-all-around high electron mobility transistor with planar GaAs nanowire channel.

    PubMed

    Miao, Xin; Zhang, Chen; Li, Xiuling

    2013-06-12

    High-quality growth of planar GaAs nanowires (NWs) with widths as small as 35 nm is realized by comprehensively mapping the parameter space of group III flow, V/III ratio, and temperature as the size of the NWs scales down. Using a growth mode modulation scheme for the NW and thin film barrier layers, monolithically integrated AlGaAs barrier-all-around planar GaAs NW high electron mobility transistors (NW-HEMTs) are achieved. The peak extrinsic transconductance, drive current, and effective electron velocity are 550 μS/μm, 435 μA/μm, and ~2.9 × 10(7) cm/s, respectively, at 2 V supply voltage with a gate length of 120 nm. The excellent DC performance demonstrated here shows the potential of this bottom-up planar NW technology for low-power high-speed very-large-scale-integration (VLSI) circuits.

  15. Improved GaSb-based quantum well laser performance through metamorphic growth on GaAs substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Richardson, Christopher J. K., E-mail: richardson@lps.umd.edu; He, Lei; Apiratikul, Paveen

    The promise of the metamorphic growth paradigm is to enable design freedom of the substrate selection criteria beyond current choices that are limited by lattice matching requirements. A demonstration of this emerging degree of freedom is reported here by directly comparing identical laser structures grown both pseudomorphically on a GaSb substrate and metamorphically on a GaAs substrate. Improved thermal performance of the metamorphic laser material enables a higher output power before thermal roll-over begins. These performance gains are demonstrated in minimally processed gain-guided broad-area type-I lasers emitting close to 2-μm wavelengths and mounted p-side up. Continuous wave measurements at roommore » temperature yield a T{sub 0} of 145 K and peak output power of 192 mW from metamorphic lasers, compared to a T{sub 0} of 96 K and peak output power of 164 mW from identical lasers grown pseudomorphically on GaSb.« less

  16. Panel fabrication utilizing GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Mardesich, N.

    1984-01-01

    The development of the GaAs solar cells for space applications is described. The activities in the fabrication of GaAs solar panels are outlined. Panels were fabricated while introducing improved quality control, soldering laydown and testing procedures. These panels include LIPS II, San Marco Satellite, and a low concentration panel for Rockwells' evaluation. The panels and their present status are discussed.

  17. Native oxides formation and surface wettability of epitaxial III-V materials: The case of InP and GaAs

    NASA Astrophysics Data System (ADS)

    Gocalinska, A.; Rubini, S.; Pelucchi, E.

    2016-10-01

    The time dependent transition from hydrophobic to hydrophilic states of the metalorganic vapour phase epitaxy (MOVPE) grown InP, GaAs and InAs is systematically documented by contact angle measurements. Natural oxides forming on the surfaces of air-exposed materials, as well as the results of some typical wet chemical process to remove those oxides, were studied by X-ray photoemission spectroscopy (XPS), revealing, surprisingly, a fundamental lack of strong correlations between the surface oxide composition and the reported systematic changes in hydrophobicity.

  18. Thermodynamic Analysis of Coherently Grown GaAsN/Ge: Effects of Different Gaseous Sources

    NASA Astrophysics Data System (ADS)

    Kawano, Jun; Kangawa, Yoshihiro; Yayama, Tomoe; Kakimoto, Koichi; Koukitu, Akinori

    2013-04-01

    Thermodynamic analysis of coherently grown GaAs1-xNx on Ge with low N content was performed to determine the relationship between solid composition and growth conditions. In this study, a new algorithm for the simulation code, which is applicable to wider combinations of gaseous sources than the traditional algorithm, was developed to determine the influence of different gaseous sources on N incorporation. Using this code, here we successfully compared two cases: one is a system using trimethylgallium (TMG), AsH3, and NH3, and the other uses dimethylhydrazine (DMHy) instead of NH3. It was found that the optimal N/As ratio of input gas in the system using DMHy was much lower than that using NH3. This shows that the newly developed algorithm could be a useful tool for analyzing the N incorporation during the vapor growth of GaAs1-xNx.

  19. Comparisons of single event vulnerability of GaAs SRAMS

    NASA Astrophysics Data System (ADS)

    Weatherford, T. R.; Hauser, J. R.; Diehl, S. E.

    1986-12-01

    A GaAs MESFET/JFET model incorporated into SPICE has been used to accurately describe C-EJFET, E/D MESFET and D MESFET/resistor GaAs memory technologies. These cells have been evaluated for critical charges due to gate-to-drain and drain-to-source charge collection. Low gate-to-drain critical charges limit conventional GaAs SRAM soft error rates to approximately 1E-6 errors/bit-day. SEU hardening approaches including decoupling resistors, diodes, and FETs have been investigated. Results predict GaAs RAM cell critical charges can be increased to over 0.1 pC. Soft error rates in such hardened memories may approach 1E-7 errors/bit-day without significantly reducing memory speed. Tradeoffs between hardening level, performance and fabrication complexity are discussed.

  20. Prediction of dislocation generation during Bridgman growth of GaAs crystals

    NASA Technical Reports Server (NTRS)

    Tsai, C. T.; Yao, M. W.; Chait, Arnon

    1992-01-01

    Dislocation densities are generated in GaAs single crystals due to the excessive thermal stresses induced by temperature variations during growth. A viscoplastic material model for GaAs, which takes into account the movement and multiplication of dislocations in the plastic deformation, is developed according to Haasen's theory. The dislocation density is expressed as an internal state variable in this dynamic viscoplastic model. The deformation process is a nonlinear function of stress, strain rate, dislocation density and temperature. The dislocation density in the GaAs crystal during vertical Bridgman growth is calculated using a nonlinear finite element model. The dislocation multiplication in GaAs crystals for several temperature fields obtained from thermal modeling of both the GTE GaAs experimental data and artificially designed data are investigated.