Sample records for gaas layers prepared

  1. Sidewall GaAs tunnel junctions fabricated using molecular layer epitaxy

    PubMed Central

    Ohno, Takeo; Oyama, Yutaka

    2012-01-01

    In this article we review the fundamental properties and applications of sidewall GaAs tunnel junctions. Heavily impurity-doped GaAs epitaxial layers were prepared using molecular layer epitaxy (MLE), in which intermittent injections of precursors in ultrahigh vacuum were applied, and sidewall tunnel junctions were fabricated using a combination of device mesa wet etching of the GaAs MLE layer and low-temperature area-selective regrowth. The fabricated tunnel junctions on the GaAs sidewall with normal mesa orientation showed a record peak current density of 35 000 A cm-2. They can potentially be used as terahertz devices such as a tunnel injection transit time effect diode or an ideal static induction transistor. PMID:27877466

  2. GaAs shallow-homojunction solar cells

    NASA Technical Reports Server (NTRS)

    Fan, J. C. C.

    1981-01-01

    The feasibility of fabricating space resistant, high efficiency, light weight, low cost GaAs shallow homojunction solar cells for space application is investigated. The material preparation of ultrathin GaAs single crystal layers, and the fabrication of efficient GaAs solar cells on bulk GaAs substrates are discussed. Considerable progress was made in both areas, and conversion efficiency about 16% AMO was obtained using anodic oxide as a single layer antireflection coating. A computer design shows that even better cells can be obtained with double layer antireflection coating. Ultrathin, high efficiency solar cells were obtained from GaAs films prepared by the CLEFT process, with conversion efficiency as high as 17% at AMI from a 10 micrometers thick GaAs film. A organometallic CVD was designed and constructed.

  3. Growth and characterization of molecular beam epitaxial GaAs layers on porous silicon

    NASA Technical Reports Server (NTRS)

    Lin, T. L.; Liu, J. K.; Sadwick, L.; Wang, K. L.; Kao, Y. C.

    1987-01-01

    GaAs layers have been grown on porous silicon (PS) substrates with good crystallinity by molecular beam epitaxy. In spite of the surface irregularity of PS substrates, no surface morphology deterioration was observed on epitaxial GaAs overlayers. A 10-percent Rutherford backscattering spectroscopy minimum channeling yield for GaAs-on-PS layers as compared to 16 percent for GaAs-on-Si layers grown under the same condition indicates a possible improvement of crystallinity when GaAs is grown on PS. Transmission electron microscopy reveals that the dominant defects in the GaAs-on-PS layers are microtwins and stacking faults, which originate from the GaAs/PS interface. GaAs is found to penetrate into the PS layers. n-type GaAs/p-type PS heterojunction diodes were fabricated with good rectifying characteristics.

  4. Wafer-scale layer transfer of GaAs and Ge onto Si wafers using patterned epitaxial lift-off

    NASA Astrophysics Data System (ADS)

    Mieda, Eiko; Maeda, Tatsuro; Miyata, Noriyuki; Yasuda, Tetsuji; Kurashima, Yuichi; Maeda, Atsuhiko; Takagi, Hideki; Aoki, Takeshi; Yamamoto, Taketsugu; Ichikawa, Osamu; Osada, Takenori; Hata, Masahiko; Ogawa, Arito; Kikuchi, Toshiyuki; Kunii, Yasuo

    2015-03-01

    We have developed a wafer-scale layer-transfer technique for transferring GaAs and Ge onto Si wafers of up to 300 mm in diameter. Lattice-matched GaAs or Ge layers were epitaxially grown on GaAs wafers using an AlAs release layer, which can subsequently be transferred onto a Si handle wafer via direct wafer bonding and patterned epitaxial lift-off (ELO). The crystal properties of the transferred GaAs layers were characterized by X-ray diffraction (XRD), photoluminescence, and the quality of the transferred Ge layers was characterized using Raman spectroscopy. We find that, after bonding and the wet ELO processes, the quality of the transferred GaAs and Ge layers remained the same compared to that of the as-grown epitaxial layers. Furthermore, we realized Ge-on-insulator and GaAs-on-insulator wafers by wafer-scale pattern ELO technique.

  5. ZnSe Window Layers for GaAs and GaInP2 Solar Cells

    NASA Technical Reports Server (NTRS)

    Olsen, Larry C.

    1997-01-01

    This report concerns studies of the use of n-type ZnSe as a window layer for n/p GaAs and GaInP2 solar cells. Emphasis was placed in this phase of the project on characterizing the interface between n-type ZnSe films grown on epi-GaAs films grown onto single crystal GaAs. Epi-GaAs and heteroepitaxial ZnSe films were grown by MOCVD with a Spire 50OXT Reactor. After growing epitaxial GaAs films on single crystal GaAs wafers, well-oriented crystalline ZnSe films were grown by MOCVD. ZnSe films were grown with substrate temperatures ranging from 250 C to 450 C. Photoluminescence studies carried out by researchers at NASA Lewis determined that the surface recombination velocity at a GaAs surface was significantly reduced after the deposition of a heteroepitaxial layer of ZnSe. The optimum temperature for ZnSe deposition appears to be on the order of 350 C.

  6. Ferromagnetic GaAs structures with single Mn delta-layer fabricated using laser deposition.

    PubMed

    Danilov, Yuri A; Vikhrova, Olga V; Kudrin, Alexey V; Zvonkov, Boris N

    2012-06-01

    The new technique combining metal-organic chemical vapor epitaxy with laser ablation of solid targets was used for fabrication of ferromagnetic GaAs structures with single Mn delta-doped layer. The structures demonstrated anomalous Hall effect, planar Hall effect, negative and anisotropic magnetoresistance in temperature range of 10-35 K. In GaAs structures with only single Mn delta-layer (without additional 2D hole gas channel or quantum well) ferromagnetism was observed for the first time.

  7. Effect of a low-temperature-grown GaAs layer on InAs quantum-dot photoluminescence

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kosarev, A. N.; Chaldyshev, V. V., E-mail: chald.gvg@mail.ioffe.ru; Preobrazhenskii, V. V.

    2016-11-15

    The photoluminescence of InAs semiconductor quantum dots overgrown by GaAs in the low-temperature mode (LT-GaAs) using various spacer layers or without them is studied. Spacer layers are thin GaAs or AlAs layers grown at temperatures normal for molecular-beam epitaxy (MBE). Direct overgrowth leads to photoluminescence disappearance. When using a thin GaAs spacer layer, the photoluminescence from InAs quantum dots is partially recovered; however, its intensity appears lower by two orders of magnitude than in the reference sample in which the quantum-dot array is overgrown at normal temperature. The use of wider-gap AlAs as a spacer-layer material leads to the enhancementmore » of photoluminescence from InAs quantum dots, but it is still more than ten times lower than that of reference-sample emission. A model taking into account carrier generation by light, diffusion and tunneling from quantum dots to the LT-GaAs layer is constructed.« less

  8. Photoelectrochemical Water Oxidation by GaAs Nanowire Arrays Protected with Atomic Layer Deposited NiO x Electrocatalysts

    NASA Astrophysics Data System (ADS)

    Zeng, Joy; Xu, Xiaoqing; Parameshwaran, Vijay; Baker, Jon; Bent, Stacey; Wong, H.-S. Philip; Clemens, Bruce

    2018-02-01

    Photoelectrochemical (PEC) hydrogen production makes possible the direct conversion of solar energy into chemical fuel. In this work, PEC photoanodes consisting of GaAs nanowire (NW) arrays were fabricated, characterized, and then demonstrated for the oxygen evolution reaction (OER). Uniform and periodic GaAs nanowire arrays were grown on a heavily n-doped GaAs substrates by metal-organic chemical vapor deposition selective area growth. The nanowire arrays were characterized using cyclic voltammetry and impedance spectroscopy in a non-aqueous electrochemical system using ferrocene/ferrocenium (Fc/Fc+) as a redox couple, and a maximum oxidation photocurrent of 11.1 mA/cm2 was measured. GaAs NW arrays with a 36 nm layer of nickel oxide (NiO x ) synthesized by atomic layer deposition were then used as photoanodes to drive the OER. In addition to acting as an electrocatalyst, the NiO x layer served to protect the GaAs NWs from oxidative corrosion. Using this strategy, GaAs NW photoanodes were successfully used for the oxygen evolution reaction. This is the first demonstration of GaAs NW arrays for effective OER, and the fabrication and protection strategy developed in this work can be extended to study any other nanostructured semiconductor materials systems for electrochemical solar energy conversion.

  9. Chemical Composition of Nanoporous Layer Formed by Electrochemical Etching of p-Type GaAs.

    PubMed

    Bioud, Youcef A; Boucherif, Abderraouf; Belarouci, Ali; Paradis, Etienne; Drouin, Dominique; Arès, Richard

    2016-12-01

    We have performed a detailed characterization study of electrochemically etched p-type GaAs in a hydrofluoric acid-based electrolyte. The samples were investigated and characterized through cathodoluminescence (CL), X-ray diffraction (XRD), energy-dispersive X-ray spectroscopy (EDX), and X-ray photoelectron spectroscopy (XPS). It was found that after electrochemical etching, the porous layer showed a major decrease in the CL intensity and a change in chemical composition and in the crystalline phase. Contrary to previous reports on p-GaAs porosification, which stated that the formed layer is composed of porous GaAs, we report evidence that the porous layer is in fact mainly constituted of porous As 2 O 3 . Finally, a qualitative model is proposed to explain the porous As 2 O 3 layer formation on p-GaAs substrate.

  10. Electrical properties of GaAs metal–oxide–semiconductor structure comprising Al{sub 2}O{sub 3} gate oxide and AlN passivation layer fabricated in situ using a metal–organic vapor deposition/atomic layer deposition hybrid system

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aoki, Takeshi, E-mail: aokit@sc.sumitomo-chem.co.jp; Fukuhara, Noboru; Osada, Takenori

    2015-08-15

    This paper presents a compressive study on the fabrication and optimization of GaAs metal–oxide–semiconductor (MOS) structures comprising a Al{sub 2}O{sub 3} gate oxide, deposited via atomic layer deposition (ALD), with an AlN interfacial passivation layer prepared in situ via metal–organic chemical vapor deposition (MOCVD). The established protocol afforded self-limiting growth of Al{sub 2}O{sub 3} in the atmospheric MOCVD reactor. Consequently, this enabled successive growth of MOCVD-formed AlN and ALD-formed Al{sub 2}O{sub 3} layers on the GaAs substrate. The effects of AlN thickness, post-deposition anneal (PDA) conditions, and crystal orientation of the GaAs substrate on the electrical properties of the resultingmore » MOS capacitors were investigated. Thin AlN passivation layers afforded incorporation of optimum amounts of nitrogen, leading to good capacitance–voltage (C–V) characteristics with reduced frequency dispersion. In contrast, excessively thick AlN passivation layers degraded the interface, thereby increasing the interfacial density of states (D{sub it}) near the midgap and reducing the conduction band offset. To further improve the interface with the thin AlN passivation layers, the PDA conditions were optimized. Using wet nitrogen at 600 °C was effective to reduce D{sub it} to below 2 × 10{sup 12} cm{sup −2} eV{sup −1}. Using a (111)A substrate was also effective in reducing the frequency dispersion of accumulation capacitance, thus suggesting the suppression of traps in GaAs located near the dielectric/GaAs interface. The current findings suggest that using an atmosphere ALD process with in situ AlN passivation using the current MOCVD system could be an efficient solution to improving GaAs MOS interfaces.« less

  11. Growth of High-Quality GaAs on Ge by Controlling the Thickness and Growth Temperature of Buffer Layer

    NASA Astrophysics Data System (ADS)

    Zhou, Xu-Liang; Pan, Jiao-Qing; Yu, Hong-Yan; Li, Shi-Yan; Wang, Bao-Jun; Bian, Jing; Wang, Wei

    2014-12-01

    High-quality GaAs thin films grown on miscut Ge substrates are crucial for GaAs-based devices on silicon. We investigate the effect of different thicknesses and temperatures of GaAs buffer layers on the crystal quality and surface morphology of GaAs on Ge by metal-organic chemical vapor deposition. Through high resolution x-ray diffraction measurements, it is demonstrated that the full width at half maximum for the GaAs epilayer (Ge substrate) peak could achieve 19.3 (11.0) arcsec. The value of etch pit density could be 4×104 cm-2. At the same time, GaAs surfaces with no pyramid-shaped pits are obtained when the buffer layer growth temperature is lower than 360°C, due to effective inhibition of initial nucleation at terraces of the Ge surface. In addition, it is shown that large island formation at the initial stage of epitaxial growth is a significant factor for the final rough surface and that this initial stage should be carefully controlled when a device quality GaAs surface is desired.

  12. Effects of ultrathin oxides in conducting MIS structures on GaAs

    NASA Technical Reports Server (NTRS)

    Childs, R. B.; Ruths, J. M.; Sullivan, T. E.; Fonash, S. J.

    1978-01-01

    Schottky barrier-type GaAs baseline devices (semiconductor surface etched and then immediately metalized) and GaAs conducting metal oxide-semiconductor devices are fabricated and characterized. The baseline surfaces (no purposeful oxide) are prepared by a basic or an acidic etch, while the surface for the MIS devices are prepared by oxidizing after the etch step. The metallizations used are thin-film Au, Ag, Pd, and Al. It is shown that the introduction of purposeful oxide into these Schottky barrier-type structures examined on n-type GaAs modifies the barrier formation, and that thin interfacial layers can modify barrier formation through trapping and perhaps chemical reactions. For Au- and Pd-devices, enhanced photovoltaic performance of the MIS configuration is due to increased barrier height.

  13. GaAs buffer layer technique for vertical nanowire growth on Si substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xu, Xiaoqing, E-mail: steelxu@stanford.edu; Parizi, Kokab B.; Huo, Yijie

    2014-02-24

    Gold catalyzed vapor-liquid-solid method is widely applied to III–V nanowire (NW) growth on Si substrate. However, the easy oxidation of Si, possible Si contamination in the NWs, high defect density in the NWs, and high sensitivity of the NW morphology to growth conditions largely limit its controllability. In this work, we developed a buffer layer technique by introducing a GaAs thin film with predefined polarity as a template. It is found that samples grown on these buffer layers all have high vertical NW yields in general, due to the single-orientation of the buffer layers. Low temperature buffer with smoother surfacemore » leads to highest yield of vertical NWs, while high temperature (HT) buffer with better crystallinity results in perfect NW quality. The defect-free property we observed here is very promising for optoelectronic device applications based on GaAs NW. Moreover, the buffer layers can eliminate Si contamination by preventing Si-Au alloy formation and by increasing the thickness of the Si diffusion barrier, thus providing more flexibility to vertical NW growth. The buffer layer technique we demonstrated here could be easily extended to other III-V on Si system for electronic and photonic applications.« less

  14. Nanostructured GaAs solar cells via metal-assisted chemical etching of emitter layers.

    PubMed

    Song, Yunwon; Choi, Keorock; Jun, Dong-Hwan; Oh, Jungwoo

    2017-10-02

    GaAs solar cells with nanostructured emitter layers were fabricated via metal-assisted chemical etching. Au nanoparticles produced via thermal treatment of Au thin films were used as etch catalysts to texture an emitter surface with nanohole structures. Epi-wafers with emitter layers 0.5, 1.0, and 1.5 um in thickness were directly textured and a window layer removal process was performed before metal catalyst deposition. A nanohole-textured emitter layer provides effective light trapping capabilities, reducing the surface reflection of a textured solar cell by 11.0%. However, because the nanostructures have high surface area to volume ratios and large numbers of defects, various photovoltaic properties were diminished by high recombination losses. Thus, we have studied the application of nanohole structures to GaAs emitter solar cells and investigated the cells' antireflection and photovoltaic properties as a function of the nanohole structure and emitter thickness. Due to decreased surface reflection and improved shunt resistance, the solar cell efficiency increased from 4.25% for non-textured solar cells to 7.15% for solar cells textured for 5 min.

  15. Fabrication of GaAs symmetric pyramidal mesas prepared by wet-chemical etching using AlAs interlayer

    NASA Astrophysics Data System (ADS)

    Kicin, S.; Cambel, V.; Kuliffayová, M.; Gregušová, D.; Kováčová, E.; Novák, J.; Kostič, I.; Förster, A.

    2002-01-01

    We present a wet-chemical-etching method developed for the preparation of GaAs four-sided pyramid-shaped mesas. The method uses a fast lateral etching of AlAs interlayer that influences the cross-sectional profiles of etched structures. We have tested the method using H3PO4:H2O2:H2O etchant for the (100) GaAs patterning. The sidewalls of the prepared pyramidal structures together with the (100) bottom facet formed the cross-sectional angles 25° and 42° for mask edges parallel, resp. perpendicular to {011} cleavage planes. For mask edges turned in 45° according to the cleavage planes, 42° cross-sectional angles were obtained. Using the method, symmetric and more than 10-μm-high GaAs "Egyptian" pyramids with smooth tilted facets were prepared.

  16. Outdiffusion of recombination centers from the substrate into LPE layers - GaAs

    NASA Technical Reports Server (NTRS)

    Jastrzebski, L.; Lagowski, J.; Gatos, H. C.

    1979-01-01

    Experimental results are presented showing that outdiffusion of recombination centers from the GaAs substrate into the epitaxial layer takes place during growth. Such outdiffusion decreases the carrier lifetime in the epitaxial layer to much lower values than the radiative recombination limit. Furthermore, it introduces a lifetime gradient across the epitaxial layer which depends critically on the growth velocity and thermal treatment. High rates of growth (such as those attainable in electroepitaxy) and high cooling rates can minimize the adverse effects of normally available substrates on the epitaxial layers; however, good quality substrates are essential for the consistent growth of device quality layers.

  17. Lateral epitaxial overgowth of GaAs by organometallic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Gale, R. P.; Mcclelland, R. W.; Fan, J. C. C.; Bozler, C. O.

    1982-01-01

    Lateral epitaxial overgrowth of GaAs by organometallic chemical vapor deposition has been demonstrated. Pyrolytic decomposition of trimethylgallium and arsine, without the use of HCl, was used to deposit GaAs on substrates prepared by coating (110) GaAs wafers with SiO2, then using photolithography to open narrow stripes in the oxide. Lateral overgrowth was seeded by epitaxial deposits formed on the GaAs surfaces exposed by the stripe openings. The extent of lateral overgrowth was investigated as a function of stripe orientation and growth temperature. Ratios of lateral to vertical growth rates greater than five have been obtained. The lateral growth is due to surface-kinetic control for the two-dimensional growth geometry studied. A continuous epitaxial GaAs layer 3 microns thick has been grown over a patterned mask on a GaAs substrate and then cleaved from the substrate.

  18. HfO2 Gate Dielectric on (NH4)2S Passivated (100) GaAs Grown by Atomic Layer Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, P.T.; /Stanford U., Materials Sci. Dept.; Sun, Y.

    2007-09-28

    The interface between hafnium oxide grown by atomic layer deposition and (100) GaAs treated with HCl cleaning and (NH{sub 4}){sub 2}S passivation has been characterized. Synchrotron radiation photoemission core level spectra indicated successful removal of the native oxides and formation of passivating sulfides on the GaAs surface. Layer-by-layer removal of the hafnia film revealed a small amount of As{sub 2}O{sub 3} formed at the interface during the dielectric deposition. Traces of arsenic and sulfur out-diffusion into the hafnia film were observed after a 450 C post-deposition anneal, and may be the origins for the electrically active defects. Transmission electron microscopymore » cross section images showed thicker HfO{sub 2} films for a given precursor exposure on S-treated GaAs versus the non-treated sample. In addition, the valence-band and the conduction-band offsets at the HfO{sub 2}/GaAs interface were deduced to be 3.18 eV and a range of 0.87-0.97 eV, respectively. It appears that HCl+(NH{sub 4})2{sub S} treatments provide a superior chemical passivation for GaAs and initial surface for ALD deposition.« less

  19. Theoretical and experimental study of highly textured GaAs on silicon using a graphene buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alaskar, Yazeed; Arafin, Shamsul; Lin, Qiyin

    2015-09-01

    A novel heteroepitaxial growth technique, quasi-van der Waals epitaxy, promises the ability to deposit three-dimensional GaAs materials on silicon using two-dimensional graphene as a buffer layer by overcoming the lattice and thermal expansion mismatch. In this study, density functional theory (DFT) simulations were performed to understand the interactions at the GaAs/graphene hetero-interface as well as the growth orientations of GaAs on graphene. To develop a better understanding of the molecular beam epitaxy-grown GaAs films on graphene, samples were characterized by x-ray diffraction (..theta..-2..theta.. scan, ..omega..-scan, grazing incidence XRD and pole figure measurement) and transmission electron microscopy. The realizations of smoothmore » GaAs films with a strong (111) oriented fiber-texture on graphene/silicon using this deposition technique are a milestone towards an eventual demonstration of the epitaxial growth of GaAs on silicon, which is necessary for integrated photonics application.« less

  20. Planar regions of GaAs (001) prepared by Ga droplet motion

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zheng, Changxi, E-mail: changxi.zheng@monash.edu; Tang, Wen-Xin; Jesson, David E., E-mail: jessonDE@cardiff.ac.uk

    2016-07-15

    The authors describe a simple method for obtaining planar regions of GaAs (001) suitable for surface science studies. The technique, which requires no buffer layer growth, atomic hydrogen source, or the introduction of As flux, employs controllable Ga droplet motion to create planar trail regions during Langmuir evaporation. Low-energy electron microscopy/diffraction techniques are applied to monitor the droplet motion and characterize the morphology and the surface reconstruction. It is found that the planar regions exhibit atomic flatness at the level of a high-quality buffer layer.

  1. Design optimization of GaAs betavoltaic batteries

    NASA Astrophysics Data System (ADS)

    Chen, Haiyanag; Jiang, Lan; Chen, Xuyuan

    2011-06-01

    GaAs junctions are designed and fabricated for betavoltaic batteries. The design is optimized according to the characteristics of GaAs interface states and the diffusion length in the depletion region of GaAs carriers. Under an illumination of 10 mCi cm-2 63Ni, the open circuit voltage of the optimized batteries is about ~0.3 V. It is found that the GaAs interface states induce depletion layers on P-type GaAs surfaces. The depletion layer along the P+PN+ junction edge isolates the perimeter surface from the bulk junction, which tends to significantly reduce the battery dark current and leads to a high open circuit voltage. The short circuit current density of the optimized junction is about 28 nA cm-2, which indicates a carrier diffusion length of less than 1 µm. The overall results show that multi-layer P+PN+ junctions are the preferred structures for GaAs betavoltaic battery design.

  2. Efficient Ga(As)Sb quantum dot emission in AlGaAs by GaAs intermediate layer

    NASA Astrophysics Data System (ADS)

    Loeber, Thomas Henning; Richter, Johannes; Strassner, Johannes; Heisel, Carina; Kimmle, Christina; Fouckhardt, Henning

    2013-03-01

    Ga(As)Sb quantum dots (QDs) are epitaxially grown in AlGaAs/GaAs in the Stranski-Krastanov mode. In the recent past we achieved Ga(As)Sb QDs in GaAs with an extremely high dot density of 9.8•1010 cm-2 by optimization of growth temperature, Sb/Ga flux pressure ratio, and coverage. Additionally, the QD emission wavelength could be chosen precisely with these growth parameters in the range between 876 and 1035 nm. Here we report a photoluminescence (PL) intensity improvement for the case with AlGaAs barriers. Again growth parameters and layer composition are varied. The aluminium content is varied between 0 and 90%. Reflectance anisotropy spectroscopy (RAS) is used as insitu growth control to determine growth rate, layer thickness, and AlGaAs composition. Ga(As)Sb QDs, directly grown in AlxGa1-xAs emit no PL signal, even with a very low x ≈ 0.1. With additional around 10 nm thin GaAs intermediate layers between the Ga(As)Sb QDs and the AlGaAs barriers PL signals are detected. Samples with 4 QD layers and AlxGa1-xAs/GaAs barriers in between are grown. The thickness and composition of the barriers are changed. Depending on these values PL intensity is more than 4 times as high as in the case with simple GaAs barriers. With these results efficient Ga(As)Sb QD lasers are realized, so far only with pure GaAs barriers. Our index-guided broad area lasers operate continuous-wave (cw) @ 90 K, emit optical powers of more than 2•50 mW and show a differential quantum efficiency of 54% with a threshold current density of 528 A/cm2.

  3. High Ms Fe16N2 thin film with Ag under layer on GaAs substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Allard Jr, Lawrence Frederick

    2016-01-01

    (001) textured Fe16N2 thin film with Ag under layer is successfully grown on GaAs substrate using a facing target sputtering (FTS) system. After post annealing, chemically ordered Fe16N2 phase is formed and detected by X-ray diffraction (XRD). High saturation magnetization (Ms) is measured by a vibrating sample magnetometer (VSM). In comparison with Fe16N2 with Ag under layer on MgO substrate and Fe16N2 with Fe under layer on GaAs substrate, the current layer structure shows a higher Ms value, with a magnetically softer feature in contrast to the above cases. In addition, X-ray photoelectron spectroscopy (XPS) is performed to characterize themore » binding energy of N atoms. To verify the role of strain that the FeN layer experiences in the above three structures, Grazing Incidence X-ray Diffraction (GIXRD) is conducted to reveal a large in-plane lattice constant due to the in-plane biaxial tensile strain. INTRODUCTION« less

  4. Acoustic resonator with Al electrodes on an AlN layer and using a GaAs substrate

    DOEpatents

    Kline, Gerald R.; Lakin, Kenneth M.

    1985-12-03

    A method of fabricating an acoustic wave resonator wherein all processing steps are accomplished from a single side of said substrate. The method involves deposition of a multi-layered Al/AlN structure on a GaAs substrate followed by a series of fabrication steps to define a resonator from said composite. The resulting resonator comprises an AlN layer between two Al layers and another layer of AlN on an exterior of one of said Al layers.

  5. Optical design of ZnO-based antireflective layers for enhanced GaAs solar cell performance.

    PubMed

    Lee, Hye Jin; Lee, Jae Won; Kim, Hee Jun; Jung, Dae-Han; Lee, Ki-Suk; Kim, Sang Hyeon; Geum, Dae-myeong; Kim, Chang Zoo; Choi, Won Jun; Baik, Jeong Min

    2016-01-28

    A series of hierarchical ZnO-based antireflection coatings with different nanostructures (nanowires and nanosheets) is prepared hydrothermally, followed by means of RF sputtering of MgF2 layers for coaxial nanostructures. Structural analysis showed that both ZnO had a highly preferred orientation along the 〈0001〉 direction with a highly crystalline MgF2 shell coated uniformly. However, a small amount of Al was present in nanosheets, originating from Al diffusion from the Al seed layer, resulting in an increase of the optical bandgap. Compared with the nanosheet-based antireflection coatings, the nanowire-based ones exhibited a significantly lower reflectance (∼2%) in ultraviolet and visible light wavelength regions. In particular, they showed perfect light absorption at wavelength less than approximately 400 nm. However, a GaAs single junction solar cell with nanosheet-based antireflection coatings showed the largest enhancement (43.9%) in power conversion efficiency. These results show that the increase of the optical bandgap of the nanosheets by the incorporation of Al atoms allows more photons enter the active region of the solar cell, improving the performance.

  6. Heteroepitaxial growth of GaAs on (100) Ge/Si using migration enhanced epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tanoto, H.; Loke, W. K.; Yoon, S. F.

    In this paper, heteroepitaxial growth of GaAs on nominal (100) Ge/Si substrate was investigated. The root-mean square surface roughness of the sample where the first few monolayers of the GaAs were nucleated by migration enhanced epitaxy (MEE) is four times smaller compared to the sample without such a process, indicating better surface planarity. From the (004) x-ray diffraction rocking curve measurement, the full width at half maximum of the GaAs layer nucleated by MEE is 40% lower compared to that of the GaAs layer without such a process, indicating better crystal quality. Furthermore, it was found that the sample wheremore » the GaAs layer was nucleated by MEE experienced early relaxation. As the MEE process promotes two-dimensional growth, the GaAs layer where nucleation was initiated by such a process has fewer islandlike formations. This leads to a pseudomorphically grown GaAs layer, which experiences higher strain compared to the GaAs layer with more islandlike formations, where most relaxation occurs on the free surface of the islands. Therefore, for the same layer thickness, the GaAs layer on (100) Ge/Si substrate where nucleation was initiated by MEE relaxed first.« less

  7. Structural and optical properties of GaAs(100) with a thin surface layer doped with chromium

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Seredin, P. V., E-mail: paul@phys.vsu.ru; Fedyukin, A. V.; Arsentyev, I. N.

    The aim of this study is to explore the structural and optical properties of single-crystal GaAs(100) doped with Cr atoms by burning them into the substrate at high temperatures. The diffusion of chromium into single-crystal GaAs(100) substrates brings about the formation of a thin (~20–40 μm) GaAs:Cr transition layer. In this case, chromium atoms are incorporated into the gallium-arsenide crystal lattice and occupy the regular atomic sites of the metal sublattice. As the chromium diffusion time is increased, such behavior of the dopant impurity yields changes in the energy structure of GaAs, a decrease in the absorption at free chargemore » carriers, and a lowering of the surface recombination rate. As a result, the photoluminescence signal from the sample is significantly enhanced.« less

  8. Demonstrating antiphase domain boundary-free GaAs buffer layer on zero off-cut Si (0 0 1) substrate for interfacial misfit dislocation GaSb film by metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Ha, Minh Thien Huu; Hoang Huynh, Sa; Binh Do, Huy; Nguyen, Tuan Anh; Luc, Quang Ho; Chang, Edward Yi

    2017-08-01

    High quality 40 nm GaSb thin film was grown on the zero off-cut Si (0 0 1)-oriented substrate using metalorganic chemical vapor deposition with the temperature-graded GaAs buffer layer. The growth time of the GaAs nucleation layer, which was deposited at a low temperature of 490 °C, is systematically investigated in this paper. Cross-sections of the high resolution transmission electron microscopy images indicate that the GaAs compound formed 3D-islands first before to quasi-2D islands, and finally formed uniform GaAs layer. The optimum thickness of the 490 °C-GaAs layer was found to be 10 nm to suppress the formation of antiphase domain boundaries (APDs). The thin GaAs nucleation layer had a root-mean-square surface roughness of 0.483 nm. This allows the continued high temperature GaAs buffer layer to be achieved with low threading dislocation density of around 7.1  ×  106 cm-2 and almost invisible APDs. Finally, a fully relaxed GaSb film was grown on the top of the GaAs/Si heterostructure using interfacial misfit dislocation growth mode. These results indicate that the GaSb epitaxial layer can be grown on Si substrate with GaAs buffer layer for future p-channel metal-oxide-semiconductor field effect transistors (MOSFETs) applications.

  9. Design and fabrication of GaAs OMIST photodetector

    NASA Astrophysics Data System (ADS)

    Kang, Xuejun; Lin, ShiMing; Liao, Qiwei; Gao, Junhua; Liu, Shi'an; Cheng, Peng; Wang, Hongjie; Zhang, Chunhui; Wang, Qiming

    1998-08-01

    We designed and fabricated GaAs OMIST (Optical-controlled Metal-Insulator-Semiconductor Thyristor) device. Using oxidation of AlAs layer that is grown by MBE forms the Ultra- Thin semi-Insulating layer (UTI) of the GAAS OMIST. The accurate control and formation of high quality semi-insulating layer (AlxOy) are the key processes for fabricating GaAs OMIST. The device exhibits a current-controlled negative resistance region in its I-V characteristics. When illuminated, the major effect of optical excitation is the reduction of the switching voltage. If the GaAs OMIST device is biased at a voltage below its dark switching voltage Vs, sufficient incident light can switch OMIST from high impedance low current 'off' state to low impedance high current 'on' state. The absorbing material of OMIST is GaAS, so if the wavelength of incident light within 600 to approximately 850 nm can be detected effectively. It is suitable to be used as photodetector for digital optical data process. The other attractive features of GaAs OMIST device include suitable conducted current, switching voltage and power levels for OEIC, high switch speed and high sensitivity to light or current injection.

  10. Ultra-thin GaAs single-junction solar cells integrated with a reflective back scattering layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Weiquan; Becker, Jacob; Liu, Shi

    2014-05-28

    This paper reports the proposal, design, and demonstration of ultra-thin GaAs single-junction solar cells integrated with a reflective back scattering layer to optimize light management and minimize non-radiative recombination. According to our recently developed semi-analytical model, this design offers one of the highest potential achievable efficiencies for GaAs solar cells possessing typical non-radiative recombination rates found among commercially available III-V arsenide and phosphide materials. The structure of the demonstrated solar cells consists of an In{sub 0.49}Ga{sub 0.51}P/GaAs/In{sub 0.49}Ga{sub 0.51}P double-heterostructure PN junction with an ultra-thin 300 nm thick GaAs absorber, combined with a 5 μm thick Al{sub 0.52}In{sub 0.48}P layer with amore » textured as-grown surface coated with Au used as a reflective back scattering layer. The final devices were fabricated using a substrate-removal and flip-chip bonding process. Solar cells with a top metal contact coverage of 9.7%, and a MgF{sub 2}/ZnS anti-reflective coating demonstrated open-circuit voltages (V{sub oc}) up to 1.00 V, short-circuit current densities (J{sub sc}) up to 24.5 mA/cm{sup 2}, and power conversion efficiencies up to 19.1%; demonstrating the feasibility of this design approach. If a commonly used 2% metal grid coverage is assumed, the anticipated J{sub sc} and conversion efficiency of these devices are expected to reach 26.6 mA/cm{sup 2} and 20.7%, respectively.« less

  11. Studies of molecular-beam epitaxy growth of GaAs on porous Si substrates

    NASA Technical Reports Server (NTRS)

    Mii, Y. J.; Kao, Y. C.; Wu, B. J.; Wang, K. L.; Lin, T. L.; Liu, J. K.

    1988-01-01

    GaAs has been grown on porous Si directly and on Si buffer layer-porous Si substrates by molecular-beam epitaxy. In the case of GaAs growth on porous Si, transmission electron microscopy (TEM) reveals that the dominant defects in GaAs layers grown on porous Si are microtwins and stacking faults, which originate from the GaAs/porous Si interface. GaAs is found to penetrate into the porous Si layers. By using a thin Si buffer layer (50 nm), GaAs penetration diminishes and the density of microtwins and stacking faults is largely reduced and localized at the GaAs/Si buffer interface. However, there is a high density of threading dislocations remaining. Both Si (100) aligned and four degree tilted substrates have been examined in this study. TEM results show no observable effect of the tilted substrates on the quality of the GaAs epitaxial layer.

  12. GaAs Monolithic Microwave Subsystem Technology Base

    DTIC Science & Technology

    1980-01-01

    To provide a captive source of reliable, high-quality GaAs substrates, a new crystal growth and substrate preparation facility which utilizes a high...Symp. GaAs and Related Compounds, Inst. Phys. Conf. Ser. 24, 6. 20. Wood, Woodcock and Harris (1978) GaAs and Related Compounds, Inst. Phys. Conf

  13. GaAs photoconductive semiconductor switch

    DOEpatents

    Loubriel, Guillermo M.; Baca, Albert G.; Zutavern, Fred J.

    1998-01-01

    A high gain, optically triggered, photoconductive semiconductor switch (PCSS) implemented in GaAs as a reverse-biased pin structure with a passivation layer above the intrinsic GaAs substrate in the gap between the two electrodes of the device. The reverse-biased configuration in combination with the addition of the passivation layer greatly reduces surface current leakage that has been a problem for prior PCSS devices and enables employment of the much less expensive and more reliable DC charging systems instead of the pulsed charging systems that needed to be used with prior PCSS devices.

  14. Vertically aligned GaAs nanowires on graphite and few-layer graphene: generic model and epitaxial growth.

    PubMed

    Munshi, A Mazid; Dheeraj, Dasa L; Fauske, Vidar T; Kim, Dong-Chul; van Helvoort, Antonius T J; Fimland, Bjørn-Ove; Weman, Helge

    2012-09-12

    By utilizing the reduced contact area of nanowires, we show that epitaxial growth of a broad range of semiconductors on graphene can in principle be achieved. A generic atomic model is presented which describes the epitaxial growth configurations applicable to all conventional semiconductor materials. The model is experimentally verified by demonstrating the growth of vertically aligned GaAs nanowires on graphite and few-layer graphene by the self-catalyzed vapor-liquid-solid technique using molecular beam epitaxy. A two-temperature growth strategy was used to increase the nanowire density. Due to the self-catalyzed growth technique used, the nanowires were found to have a regular hexagonal cross-sectional shape, and are uniform in length and diameter. Electron microscopy studies reveal an epitaxial relationship of the grown nanowires with the underlying graphitic substrates. Two relative orientations of the nanowire side-facets were observed, which is well explained by the proposed atomic model. A prototype of a single GaAs nanowire photodetector demonstrates a high-quality material. With GaAs being a model system, as well as a very useful material for various optoelectronic applications, we anticipate this particular GaAs nanowire/graphene hybrid to be promising for flexible and low-cost solar cells.

  15. GaAs photoconductive semiconductor switch

    DOEpatents

    Loubriel, G.M.; Baca, A.G.; Zutavern, F.J.

    1998-09-08

    A high gain, optically triggered, photoconductive semiconductor switch (PCSS) implemented in GaAs as a reverse-biased pin structure with a passivation layer above the intrinsic GaAs substrate in the gap between the two electrodes of the device is disclosed. The reverse-biased configuration in combination with the addition of the passivation layer greatly reduces surface current leakage that has been a problem for prior PCSS devices and enables employment of the much less expensive and more reliable DC charging systems instead of the pulsed charging systems that needed to be used with prior PCSS devices. 5 figs.

  16. New Passivation Methods of GaAs.

    DTIC Science & Technology

    1980-01-01

    Fabrication of Thin Nitride Layers on GaAs 33 - 35 CHAPTER 7 Passivation of InGaAsP 36 - 37 CHAPTER 8 Emulsions on GaAs Surfaces 38 - 42 APPENDIX...not yet given any useful results. The deposition of SiO2 by using emulsions is pursued and first results on the possibility of GaAs doping are...glycol-tartaric acid based aqueous solution was used in order to anodically oxidise the gate notch after the source and drain ohmic contacts were formed

  17. Effect of thermal annealing on the photoluminescence of structures with InGaAs/GaAs quantum wells and a low-temperature GaAs layer δ-doped with Mn

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kalentyeva, I. L.; Vikhrova, O. V., E-mail: istery@rambler.ru; Danilov, Yu. A.

    2016-11-15

    The effects of isochronal thermal annealing (at 325–725°C) on the radiative properties of InGaAs/GaAs nanoheterostructures containing a low-temperature GaAs layer δ-doped with Mn grown by laser deposition are studied. A decrease in the photoluminescence intensity and increase in the ground transition energy are observed upon thermal impact for quantum wells located near the low-temperature GaAs layer. The distribution of Mn atoms in the initial and annealed structures is obtained by secondary-ion mass spectrometry. A qualitative model of the observed effects of thermal annealing on the radiative properties of the structures is discussed; this model takes into account two main processes:more » diffusion of point defects (primarily gallium vacancies) from the GaAs coating layer deep into the structure and Mn diffusion in both directions by the dissociation mechanism. Magnetization studies show that, as a result of thermal annealing, an increase in the proportion of the ferromagnetic phase at room temperature (presumably, MnAs clusters) in the low-temperature GaAs coating layer takes place.« less

  18. Study on the high-power semi-insulating GaAs PCSS with quantum well structure

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Luan, Chongbiao; Wang, Bo; Huang, Yupeng

    A high-power semi-insulating GaAs photoconductive semiconductor switch (PCSS) with quantum well structure was fabricated. The AlGaAs layer was deposited on the surface of the GaAs material, and the reflecting film and the antireflection film have been made on the surface of the GaAs and AlGaAs, respectively. When the prepared PCSS worked at a bias voltage of 9.8 kV and triggered by a laser pulse with an incident optical energy of 5.4 mJ, a wavelength of 1064 nm and an optical pulse width of 25 ns, the on-state resistance of the AlGaAs/GaAs PCSS was only 0.45 Ω, and the longevity ofmore » the AlGaAs/GaAs PCSS was larger than 10{sup 6} shots. The results show that this structure reduces the on-state resistance and extends the longevity of the GaAs PCSS.« less

  19. Photoluminescence and capacitance voltage characterization of GaAs surface passivated by an ultrathin GaN interface control layer

    NASA Astrophysics Data System (ADS)

    Anantathanasarn, Sanguan; Hasegawa, Hideki

    2002-05-01

    A novel surface passivation technique for GaAs using an ultrathin GaN interface control layer (GaN ICL) formed by surface nitridation was characterized by ultrahigh vacuum (UHV) photoluminescence (PL) and capacitance-voltage ( C- V) measurements. The PL quantum efficiency was dramatically enhanced after being passivated by the GaN ICL structure, reaching as high as 30 times of the initial clean GaAs surface. Further analysis of PL data was done by the PL surface state spectroscopy (PLS 3) simulation technique. PL and C- V results are in good agreement indicating that ultrathin GaN ICL reduces the gap states and unpins the Fermi level, realizing a wide movement of Fermi level within the midgap region and reduction of the effective surface recombination velocity by a factor of 1/60. GaN layer also introduced a large negative surface fixed charge of about 10 12 cm -2. A further improvement took place by depositing a Si 3N 4 layer on GaN ICL/GaAs structure.

  20. Room Temperature Sensing Achieved by GaAs Nanowires and oCVD Polymer Coating.

    PubMed

    Wang, Xiaoxue; Ermez, Sema; Goktas, Hilal; Gradečak, Silvija; Gleason, Karen

    2017-06-01

    Novel structures comprised of GaAs nanowire arrays conformally coated with conducting polymers (poly(3,4-ethylenedioxythiophene) (PEDOT) or poly(3,4-ethylenedioxythiophene-co-3-thiophene acetic acid) display both sensitivity and selectivity to a variety of volatile organic chemicals. A key feature is room temperature operation, so that neither a heater nor the power it would consume, is required. It is a distinct difference from traditional metal oxide sensors, which typically require elevated operational temperature. The GaAs nanowires are prepared directly via self-seeded metal-organic chemical deposition, and conducting polymers are deposited on GaAs nanowires using oxidative chemical vapor deposition (oCVD). The range of thickness for the oCVD layer is between 100 and 200 nm, which is controlled by changing the deposition time. X-ray diffraction analysis indicates an edge-on alignment of the crystalline structure of the PEDOT coating layer on GaAs nanowires. In addition, the positive correlation between the improvement of sensitivity and the increasing nanowire density is demonstrated. Furthermore, the effect of different oCVD coating materials is studied. The sensing mechanism is also discussed with studies considering both nanowire density and polymer types. Overall, the novel structure exhibits good sensitivity and selectivity in gas sensing, and provides a promising platform for future sensor design. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  1. Raman scattering studies of strain effects in (100) and (311)B GaAs1-xBix epitaxial layers

    NASA Astrophysics Data System (ADS)

    Steele, J. A.; Lewis, R. A.; Henini, M.; Lemine, O. M.; Alkaoud, A.

    2013-11-01

    We report room-temperature Raman studies of strained (100) and (311)B GaAs1-xBix epitaxial layers for x ≤ 0.039. The Raman spectra exhibit a two-mode behavior, as well as disorder-activated GaAs-like phonons. The experimental results show that the GaAs-like LO(Γ) mode experiences a strong composition-dependent redshift as a result of alloying. The peak frequency decreases linearly from the value for pure GaAs (˜293 cm-1) with the alloyed Bi fraction x and the introduced in-plane lattice strain ɛ∥, by ΔωLO=Δωalloy-Δωstrain. X-ray diffraction measurements are used to determine x and ɛ∥ allowing Δωalloy to be decoupled and is estimated to be -12(±4) cm-1/x for (100) GaAs1-xBix. ΔωLO is measured to be roughly double for samples grown on (311)B-oriented substrates to that of (100) GaAs. This large difference in redshift is accounted for by examining the Bi induced strain, effects from alloying, and defects formed during high-index (311)B crystal growth.

  2. High-efficiency thin-film GaAs solar cells, phase2

    NASA Technical Reports Server (NTRS)

    Yeh, Y. C. M.

    1981-01-01

    Thin GaAs epi-layers with good crystallographic quality were grown using a (100) Si-substrate on which a thin Ge epi-interlayer was grown by CVD from germane. Both antireflection-coated metal oxide semiconductor (AMOS) and n(+)/p homojunction structures were studied. The AMOS cells were fabricated on undoped-GaAs epi-layers deposited on bulk poly-Ge substrates using organo-metallic CVD film-growth, with the best achieved AM1 conversion efficiency being 9.1%. Both p-type and n(+)-type GaAs growth were optimized using 50 ppm dimethyl zinc and 1% hydrogen sulfide, respectively. A direct GaAs deposition method in fabricating ultra-thin top layer, epitaxial n(+)/p shallow homojunction solar cells on (100) GaAs substrates (without anodic thinning) was developed to produce large area (1 sq/cm) cells, with 19.4% AM1 conversion efficiency achieved. Additionally, an AM1 conversion efficiency of 18.4% (17.5% with 5% grid coverage) was achieved for a single crystal GaAs n(+)/p cell grown by OM-CVD on a Ge wafer.

  3. Surface ordering of (In,Ga)As quantum dots controlled by GaAs substrate indexes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Zh.M.; Seydmohamadi, Sh.; Lee, J.H.

    Self-organized surface ordering of (In,Ga)As quantum dots in a GaAs matrix was investigated using stacked multiple quantum dot layers prepared by molecular-beam epitaxy. While one-dimensional chain-like ordering is formed on singular and slightly misorientated GaAs(100) surfaces, we report on two-dimensional square-like ordering that appears on GaAs(n11)B, where n is 7, 5, 4, and 3. Using a technique to control surface diffusion, the different ordering patterns are found to result from the competition between anisotropic surface diffusion and anisotropic elastic matrix, a similar mechanism suggested before by Solomon [Appl. Phys. Lett. 84, 2073 (2004)].

  4. LEC GaAs for integrated circuit applications

    NASA Technical Reports Server (NTRS)

    Kirkpatrick, C. G.; Chen, R. T.; Homes, D. E.; Asbeck, P. M.; Elliott, K. R.; Fairman, R. D.; Oliver, J. D.

    1984-01-01

    Recent developments in liquid encapsulated Czochralski techniques for the growth of semiinsulating GaAs for integrated circuit applications have resulted in significant improvements in the quality and quantity of GaAs material suitable for device processing. The emergence of high performance GaAs integrated circuit technologies has accelerated the demand for high quality, large diameter semiinsulating GaAs substrates. The new device technologies, including digital integrated circuits, monolithic microwave integrated circuits and charge coupled devices have largely adopted direct ion implantation for the formation of doped layers. Ion implantation lends itself to good uniformity and reproducibility, high yield and low cost; however, this technique also places stringent demands on the quality of the semiinsulating GaAs substrates. Although significant progress was made in developing a viable planar ion implantation technology, the variability and poor quality of GaAs substrates have hindered progress in process development.

  5. Formation of embedded plasmonic Ga nanoparticle arrays and their influence on GaAs photoluminescence

    NASA Astrophysics Data System (ADS)

    Kang, M.; Jeon, S.; Jen, T.; Lee, J.-E.; Sih, V.; Goldman, R. S.

    2017-07-01

    We introduce a novel approach to the seamless integration of plasmonic nanoparticle (NP) arrays into semiconductor layers and demonstrate their enhanced photoluminescence (PL) efficiency. Our approach utilizes focused ion beam-induced self-assembly of close-packed arrays of Ga NPs with tailorable NP diameters, followed by overgrowth of GaAs layers using molecular beam epitaxy. Using a combination of PL spectroscopy and electromagnetic computations, we identify a regime of Ga NP diameter and overgrown GaAs layer thickness where NP-array-enhanced absorption in GaAs leads to enhanced GaAs near-band-edge (NBE) PL efficiency, surpassing that of high-quality epitaxial GaAs layers. As the NP array depth and size are increased, the reduction in spontaneous emission rate overwhelms the NP-array-enhanced absorption, leading to a reduced NBE PL efficiency. This approach provides an opportunity to enhance the PL efficiency of a wide variety of semiconductor heterostructures.

  6. GaAs MOEMS Technology

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    SPAHN, OLGA B.; GROSSETETE, GRANT D.; CICH, MICHAEL J.

    2003-03-01

    Many MEMS-based components require optical monitoring techniques using optoelectronic devices for converting mechanical position information into useful electronic signals. While the constituent piece-parts of such hybrid opto-MEMS components can be separately optimized, the resulting component performance, size, ruggedness and cost are substantially compromised due to assembly and packaging limitations. GaAs MOEMS offers the possibility of monolithically integrating high-performance optoelectronics with simple mechanical structures built in very low-stress epitaxial layers with a resulting component performance determined only by GaAs microfabrication technology limitations. GaAs MOEMS implicitly integrates the capability for radiation-hardened optical communications into the MEMS sensor or actuator component, a vitalmore » step towards rugged integrated autonomous microsystems that sense, act, and communicate. This project establishes a new foundational technology that monolithically combines GaAs optoelectronics with simple mechanics. Critical process issues addressed include selectivity, electrochemical characteristics, and anisotropy of the release chemistry, and post-release drying and coating processes. Several types of devices incorporating this novel technology are demonstrated.« less

  7. Defect characterization of proton irradiated GaAs pn-junction diodes with layers of InAs quantum dots

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sato, Shin-ichiro, E-mail: sato.shinichiro@jaea.go.jp; Optoelectronics and Radiation Effects Branch, U.S. Naval Research Laboratory, Washington, DC 20375; Schmieder, Kenneth J.

    2016-05-14

    In order to expand the technology of III-V semiconductor devices with quantum structures to both terrestrial and space use, radiation induced defects as well as native defects generated in the quantum structures should be clarified. Electrically active defects in GaAs p{sup +}n diodes with embedded ten layers of InAs quantum dots (QDs) are investigated using Deep Level Transient Fourier Spectroscopy. Both majority carrier (electron) and minority carrier (hole) traps are characterized. In the devices of this study, GaP layers are embedded in between the QD layers to offset the compressive stress introduced during growth of InAs QDs. Devices are irradiatedmore » with high energy protons for three different fluences at room temperature in order to characterize radiation induced defects. Seven majority electron traps and one minority hole trap are found after proton irradiation. It is shown that four electron traps induced by proton irradiation increase in proportion to the fluence, whereas the EL2 trap, which appears before irradiation, is not affected by irradiation. These defects correspond to electron traps previously identified in GaAs. In addition, a 0.53 eV electron trap and a 0.14 eV hole trap are found in the QD layers before proton irradiation. It is shown that these native traps are also unaffected by irradiation. The nature of the 0.14 eV hole trap is thought to be Ga-vacancies in the GaP strain balancing layers.« less

  8. Phosphine Functionalization GaAs(111)A Surfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Traub, M.; Biteen, J; Michalak, D

    Phosphorus-functionalized GaAs surfaces have been prepared by exposure of Cl-terminated GaAs(111)A surfaces to triethylphosphine (PEt3) or trichlorophosphine (PCl3), or by the direct functionalization of the native-oxide terminated GaAs(111)A surface with PCl3. The presence of phosphorus on each functionalized surface was confirmed by X-ray photoelectron spectroscopy. High-resolution, soft X-ray photoelectron spectroscopy was used to evaluate the As and Ga 3d regions of such surfaces. On PEt3 treated surfaces, the Ga 3d spectra exhibited a bulk Ga peak as well as peaks that were shifted to 0.35, 0.92 and 1.86 eV higher binding energy. These peaks were assigned to residual Cl-terminated Gamore » surface sites, surficial Ga2O and surficial Ga2O3, respectively. For PCl3-treated surfaces, the Ga 3d spectra displayed peaks ascribable to bulk Ga(As), Ga2O, and Ga2O3, as well as a peak shifted 0.30 eV to higher binding energy relative to the bulk signal. A peak corresponding to Ga(OH)3, observed on the Cl-terminated surface, was absent from all of the phosphine-functionalized surfaces. After reaction of the Cl-terminated GaAs(111)A surface with PCl3 or PEt3, the As 3d spectral region was free of As oxides and As0. Although native oxide-terminated GaAs surfaces were free of As oxides after reaction with PCl3, such surfaces contained detectable amounts of As0. Photoluminescence measurements indicted that phosphine-functionalized surfaces prepared from Cl-terminated GaAs(111)A surfaces had better electrical properties than the native-oxide capped GaAs(111)A surface, while the native-oxide covered surface treated with PCl3 showed no enhancement in PL intensity.« less

  9. GaAs Photovoltaics on Polycrystalline Ge Substrates

    NASA Technical Reports Server (NTRS)

    Wilt, David M.; Pal, AnnaMaria T.; McNatt, Jeremiah S.; Wolford, David S.; Landis, Geoffrey A.; Smith, Mark A.; Scheiman, David; Jenkins, Phillip P.; McElroy Bruce

    2007-01-01

    High efficiency III-V multijunction solar cells deposited on metal foil or even polymer substrates can provide tremendous advantages in mass and stowage, particularly for planetary missions. As a first step towards that goal, poly-crystalline p/i/n GaAs solar cells are under development on polycrystalline Ge substrates. Organo Metallic Vapor Phase Epitaxy (OMVPE) parameters for pre-growth bake, nucleation and deposition have been examined. Single junction p/i/n GaAs photovoltaic devices, incorporating InGaP front and back window layers, have been grown and processed. Device performance has shown a dependence upon the thickness of a GaAs buffer layer deposited between the Ge substrate and the active device structure. A thick (2 m) GaAs buffer provides for both increased average device performance as well as reduced sensitivity to variations in grain size and orientation. Illumination under IR light (lambda > 1 micron), the cells showed a Voc, demonstrating the presence of an unintended photoactive junction at the GaAs/Ge interface. The presence of this junction limited the efficiency to approx.13% (estimated with an anti-refection coating) due to the current mismatch and lack of tunnel junction interconnect.

  10. Characterization of core/shell structures based on CdTe and GaAs nanocrystalline layers deposited on SnO2 microwires

    NASA Astrophysics Data System (ADS)

    Ghimpu, L.; Ursaki, V. V.; Pantazi, A.; Mesterca, R.; Brâncoveanu, O.; Shree, Sindu; Adelung, R.; Tiginyanu, I. M.; Enachescu, M.

    2018-04-01

    We report the fabrication and characterization of SnO2/CdTe and SnO2/GaAs core/shell microstructures. CdTe or GaAs shell layers were deposited by radio-frequency (RF) magnetron sputtering on core SnO2 microwires synthesized by a flame-based thermal oxidation method. The produced structures were characterized by scanning electron microscopy (SEM), high-resolution scanning transmission electron microscope (HR-STEM), X-ray diffraction (XRD), Raman scattering and FTIR spectroscopy. It was found that the SnO2 core is of the rutile type, while the shells are composed of CdTe or GaAs nanocrystallites of zincblende structure with the dimensions of crystallites in the range of 10-20 nm. The Raman scattering investigations demonstrated that the quality of the porous nanostructured shell is improved by annealing at temperatures of 420-450 °C. The prospects of implementing these microstructures in intrinsic type fiber optic sensors are discussed.

  11. Paths to light trapping in thin film GaAs solar cells.

    PubMed

    Xiao, Jianling; Fang, Hanlin; Su, Rongbin; Li, Kezheng; Song, Jindong; Krauss, Thomas F; Li, Juntao; Martins, Emiliano R

    2018-03-19

    It is now well established that light trapping is an essential element of thin film solar cell design. Numerous light trapping geometries have already been applied to thin film cells, especially to silicon-based devices. Less attention has been paid to light trapping in GaAs thin film cells, mainly because light trapping is considered less attractive due to the material's direct bandgap and the fact that GaAs suffers from strong surface recombination, which particularly affects etched nanostructures. Here, we study light trapping structures that are implemented in a high-bandgap material on the back of the GaAs active layer, thereby not perturbing the integrity of the GaAs active layer. We study photonic crystal and quasi-random nanostructures both by simulation and by experiment and find that the photonic crystal structures are superior because they exhibit fewer but stronger resonances that are better matched to the narrow wavelength range where GaAs benefits from light trapping. In fact, we show that a 1500 nm thick cell with photonic crystals achieves the same short circuit current as an unpatterned 4000 nm thick cell. These findings are significant because they afford a sizeable reduction in active layer thickness, and therefore a reduction in expensive epitaxial growth time and cost, yet without compromising performance.

  12. Epitaxial growth of GaSb on V-grooved Si (001) substrates with an ultrathin GaAs stress relaxing layer

    NASA Astrophysics Data System (ADS)

    Li, Qiang; Lai, Billy; Lau, Kei May

    2017-10-01

    We report epitaxial growth of GaSb nano-ridge structures and planar thin films on V-groove patterned Si (001) substrates by leveraging the aspect ratio trapping technique. GaSb was deposited on {111} Si facets of the V-shaped trenches using metal-organic chemical vapor deposition with a 7 nm GaAs growth initiation layer. Transmission electron microscopy analysis reveals the critical role of the GaAs layer in providing a U-shaped surface for subsequent GaSb epitaxy. A network of misfit dislocations was uncovered at the GaSb/GaAs hetero-interface. We studied the evolution of the lattice relaxation as the growth progresses from closely pitched GaSb ridges to coalesced thin films using x-ray diffraction. The omega rocking curve full-width-at-half-maximum of the resultant GaSb thin film is among the lowest values reported by molecular beam epitaxy, substantiating the effectiveness of the defect necking mechanism. These results thus present promising opportunities for the heterogeneous integration of devices based on 6.1 Å family compound semiconductors.

  13. Influence of arsenic flow on the crystal structure of epitaxial GaAs grown at low temperatures on GaAs (100) and (111)A substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Galiev, G. B.; Klimov, E. A.; Vasiliev, A. L.

    The influence of arsenic flow in a growth chamber on the crystal structure of GaAs grown by molecular-beam epitaxy at a temperature of 240°C on GaAs (100) and (111)A substrates has been investigated. The flow ratio γ of arsenic As4 and gallium was varied in the range from 16 to 50. GaAs films were either undoped, or homogeneously doped with silicon, or contained three equidistantly spaced silicon δ-layers. The structural quality of the annealed samples has been investigated by transmission electron microscopy. It is established for the first time that silicon δ-layers in “low-temperature” GaAs serve as formation centers ofmore » arsenic precipitates. Their average size, concentration, and spatial distribution are estimated. The dependence of the film structural quality on γ is analyzed. Regions 100–150 nm in size have been revealed in some samples and identified (by X-ray microanalysis) as pores. It is found that, in the entire range of γ under consideration, GaAs films on (111)A substrates have a poorer structural quality and become polycrystalline beginning with a thickness of 150–200 nm.« less

  14. Dielectric functions, chemical and atomic compositions of the near surface layers of implanted GaAs by In+ ions

    NASA Astrophysics Data System (ADS)

    Kulik, M.; Kołodyńska, D.; Bayramov, A.; Drozdziel, A.; Olejniczak, A.; Żuk, J.

    2018-06-01

    The surfaces of (100) GaAs were irradiated with In+ ions. The implanted samples were isobaric annealed at 800 °C and then of dielectric function, the surface atomic concentrations of atoms and also the chemical composition of the near surface layers in these implanted semiconductor samples were obtained. The following investigation methods were used: spectroscopic ellipsometry (SE), Rutherford backscattering spectrometry analyses (RBSA) and X-ray photoelectron spectroscopy (XPS) in the study of the above mentioned quantities, respectively. The change of the shape spectra of the dielectric functions at about 3.0 eV phonon energy, diffusion of In+ ions as well as chemical composition changes were observed after ion implantation and the thermal treatment. Due to displacement of Ga ions from GaAs by the In+ ions the new chemical compound InAs was formed. The relative amounts Ga2O3 and As2O3 ratio increase in the native oxide layers with the fluences increase after the thermal treatment of the samples. Additionally, it was noticed that the quantities of InO2 increase with the increasing values of the irradiated ions before thermal treatment.

  15. Luminescence properties of ZnxMg1-xSe layers

    NASA Astrophysics Data System (ADS)

    Bala, Waclaw; Firszt, Franciszek; Dzik, Janusz; Gapinski, Adam; Glowacki, Grzegorz

    1995-10-01

    This work deals with the study of luminescence properties of ZnxMg1-xSe layers prepared by different methods. ZnxMg1-xSe mixed crystal layers were obtained by: (a) thermal diffusion of Mg metal in the temperature range 1050 K - 1200 K into ZnSe single crystal grown by Bridgman method, and (b) epitaxial growth on (001) GaAs and (111) ZnTe substrates by MBE using elemental Zn, Se and Mg sources. The luminescence spectra of ZnxMg1-xSe layers grown on (001) GaAs and (111) ZnTe substrates are dominated by narrow blue and violet emission bands with maxima positioned at about 3.05 - 3.28 eV, 2.88 - 3.04 eV, and 2.81 - 2.705 eV.

  16. Strain relaxation induced surface morphology of heterogeneous GaInNAs layers grown on GaAs substrate

    NASA Astrophysics Data System (ADS)

    Gelczuk, Ł.; Jóźwiak, G.; Moczała, M.; Dłużewski, P.; Dąbrowska-Szata, M.; Gotszalk, T. P.

    2017-07-01

    The partially-relaxed heterogeneous GaInNAs layers grown on GaAs substrate by atmospheric pressure vapor phase epitaxy (AP-MOVPE) were investigated by transmission electron microscopy (TEM) and atomic force microscopy (AFM). The planar-view TEM image shows a regular 2D network of misfit dislocations oriented in two orthogonal 〈1 1 0〉 crystallographic directions at the (0 0 1) layer interface. Moreover, the cross-sectional view TEM image reveals InAs-rich and V-shaped precipitates in the near surface region of the GaInNAs epitaxial layer. The resultant undulating surface morphology, known as a cross-hatch pattern, is formed as observed by AFM. The numerical analysis of the AFM image of the GaInNAs layer surface with the well-defined cross-hatch morphology enabled us to determine a lower bound of actual density of misfit dislocations. However, a close correspondence between the asymmetric distribution of interfacial misfit dislocations and undulating surface morphology is observed.

  17. Multilayer self-organization of InGaAs quantum wires on GaAs surfaces

    NASA Astrophysics Data System (ADS)

    Wang, Zhiming M.; Kunets, Vasyl P.; Xie, Yanze Z.; Schmidbauer, Martin; Dorogan, Vitaliy G.; Mazur, Yuriy I.; Salamo, Gregory J.

    2010-12-01

    Molecular-Beam Epitaxy growth of multiple In 0.4Ga 0.6As layers on GaAs (311)A and GaAs (331)A has been investigated by Atomic Force Microscopy and Photoluminescence. On GaAs (311)A, uniformly distributed In 0.4Ga 0.6As quantum wires (QWRs) with wider lateral separation were achieved, presenting a significant improvement in comparison with the result on single layer [H. Wen, Z.M. Wang, G.J. Salamo, Appl. Phys. Lett. 84 (2004) 1756]. On GaAs (331)A, In 0.4Ga 0.6As QWRs were revealed to be much straighter than in the previous report on multilayer growth [Z. Gong, Z. Niu, Z. Fang, Nanotechnology 17 (2006) 1140]. These observations are discussed in terms of the strain-field interaction among multilayers, enhancement of surface mobility at high temperature, and surface stability of GaAs (311)A and (331)A surfaces.

  18. Temporal behavior of RHEED intensity oscillations during molecular beam epitaxial growth of GaAs and AlGaAs on (111)B GaAs substrates

    NASA Astrophysics Data System (ADS)

    Yen, Ming Y.; Haas, T. W.

    1990-10-01

    We present the temporal behavior of intensity oscillations in reflection high-energy electron diffraction (RHEED) during molecular beam epitaxial (MBE) growth of GaAs and A1GaAs on (1 1 1)B GaAs substrates. The RHEED intensity oscillations were examined as a function of growth parameters in order to provide the insight into the dynamic characteristics and to identify the optimal condition for the two-dimensional layer-by-layer growth. The most intense RHEED oscillation was found to occur within a very narrow temperature range which seems to optimize the surface migration kinetics of the arriving group III elements and the molecular dissodiative reaction of the group V elements. The appearance of an initial transient of the intensity upon commencement of the growth and its implications are described.

  19. Nitridation of porous GaAs by an ECR ammonia plasma

    NASA Astrophysics Data System (ADS)

    Naddaf, M.; Hullavarad, S. S.; Ganesan, V.; Bhoraskar, S. V.

    2006-02-01

    The effect of surface porosity of GaAs on the nature of growth of GaN, by use of plasma nitridation of GaAs, has been investigated. Porous GaAs samples were prepared by anodic etching of n-type (110) GaAs wafers in HCl solution. Nitridation of porous GaAs samples were carried out by using an electron-cyclotron resonance-induced ammonia plasma. The formation of mixed phases of GaN was investigated using the grazing angle x-ray diffraction method. A remarkable improvement in the intensity of photoluminescence (PL) compared with that of GaN synthesized by direct nitriding of GaAs surface has been observed. The PL intensity of nitrided porous GaAs at the temperature of 380 °C was found to be about two orders of magnitude higher as compared with the directly nitrided GaAs at the temperature of 500 °C. The changes in the morphology of nitrided porous GaAs have been investigated using both scanning electron microscopy and atomic force microscopy.

  20. Quality-enhanced In{sub 0.3}Ga{sub 0.7}As film grown on GaAs substrate with an ultrathin amorphous In{sub 0.6}Ga{sub 0.4}As buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gao, Fangliang; Li, Guoqiang, E-mail: msgli@scut.edu.cn

    2014-01-27

    Using low-temperature molecular beam epitaxy, amorphous In{sub 0.6}Ga{sub 0.4}As layers have been grown on GaAs substrates to act as buffer layers for the subsequent epitaxial growth of In{sub 0.3}Ga{sub 0.7}As films. It is revealed that the crystallinity of as-grown In{sub 0.3}Ga{sub 0.7}As films is strongly affected by the thickness of the large-mismatched amorphous In{sub 0.6}Ga{sub 0.4}As buffer layer. Given an optimized thickness of 2 nm, this amorphous In{sub 0.6}Ga{sub 0.4}As buffer layer can efficiently release the misfit strain between the In{sub 0.3}Ga{sub 0.7}As epi-layer and the GaAs substrate, trap the threading and misfit dislocations from propagating to the following In{sub 0.3}Ga{submore » 0.7}As epi-layer, and reduce the surface fluctuation of the as-grown In{sub 0.3}Ga{sub 0.7}As, leading to a high-quality In{sub 0.3}Ga{sub 0.7}As film with competitive crystallinity to that grown on GaAs substrate using compositionally graded In{sub x}Ga{sub 1-x}As metamorphic buffer layers. Considering the complexity of the application of the conventional In{sub x}Ga{sub 1-x}As graded buffer layers, this work demonstrates a much simpler approach to achieve high-quality In{sub 0.3}Ga{sub 0.7}As film on GaAs substrate and, therefore, is of huge potential for the InGaAs-based high-efficiency photovoltaic industry.« less

  1. Supernormal hardness increase of dilute Ga(As, N) thin films

    NASA Astrophysics Data System (ADS)

    Berggren, Jonas; Hanke, Michael; Luna, Esperanza; Trampert, Achim

    2017-03-01

    Hardness of epitaxial GaAs1-xNx films on GaAs(001) with different film thicknesses, varying from 80 to 700 nm, and nitrogen compositions x between zero (pure GaAs) and 0.031, were studied by means of nano-indentation. As a result, a disproportionate and monotonic increase by 17% in hardness was proved in the dilute range from GaAs to GaAs0.969N0.031. We are tracing this observation to solid solution strengthening, an extrinsic effect based on dislocation pinning due to interstitial nitrogen. On the other hand, intrinsic effects related to different electronegativities of As and N (i.e., altered bonding conditions) could be ruled out. Furthermore, in tensilely strained GaAs1-xNx layers, the appearance of cracks acts as the main strain relieving mechanism. A correlation between cracking and hardness reduction is investigated and discussed as a further relaxation pathway.

  2. Epitaxial lateral overgrowth of GaAs: effect of doping on LPE growth behaviour

    NASA Astrophysics Data System (ADS)

    Zytkiewicz, Z. R.; Dobosz, D.; Pawlowska, M.

    1999-05-01

    Results of epitaxial lateral overgrowth (ELO) of GaAs on (001) GaAs substrates by liquid phase epitaxy are reported. We show that by introducing Si, Sn or Te impurities to the Ga-As solution the vertical growth rate is reduced while the lateral growth rate is significantly enhanced, which leads to a growth habit modification. Furthermore, the impurity incorporation into the growing layer is different on the upper and side surfaces of the ELO, reflecting the fundamental differences between the lateral and vertical growth modes. This phenomenon can be applied for studying the temporal development of ELO layers.

  3. Fermi level pinning at epitaxial Si on GaAs(100) interfaces

    NASA Astrophysics Data System (ADS)

    Silberman, J. A.; de Lyon, T. J.; Woodall, J. M.

    1991-12-01

    GaAs Schottky barrier contacts and metal-insulator-semiconductor structures that include thin epitaxial Si interfacial layers operate in a manner consistent with an unpinned Fermi level at the GaAs interface. These findings raise the question of whether this effect is an intrinsic property of the epitaxial GaAs(100)-Si interface. We have used x-ray photoemission spectroscopy to monitor the Fermi level position during in situ growth of thin epitaxial Si layers. In particular, films formed on heavily doped n- and p-type substrates were compared so as to use the large depletion layer fields available with high impurity concentration as a field-effect probe of the interface state density. The results demonstrate that epitaxial bonding at the interface alone is insufficient to eliminate Fermi level pinning, indicating that other mechanisms affect the interfacial charge balance in the devices that utilize Si interlayers.

  4. High yield of self-catalyzed GaAs nanowire arrays grown on silicon via gallium droplet positioning.

    PubMed

    Plissard, S; Larrieu, G; Wallart, X; Caroff, P

    2011-07-08

    We report and detail a method to achieve growth of vertical self-catalyzed GaAs nanowires directly on Si(111) with a near-perfect vertical yield, using electron-beam-defined arrays of holes in a dielectric layer and molecular beam epitaxy. In our conditions, GaAs nanowires are grown along a vapor-liquid-solid mechanism, using in situ self-forming Ga droplets. The focus of this paper is to understand the role of the substrate preparation and of the pre-growth conditioning. Without changing temperature or the V/III ratio, the yield of vertical nanowires is increased incrementally up to 95%. The possibility to achieve very dense arrays, with center-to-center inter-wire distances less than 100 nm, is demonstrated.

  5. SEMICONDUCTOR TECHNOLOGY: GaAs surface wet cleaning by a novel treatment in revolving ultrasonic atomization solution

    NASA Astrophysics Data System (ADS)

    Zaijin, Li; Liming, Hu; Ye, Wang; Ye, Yang; Hangyu, Peng; Jinlong, Zhang; Li, Qin; Yun, Liu; Lijun, Wang

    2010-03-01

    A novel process for the wet cleaning of GaAs surface is presented. It is designed for technological simplicity and minimum damage generated within the GaAs surface. It combines GaAs cleaning with three conditions consisting of (1) removal of thermodynamically unstable species and (2) surface oxide layers must be completely removed after thermal cleaning, and (3) a smooth surface must be provided. Revolving ultrasonic atomization technology is adopted in the cleaning process. At first impurity removal is achieved by organic solvents; second NH4OH:H2O2:H2O = 1:1:10 solution and HCl: H2O2:H2O = 1:1:20 solution in succession to etch a very thin GaAs layer, the goal of the step is removing metallic contaminants and forming a very thin oxidation layer on the GaAs wafer surface; NH4OH:H2O = 1:5 solution is used as the removed oxide layers in the end. The effectiveness of the process is demonstrated by the operation of the GaAs wafer. Characterization of the oxide composition was carried out by X-ray photoelectron spectroscopy. Metal-contamination and surface morphology was observed by a total reflection X-ray fluorescence spectroscopy and atomic force microscope. The research results show that the cleaned surface is without contamination or metal contamination. Also, the GaAs substrates surface is very smooth for epitaxial growth using the rotary ultrasonic atomization technology.

  6. GaAs droplet quantum dots with nanometer-thin capping layer for plasmonic applications.

    PubMed

    Park, Suk In; Trojak, Oliver Joe; Lee, Eunhye; Song, Jin Dong; Kyhm, Jihoon; Han, Ilki; Kim, Jongsu; Yi, Gyu-Chul; Sapienza, Luca

    2018-05-18

    We report on the growth and optical characterization of droplet GaAs quantum dots (QDs) with extremely-thin (11 nm) capping layers. To achieve such result, an internal thermal heating step is introduced during the growth and its role in the morphological properties of the QDs obtained is investigated via scanning electron and atomic force microscopy. Photoluminescence measurements at cryogenic temperatures show optically stable, sharp and bright emission from single QDs, at visible wavelengths. Given the quality of their optical properties and the proximity to the surface, such emitters are good candidates for the investigation of near field effects, like the coupling to plasmonic modes, in order to strongly control the directionality of the emission and/or the spontaneous emission rate, crucial parameters for quantum photonic applications.

  7. GaAs droplet quantum dots with nanometer-thin capping layer for plasmonic applications

    NASA Astrophysics Data System (ADS)

    In Park, Suk; Trojak, Oliver Joe; Lee, Eunhye; Song, Jin Dong; Kyhm, Jihoon; Han, Ilki; Kim, Jongsu; Yi, Gyu-Chul; Sapienza, Luca

    2018-05-01

    We report on the growth and optical characterization of droplet GaAs quantum dots (QDs) with extremely-thin (11 nm) capping layers. To achieve such result, an internal thermal heating step is introduced during the growth and its role in the morphological properties of the QDs obtained is investigated via scanning electron and atomic force microscopy. Photoluminescence measurements at cryogenic temperatures show optically stable, sharp and bright emission from single QDs, at visible wavelengths. Given the quality of their optical properties and the proximity to the surface, such emitters are good candidates for the investigation of near field effects, like the coupling to plasmonic modes, in order to strongly control the directionality of the emission and/or the spontaneous emission rate, crucial parameters for quantum photonic applications.

  8. The ZnSe(110) puzzle - Comparison with GaAs(110)

    NASA Technical Reports Server (NTRS)

    Duke, C. B.; Paton, A.; Kahn, A.; Tu, D.-W.

    1984-01-01

    The surface structure of monocrystalline ZnSe(110) and of 4-5-nm-thick ZnSe(110) layers epitaxially grown on GaAs(110) is investigated by means of elastic LEED and AES; the results are analyzed using the computer programs and R-factor methods of Duke et al. (1981 and 1983), presented in graphs and tables, and compared to those for GaAs(110). Significant differences are attributed to bond-length-conserving outward rotation of Se and inward rotation of Zn in the top layer, with an angle of 4 deg between the actual plane of the cation-anion chain and the truncated bulk surface. The R intensities measured for ZnSe(110) and GaAs(110) are given as Rx = 0.22 and RI = 0.21 and Rx = 0.24 and RI = 0.16, respectively.

  9. Terahertz radiation in In{sub 0.38}Ga{sub 0.62}As grown on a GaAs wafer with a metamorphic buffer layer under femtosecond laser excitation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ponomarev, D. S., E-mail: ponomarev-dmitr@mail.ru; Khabibullin, R. A.; Yachmenev, A. E.

    The results of time-domain spectroscopy of the terahertz (THz) generation in a structure with an In{sub 0.38}Ga{sub 0.62}As photoconductive layer are presented. This structure grown by molecular-beam epitaxy on a GaAs substrate using a metamorphic buffer layer allows THz generation with a wide frequency spectrum (to 6 THz). This is due to the additional contribution of the photo-Dember effect to THz generation. The measured optical-to-terahertz conversion efficiency in this structure is 10{sup –5} at a rather low optical fluence of ~40 μJ/cm{sup 2}, which is higher than that in low-temperature grown GaAs by almost two orders of magnitude.

  10. Understanding and Curing Structural Defects in Colloidal GaAs Nanocrystals

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Srivastava, Vishwas; Liu, Wenyong; Janke, Eric M.

    2017-02-22

    Nearly three decades since the first report on the synthesis of colloidal GaAs nanocrystals (NCs), the preparation and properties of this material remain highly controversial. Traditional synthetic routes either fail to produce the GaAs phase or result in materials that do not show expected optical properties such as excitonic transitions. In this work, we demonstrate a variety of synthetic routes toward crystalline GaAs NCs. By using a combination of Raman, EXAFS and transient absorption spectroscopies, we conclude that unusual optical properties of 2 colloidal GaAs NCs can be related to the presence of vacancies and lattice disorder. We introduce novelmore » molten salt based annealing approach to alleviate these structural defects and show the emergence of size-dependent excitonic transitions in colloidal GaAs quantum dots.« less

  11. Design of quantum efficiency measurement system for variable doping GaAs photocathode

    NASA Astrophysics Data System (ADS)

    Chen, Liang; Yang, Kai; Liu, HongLin; Chang, Benkang

    2008-03-01

    To achieve high quantum efficiency and good stability has been a main direction to develop GaAs photocathode recently. Through early research, we proved that variable doping structure is executable and practical, and has great potential. In order to optimize variable doping GaAs photocathode preparation techniques and study the variable doping theory deeply, a real-time quantum efficiency measurement system for GaAs Photocathode has been designed. The system uses FPGA (Field-programmable gate array) device, and high speed A/D converter to design a high signal noise ratio and high speed data acquisition card. ARM (Advanced RISC Machines) core processor s3c2410 and real-time embedded system are used to obtain and show measurement results. The measurement precision of photocurrent could reach 1nA, and measurement range of spectral response curve is within 400~1000nm. GaAs photocathode preparation process can be real-time monitored by using this system. This system could easily be added other functions to show the physic variation of photocathode during the preparation process more roundly in the future.

  12. Preparation of Large-Diameter GaAs Crystals.

    DTIC Science & Technology

    1981-09-18

    ionized impurity content for 40 n-type semi-insulating GaAs. Figure 17 Analysis (in wt %) of impurities in B203 after crystal growth 41 from PBN and quartz...encapsulant to the generation of defect clusters in LEC InP. (15 ) Statistics relative to the incidence of twinning for growth with dry ( ppm wt OH...and wet (> 1000 ppm wt OH) B203 are given in Fig. 5 for growths from fused-SiO 2 and PBN crucibles. A crystal is defined as having twinned if it

  13. Performance Enhancement of a GaAs Detector with a Vertical Field and an Embedded Thin Low-Temperature Grown Layer

    PubMed Central

    Currie, Marc; Dianat, Pouya; Persano, Anna; Martucci, Maria Concetta; Quaranta, Fabio; Cola, Adriano; Nabet, Bahram

    2013-01-01

    Low temperature growth of GaAs (LT-GaAs) near 200 °C results in a recombination lifetime of nearly 1 ps, compared with approximately 1 ns for regular temperature ∼600 °C grown GaAs (RT-GaAs), making it suitable for ultra high speed detection applications. However, LT-GaAs detectors usually suffer from low responsivity due to low carrier mobility. Here we report electro-optic sampling time response measurements of a detector that employs an AlGaAs heterojunction, a thin layer of LT-GaAs, a channel of RT-GaAs, and a vertical electric field that together facilitate collection of optically generated electrons while suppressing collection of lower mobility holes. Consequently, these devices have detection efficiency near that of RT-GaAs yet provide pulse widths nearly an order of magnitude faster—∼6 ps for a cathode-anode separation of 1.3 μm and ∼12 ps for distances more than 3 μm. PMID:23429510

  14. Microwave GaAs Integrated Circuits On Quartz Substrates

    NASA Technical Reports Server (NTRS)

    Siegel, Peter H.; Mehdi, Imran; Wilson, Barbara

    1994-01-01

    Integrated circuits for use in detecting electromagnetic radiation at millimeter and submillimeter wavelengths constructed by bonding GaAs-based integrated circuits onto quartz-substrate-based stripline circuits. Approach offers combined advantages of high-speed semiconductor active devices made only on epitaxially deposited GaAs substrates with low-dielectric-loss, mechanically rugged quartz substrates. Other potential applications include integration of antenna elements with active devices, using carrier substrates other than quartz to meet particular requirements using lifted-off GaAs layer in membrane configuration with quartz substrate supporting edges only, and using lift-off technique to fabricate ultrathin discrete devices diced separately and inserted into predefined larger circuits. In different device concept, quartz substrate utilized as transparent support for GaAs devices excited from back side by optical radiation.

  15. Carbon reactivation kinetics in GaAs: Its dependence on dopant precursor, doping level, and layer thickness

    NASA Astrophysics Data System (ADS)

    Mimila-Arroyo, J.; Bland, S.; Barbé, M.

    2002-05-01

    The reactivation kinetics of the acceptor behavior of carbon, its dependence on dopant precursors, doping level, layer thickness, and annealing temperature, as well as the behavior of carbon-hydrogen complexes in GaAs grown by metalorganic chemical vapor deposition are studied. Independent of the carbon source, in the "as grown" material, systematically carbon hydrogen complexes are present and the hole concentration is lower than the corresponding carbon concentration. The carbon reactivation kinetics was achieved by ex situ rapid thermal annealing through a series of multistage annealing experiments and assessed at each annealing stage by infrared absorption, hydrogen secondary ion mass spectroscopy profiling, and hole concentration measurements. Carbon reactivation occurs solely by the debonding of hydrogen from the isolated carbon acceptor and its out-diffusion from the sample. The carbon reactivation kinetics can be treated as a first order one with an activation energy, Ea=1.42±0.01 eV, independent of doping precursors, doping level, and layer thickness. The reactivation constant results to decrease as doping level and layer thickness increase. An empirical formula has been obtained that allows one to calculate the reactivation constant as a function of the carbon doping, layer thickness, and annealing temperature, allowing one to determine the optimal carbon reactivation conditions for any C:GaAs layer.

  16. First tests of Timepix detectors based on semi-insulating GaAs matrix of different pixel size

    NASA Astrophysics Data System (ADS)

    Zaťko, B.; Kubanda, D.; Žemlička, J.; Šagátová, A.; Zápražný, Z.; Boháček, P.; Nečas, V.; Mora, Y.; Pichotka, M.; Dudák, J.

    2018-02-01

    In this work, we have focused on Timepix detectors coupled with the semi-insulating GaAs material sensor. We used undoped bulk GaAs material with the thickness of 350 μm. We prepared and tested four pixelated detectors with 165 μm and 220 μm pixel size with two versions of technology preparation, without and with wet chemically etched trenches around each pixel. We have carried out adjustment of GaAs Timepix detectors to optimize their performance. The energy calibration of one GaAs Timepix detector in Time-over-threshold mode was performed with the use of 241Am and 133Ba radioisotopes. We were able to detect γ-photons with the energy up to 160 keV. The X-ray imaging quality of GaAs Timepix detector was tested with X-ray source using various samples. After flat field we obtained very promising imaging performance of tested GaAs Timepix detectors.

  17. Nitride passivation reduces interfacial traps in atomic-layer-deposited Al2O3/GaAs (001) metal-oxide-semiconductor capacitors using atmospheric metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Aoki, T.; Fukuhara, N.; Osada, T.; Sazawa, H.; Hata, M.; Inoue, T.

    2014-07-01

    Using an atmospheric metal-organic chemical vapor deposition system, we passivated GaAs with AlN prior to atomic layer deposition of Al2O3. This AlN passivation incorporated nitrogen at the Al2O3/GaAs interface, improving the capacitance-voltage (C-V) characteristics of the resultant metal-oxide-semiconductor capacitors (MOSCAPs). The C-V curves of these devices showed a remarkable reduction in the frequency dispersion of the accumulation capacitance. Using the conductance method at various temperatures, we extracted the interfacial density of states (Dit). The Dit was reduced over the entire GaAs band gap. In particular, these devices exhibited Dit around the midgap of less than 4 × 1012 cm-2eV-1, showing that AlN passivation effectively reduced interfacial traps in the MOS structure.

  18. Modified energetics and growth kinetics on H-terminated GaAs (110)

    NASA Astrophysics Data System (ADS)

    Galiana, B.; Benedicto, M.; Díez-Merino, L.; Lorbek, S.; Hlawacek, G.; Teichert, C.; Tejedor, P.

    2013-10-01

    Atomic hydrogen modification of the surface energy of GaAs (110) epilayers, grown at high temperatures from molecular beams of Ga and As4, has been investigated by friction force microscopy (FFM). The reduction of the friction force observed with longer exposures to the H beam has been correlated with the lowering of the surface energy originated by the progressive de-relaxation of the GaAs (110) surface occurring upon H chemisorption. Our results indicate that the H-terminated GaAs (110) epilayers are more stable than the As-stabilized ones, with the minimum surface energy value of 31 meV/Å2 measured for the fully hydrogenated surface. A significant reduction of the Ga diffusion length on the H-terminated surface irrespective of H coverage has been calculated from the FFM data, consistent with the layer-by-layer growth mode and the greater As incorporation coefficient determined from real-time reflection high-energy electron diffraction studies. Arsenic incorporation through direct dissociative chemisorption of single As4 molecules mediated by H on the GaAs (110) surface has been proposed as the most likely explanation for the changes in surface kinetics observed.

  19. Tolerance of GaAs as an original substrate for HVPE growth of free standing GaN

    NASA Astrophysics Data System (ADS)

    Suzuki, Mio; Sato, T.; Suemasu, T.; Hasegawa, F.

    2004-09-01

    In order to investigate possibility of thick GaN growth on a GaAs substrate by halide vapar phase epitaxy (HVPE), GaN was grown on GaAs(111)/Ti wafer with Ti deposited by E-gun. It was found that surface treatment of the GaAs substrate by HF solution deteriorated greatly the tolerence of GaAs and that Ti can protected GaAs from erosion by NH3. By depositing Ti on GaAs(111)A surface, a millor-like GaN layer could be grown at 1000 °C for 1 hour without serious deterioration of the original GaAs substrate. By increasing the growth rate, a thick free standing GaN will be obtained with GaAs as an original substrate in near future.

  20. Testing a GaAs cathode in SRF gun

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, E.; Kewisch, J.; Ben-Zvi, I.

    RF electron guns with a strained superlattice GaAs cathode are expected to generate polarized electron beams of higher brightness and lower emittance than do DC guns, due to their higher field gradient at the cathode's surface and lower cathode temperature. We plan to install a bulk GaAs:Cs in a SRF gun to evaluate the performance of both the gun and the cathode in this environment. The status of this project is: In our 1.3 GHz 1/2 cell SRF gun, the vacuum can be maintained at nearly 10{sup -12} Torr because of cryo-pumping at 2K. With conventional activation of bulk GaAs,more » we obtained a QE of 10% at 532 nm, with lifetime of more than 3 days in the preparation chamber and have shown that it can survive in transport from the preparation chamber to the gun. The beam line has been assembled and we are exploring the best conditions for baking the cathode under vacuum. We report here the progress of our test of the GaAs cathode in the SRF gun. Future particle accelerators, such as eRHIC and the ILC require high-brightness, high-current polarized electrons. Strained superlattice GaAs:Cs has been shown to be an efficient cathode for producing polarized electrons. Activation of GaAs with Cs,O(F) lowers the electron affinity and makes it energetically possible for all the electrons, excited into the conduction band that drift or diffuse to the emission surface, to escape into the vacuum. Presently, all operating polarized electron sources, such as the CEBAF, are DC guns. In these devices, the excellent ultra-high vacuum extends the lifetime of the cathode. However, the low field gradient on the photocathode's emission surface of the DC guns limits the beam quality. The higher accelerating gradients, possible in the RF guns, generate a far better beam. Until recently, most RF guns operated at room temperature, limiting the vacuum to {approx}10{sup -9} Torr. This destroys the GaAs's NEA surface. The SRF guns combine the excellent vacuum conditions of DC guns and the

  1. Molecular beam epitaxial growth and structural characterization of ZnS on (001) GaAs

    NASA Technical Reports Server (NTRS)

    Benz, R. G., II; Huang, P. C.; Stock, S. R.; Summers, C. J.

    1988-01-01

    The effect of surface nucleation processes on the quality of ZnS layers grown on (001) GaAs substrates by molecular beam epitaxy is reported. Reflection high energy electron diffraction indicated that nucleation at high temperatures produced more planar surfaces than nucleation at low temperatures, but the crystalline quality as assessed by X-ray double crystal diffractometry is relatively independent of nucleation temperature. A critical factor in layer quality was the initial roughness of the GaAs surfaces.

  2. Structural and optical characteristics of GaAs films grown on Si/Ge substrates

    NASA Astrophysics Data System (ADS)

    Rykov, A. V.; Dorokhin, M. V.; Vergeles, P. S.; Baidus, N. V.; Kovalskiy, V. A.; Yakimov, E. B.; Soltanovich, O. A.

    2018-03-01

    A GaAs/AlAs heterostructure and a GaAs film grown on Si/Ge substrates have been fabricated and studied. A Ge buffer on a silicon substrate was fabricated using the MBE process. A3B5 films were grown by MOCVD at low pressures. Photoluminescence spectroscopy was used to define the optical quality of A3B5 films. Structural properties were investigated using the electron beam induced current method. It was established that despite a rather high density of dislocations on the epitaxial layers, the detected photoluminescence radiation of layers indicates the acceptable crystalline quality of the top GaAs layer.

  3. Formation of two-dimensionally confined superparamagnetic (Mn, Ga)As nanocrystals in high-temperature annealed (Ga, Mn)As/GaAs superlattices.

    PubMed

    Sadowski, Janusz; Domagala, Jaroslaw Z; Mathieu, Roland; Kovacs, Andras; Dłużewski, Piotr

    2013-05-15

    The annealing-induced formation of (Mn, Ga)As nanocrystals in (Ga, Mn)As/GaAs superlattices was studied by x-ray diffraction, transmission electron microscopy and magnetometry. The superlattice structures with 50 Å thick (Ga, Mn)As layers separated by 25, 50 and 100 Å thick GaAs spacers were grown by molecular beam epitaxy at low temperature (250 °C), and then annealed at high temperatures of 400, 560 and 630 °C. The high-temperature annealing causes decomposition to a (Ga, Mn)As ternary alloy and the formation of (Mn, Ga)As nanocrystals inside the GaAs matrix. The nanocrystals are confined in the planes that were formerly occupied by (Ga, Mn)As layers for the up to 560 °C annealing and diffuse throughout the GaAs spacer layers at 630 °C annealing. The two-dimensionally confined nanocrystals exhibit a superparamagnetic behavior which becomes high-temperature ferromagnetism (~350 K) upon diffusion.

  4. Adding GaAs Monolayers to InAs Quantum-Dot Lasers on (001) InP

    NASA Technical Reports Server (NTRS)

    Qiu, Yueming; Chacon, Rebecca; Uhl, David; Yang, Rui

    2005-01-01

    In a modification of the basic configuration of InAs quantum-dot semiconductor lasers on (001)lnP substrate, a thin layer (typically 1 to 2 monolayer thick) of GaAs is incorporated into the active region. This modification enhances laser performance: In particular, whereas it has been necessary to cool the unmodified devices to temperatures of about 80 K in order to obtain lasing at long wavelengths, the modified devices can lase at wavelengths of about 1.7 microns or more near room temperature. InAs quantum dots self-assemble, as a consequence of the lattice mismatch, during epitaxial deposition of InAs on ln0.53Ga0.47As/lnP. In the unmodified devices, the quantum dots as thus formed are typically nonuniform in size. Strainenergy relaxation in very large quantum dots can lead to poor laser performance, especially at wavelengths near 2 microns, for which large quantum dots are needed. In the modified devices, the thin layers of GaAs added to the active regions constitute potential-energy barriers that electrons can only penetrate by quantum tunneling and thus reduce the hot carrier effects. Also, the insertion of thin GaAs layer is shown to reduce the degree of nonuniformity of sizes of the quantum dots. In the fabrication of a batch of modified InAs quantum-dot lasers, the thin additional layer of GaAs is deposited as an interfacial layer in an InGaAs quantum well on (001) InP substrate. The device as described thus far is sandwiched between InGaAsPy waveguide layers, then further sandwiched between InP cladding layers, then further sandwiched between heavily Zn-doped (p-type) InGaAs contact layer.

  5. A new structure for comparing surface passivation materials of GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Desalvo, Gregory C.; Barnett, Allen M.

    1989-01-01

    The surface recombination velocity (S sub rec) for bare GaAs is typically as high as 10 to the 6th power to 10 to the 7th power cm/sec, which dramatically lowers the efficiency of GaAs solar cells. Early attempts to circumvent this problem by making an ultra thin junction (xj less than .1 micron) proved unsuccessful when compared to lowering S sub rec by surface passivation. Present day GaAs solar cells use an GaAlAs window layer to passivate the top surface. The advantages of GaAlAs in surface passivation are its high bandgap energy and lattice matching to GaAs. Although GaAlAs is successful in reducing the surface recombination velocity, it has other inherent problems of chemical instability (Al readily oxidizes) and ohmic contact formation. The search for new, more stable window layer materials requires a means to compare their surface passivation ability. Therefore, a device structure is needed to easily test the performance of different passivating candidates. Such a test device is described.

  6. Heterojunction photovoltaics using GaAs nanowires and conjugated polymers.

    PubMed

    Ren, Shenqiang; Zhao, Ni; Crawford, Samuel C; Tambe, Michael; Bulović, Vladimir; Gradecak, Silvija

    2011-02-09

    We demonstrate an organic/inorganic solar cell architecture based on a blend of poly(3-hexylthiophene) (P3HT) and narrow bandgap GaAs nanowires. The measured increase of device photocurrent with increased nanowire loading is correlated with structural ordering within the active layer that enhances charge transport. Coating the GaAs nanowires with TiO(x) shells passivates nanowire surface states and further improves the photovoltaic performance. We find that the P3HT/nanowire cells yield power conversion efficiencies of 2.36% under white LED illumination for devices containing 50 wt % of TiO(x)-coated GaAs nanowires. Our results constitute important progress for the use of nanowires in large area solution processed hybrid photovoltaic cells and provide insight into the role of structural ordering in the device performance.

  7. ALD Al2O3 passivation of Lg = 100 nm metamorphic InAlAs/InGaAs HEMTs with Si-doped Schottky layers on GaAs substrates

    NASA Astrophysics Data System (ADS)

    Sun, Bing; Chang, Hudong; Wang, Shengkai; Niu, Jiebin; Liu, Honggang

    2017-12-01

    In0.52Al0.48As/In0.7Ga0.3As metamorphic high-electron-mobility transistors (mHEMTs) on GaAs substrates have been demonstrated. The devices feature an epitaxial structure with Si-doped InP/In0.52Al0.48As Schottky layers, together with an atomic layer deposition (ALD) Al2O3 passivation process. In comparison to the GaAs mHEMTs with plasma enhanced chemical vapor deposition (PECVD) SiN passivation, the devices with ALD Al2O3 passivation exhibit more than one order of magnitude lower gate leakage current (Jg) and much lower contact resistance (RC) and specific contact resistivity (ρC). 100-nm gate length (Lg) In0.52Al0.48As/In0.7Ga0.3As mHEMTs with Si-doped InP/In0.52Al0.48As Schottky layers and ALD Al2O3 passivation exhibit excellent DC and RF characteristics, such as a maximum oscillation frequency (fmax) of 388.2 GHz.

  8. N/P GaAs concentrator solar cells with an improved grid and bushbar contact design

    NASA Technical Reports Server (NTRS)

    Desalvo, G. C.; Mueller, E. H.; Barnett, A. M.

    1985-01-01

    The major requirements for a solar cell used in space applications are high efficiency at AMO irradiance and resistance to high energy radiation. Gallium arsenide, with a band gap of 1.43 eV, is one of the most efficient sunlight to electricity converters (25%) when the the simple diode model is used to calculate efficiencies at AMO irradiance, GaAs solar cells are more radiation resistant than silicon solar cells and the N/P GaAs device has been reported to be more radiation resistant than similar P/N solar cells. This higher resistance is probably due to the fact that only 37% of the current is generated in the top N layer of the N/P cell compared to 69% in the top layer of a P/N solar cell. This top layer of the cell is most affected by radiation. It has also been theoretically calculated that the optimized N/P device will prove to have a higher efficiency than a similar P/N device. The use of a GaP window layer on a GaAs solar cell will avoid many of the inherent problems normally associated with a GaAlAs window while still proving good passivation of the GaAs surface. An optimized circular grid design for solar cell concentrators has been shown which incorporates a multi-layer metallization scheme. This multi-layer design allows for a greater current carrying capacity for a unit area of shading, which results in a better output efficiency.

  9. Diffusion and interface evolution during the atomic layer deposition of TiO{sub 2} on GaAs(100) and InAs(100) surfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ye, Liwang; Gougousi, Theodosia, E-mail: gougousi@umbc.edu

    2016-01-15

    Atomic layer deposition is used to form TiO{sub 2} films from tetrakis dimethyl amino titanium and H{sub 2}O on native oxide GaAs(100) and InAs(100) surfaces. The evolution of the film/substrate interface is examined as a function of the deposition temperature (100–325 °C) using ex situ x-ray photoelectron spectroscopy. An increase in the deposition temperature up to 250 °C leads to enhancement of the native oxide removal. For depositions at 300 °C and above, interface reoxidation is observed during the initial deposition cycles but when the films are thicker than 3 nm, the surface oxides are removed steadily. Based on these observations, two distinct filmmore » growth regimes are identified; up to 250 °C, layer-by-layer dominates while at higher temperatures island growth takes over. Angle resolved x-ray photoelectron spectroscopy measurements performed on 3 nm TiO{sub 2} film deposited at 325 °C on both surfaces demonstrates a very important difference between the two substrates: for GaAs the native oxides remaining in the stack are localized at the interface, while for InAs(100), the indium oxides are mixed in the TiO{sub 2} film.« less

  10. Velocity surface measurements for ZnO films over /001/-cut GaAs

    NASA Technical Reports Server (NTRS)

    Kim, Yoonkee; Hunt, William D.; Liu, Yongsheng; Jen, Cheng-Kuei

    1994-01-01

    A potential application for a piezoelectic film deposited on a GaAs substrate is the monolithic integration of surface acoustic wave (SAW) devices with GaAs electronics. Knowledge of the SAW properties of the filmed structure is critical for the optimum design of such devices. In this article, the measurements of the velocity surface, which directly affects the SAW diffraction, on the bare and metallized ZnO/SiO2 or Si3N4/GaAs /001/-cut samples are reported using two different techniques: (1) knife-edge laser probe, (2) line-focus-beam scanning acoustic microscope. Comparisons, such as measurement accuracy and tradeoffs, between the former (dry) and the latter (wet) method are given. It is found that near the group of zone axes (110) propagation direction the autocollimating SAW property of the bare GaAs changes into a noncollimating one for the layered structure, but a reversed phenomenon exists near the group of zone axes (100) direction. The passivation layer of SiO2 or Si3N4 (less than 0.2 micrometer thick) and the metallization layer change the relative velocity but do not significantly affect the velocity surface. On the other hand, the passivation layer reduces the propagation loss by 0.5-1.3 dB/microseconds at 240 MHz depending upon the ZnO film thickness. Our SAW propagation measurements agree well with theorectical calculations. We have also obtained the anisotropy factors for samples with ZnO films of 1.6, 2.8, and 4.0 micrometer thickness. Comparisons concerning the piezoelectric coupling and acoustic loss between dc triode and rf magnetron sputtered ZnO films are provided.

  11. Investigation of the fabrication mechanism of self-assembled GaAs quantum rings grown by droplet epitaxy.

    PubMed

    Tong, C Z; Yoon, S F

    2008-09-10

    We have directly imaged the formation of a GaAs quantum ring (QR) using droplet epitaxy followed by annealing in arsenic ambient. Based on the atomic force micrograph measurement and the analysis of surface energy, we determine that the formation of self-assembled GaAs QRs is due to the gallium atom's diffusion and crystallization driven by the gradient of surface energy. The phenomenon that GaAs is etched by the gallium droplets is reported and analyzed. It has been demonstrated that the epitaxy layers, such as AlAs and InGaP, can be used as the etching stop layer and hence can be used to control the shape and height of the QRs.

  12. Wafer-Fused Orientation-Patterned GaAs

    DTIC Science & Technology

    2008-02-13

    frequencies utilizing existing industrial foundries. 15. SUBJECT TERMS Orientation-patterned Gallium Arsenide, hydride vapor phase epitaxy, quasi-phase... Gallium Arsenide, hydride vapor phase epitaxy, quasi-phase-matching, nonlinear frequency conversion 1. INTRODUCTION Quasi-phase-matching (QPM)1...and E. Lallier, “Second harmonic generation of CO2 laser using thick quasi-phase-matched GaAs layer grown by hydride vapour phase epitaxy

  13. Multilayer-Grown Ultrathin Nanostructured GaAs Solar Cells as a Cost-Competitive Materials Platform for III-V Photovoltaics.

    PubMed

    Gai, Boju; Sun, Yukun; Lim, Haneol; Chen, Huandong; Faucher, Joseph; Lee, Minjoo L; Yoon, Jongseung

    2017-01-24

    Large-scale deployment of GaAs solar cells in terrestrial photovoltaics demands significant cost reduction for preparing device-quality epitaxial materials. Although multilayer epitaxial growth in conjunction with printing-based materials assemblies has been proposed as a promising route to achieve this goal, their practical implementation remains challenging owing to the degradation of materials properties and resulting nonuniform device performance between solar cells grown in different sequences. Here we report an alternative approach to circumvent these limitations and enable multilayer-grown GaAs solar cells with uniform photovoltaic performance. Ultrathin single-junction GaAs solar cells having a 300-nm-thick absorber (i.e., emitter and base) are epitaxially grown in triple-stack releasable multilayer assemblies by molecular beam epitaxy using beryllium as a p-type impurity. Microscale (∼500 × 500 μm 2 ) GaAs solar cells fabricated from respective device layers exhibit excellent uniformity (<3% relative) of photovoltaic performance and contact properties owing to the suppressed diffusion of p-type dopant as well as substantially reduced time of epitaxial growth associated with ultrathin device configuration. Bifacial photon management employing hexagonally periodic TiO 2 nanoposts and a vertical p-type metal contact serving as a metallic back-surface reflector together with specialized epitaxial design to minimize parasitic optical losses for efficient light trapping synergistically enable significantly enhanced photovoltaic performance of such ultrathin absorbers, where ∼17.2% solar-to-electric power conversion efficiency under simulated AM1.5G illumination is demonstrated from 420-nm-thick single-junction GaAs solar cells grown in triple-stack epitaxial assemblies.

  14. Application of galvanomagnetic measurements in temperature range 70-300 K to MBE GaAs layers characterization

    NASA Astrophysics Data System (ADS)

    Wolkenberg, Andrzej; Przeslawski, Tomasz

    1996-04-01

    Galvanomagnetic measurements were performed on the square shaped samples after Van der Pauw and on the Hall bar at low electric fields app. 1.5 V/cm and magnetic induction app. 6 kG in order to make a comparison between the theoretical and experimental results of the temperature dependence of mobility and resistivity from 70 K to 300 K. A calculation method was obtained of the drift mobility and the Hall mobility in which the scatterings are applied: on ionized impurities, on polar optical phonons, on acoustic phonons (deformation potential), on acoustic phonons (piezoelectric potential) and on dislocations. The elaborated method transformed to a computer program allows us to fit experimental values of the resistivity and the Hall mobility to those calculated. The fitting procedure makes it possible to characterize the quality of the n-type GaAs MBE layer, i.e. the net electron concentration, whole ionized impurities concentration and dislocation density after Read space charge cylinders model. The calculations together with the measurements allow us to obtain compensation ratio value in the layer, too. The influence of the epitaxial layer thickness on layers measurements accuracy in the case of Van der Pauw square probe was investigated. It was stated that in the layers under 3 micrometer the bulk properties are strongly influenced by both surfaces. The results of measurements of the same layer using the Van der Pauw and the Hall bar structure were compared. It was stated that the Hall bar structure only could be used to obtain proper measurements results.

  15. Layer-by-Layer Assembly for Preparation of High-Performance Forward Osmosis Membrane

    NASA Astrophysics Data System (ADS)

    Yang, Libin; Zhang, Jinglong; Song, Peng; Wang, Zhan

    2018-01-01

    Forward osmosis (FO) membrane with high separation performance is needed to promote its practical applications. Herein, layer-by-layer (LbL) approach was used to prepare a thin and highly cross-linked polyamide layer on a polyacrylonitrile substrate surface to prepare a thin-film composite forward osmosis (TFC-FO) membrane with enhanced FO performance. The effects of monomer concentrations and assembly cycles on the performance of the TFC-FO membranes were systematically investigated. Under the optimal preparation condition, TFC-FO membrane achieved the best performance, exhibiting the water flux of 14.4/6.9 LMH and reverse salt flux of 7.7/3.8 gMH under the pressure retarded osmosis/forward osmosis (PRO/FO) mode using 1M NaCl as the draw against a DI-water feed, and a rejection of 96.1% for 2000 mg/L NaCl aqueous solution. The result indicated that layer-by-layer method was a potential method to regulate the structure and performance of the TFC-FO membrane.

  16. Sulfur doping of GaAs with (NH4)2Sx solution

    NASA Astrophysics Data System (ADS)

    Lee, Jong-Lam

    1999-01-01

    A novel technique for sulfur doping to GaAs was demonstrated. The surface of GaAs was treated with (NH4)2Sx solution, subsequent to annealing using either furnace or rapid thermal processing. Sulfur atoms adsorbed at the surface of GaAs during the (NH4)2Sx treatment diffuse into GaAs during the annealing. The diffusion profiles of sulfur in both types of annealing treatments show a concave shape from the GaAs surface. Diffusion constants of sulfur determined using the Boltzmann-Matano technique increase with the decrease of sulfur concentration via the depth from the surface of GaAs. This suggests that immobile sulfur donor SAs+ forms at the near surface interacts with a Ga divacancy, and results in the production of mobile As interstitials, IAs. The IAs moves fast toward the inside of GaAs and kickout the SAs+ donor, producing a fast diffusing species of interstitial S atoms. The diffusion coefficients of sulfur determined are 2.5×10-14 cm2/s at 840 °C and 5×10-12 cm2/s at 900 °C. The sulfur doping technique is applied to the fabrication of metal-semiconductor field-effect transistors (MESFETs). The MESFETs with 1.0 μm gate length exhibit transconductance of 190 mS/mm, demonstrating the applicability of this technique to the formation of active channel layer of MESFETs.

  17. Properties of epitaxial BaTiO{sub 3} deposited on GaAs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Contreras-Guerrero, R.; Droopad, R.; Veazey, J. P.

    2013-01-07

    Single crystal BaTiO{sub 3} (BTO) has been grown epitaxially on GaAs using molecular beam epitaxy with a 2 unit cell SrTiO{sub 3} nucleation layer. The oxide film is lattice-matched to GaAs through an in-plane rotation of 45 Degree-Sign relative to the (100) surface leading to c-axis orientation of the BaTiO{sub 3}. X-ray diffraction confirmed the crystallinity and orientation of the oxide film with a full width half maximum of 0.58 Degree-Sign for a 7.5 nm thick layer. Piezoresponse force microscopy was used to characterize the ferroelectric domains in the BaTiO{sub 3} layer, and a coercive voltage of 1-2 V andmore » piezoresponse amplitude {approx}5 pm/V was measured.« less

  18. Scanning microwave microscopy applied to semiconducting GaAs structures

    NASA Astrophysics Data System (ADS)

    Buchter, Arne; Hoffmann, Johannes; Delvallée, Alexandra; Brinciotti, Enrico; Hapiuk, Dimitri; Licitra, Christophe; Louarn, Kevin; Arnoult, Alexandre; Almuneau, Guilhem; Piquemal, François; Zeier, Markus; Kienberger, Ferry

    2018-02-01

    A calibration algorithm based on one-port vector network analyzer (VNA) calibration for scanning microwave microscopes (SMMs) is presented and used to extract quantitative carrier densities from a semiconducting n-doped GaAs multilayer sample. This robust and versatile algorithm is instrument and frequency independent, as we demonstrate by analyzing experimental data from two different, cantilever- and tuning fork-based, microscope setups operating in a wide frequency range up to 27.5 GHz. To benchmark the SMM results, comparison with secondary ion mass spectrometry is undertaken. Furthermore, we show SMM data on a GaAs p-n junction distinguishing p- and n-doped layers.

  19. Blueish green photoluminescence from nitrided GaAs(100) surfaces

    NASA Astrophysics Data System (ADS)

    Shimaoka, Goro; Udagawa, Takashi

    1999-04-01

    Optical and structural studies were made on the Si-doped (100)GaAs surfaces nitrided at a temperature between 650° and 750°C for 15 min in the flowing NH 3 gas. The wavelength of photoluminescence (PL) spectra were observed to be shortened from 820 nm of the GaAs nitrided at 650°C with increasing nitridation temperature. Blueish green PL with wavelengths of approx. 490 nm and 470 nm were emitted from the nitrided surfaces at 700° and 750°C, respectively. Results of AES and SIMS indicated that the surfaces are nitrided as GaAs 1- xN x, (0< x≤1) alloy layer, and the nitrided region also tended to increase as the temperature raised. High-resolution transmission electron microscopic (HRTEM), transmission electron diffraction (TED) and energy dispersive X-ray (EDX) results showed that films peeled off from the nitrided surfaces consisted mainly of hexagonal, wurtzite-type gallium nitride (GaN) with stacking faults and microtwins.

  20. Crystal Orientation Controlled Photovoltaic Properties of Multilayer GaAs Nanowire Arrays.

    PubMed

    Han, Ning; Yang, Zai-Xing; Wang, Fengyun; Yip, SenPo; Li, Dapan; Hung, Tak Fu; Chen, Yunfa; Ho, Johnny C

    2016-06-28

    In recent years, despite significant progress in the synthesis, characterization, and integration of various nanowire (NW) material systems, crystal orientation controlled NW growth as well as real-time assessment of their growth-structure-property relationships still presents one of the major challenges in deploying NWs for practical large-scale applications. In this study, we propose, design, and develop a multilayer NW printing scheme for the determination of crystal orientation controlled photovoltaic properties of parallel GaAs NW arrays. By tuning the catalyst thickness and nucleation and growth temperatures in the two-step chemical vapor deposition, crystalline GaAs NWs with uniform, pure ⟨110⟩ and ⟨111⟩ orientations and other mixture ratios can be successfully prepared. Employing lift-off resists, three-layer NW parallel arrays can be easily attained for X-ray diffraction in order to evaluate their growth orientation along with the fabrication of NW parallel array based Schottky photovoltaic devices for the subsequent performance assessment. Notably, the open-circuit voltage of purely ⟨111⟩-oriented NW arrayed cells is far higher than that of ⟨110⟩-oriented NW arrayed counterparts, which can be interpreted by the different surface Fermi level pinning that exists on various NW crystal surface planes due to the different As dangling bond densities. All this indicates the profound effect of NW crystal orientation on physical and chemical properties of GaAs NWs, suggesting the careful NW design considerations for achieving optimal photovoltaic performances. The approach presented here could also serve as a versatile and powerful platform for in situ characterization of other NW materials.

  1. Nitride passivation reduces interfacial traps in atomic-layer-deposited Al{sub 2}O{sub 3}/GaAs (001) metal-oxide-semiconductor capacitors using atmospheric metal-organic chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aoki, T., E-mail: aokit@sc.sumitomo-chem.co.jp; Fukuhara, N.; Osada, T.

    2014-07-21

    Using an atmospheric metal-organic chemical vapor deposition system, we passivated GaAs with AlN prior to atomic layer deposition of Al{sub 2}O{sub 3}. This AlN passivation incorporated nitrogen at the Al{sub 2}O{sub 3}/GaAs interface, improving the capacitance-voltage (C–V) characteristics of the resultant metal-oxide-semiconductor capacitors (MOSCAPs). The C–V curves of these devices showed a remarkable reduction in the frequency dispersion of the accumulation capacitance. Using the conductance method at various temperatures, we extracted the interfacial density of states (D{sub it}). The D{sub it} was reduced over the entire GaAs band gap. In particular, these devices exhibited D{sub it} around the midgap ofmore » less than 4 × 10{sup 12} cm{sup −2}eV{sup −1}, showing that AlN passivation effectively reduced interfacial traps in the MOS structure.« less

  2. Effects of surface passivation on twin-free GaAs nanosheets.

    PubMed

    Arab, Shermin; Chi, Chun-Yung; Shi, Teng; Wang, Yuda; Dapkus, Daniel P; Jackson, Howard E; Smith, Leigh M; Cronin, Stephen B

    2015-02-24

    Unlike nanowires, GaAs nanosheets exhibit no twin defects, stacking faults, or dislocations even when grown on lattice mismatched substrates. As such, they are excellent candidates for optoelectronic applications, including LEDs and solar cells. We report substantial enhancements in the photoluminescence efficiency and the lifetime of passivated GaAs nanosheets produced using the selected area growth (SAG) method with metal organic chemical vapor deposition (MOCVD). Measurements are performed on individual GaAs nanosheets with and without an AlGaAs passivation layer. Both steady-state photoluminescence and time-resolved photoluminescence spectroscopy are performed to study the optoelectronic performance of these nanostructures. Our results show that AlGaAs passivation of GaAs nanosheets leads to a 30- to 40-fold enhancement in the photoluminescence intensity. The photoluminescence lifetime increases from less than 30 to 300 ps with passivation, indicating an order of magnitude improvement in the minority carrier lifetime. We attribute these enhancements to the reduction of nonradiative recombination due to the compensation of surface states after passivation. The surface recombination velocity decreases from an initial value of 2.5 × 10(5) to 2.7 × 10(4) cm/s with passivation.

  3. Fabrication and characterization of multi-layer InAs/InGaAs quantum dot p-i-n GaAs solar cells grown on silicon substrates

    NASA Astrophysics Data System (ADS)

    Omri, M.; Sayari, A.; Sfaxi, L.

    2018-01-01

    This paper reports on InAs/InGaAs quantum dot solar cells (QDSCs) deposited by molecular beam epitaxy (MBE) on (001) n-type silicon ( n-Si) substrates. In-situ RHEED measurements show that InAs/InGaAs QDs SC has a high crystalline structure. The dislocation density in the active layer of the InAs/InGaAs QDSC and the lattice mismatch in the GaAs layer can be reduced by using an Si rough surface buffer layer (RSi). To show the effect of the QD layers, a reference SC with the same p-i-n structure as the InAs/InGaAs QDSC, but without InAs QDs, is also grown. The two SCs were studied by sepectroscopic ellipsometry (SE), in the 1-6 eV photon energy range, photoluminescence and photocurrent measurements. The optical constants of the two devices are determined in the photon energy range 1-6 eV from the SE data. The dominant features in the dielectric function spectra at 3 and 4.5 eV are attributed, respectively, to the E 1 and E 2 critical point structures of GaAs and InAs. The low-temperature photoluminescence spectrum of the InAs/InGaAs QDSC shows ground-state emissions, respectively, from the relatively small QDs near 1081 nm and from the large QDs near 1126 nm. Photocurrent measurements confirm the improved absorption performance (up to 1200 nm) of the InAs QDs SC which is ascribed to the optical absorption from the InAs/InGaAs QDs and the Si substrate as demonstrated by SE and photoluminescence measurements.

  4. Selective Area Growth of GaAs on Si Patterned Using Nanoimprint Lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Warren, Emily L.; Makoutz, Emily A.; Horowitz, Kelsey A. W.

    Heteroepitaxial selective area growth (SAG) of GaAs on patterned Si substrates is a potential low-cost approach to integrate III-V and Si materials for tandem or multijunction solar cells. The use of nanoscale openings in a dielectric material can minimize nucleation-related defects and allow thinner buffer layers to be used to accommodate lattice mismatch between Si and an epitaxial III-V layer. For photovoltaic applications, the cost of patterning and growth, as well as the impact on the performance of the Si bottom cell must be considered. We present preliminary results on the use of soft nanoimprint lithography (SNIL) to create patternedmore » nucleation templates for the heteroepitaxial SAG of GaAs on Si. We demonstrate that SNIL patterning of passivating layers on the Si substrate improves measured minority carrier properties relative to unprotected Si. Cost modeling of the SNIL process shows that adding a patterning step only adds a minor contribution to the overall cost of a tandem III-V/Si solar cell, and can enable significant savings if it enables thinner buffer layers.« less

  5. Investigation of ZnSe-coated silicon substrates for GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Huber, Daniel A.; Olsen, Larry C.; Dunham, Glen; Addis, F. William

    1993-01-01

    Studies are being carried out to determine the feasibility of using ZnSe as a buffer layer for GaAs solar cells grown on silicon. This study was motivated by reports in the literature indicating ZnSe films had been grown by metallorganic chemical vapor deposition (MOCVD) onto silicon with EPD values of 2 x 10(exp 5) cm(sup -2), even though the lattice mismatch between silicon and ZnSe is 4.16 percent. These results combined with the fact that ZnSe and GaAs are lattice matched to within 0.24 percent suggest that the prospects for growing high efficiency GaAs solar cells onto ZnSe-coated silicon are very good. Work to date has emphasized development of procedures for MOCVD growth of (100) ZnSe onto (100) silicon wafers, and subsequent growth of GaAs films on ZnSe/Si substrates. In order to grow high quality single crystal GaAs with a (100) orientation, which is desirable for solar cells, one must grow single crystal (100) ZnSe onto silicon substrates. A process for growth of (100) ZnSe was developed involving a two-step growth procedure at 450 C. Single crystal, (100) GaAs films were grown onto the (100) ZnSe/Si substrates at 610 C that are adherent and specular. Minority carrier diffusion lengths for the GaAs films grown on ZnSe/Si substrates were determined from photoresponse properties of Al/GaAs Schottky barriers. Diffusion lengths for n-type GaAs films are currently on the order of 0.3 microns compared to 2.0 microns for films grown simultaneously by homoepitaxy.

  6. Properties of TiO2 thin films and a study of the TiO2-GaAs interface

    NASA Technical Reports Server (NTRS)

    Chen, C. Y.; Littlejohn, M. A.

    1977-01-01

    Titanium dioxide (TiO2) films prepared by chemical vapor deposition were investigated in this study for the purpose of the application in the GaAs metal-insulator-semiconductor field-effect transistor. The degree of crystallization increases with the deposition temperature. The current-voltage study, utilizing an Al-TiO2-Al MIM structure, reveals that the d-c conduction through the TiO2 film is dominated by the bulk-limited Poole-Frenkel emission mechanism. The dependence of the resistivity of the TiO2 films on the deposition environment is also shown. The results of the capacitance-voltage study indicate that an inversion layer in an n-type substrate can be achieved in the MIS capacitor if the TiO2 films are deposited at a temperature higher than 275 C. A process of low temperature deposition followed by the pattern definition and a higher temperature annealing is suggested for device fabrications. A model, based on the assumption that the surface state densities are continuously distributed in energy within the forbidden band gap, is proposed to interpret the lack of an inversion layer in the Al-TiO2-GaAs MIS structure with the TiO2 films deposited at 200 C.

  7. Surface reflectance anisotropy of indium-terminated GaAs(100) surfaces

    NASA Astrophysics Data System (ADS)

    Springer, C.; Resch-Esser, U.; Goletti, C.; Richter, W.; Fimland, B. O.

    1997-04-01

    The growth of thin indium-layers on the GaAs(100) As-rich {(2 × 4)}/{c(2 × 8) } surface has been investigated by reflectance anisotropy spectroscopy (RAS), LEED and AES. Clean surfaces of the {(2 × 4)}/{c(2 × 8) } reconstruction were prepared in UHV by thermal desorption of a protective arsenic layer deposited on homoepitaxially grown MBE layers. Room temperature deposition of indium on the {(2 × 4)}/{c(2 × 8) } surface and subsequent annealing at 450°C leads to a 90° rotation of symmetry in the LEED pattern at a threshold coverage of 0.5 monolayers, i.e. a change from the {(2 × 4)}/{c(2 × 8) } to the {(4 × 2)}/{c(8 × 2) } reconstruction. The RAS spectra show the evolution of a distinct negative feature at 1.8 eV, that shifts to 2.1 eV after annealing, corresponding to optical transitions attributed to In-dimers orientated along the [011]-direction. AES analysis shows a change in growth mode beyond 0.5 ML indicating saturation of all available adsorption sites at this coverage and RAS spectra show a contribution from additional disordered In. The AES spectra display no evidence of a surface exchange reaction between gallium and arsenic atoms, thus indicating a surface termination by In-dimers adsorbed on a layer of As.

  8. Interface dynamics and crystal phase switching in GaAs nanowires

    NASA Astrophysics Data System (ADS)

    Jacobsson, Daniel; Panciera, Federico; Tersoff, Jerry; Reuter, Mark C.; Lehmann, Sebastian; Hofmann, Stephan; Dick, Kimberly A.; Ross, Frances M.

    2016-03-01

    Controlled formation of non-equilibrium crystal structures is one of the most important challenges in crystal growth. Catalytically grown nanowires are ideal systems for studying the fundamental physics of phase selection, and could lead to new electronic applications based on the engineering of crystal phases. Here we image gallium arsenide (GaAs) nanowires during growth as they switch between phases as a result of varying growth conditions. We find clear differences between the growth dynamics of the phases, including differences in interface morphology, step flow and catalyst geometry. We explain these differences, and the phase selection, using a model that relates the catalyst volume, the contact angle at the trijunction (the point at which solid, liquid and vapour meet) and the nucleation site of each new layer of GaAs. This model allows us to predict the conditions under which each phase should be observed, and use these predictions to design GaAs heterostructures. These results could apply to phase selection in other nanowire systems.

  9. Interface dynamics and crystal phase switching in GaAs nanowires.

    PubMed

    Jacobsson, Daniel; Panciera, Federico; Tersoff, Jerry; Reuter, Mark C; Lehmann, Sebastian; Hofmann, Stephan; Dick, Kimberly A; Ross, Frances M

    2016-03-17

    Controlled formation of non-equilibrium crystal structures is one of the most important challenges in crystal growth. Catalytically grown nanowires are ideal systems for studying the fundamental physics of phase selection, and could lead to new electronic applications based on the engineering of crystal phases. Here we image gallium arsenide (GaAs) nanowires during growth as they switch between phases as a result of varying growth conditions. We find clear differences between the growth dynamics of the phases, including differences in interface morphology, step flow and catalyst geometry. We explain these differences, and the phase selection, using a model that relates the catalyst volume, the contact angle at the trijunction (the point at which solid, liquid and vapour meet) and the nucleation site of each new layer of GaAs. This model allows us to predict the conditions under which each phase should be observed, and use these predictions to design GaAs heterostructures. These results could apply to phase selection in other nanowire systems.

  10. Low temperature growth and electrical characterization of insulators for GaAs MISFETS

    NASA Technical Reports Server (NTRS)

    Borrego, J. M.; Ghandhi, S. K.

    1981-01-01

    Progress in the low temperature growth of oxides and layers on GaAs and the detailed electrical characterization of these oxides is reported. A plasma anodization system was designed, assembled, and put into operation. A measurement system was assembled for determining capacitance and conductance as a function of gate voltage for frequencies in the range from 1 Hz to 1 MHz. Initial measurements were carried out in Si-SiO2 capacitors in order to test the system and in GaAs MIS capacitors abricated using liquid anodization.

  11. Enhancement of conductance of GaAs sub-microwires under external stimuli

    NASA Astrophysics Data System (ADS)

    Qu, Xianlin; Deng, Qingsong; Zheng, Kun

    2018-03-01

    Semiconductors with one dimension on the micro-nanometer scale have many unique physical properties that are remarkably different from those of their bulk counterparts. Moreover, changes in the external field will further modulate the properties of the semiconductor micro-nanomaterials. In this study, we used focused ion beam technology to prepare freestanding ⟨111⟩-oriented GaAs sub-microwires from a GaAs substrate. The effects of laser irradiation and bending or buckling deformation induced by compression on the electrical transport properties of an individual GaAs sub-microwire were studied. The experimental results indicate that both laser irradiation and bending deformation can enhance their electrical transport properties, the laser irradiation resulted in a conductance enhancement of ˜30% compared to the result with no irradiation, and in addition, bending deformation changed the conductance by as much as ˜180% when the average strain was approximately 1%. The corresponding mechanisms are also discussed. This study provides beneficial insight into the fabrication of electronic and optoelectronic devices based on GaAs micro/nano-wires.

  12. Microprocessor design for GaAs technology

    NASA Astrophysics Data System (ADS)

    Milutinovic, Veljko M.

    Recent advances in the design of GaAs microprocessor chips are examined in chapters contributed by leading experts; the work is intended as reading material for a graduate engineering course or as a practical R&D reference. Topics addressed include the methodology used for the architecture, organization, and design of GaAs processors; GaAs device physics and circuit design; design concepts for microprocessor-based GaAs systems; a 32-bit GaAs microprocessor; a 32-bit processor implemented in GaAs JFET; and a direct coupled-FET-logic E/D-MESFET experimental RISC machine. Drawings, micrographs, and extensive circuit diagrams are provided.

  13. Characterization of reclaimed GaAs substrates and investigation of reuse for thin film InGaAlP LED epitaxial growth

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Englhard, M.; Klemp, C.; Behringer, M.

    This study reports a method to reuse GaAs substrates with a batch process for thin film light emitting diode (TF-LED) production. The method is based on an epitaxial lift-off technique. With the developed reclaim process, it is possible to get an epi-ready GaAs surface without additional time-consuming and expensive grinding/polishing processes. The reclaim and regrowth process was investigated with a one layer epitaxial test structure. The GaAs surface was characterized by an atomic force microscope directly after the reclaim process. The crystal structure of the regrown In{sub 0.5}(Ga{sub 0.45}Al{sub 0.55}){sub 0.5}P (Q{sub 55}) layer was investigated by high resolution x-raymore » diffraction and scanning transmission electron microscopy. In addition, a complete TF-LED grown on reclaimed GaAs substrates was electro-optically characterized on wafer level. The crystal structure of the epitaxial layers and the performance of the TF-LED grown on reclaimed substrates are not influenced by the developed reclaim process. This process would result in reducing costs for LEDs and reducing much arsenic waste for the benefit of a green semiconductor production.« less

  14. Reflection high energy electron diffraction study of nitrogen plasma interactions with a GaAs (100) surface

    NASA Astrophysics Data System (ADS)

    Hauenstein, R. J.; Collins, D. A.; Cai, X. P.; O'Steen, M. L.; McGill, T. C.

    1995-05-01

    Effect of a nitrogen electron-cyclotron-resonance (ECR) microwave plasma on near-surface composition, crystal structure, and morphology of the As-stabilized GaAs (100) surface is investigated with the use of digitally image-processed in situ reflection high energy electron diffraction. Nitridation is performed on molecular beam epitaxially (MBE) grown GaAs surfaces near 600 °C under typical conditions for ECR microwave plasma-assisted MBE growth of GaN films on GaAs. Brief plasma exposures (≊3-5 s) are shown to result in a specular, coherently strained, relatively stable, GaN film approximately one monolayer in thickness, which can be commensurately overgrown with GaAs while longer exposures (up to 1 min) result in incommensurate zincblende epitaxial GaN island structures. Specular and nonspecular film formations are explained in terms of N-for-As surface and subsurface anion exchange reactions, respectively. Commensurate growth of ultrathin buried GaN layers in GaAs is achieved.

  15. Molecular beam epitaxial growth of high-quality InSb on InP and GaAs substrates

    NASA Technical Reports Server (NTRS)

    Oh, J. E.; Bhattacharya, P. K.; Chen, Y. C.; Tsukamoto, S.

    1989-01-01

    Epitaxial layers of InSb were grown on InP and GaAs substrates by molecular beam epitaxy. The dependence of the epilayer quality on flux ratio, J sub Sb4/J sub In, was studied. Deviation from an optimum value of J sub Sb4/J sub In (approx. 2) during growth led to deterioration in the surface morphology and the electrical and crystalline qualities of the films. Room temperature electron mobilities as high as 70,000 and 53,000 sq cm /V-s were measured in InSb layers grown on InP and GaAs substrates, respectively. Unlike the previous results, the conductivity in these films is n-type even at T = 13 K, and no degradation of the electron mobility due to the high density of dislocations was observed. The measured electron mobilities (and carrier concentrations) at 77 K in InSb layers grown on InP and GaAs substrates are 110,000 sq cm/V-s (3 x 10(15) cm(-3)) and 55,000 sq cm/V-s (4.95 x 10(15) cm(-3)), respectively, suggesting their application to electronic devices at cryogenic temperatures.

  16. Hydrogenation of GaAs covered by GaAlAs and subgrain boundary passivation

    NASA Astrophysics Data System (ADS)

    Djemel, A.; Castaing, J.; Chevallier, J.; Henoc, P.

    1992-12-01

    Cathodoluminescence (CL) has been performed to study the influence of hydrogen on electronic properties of GaAs with and without a GaAlAs layer. Recombination at sub-boundaries has been examined. These extended defects have been introduced by high temperature plastic deformation. The results show that they are passivated by hydrogen. The penetration of hydrogen is slowed down by the GaAlAs layer. La cathodoluminescence (CL) a été utilisée pour étudier l'influence de l'hydrogène sur les propriétés électroniques de GaAs nu et recouvert d'une couche de GaAlAs. Le caractère recombinant des sous-joints de grains a été examiné. Ces défauts étendus ont été introduits par déformation plastique à chaud. Les résultats montrent que l'hydrogène passive ces défauts. La pénétration de l'hydrogène à l'intérieur de GaAs est retardée par la présence de la couche de GaAlAs.

  17. Preparation of dilute magnetic semiconductor films by metalorganic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Nouhi, Akbar (Inventor); Stirn, Richard J. (Inventor)

    1990-01-01

    A method for preparation of a dilute magnetic semiconductor (DMS) film is provided, wherein a Group II metal source, a Group VI metal source and a transition metal magnetic ion source are pyrolyzed in the reactor of a metalorganic chemical vapor deposition (MOCVD) system by contact with a heated substrate. As an example, the preparation of films of Cd.sub.1-x Mn.sub.x Te, wherein 0.ltoreq..times..ltoreq.0.7, on suitable substrates (e.g., GaAs) is described. As a source of manganese, tricarbonyl (methylcyclopentadienyl) maganese (TCPMn) is employed. To prevent TCPMn condensation during the introduction thereof int the reactor, the gas lines, valves and reactor tubes are heated. A thin-film solar cell of n-i-p structure, wherein the i-type layer comprises a DMS, is also described; the i-type layer is suitably prepared by MOCVD.

  18. Excitation and De-Excitation Mechanisms of Er-Doped GaAs and A1GaAs.

    DTIC Science & Technology

    1992-12-01

    AD-A258 814 EXCITATION AND DE -EXCITATION MECHANISMS OF Er-DOPED GaAs AND A1GaAs DISSERTATION David W. Elsaesser, Captain, USAF DTICY. ft £ICTE’’ )AN...0 8 1993U -o Wo- .%Approved for public release; Distribution unlimited 93 1 04 022 AFIT/DS/ENP/92-5 EXCITATION AND DE -EXCITATION MECHANISMS OF Er...public release; Distribution unlimited AFIT/DS/ENP/92D-005 EXCITATION AND DE -EXCITATION MECHANISMS OF Er-DOPED GaAs AND A1GaAs 4 toFlor -- David W

  19. Formation and reconstruction of Se nanoislands at the surface of thin epitaxial ZnSe layers grown on GaAs substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kozlovskiy, V. I.; Krivobok, V. S., E-mail: krivobok@lebedev.ru; Kuznetsov, P. I.

    2016-05-15

    Strained epitaxial ZnSe layers are grown on GaAs substrates by the method of vapor-phase epitaxy from metal-organic compounds. It is found that Se nanoislands with a density of 10{sup 8} to 10{sup 9} cm{sup –2} are formed at the surface of such layers. It is established that an increase in the size of Se islands and a decrease in their density take place after completion of growth. Annealing in a H{sub 2} atmosphere at a temperature higher than 260°C leads to the disappearance of Se islands and to a decrease in the surface roughness. It is shown that annealing doesmore » not lead to deterioration of the structural perfection of the epitaxial ZnSe films; rather, annealing gives rise to a decrease in the intensity of impurity–defect luminescence and to an increase in the intensity of intrinsic radiation near the bottom of the exciton band.« less

  20. GaAs Computer Technology

    DTIC Science & Technology

    1992-01-07

    AD-A259 259 FASTC-ID FOREIGN AEROSPACE SCIENCE AND TECHNOLOGY CENTER GaAs COMPUTER TECHNOLOGY (1) by Wang Qiao-yu 93-00999 Distrir bution t,,,Nm ted...FASTC- ID(RS)T-0310-92 HUMAN TRANSLATION FASTC-ID(RS)T-0310-92 7 January 1993 GaAs COMPUTER TECHNOLOGY (1) By: Wang Qiao-yu English pages: 6 Source...the best quality copy available. j C] " ------ GaAs Computer Technology (1) Wang Qiao-yu (Li-Shan Microelectronics Institute) Abstract: The paper

  1. Structures, Properties and Defects of SrTiO3/GaAs Hetero-interfaces

    NASA Astrophysics Data System (ADS)

    Hong, Liang; Bhatnagar, Kunal; Droopad, Ravi; Öğüt, Serdar; Klie, Robert

    SrTiO3 thin film can be epitaxially grown on GaAs substrate and used as a platform for growing other oxides to create functional metal-oxide-semiconductor devices, where a high-quality SrTiO3/GaAs interface is essential. We studied the structural and electronic properties of SrTiO3/GaAs hetero-interfaces at atomic level using scanning transmission electron microscopy and first-principles calculations. Our results suggest the preferred termination of GaAs (001) is significantly dependent on the oxygen concentration in the first oxide layer. The favorable interface structure is characterized as oxygen-deficient SrO in contact with arsenic and is observed in both experiment and simulation. The electronic properties are calculated and found to be tunable by interfacial defects such as oxygen, gallium and arsenic vacancies. This work was supported by the National Science Foundation (Grant No. DMR-1408427). This work made use of instruments in the Electron Microscopy Service and the High Performance Computing Clusters at University of Illinois at Chicago.

  2. Growth rate dependence of boron incorporation into BxGa1-xAs layers

    NASA Astrophysics Data System (ADS)

    Detz, H.; MacFarland, D.; Zederbauer, T.; Lancaster, S.; Andrews, A. M.; Schrenk, W.; Strasser, G.

    2017-11-01

    This work provides a comprehensive study of the incorporation behavior of B in growing GaAs under molecular beam epitaxy conditions. Structural characterization of superlattices revealed a strong dependence of the BAs growth rate on the GaAs growth rate used. In general, higher GaAs growth rates lead to a higher apparent BAs growth rate, although lower B cell temperatures showed saturation behavior. Each B cell temperature requires a minimum GaAs growth rate for producing smooth films. The B incorporation into single thick layers was found to be reduced to 75-80% compared to superlattice structures. The p-type carrier densities in 1000 nm thick layers were found to be indirectly proportional to the B content. Furthermore, 500 nm thick BxGa1-xAs layers showed significantly lower carrier concentrations, indicating B segregation on the surface during growth of thicker layers.

  3. Formation and photoluminescence of GaAs1-xNx dilute nitride achieved by N-implantation and flash lamp annealing

    NASA Astrophysics Data System (ADS)

    Gao, Kun; Prucnal, S.; Skorupa, W.; Helm, M.; Zhou, Shengqiang

    2014-07-01

    In this paper, we present the fabrication of dilute nitride semiconductor GaAs1-xNx by nitrogen-ion-implantation and flash lamp annealing (FLA). N was implanted into the GaAs wafers with atomic concentration of about ximp1 = 0.38% and ximp2 = 0.76%. The GaAs1-xNx layer is regrown on GaAs during FLA treatment in a solid phase epitaxy process. Room temperature near band-edge photoluminescence (PL) has been observed from the FLA treated GaAs1-xNx samples. According to the redshift of the near band-edge PL peak, up to 80% and 44% of the implanted N atoms have been incorporated into the lattice by FLA for ximp1 = 0.38% and ximp2 = 0.76%, respectively. Our investigation shows that ion implantation followed by ultrashort flash lamp treatment, which allows for large scale production, exhibits a promising prospect on bandgap engineering of GaAs based semiconductors.

  4. Facile preparation of robust microcapsules by manipulating metal-coordination interaction between biomineral layer and bioadhesive layer.

    PubMed

    Zhang, Lei; Shi, Jiafu; Jiang, Zhongyi; Jiang, Yanjun; Meng, Ruijie; Zhu, Yuanyuan; Liang, Yanpeng; Zheng, Yang

    2011-02-01

    A novel approach combining biomimetic mineralization and bioadhesion is proposed to prepare robust and versatile organic-inorganic hybrid microcapsules. More specifically, these microcapsules are fabricated by sequential deposition of inorganic layer and organic layer on the surface of CaCO(3) microparticles, followed by the dissolution of CaCO(3) microparticles using EDTA. During the preparation process, protamine induces the hydrolysis and condensation of titania or silica precursor to form the inorganic layer or the biomineral layer. The organic layer or bioadhesive layer was formed through the rapid, spontaneous oxidative polymerization of dopamine into polydopamine (PDA) on the surface of the biomineral layer. There exist multiple interactions between the inorganic layer and the organic layer. Thus, the as-prepared organic-inorganic hybrid microcapsules acquire much higher mechanical stability and surface reactivity than pure titania or pure silica microcapsules. Furthermore, protamine/titania/polydopamine hybrid microcapsules display superior mechanical stability to protamine/silica/polydopamine hybrid microcapsules because of the formation of Ti(IV)-catechol coordination complex between the biomineral layer and the bioadhesive layer. As an example of application, three enzymes are respectively immobilized through physical encapsulation in the lumen, in situ entrapment within the wall and chemical attachment on the out surface of the hybrid microcapsules. The as-constructed multienzyme system displays higher catalytic activity and operational stability. Hopefully, the approach developed in this study will evolve as a generic platform for facile and controllable preparation of organic-inorganic hybrid materials with different compositions and shapes for a variety of applications in catalysis, sensor, drug/gene delivery.

  5. Freestanding films of crosslinked gold nanoparticles prepared via layer-by-layer spin-coating.

    PubMed

    Schlicke, Hendrik; Schröder, Jan H; Trebbin, Martin; Petrov, Alexey; Ijeh, Michael; Weller, Horst; Vossmeyer, Tobias

    2011-07-29

    A new, extremely efficient method for the fabrication of films comprised of gold nanoparticles (GNPs) crosslinked by organic dithiols is presented in this paper. The method is based on layer-by-layer spin-coating of both components, GNPs and crosslinker, and enables the deposition of films several tens of nanometers in thickness within a few minutes. X-ray diffraction and conductance measurements reveal the proper adjustment concentration of the crosslinker solution of the critical is in order to prevent the destabilization and coalescence of particles. UV/vis spectroscopy, atomic force microscopy, and conductivity measurements indicate that films prepared via layer-by-layer spin-coating are of comparable quality to coatings prepared via laborious layer-by-layer self-assembly using immersion baths. Because spin-coated films are not bound chemically to the substrate, they can be lifted-off by alkaline underetching and transferred onto 3d-electrodes to produce electrically addressable, freely suspended films. Comparative measurements of the sheet resistances indicate that the transfer process does not compromise the film quality.

  6. Freestanding films of crosslinked gold nanoparticles prepared via layer-by-layer spin-coating

    NASA Astrophysics Data System (ADS)

    Schlicke, Hendrik; Schröder, Jan H.; Trebbin, Martin; Petrov, Alexey; Ijeh, Michael; Weller, Horst; Vossmeyer, Tobias

    2011-07-01

    A new, extremely efficient method for the fabrication of films comprised of gold nanoparticles (GNPs) crosslinked by organic dithiols is presented in this paper. The method is based on layer-by-layer spin-coating of both components, GNPs and crosslinker, and enables the deposition of films several tens of nanometers in thickness within a few minutes. X-ray diffraction and conductance measurements reveal the proper adjustment concentration of the crosslinker solution of the critical is in order to prevent the destabilization and coalescence of particles. UV/vis spectroscopy, atomic force microscopy, and conductivity measurements indicate that films prepared via layer-by-layer spin-coating are of comparable quality to coatings prepared via laborious layer-by-layer self-assembly using immersion baths. Because spin-coated films are not bound chemically to the substrate, they can be lifted-off by alkaline underetching and transferred onto 3d-electrodes to produce electrically addressable, freely suspended films. Comparative measurements of the sheet resistances indicate that the transfer process does not compromise the film quality.

  7. Modeling charge collection efficiency degradation in partially depleted GaAs photodiodes using the 1- and 2-carrier Hecht equations

    DOE PAGES

    Auden, E. C.; Vizkelethy, G.; Serkland, D. K.; ...

    2017-03-24

    Here, the Hecht equation can be used to model the nonlinear degradation of charge collection efficiency (CCE) in response to radiation-induced displacement damage in both fully and partially depleted GaAs photodiodes. CCE degradation is measured for laser-generated photocurrent as a function of fluence and bias in Al 0.3Ga 0.7As/GaAs/Al 0.25Ga 0.75As p-i-n photodiodes which have been irradiated with 12 MeV C and 7.5 MeV Si ions. CCE is observed to degrade more rapidly with fluence in partially depleted photodiodes than in fully depleted photodiodes. When the intrinsic GaAs layer is fully depleted, the 2-carrier Hecht equation describes CCE degradation asmore » photogenerated electrons and holes recombine at defect sites created by radiation damage in the depletion region. If the GaAs layer is partially depleted, CCE degradation is more appropriately modeled as the sum of the 2-carrier Hecht equation applied to electrons and holes generated within the depletion region and the 1-carrier Hecht equation applied to minority carriers that diffuse from the field-free (non-depleted) region into the depletion region. Enhanced CCE degradation is attributed to holes that recombine within the field-free region of the partially depleted intrinsic GaAs layer before they can diffuse into the depletion region.« less

  8. Modeling charge collection efficiency degradation in partially depleted GaAs photodiodes using the 1- and 2-carrier Hecht equations

    NASA Astrophysics Data System (ADS)

    Auden, E. C.; Vizkelethy, G.; Serkland, D. K.; Bossert, D. J.; Doyle, B. L.

    2017-05-01

    The Hecht equation can be used to model the nonlinear degradation of charge collection efficiency (CCE) in response to radiation-induced displacement damage in both fully and partially depleted GaAs photodiodes. CCE degradation is measured for laser-generated photocurrent as a function of fluence and bias in Al0.3Ga0.7As/GaAs/Al0.25Ga0.75As p-i-n photodiodes which have been irradiated with 12 MeV C and 7.5 MeV Si ions. CCE is observed to degrade more rapidly with fluence in partially depleted photodiodes than in fully depleted photodiodes. When the intrinsic GaAs layer is fully depleted, the 2-carrier Hecht equation describes CCE degradation as photogenerated electrons and holes recombine at defect sites created by radiation damage in the depletion region. If the GaAs layer is partially depleted, CCE degradation is more appropriately modeled as the sum of the 2-carrier Hecht equation applied to electrons and holes generated within the depletion region and the 1-carrier Hecht equation applied to minority carriers that diffuse from the field-free (non-depleted) region into the depletion region. Enhanced CCE degradation is attributed to holes that recombine within the field-free region of the partially depleted intrinsic GaAs layer before they can diffuse into the depletion region.

  9. Modeling charge collection efficiency degradation in partially depleted GaAs photodiodes using the 1- and 2-carrier Hecht equations

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Auden, E. C.; Vizkelethy, G.; Serkland, D. K.

    Here, the Hecht equation can be used to model the nonlinear degradation of charge collection efficiency (CCE) in response to radiation-induced displacement damage in both fully and partially depleted GaAs photodiodes. CCE degradation is measured for laser-generated photocurrent as a function of fluence and bias in Al 0.3Ga 0.7As/GaAs/Al 0.25Ga 0.75As p-i-n photodiodes which have been irradiated with 12 MeV C and 7.5 MeV Si ions. CCE is observed to degrade more rapidly with fluence in partially depleted photodiodes than in fully depleted photodiodes. When the intrinsic GaAs layer is fully depleted, the 2-carrier Hecht equation describes CCE degradation asmore » photogenerated electrons and holes recombine at defect sites created by radiation damage in the depletion region. If the GaAs layer is partially depleted, CCE degradation is more appropriately modeled as the sum of the 2-carrier Hecht equation applied to electrons and holes generated within the depletion region and the 1-carrier Hecht equation applied to minority carriers that diffuse from the field-free (non-depleted) region into the depletion region. Enhanced CCE degradation is attributed to holes that recombine within the field-free region of the partially depleted intrinsic GaAs layer before they can diffuse into the depletion region.« less

  10. Biexciton emission from single isoelectronic traps formed by nitrogen-nitrogen pairs in GaAs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Takamiya, Kengo; Fukushima, Toshiyuki; Yagi, Shuhei

    2013-12-04

    We have studied photoluminescence (PL) from individual isoelectronic traps formed by nitrogen-nitrogen (NN) pairs in GaAs. Sharp emission lines due to exciton and biexciton were observed from individual isoelectronic traps in nitrogen atomic-layer doped (ALD) GaAs. The binding energy of biexciton bound to individual isoelectronic traps was approximately 8 meV. Both the exciton and biexciton luminescence lines show completely random polarization and no fine-structure splitting. These results are desirable to the application to the quantum cryptography used in the field of quantum information technology.

  11. GaAs shallow-homojunction solar cells

    NASA Technical Reports Server (NTRS)

    Fan, J. C.

    1980-01-01

    With the objective of demonstrating the feasibility of fabricating 2 x 2 cm efficient, shallow homojunction GaAs solar cells for space applications, this program addresses the basic problems of material preparation and device fabrication. Significant progress was made and conversion efficiencies close to 16 percent at AM0 were obtained on 2 x 2 cm cells. Measurements and computer analyses on the n(+)/p/p(+) shallow homojunction cells indicate that such cell configuration should be very resistant to 1 MeV electron irradiation.

  12. Room temperature operation of mid-infrared InAs0.81Sb0.19 based photovoltaic detectors with an In0.2Al0.8Sb barrier layer grown on GaAs substrates.

    PubMed

    Geum, Dae-Myeong; Kim, SangHyeon; Kang, SooSeok; Kim, Hosung; Park, Hwanyeol; Rho, Il Pyo; Ahn, Seung Yeop; Song, Jindong; Choi, Won Jun; Yoon, Euijoon

    2018-03-05

    In this paper, InAs 0.81 Sb 0.19 -based hetero-junction photovoltaic detector (HJPD) with an In 0.2 Al 0.8 Sb barrier layer was grown on GaAs substrates. By using technology computer aided design (TCAD), a design of a barrier layer that can achieve nearly zero valance band offsets was accomplished. A high quality InAs 0.81 Sb 0.19 epitaxial layer was obtained with relatively low threading dislocation density (TDD), calculated from a high-resolution X-ray diffraction (XRD) measurement. This layer showed a Hall mobility of 15,000 cm 2 /V⋅s, which is the highest mobility among InAsSb layers with an Sb composition of around 20% grown on GaAs substrates. Temperature dependence of dark current, photocurrent response and responsivity were measured and analyzed for fabricated HJPD. HJPD showed the clear photocurrent response having a long cutoff wavelength of 5.35 μm at room temperature. It was observed that the dark current of HJPDs is dominated by the diffusion limited current at temperatures ranging from 200K to room temperature from the dark current analysis. Peak responsivity of HJPDs exhibited the 1.18 A/W and 15 mA/W for 83K and a room temperature under zero bias condition even without anti-reflection coating (ARC). From these results, we believe that HJPDs could be an appropriate PD device for future compact and low power dissipation mid-infrared on-chip sensors and imaging devices.

  13. Structural and electronic properties of isovalent boron atoms in GaAs

    NASA Astrophysics Data System (ADS)

    Krammel, C. M.; Nattermann, L.; Sterzer, E.; Volz, K.; Koenraad, P. M.

    2018-04-01

    Boron containing GaAs, which is grown by metal organic vapour phase epitaxy, is studied at the atomic level by cross-sectional scanning tunneling microscopy (X-STM) and spectroscopy (STS). In topographic X-STM images, three classes of B related features are identified, which are attributed to individual B atoms on substitutional Ga sites down to the second layer below the natural {110} cleavage planes. The X-STM contrast of B atoms below the surface reflects primarily the structural modification of the GaAs matrix by the small B atoms. However, B atoms in the cleavage plane have in contrast to conventional isovalent impurities, such as Al and In, a strong influence on the local electronic structure similar to donors or acceptors. STS measurements show that B in the GaAs {110} surfaces gives rise to a localized state short below the conduction band (CB) edge while in bulk GaAs, the B impurity state is resonant with the CB. The analysis of BxGa1-xAs/GaAs quantum wells reveals a good crystal quality and shows that the incorporation of B atoms in GaAs can be controlled along the [001] growth direction at the atomic level. Surprisingly, the formation of the first and fourth nearest neighbor B pairs, which are oriented along the <110 > directions, is strongly suppressed at a B concentration of 1% while the third nearest neighbor B pairs are found more than twice as often than expected for a completely spatially random pattern.

  14. Structural properties of GaAsN grown on (001) GaAs by metalorganic molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Ok, Young-Woo; Choi, Chel-Jong; Seong, Tae-Yeon; Uesugi, K.; Suemune, I.

    2001-07-01

    Detailed transmission electron microscopy (TEM) and transmission electron diffraction (TED) examination has been made of metalorganic molecular beam epitaxial GaAsN layers grown on (001) GaAs substrates. TEM results show that lateral composition modulation occurs in the GaAs1-xNx layer (x 6.75%). It is shown that increasing N composition and Se (dopant) concentration leads to poor crystallinity. It is also shown that the addition of Se increases N composition. Atomic force microscopy (AFM) results show that the surfaces of the samples experience a morphological change from faceting to islanding, as the N composition and Se concentration increase. Based on the TEM and AFM results, a simple model is given to explain the formation of the lateral composition modulation.

  15. Image quality of a pixellated GaAs X-ray detector

    NASA Astrophysics Data System (ADS)

    Sun, G. C.; Makham, S.; Bourgoin, J. C.; Mauger, A.

    2007-02-01

    X-ray detection requires materials with large atomic numbers Z in order to absorb the radiation efficiently. In case of X-ray imaging, fluorescence is a limiting factor for the spatial resolution and contrast at energies above the kα threshold. Since both the energy and yield of the fluorescence of a given material increase with the atomic number, there is an optimum value of Z. GaAs, which can now be epitaxially grown as self-supported thick layers to fulfil the requirements for imaging (good homogeneity of the electronic properties) corresponds to this optimum. Image performances obtained with this material are evaluated in terms of line spread function and modulation transfer function, and a comparison with CsI is made. We evaluate the image contrast obtained for a given object contrast with GaAs and CsI detectors, in the photon energy range of medical applications. Finally, we discuss the minimum object size, which can be detected by these detectors in of mammography conditions. This demonstrates that an object of a given size can be detected using a GaAs detector with a dose at least 100 times lower than using a CsI detector.

  16. Silicon incorporation in GaAs: From delta-doping to monolayer insertion

    NASA Astrophysics Data System (ADS)

    Wagner, J.; Newman, R. C.; Roberts, C.

    1995-08-01

    Raman spectroscopy was used to study the incorporation of Si into doping layers in GaAs, grown by molecular beam epitaxy at a temperature of 400 °C, for Si concentrations ranging from the δ-doping level to a ML coverage. The strength of the scattering by local vibrational modes of substitutional Si was almost constant for Si areal concentration [Si]A in the range 5×1012<[Si]A<5×1013 cm-2 but then decreased, dropping below the detection limit for [Si]A≳3×1014 cm-2. At these concentrations a new vibrational band emerged at a frequency close to 470 cm-1 and developed into the optic zone center phonon of a coherently strained epitaxial layer of Si embedded in GaAs when a coverage of ≊1.5 ML (9.3×1014 cm-2) was reached. These findings strongly indicate that the observed saturation and the eventual decrease of the concentration of substitutional silicon is caused by an increasing incorporation of deposited Si into two-dimensional islands of covalently bonded Si.

  17. Divacancy complexes induced by Cu diffusion in Zn-doped GaAs

    NASA Astrophysics Data System (ADS)

    Elsayed, M.; Krause-Rehberg, R.; Korff, B.; Ratschinski, I.; Leipner, H. S.

    2013-08-01

    Positron annihilation spectroscopy was applied to investigate the nature and thermal behavior of defects induced by Cu diffusion in Zn-doped p-type GaAs crystals. Cu atoms were intentionally introduced in the GaAs lattice through thermally activated diffusion from a thin Cu capping layer at 1100 °C under defined arsenic vapor pressure. During isochronal annealing of the obtained Cu-diffused GaAs in the temperature range of 450-850 K, vacancy clusters were found to form, grow and finally disappear. We found that annealing at 650 K triggers the formation of divacancies, whereas further increasing in the annealing temperature up to 750 K leads to the formation of divacancy-copper complexes. The observations suggest that the formation of these vacancy-like defects in GaAs is related to the out-diffusion of Cu. Two kinds of acceptors are detected with a concentration of about 1016 - 1017 cm-3, negative ions and arsenic vacancy copper complexes. Transmission electron microscopy showed the presence of voids and Cu precipitates which are not observed by positron measurements. The positron binding energy to shallow traps is estimated using the positron trapping model. Coincidence Doppler broadening spectroscopy showed the presence of Cu in the immediate vicinity of the detected vacancies. Theoretical calculations suggested that the detected defect is VGaVAs-2CuGa.

  18. Preparation of dilute magnetic semiconductor films by metalorganic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Nouhi, Akbar (Inventor); Stirn, Richard J. (Inventor)

    1988-01-01

    A method for preparation of a dilute magnetic semiconductor (DMS) film is provided, in which a Group II metal source, a Group VI metal source and a transition metal magnetic ion source are pyrolyzed in the reactor of a metalorganic chemical vapor deposition (MOCVD) system by contact with a heated substrate. As an example, the preparation of films of Cd(sub 1-x)Mn(sub x)Te, in which 0 is less than or equal to x less than or equal to 0.7, on suitable substrates (e.g., GaAs) is described. As a source of manganese, tricarbonyl (methylcyclopentadienyl) manganese (TCPMn) is employed. To prevent TCPMn condensation during its introduction into the reactor, the gas lines, valves and reactor tubes are heated. A thin-film solar cell of n-i-p structure, in which the i-type layer comprises a DMS, is also described; the i-type layer is suitably prepared by MOCVD.

  19. Gallium arsenide (GaAs) solar cell modeling studies

    NASA Technical Reports Server (NTRS)

    Heinbockel, J. H.

    1980-01-01

    Various models were constructed which will allow for the variation of system components. Computer studies were then performed using the models constructed in order to study the effects of various system changes. In particular, GaAs and Si flat plate solar power arrays were studied and compared. Series and shunt resistance models were constructed. Models for the chemical kinetics of the annealing process were prepared. For all models constructed, various parametric studies were performed.

  20. Quantum Dots obtained by LPE from under-saturated In-As liquid phases on GaAs substrates

    NASA Astrophysics Data System (ADS)

    Ortiz, F. E.; Mishurnyi, V.; Gorbatchev, A.; De Anda, F.; Prutskij, T.

    2011-01-01

    In this work we inform about quantum dots (QD) obtained by Liquid Phase Epitaxy (LPE) on GaAs substrates from under-saturated In-As liquid phases. In our processes, we have prepared saturated In-rich liquid phases by dissolving an InAs wafer at one of the temperatures interval from 450 to 414 C for 60 minutes. The contact between In-As liquid phase and the GaAs substrate was always done at a constant temperature of 444 C for 5 seconds. Thus, the growth temperature for most of the samples was higher than the liquidus temperature. We think that the growth driving force is related to a transient process that occurs when the system is trying to reach equilibrium. Under the atom force microscope (AFM) we have observed nano-islands on the surfaces of the samples obtained from under-saturated liquid phases prepared at 438, 432 and 426 C. The 25 K photoluminescence spectrum shows a peak at a 1.33 eV, in addition to the GaAs related line.

  1. Optimization of the interfacial misfit array growth mode of GaSb epilayers on GaAs substrate

    NASA Astrophysics Data System (ADS)

    Benyahia, D.; Kubiszyn, Ł.; Michalczewski, K.; Kębłowski, A.; Martyniuk, P.; Piotrowski, J.; Rogalski, A.

    2018-02-01

    The growth of undoped GaSb epilayers on GaAs (0 0 1) substrates with 2° offcut towards 〈1 1 0〉, by molecular beam epitaxy system (MBE) at low growth temperature is reported. The strain due to the lattice mismatch of 7.78% is relieved spontaneously at the interface by using interfacial misfit array (IMF) growth mode. Three approaches of this technique are investigated. The difference consists in the steps after the growth of GaAs buffer layer. These steps are the desorption of arsenic from the GaAs surface, and the cooling down to the growth temperature, under or without antimony flux. The X-ray analysis and the transmission electron microscopy point out that desorption of arsenic followed by the substrate temperature decreasing under no group V flux leads to the best structural and crystallographic properties in the GaSb layer. It is found that the 2 μm-thick GaSb is 99.8% relaxed, and that the strain is relieved by the formation of a periodic array of 90° pure-edge dislocations along the [1 1 0] direction with a periodicity of 5.6 nm.

  2. High Growth Rate Metal-Organic Molecular Beam Epitaxy for the Fabrication of GaAs Space Solar Cells

    NASA Technical Reports Server (NTRS)

    Freundlich, A.; Newman, F.; Monier, C.; Street, S.; Dargan, P.; Levy, M.

    2005-01-01

    In this work it is shown that high quality GaAs photovoltaic devices can be produced by Molecular Beam Epitaxy (MBE) with growth rates comparable to metal-organic chemical vapor deposition (MOCVD) through the subsitution of group III solid sources by metal-organic compounds. The influence the III/V flux-ratio and growth temperatures in maintaining a two dimensional layer by layer growth mode and achieving high growth rates with low residual background impurities is investigated. Finally subsequent to the study of the optimization of n- and p doping of such high growth rate epilayers, results from a preliminary attempt in the fabrication of GaAs photovoltaic devices such as tunnel diodes and solar cells using the proposed high growth rate approach are reported.

  3. Removal of GaAs growth substrates from II-VI semiconductor heterostructures

    NASA Astrophysics Data System (ADS)

    Bieker, S.; Hartmann, P. R.; Kießling, T.; Rüth, M.; Schumacher, C.; Gould, C.; Ossau, W.; Molenkamp, L. W.

    2014-04-01

    We report on a process that enables the removal of II-VI semiconductor epilayers from their GaAs growth substrate and their subsequent transfer to arbitrary host environments. The technique combines mechanical lapping and layer selective chemical wet etching and is generally applicable to any II-VI layer stack. We demonstrate the non-invasiveness of the method by transferring an all-II-VI magnetic resonant tunneling diode. High resolution x-ray diffraction proves that the crystal integrity of the heterostructure is preserved. Transport characterization confirms that the functionality of the device is maintained and even improved, which is ascribed to completely elastic strain relaxation of the tunnel barrier layer.

  4. First principle calculation in FeCo overlayer on GaAs substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jain, Vishal, E-mail: vjain045@gmail.com; Lakshmi, N.; Jain, Vivek Kumar

    In this work the first principle electronic structure calculation is reported for FeCo/GaAs thin film system to investigate the effect of orientation on the electronic structural properties. A unit cell describing FeCo layers and GaAs layers is constructed for (100), (110), (111) orientation with vacuum of 30Å to reduce dimensions. It is found that although the (110) orientation is energetically more favorable than others, the magnetic moment is quite large in (100) and (111) system compared to the (110) and is due to the total DOS variation with orientation.

  5. Elastomeric nanoparticle composites covalently bound to Al2O3/GaAs surfaces.

    PubMed

    Song, Hyon Min; Ye, Peide D; Ivanisevic, Albena

    2007-08-28

    This article reports the modification of Al2O3/GaAs surfaces with multifunctional soft materials. Siloxane elastomers were covalently bound to dopamine-modified Al2O3/GaAs semiconductor surfaces using MPt (M = Fe, Ni) nanoparticles. The sizes of the monodisperse FePt and NiPt nanoparticles were less than 5 nm. The surfaces of the nanoparticles as well as the Al2O3/GaAs substrates were modified with allyl-functionalized dopamine that utilized a dihydroxy group as a strong ligand. The immobilization of the elastomers was performed via a hydrosilation reaction of the allyl-functionalized dopamines with the siloxane backbones. X-ray photoelectron spectroscopy (XPS) experiments confirmed the covalent bonding of the siloxane elastomers to the oxide layer on the semiconductor surface. Fourier transform-infrared reflection absorption spectroscopy (FT-IRRAS) measurements revealed that the allyl functional groups are bonded to the siloxane backbones. The FT-IRRAS data also showed that the density of the allyl groups on the surface was lower than that of the siloxane backbones. The mechanical properties of the surface-bound nanocomposites were tested using nanoindentation experiments. The nanoindentation data showed that the soft matrix composed of the elastomeric coating on the surfaces behaves differently from the inner, hard Al2O3/GaAs substrate.

  6. Growth of semimetallic ErAs films epitaxially embedded in GaAs

    NASA Astrophysics Data System (ADS)

    Crook, Adam M.; Nair, Hari P.; Lee, Jong H.; Ferrer, Domingo A.; Akinwande, Deji; Bank, Seth R.

    2011-10-01

    We present models for the growth and electrical conductivity of ErAs films grown with the nanoparticle-seeded film growth technique. This growth mode overcomes the mismatch in rotational symmetry between the rocksalt ErAs crystal structure and the zincblende GaAs crystal structure. This results in films of ErAs grown through a thin film of GaAs that preserves the symmetry of the substrate. The conductivity of the films, as a function of film thickness, are investigated and a surface roughness model is used to explain observed trends. Transmission electron micrographs confirm the suppression of anti-phase domains. A simple diffusion model is developed to describe the diffusion and incorporation of surface erbium into subsurface ErAs layers and predict potential failure mechanisms of the growth method.

  7. Electrodeposition of CdSe on GaAs and InP substrates

    NASA Astrophysics Data System (ADS)

    Etcheberry, A.; Cachet, H.; Cortes, R.; Froment, M.

    2001-06-01

    Epitaxial CdSe layers have been electrodeposited on the (1 0 0) and ( 1¯ 1¯ 1¯) faces of GaAs and InP single crystals. Chemical composition and crystalline quality of CdSe have been studied by X-photoelectron spectroscopy, reflection high energy electron diffraction and X-ray diffraction. Influence of the substrate has been pointed out.

  8. Arsine flow requirement for the flow modulation growth of high purity GaAs using adduct-grade triethylgallium

    NASA Astrophysics Data System (ADS)

    Pitts, B. L.; Emerson, D. T.; Shealy, J. R.

    1992-10-01

    Using arsine and triethylgallium with flow modulation, organometallic vapor phase epitaxy can produce high purity GaAs layers with V/III molar ratios near unity. We have estimated that under appropriate growth conditions the arsine incorporation efficiency into epitaxial GaAs can exceed 30%. The arsine flow requirement for obtaining good morphology has been identified over a range of substrate temperatures using adduct-grade triethylgallium. The process described reduces the environmental impact and life safety risk of the hydride based organometallic vapor phase epitaxial method.

  9. Deep levels in H-irradiated GaAs1-xNx (x < 0.01) grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Shafi, M.; Mari, R. H.; Khatab, A.; Henini, M.; Polimeni, A.; Capizzi, M.; Hopkinson, M.

    2011-12-01

    Dilute nitride GaAs1-xNx layers have been grown by molecular beam epitaxy with nitrogen concentration ranging from 0.2% to 0.8%. These samples have been studied before and after hydrogen irradiation by using standard deep level transient spectroscopy (DLTS) and high resolution Laplace DLTS techniques. The activation energy, capture cross section and density of the electron traps have been estimated and compared with results obtained in N-free as-grown and H-irradiated bulk GaAs.

  10. Low temperature plasma enhanced CVD epitaxial growth of silicon on GaAs: a new paradigm for III-V/Si integration

    NASA Astrophysics Data System (ADS)

    Cariou, Romain; Chen, Wanghua; Maurice, Jean-Luc; Yu, Jingwen; Patriarche, Gilles; Mauguin, Olivia; Largeau, Ludovic; Decobert, Jean; Roca I Cabarrocas, Pere

    2016-05-01

    The integration of III-V semiconductors with silicon is a key issue for photonics, microelectronics and photovoltaics. With the standard approach, namely the epitaxial growth of III-V on silicon, thick and complex buffer layers are required to limit the crystalline defects caused by the interface polarity issues, the thermal expansion, and lattice mismatches. To overcome these problems, we have developed a reverse and innovative approach to combine III-V and silicon: the straightforward epitaxial growth of silicon on GaAs at low temperature by plasma enhanced CVD (PECVD). Indeed we show that both GaAs surface cleaning by SiF4 plasma and subsequent epitaxial growth from SiH4/H2 precursors can be achieved at 175 °C. The GaAs native oxide etching is monitored with in-situ spectroscopic ellipsometry and Raman spectroscopy is used to assess the epitaxial silicon quality. We found that SiH4 dilution in hydrogen during deposition controls the layer structure: the epitaxial growth happens for deposition conditions at the transition between the microcrystalline and amorphous growth regimes. SIMS and STEM-HAADF bring evidences for the interface chemical sharpness. Together, TEM and XRD analysis demonstrate that PECVD enables the growth of high quality relaxed single crystal silicon on GaAs.

  11. High-efficiency GaAs and GaInP solar cells grown by all solid-state molecular-beam-epitaxy

    PubMed Central

    2011-01-01

    We report the initial results of GaAs and GaInP solar cells grown by all solid-state molecular-beam-epitaxy (MBE) technique. For GaAs single-junction solar cell, with the application of AlInP as the window layer and GaInP as the back surface field layer, the photovoltaic conversion efficiency of 26% at one sun concentration and air mass 1.5 global (AM1.5G) is realized. The efficiency of 16.4% is also reached for GaInP solar cell. Our results demonstrate that the MBE-grown phosphide-contained III-V compound semiconductor solar cell can be quite comparable to the metal-organic-chemical-vapor-deposition-grown high-efficiency solar cell. PMID:22040124

  12. Electrical and Optical Characterization of Sputtered Silicon Dioxide, Indium Tin Oxide, and Silicon Dioxide/Indium Tin Oxide Antireflection Coating on Single-Junction GaAs Solar Cells

    PubMed Central

    Ho, Wen-Jeng; Lin, Jian-Cheng; Liu, Jheng-Jie; Bai, Wen-Bin; Shiao, Hung-Pin

    2017-01-01

    This study characterized the electrical and optical properties of single-junction GaAs solar cells coated with antireflective layers of silicon dioxide (SiO2), indium tin oxide (ITO), and a hybrid layer of SiO2/ITO applied using Radio frequency (RF) sputtering. The conductivity and transparency of the ITO film were characterized prior to application on GaAs cells. Reverse saturation-current and ideality factor were used to evaluate the passivation performance of the various coatings on GaAs solar cells. Optical reflectance and external quantum efficiency response were used to evaluate the antireflective performance of the coatings. Photovoltaic current-voltage measurements were used to confirm the efficiency enhancement obtained by the presence of the anti-reflective coatings. The conversion efficiency of the GaAs cells with an ITO antireflective coating (23.52%) exceeded that of cells with a SiO2 antireflective coating (21.92%). Due to lower series resistance and higher short-circuit current-density, the carrier collection of the GaAs cell with ITO coating exceeded that of the cell with a SiO2/ITO coating. PMID:28773063

  13. GaSb and GaSb/AlSb Superlattice Buffer Layers for High-Quality Photodiodes Grown on Commercial GaAs and Si Substrates

    NASA Astrophysics Data System (ADS)

    Gutiérrez, M.; Lloret, F.; Jurczak, P.; Wu, J.; Liu, H. Y.; Araújo, D.

    2018-05-01

    The objective of this work is the integration of InGaAs/GaSb/GaAs heterostructures, with high indium content, on GaAs and Si commercial wafers. The design of an interfacial misfit dislocation array, either on GaAs or Si substrates, allowed growth of strain-free devices. The growth of purposely designed superlattices with their active region free of extended defects on both GaAs and Si substrates is demonstrated. Transmission electron microscopy technique is used for the structural characterization and plastic relaxation study. In the first case, on GaAs substrates, the presence of dopants was demonstrated to reduce several times the threading dislocation density through a strain-hardening mechanism avoiding dislocation interactions, while in the second case, on Si substrates, similar reduction of dislocation interactions is obtained using an AlSb/GaSb superlattice. The latter is shown to redistribute spatially the interfacial misfit dislocation array to reduce dislocation interactions.

  14. Facile Approach to Preparing a Vanadium Oxide Hydrate Layer as a Hole-Transport Layer for High-Performance Polymer Solar Cells.

    PubMed

    Cong, Hailin; Han, Dongwei; Sun, Bingbing; Zhou, Dongying; Wang, Chen; Liu, Ping; Feng, Lai

    2017-05-31

    We demonstrate a facile and green approach to preparing a vanadium oxide hydrate (VO x ·nH 2 O) layer to serve as the hole-transport layer (HTL) in high-performance polymer solar cells (PSCs). The VO x ·nH 2 O layer was in situ prepared by a combined H 2 O 2 and ultraviolet-ozone (UVO) processing on a VO x layer. The as-prepared VO x ·nH 2 O layer featured a work function of 5.0 ± 0.1 eV, high transmittance, and better interface properties compared to those of the generally prepared VO x (UVO or thermal annealing) layers. PSCs based on poly[(ethylhexyl-thiophenyl)-benzodithiophene-(ethylhexyl)-thienothiophene]/[6,6]-phenyl-C 71 -butyric acid methyl ester using the VO x ·nH 2 O layer as the HTL yielded high power conversion efficiencies (PCEs) up to 8.11%, outperforming the devices with VO x layers (PCE of 6.79% for the UVO-processed VO x layer and 6.10% for the thermally annealed VO x layer) and conventional polyethylenedioxythiophene-polystyrenesulfonate (PEDOT:PSS) layers (PCE of 7.67%). The improved PCE was attributed to the enhanced J SC and/or fill factor, which mainly correlate to the improved interfacial contact between the photoactive layer and the indium tin oxide/HTL or cathode when using the VO x ·nH 2 O layer as the HTL. A similar improvement in the PCE was also observed for the PSCs based on poly(3-hexylthiophene)/[6,6]-phenyl-C 61 -butyric acid methyl ester. In addition, PSCs with a VO x ·nH 2 O layer as the HTL showed a higher stability than that of those with a PEDOT:PSS layer. Hence, it would be possible to use this simply and in situ prepared VO x ·nH 2 O layer as an inexpensive HTL for high-performance PSCs.

  15. Space qualification of IR-reflecting coverslides for GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Meulenberg, Andrew

    1995-01-01

    Improvements to GaAs solar array performance, from the use on solar cell coverslides of several reflecting coatings that reject unusable portions of the solar spectrum, are quantified. Blue-red-rejection (BRR) coverslides provide both infrared reflection (IRR) and ultraviolet rejection (UVR). BRR coverslides were compared to conventional antireflection (AR) and ultraviolet (UV) coated coverslides. A 2% improvement in peak-power output, relative to that from Ar-coated coverslides, is seen for cells utilizing BRR coverslides with the widest bandpass. Coverslide BRR-filter bandpass width and covered-solar-cell short-circuit current is a function of incident light angle and the observed narrower-bandpass filters are more sensitive to change in angle from the normal than are wide-bandpass filters. The first long-term (3000 hours) UV testing of unirradiated and 1 MeV electron-irradiated GaAs solar cells, with multilayer-coated coverslides to reduce solar array operating temperature, has indicated that all multilayer coatings on coverslides and solar cells will experience degradation from the space environment (UV and/or electrons). Five types of coverslide coatings, designed for GaAs solar cells, were tested as part of a NASA-sponsored space-flight qualification for BRR, multi-layer-coated, coverslides. The reponse to the different radiations varied with the coatings. The extent of degradation and its consequences on the solar cell electrical characteristics depend upon the coatings and the radiation. In some cases, an improved optical coupling was observed during long-term UV exposure to the optical stack. The benefits of multi-layered solar cell optics may depend upon both the duration and the radiation environment of a mission.

  16. ZnO Films on {001}-Cut <110>-Propagating GaAs Substrates for Surface Acoustic Wave Device Applications

    NASA Technical Reports Server (NTRS)

    Kim, Yoonkee; Hunt, William D.; Hickernell, Frederick S.; Higgins, Robert J.; Jen, Cheng-Kuei

    1995-01-01

    A potential application for piezoelectric films on GaAs substrates is the monolithic integration of surface acoustic wave (SAW) devices with GaAs electronics. Knowledge of the SAW properties of the layered structure is critical for the optimum and accurate design of such devices. The acoustic properties of ZnO films sputtered on {001}-cut <110> -propagating GaAs substrates are investigated in this article, including SAW Velocity effective piezoelectric coupling constant, propagation loss. diffraction, velocity surface, and reflectivity of shorted and open metallic gratings. The measurements of these essential SAW properties for the frequency range between 180 and 360 MHz have been performed using a knife-edge laser probe for film thicknesses over the range of 1.6-4 micron and with films or different grain sizes. The high quality of dc triode sputtered films was observed as evidenced by high K(exp 2) and low attenuation. The measurements of the velocity surface, which directly affects the SAW diffraction, on the bare and metalized ZnO on SiO2, or Si3N4 on {001}-cut GaAs samples are reported using two different techniques: 1) knife-edge laser probe, 2) line-focus-beam scanning acoustic microscope. It was found that near the <110> propagation direction, the focusing SAW property of the bare GaAs changes into a nonfocusing one for the layered structure, but a reversed phenomenon exists near the <100> direction. Furthermore, to some extent the diffraction of the substrate can be controlled with the film thickness. The reflectivity of shorted and open gratings are also analyzed and measured. Zero reflectivity is observed for a shorted grating. There is good agreement between the measured data and theoretical values.

  17. Electrical and band structural analyses of Ti1-x Al x O y films grown by atomic layer deposition on p-type GaAs

    NASA Astrophysics Data System (ADS)

    An, Youngseo; Mahata, Chandreswar; Lee, Changmin; Choi, Sungho; Byun, Young-Chul; Kang, Yu-Seon; Lee, Taeyoon; Kim, Jiyoung; Cho, Mann-Ho; Kim, Hyoungsub

    2015-10-01

    Amorphous Ti1-x Al x O y films in the Ti-oxide-rich regime (x  <  0.5) were deposited on p-type GaAs via atomic layer deposition with titanium isopropoxide, trimethylaluminum, and H2O precursor chemistry. The electrical properties and energy band alignments were examined for the resulting materials with their underlying substrates, and significant frequency dispersion was observed in the accumulation region of the Ti-oxide-rich Ti1-x Al x O y films. Although a further reduction in the frequency dispersion and leakage current (under gate electron injection) could be somewhat achieved through a greater addition of Al-oxide in the Ti1-x Al x O y film, the simultaneous decrease in the dielectric constant proved problematic in finding an optimal composition for application as a gate dielectric on GaAs. The spectroscopic band alignment measurements of the Ti-oxide-rich Ti1-x Al x O y films indicated that the band gaps had a rather slow increase with the addition of Al-oxide, which was primarily compensated for by an increase in the valance band offset, while a nearly-constant conduction band offset with a negative electron barrier height was maintained.

  18. Growth and Photovoltaic Properties of High-Quality GaAs Nanowires Prepared by the Two-Source CVD Method.

    PubMed

    Wang, Ying; Yang, Zaixing; Wu, Xiaofeng; Han, Ning; Liu, Hanyu; Wang, Shuobo; Li, Jun; Tse, WaiMan; Yip, SenPo; Chen, Yunfa; Ho, Johnny C

    2016-12-01

    Growing high-quality and low-cost GaAs nanowires (NWs) as well as fabricating high-performance NW solar cells by facile means is an important development towards the cost-effective next-generation photovoltaics. In this work, highly crystalline, dense, and long GaAs NWs are successfully synthesized using a two-source method on non-crystalline SiO2 substrates by a simple solid-source chemical vapor deposition method. The high V/III ratio and precursor concentration enabled by this two-source configuration can significantly benefit the NW growth and suppress the crystal defect formation as compared with the conventional one-source system. Since less NW crystal defects would contribute fewer electrons being trapped by the surface oxides, the p-type conductivity is then greatly enhanced as revealed by the electrical characterization of fabricated NW devices. Furthermore, the individual single NW and high-density NW parallel arrays achieved by contact printing can be effectively fabricated into Schottky barrier solar cells simply by employing asymmetric Ni-Al contacts, along with an open circuit voltage of ~0.3 V. All these results indicate the technological promise of these high-quality two-source grown GaAs NWs, especially for the realization of facile Schottky solar cells utilizing the asymmetric Ni-Al contact.

  19. Surface Chemistry and Interface Evolution during the Atomic Layer Deposition of High-k Metal Oxides on InAs(100) and GaAs(100) Surfaces

    NASA Astrophysics Data System (ADS)

    Henegar, Alex J.

    Device scaling has been key for creating faster and more powerful electronic devices. Integral circuit components like the metal-oxide semiconductor field-effect transistor (MOSFET) now rely on material deposition techniques, like atomic layer deposition (ALD), that possess atomic-scale thickness precision. At the heart of the archetypal MOSFET is a SiO2/Si interface which can be formed to near perfection. However when the thickness of the SiO 2 layer is shrunk down to a few nanometers several complications arise like unacceptably high leakage current and power consumption. Replacing Si with III-V semiconductors and SiO2 with high-k dielectric materials is appealing but comes with its own set of challenges. While SiO2 is practically defect-free, the native oxides of III-Vs are poor dielectrics. In this dissertation, the surface chemistry and interface evolution during the ALD of high-k metal oxides on Si(100), GaAs(100) and InAs(100) was studied. In particular, the surface chemistry and crystallization of TiO2 films grown on Si(100) was investigated using transmission Fourier transform infrared spectroscopy (FTIR), X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), scanning electron microscopy (SEM) and atomic force microscopy (AFM). Large, stable, and highly reactive anatase TiO2 grains were found to form during a post-deposition heat treatment after the ALD at 100 °C. The remainder of this work was focused on the evolution of the interfacial oxides during the deposition of TiO2 and Al2O3 on InAs(100) and GaAs(100) and during the deposition of Ta2O 5 on InAs(100). In summary the ALD precursor type, deposited film, and substrate had an influence in the evolution of the native oxides. Alkyl amine precursors fared better at removing the native oxides but the deposited films (TiO2 and Ta2O5) were susceptible to significant native oxide diffusion. The alkyl precursor used for the growth of Al 2O3 was relatively ineffective at removing the oxides but was

  20. Temperature effect on the coupling between coherent longitudinal phonons and plasmons in n -type and p -type GaAs

    NASA Astrophysics Data System (ADS)

    Hu, Jianbo; Zhang, Hang; Sun, Yi; Misochko, Oleg V.; Nakamura, Kazutaka G.

    2018-04-01

    The coupling between longitudinal optical (LO) phonons and plasmons plays a fundamental role in determining the performance of doped semiconductor devices. In this work, we report a comparative investigation into the dependence of the coupling on temperature and doping in n - and p -type GaAs by using ultrafast coherent phonon spectroscopy. A suppression of coherent oscillations has been observed in p -type GaAs at lower temperature, strikingly different from n -type GaAs and other materials in which coherent oscillations are strongly enhanced by cooling. We attribute this unexpected observation to a cooling-induced elongation of the depth of the depletion layer which effectively increases the screening time of the surface field due to a slow diffusion of photoexcited carriers in p -type GaAs. Such an increase breaks the requirement for the generation of coherent LO phonons and, in turn, LO phonon-plasmon coupled modes because of their delayed formation in time.

  1. A graphene/single GaAs nanowire Schottky junction photovoltaic device.

    PubMed

    Luo, Yanbin; Yan, Xin; Zhang, Jinnan; Li, Bang; Wu, Yao; Lu, Qichao; Jin, Chenxiaoshuai; Zhang, Xia; Ren, Xiaomin

    2018-05-17

    A graphene/nanowire Schottky junction is a promising structure for low-cost high-performance optoelectronic devices. Here we demonstrate a graphene/single GaAs nanowire Schottky junction photovoltaic device. The Schottky junction is fabricated by covering a single layer graphene onto an n-doped GaAs nanowire. Under 532 nm laser excitation, the device exhibits a high responsivity of 231 mA W-1 and a short response/recover time of 85/118 μs at zero bias. Under AM 1.5 G solar illumination, the device has an open-circuit voltage of 75.0 mV and a short-circuit current density of 425 mA cm-2, yielding a remarkable conversion efficiency of 8.8%. The excellent photovoltaic performance of the device is attributed to the strong built-in electric field in the Schottky junction as well as the transparent property of graphene. The device is promising for self-powered high-speed photodetectors and low-cost high-efficiency solar cells.

  2. High-reliability GaAs image intensifier with unfilmed microchannel plate

    NASA Astrophysics Data System (ADS)

    Bender, Edward J.; Estrera, Joseph P.; Ford, C. E.; Giordana, A.; Glesener, John W.; Lin, P. P.; Nico, A. J.; Sinor, Timothy W.; Smithson, R. H.

    1999-07-01

    Current GaAs image intensifier technology requires that the microchannel plate (MCP) have a thin dielectric film on the side facing the photocathode. This protective coating substantially reduces the amount of outgassing of ions and neutral species from the microchannels. The prevention of MCP outgassing is necessary in order to prevent the `poisoning' of the Cs:O surface on the GaAs photocathode. Many authors have experimented with omitting the MCP coating. The results of such experiments invariably lead to an intensifier with a reported useful life of less than 100 hours, due to contamination of the Cs:O layer on the photocathode. Unfortunately, the MCP film is also a barrier to electron transport within the intensifier. Substantial enhancement of the image intensifier operating parameters is the motivation for the removal of the MCP film. This paper presents results showing for the first time that it is possible to fabricate a long lifetime image intensifier with a single uncoated MCP.

  3. Comparative research on activation technique for GaAs photocathodes

    NASA Astrophysics Data System (ADS)

    Chen, Liang; Qian, Yunsheng; Chang, Benkang; Chen, Xinlong; Yang, Rui

    2012-03-01

    The properties of GaAs photocathodes mainly depend on the material design and activation technique. In early researches, high-low temperature two-step activation has been proved to get more quantum efficiency than high-temperature single-step activation. But the variations of surface barriers for two activation techniques have not been well studied, thus the best activation temperature, best Cs-O ratio and best activation time for two-step activation technique have not been well found. Because the surface photovoltage spectroscopy (SPS) before activation is only in connection with the body parameters for GaAs photocathode such as electron diffusion length and the spectral response current (SRC) after activation is in connection with not only body parameters but also surface barriers, thus the surface escape probability (SEP) can be well fitted through the comparative research between SPS before activation and SEP after activation. Through deduction for the tunneling process of surface barriers by Schrödinger equation, the width and height for surface barrier I and II can be well fitted through the curves of SEP. The fitting results were well proved and analyzed by quantitative analysis of angle-dependent X-ray photoelectron spectroscopy (ADXPS) which can also study the surface chemical compositions, atomic concentration percentage and layer thickness for GaAs photocathodes. This comparative research method for fitting parameters of surface barriers through SPS before activation and SRC after activation shows a better real-time in system method for the researches of activation techniques.

  4. Arsenic sulfide layers for dielectric reflection mirrors prepared from solution

    NASA Astrophysics Data System (ADS)

    Matějec, Vlastimil; Pedlikova, Jitka; BartoÅ, Ivo; Podrazký, Ondřej

    2017-12-01

    Chalcogenide materials due to high refractive indices, transparency in the mid-IR spectral region, nonlinear refractive indices, etc, have been employed as fibers and films in different photonic devices such as light amplifiers, optical regenerators, broadband radiation sources. Chalcogenide films can be prepared by physical methods as well as by solution-based techniques in which solutions of chalcogenides in amines are used. This paper presents results on the solution-based fabrication and optical characterization of single arsenic sulfide layers and multilayer stacks containing As2S3 layers together with porous silica layers coated on planar and fiber-optic substrates. Input As2S3 solutions for the layer fabrications were prepared by dissolving As2S3 powder in n-propylamine in a concentration of 0.50 mol/l. These solutions were applied on glass slides by dip-coating method and obtained layers were thermally treated in vacuum at temperatures up to 180 °C. Similar procedure was used for As2S3 layers in multilayer stacks. Such stacks were fabricated by repeating the application of one porous silica layer prepared by the sol-gel method and one As2S3 layer onto glass slides or silica fibers (a diameter of 0.3 mm) by using the dip-coating method. It has been found that the curing process of the applied layers has to be carefully controlled in order to obtain stacks with three pairs of such layers. Single arsenic and porous silica layers were characterized by optical microscopy, and by measuring their transmission spectra in a range of 200-2500 nm. Thicknesses and refractive indices were estimated from the spectra. Transmission spectra of planar multilayer stacks were measured, too. Interference bands have been determined from optical measurements on the multilayer stacks with a minimum transmittance of about 50% which indicates the possibility of using such stacks as reflecting mirrors.

  5. Direct observation of dopant distribution in GaAs compound semiconductors using phase-shifting electron holography and Lorentz microscopy.

    PubMed

    Sasaki, Hirokazu; Otomo, Shinya; Minato, Ryuichiro; Yamamoto, Kazuo; Hirayama, Tsukasa

    2014-06-01

    Phase-shifting electron holography and Lorentz microscopy were used to map dopant distributions in GaAs compound semiconductors with step-like dopant concentration. Transmission electron microscope specimens were prepared using a triple beam focused ion beam (FIB) system, which combines a Ga ion beam, a scanning electron microscope, and an Ar ion beam to remove the FIB damaged layers. The p-n junctions were clearly observed in both under-focused and over-focused Lorentz microscopy images. A phase image was obtained by using a phase-shifting reconstruction method to simultaneously achieve high sensitivity and high spatial resolution. Differences in dopant concentrations between 1 × 10(19) cm(-3) and 1 × 10(18) cm(-3) regions were clearly observed by using phase-shifting electron holography. We also interpreted phase profiles quantitatively by considering inactive layers induced by ion implantation during the FIB process. The thickness of an inactive layer at different dopant concentration area can be measured from the phase image. © The Author 2014. Published by Oxford University Press on behalf of The Japanese Society of Microscopy. All rights reserved. For permissions, please e-mail: journals.permissions@oup.com.

  6. GaAs VLSI technology and circuit elements for DSP

    NASA Astrophysics Data System (ADS)

    Mikkelson, James M.

    1990-10-01

    Recent progress in digital GaAs circuit performance and complexity is presented to demonstrate the current capabilities of GaAs components. High density GaAs process technology and circuit design techniques are described and critical issues for achieving favorable complexity speed power and cost tradeoffs are reviewed. Some DSP building blocks are described to provide examples of what types of DSP systems could be implemented with present GaAs technology. DIGITAL GaAs CIRCUIT CAPABILITIES In the past few years the capabilities of digital GaAs circuits have dramatically increased to the VLSI level. Major gains in circuit complexity and power-delay products have been achieved by the use of silicon-like process technologies and simple circuit topologies. The very high speed and low power consumption of digital GaAs VLSI circuits have made GaAs a desirable alternative to high performance silicon in hardware intensive high speed system applications. An example of the performance and integration complexity available with GaAs VLSI circuits is the 64x64 crosspoint switch shown in figure 1. This switch which is the most complex GaAs circuit currently available is designed on a 30 gate GaAs gate array. It operates at 200 MHz and dissipates only 8 watts of power. The reasons for increasing the level of integration of GaAs circuits are similar to the reasons for the continued increase of silicon circuit complexity. The market factors driving GaAs VLSI are system design methodology system cost power and reliability. System designers are hesitant or unwilling to go backwards to previous design techniques and lower levels of integration. A more highly integrated system in a lower performance technology can often approach the performance of a system in a higher performance technology at a lower level of integration. Higher levels of integration also lower the system component count which reduces the system cost size and power consumption while improving the system reliability

  7. An observation of direct-gap electroluminescence in GaAs structures with Ge quantum wells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aleshkin, V. Ya.; Dikareva, N. V.; Dubinov, A. A., E-mail: sanya@ipm.sci-nnov.ru

    2015-02-15

    A light-emitting diode structure based on GaAs with eight narrow Ge quantum wells is grown by laser sputtering. An electroluminescence line polarized predominately in the plane parallel to the constituent layers of the structure is revealed. The line corresponds to the direct optical transitions in momentum space in the Ge quantum wells.

  8. LEO Flight Testing of GaAs on Si Solar Cells Aboard MISSES

    NASA Technical Reports Server (NTRS)

    Wilt, David M.; Clark, Eric B.; Ringel, Steven A.; Andre, Carrie L.; Smith, Mark A.; Scheiman, David A.; Jenkins, Phillip P.; Maurer, William F.; Fitzgerald, Eugene A.; Walters, R. J.

    2004-01-01

    Previous research efforts have demonstrated small area (0.04 cm) GaAs on Si (GaAs/Si) solar cells with AM0 efficiencies in excess of 17%. These results were achieved on Si substrates coated with a step graded buffer of Si(x),Ge(1-x) alloys graded to 100% Ge. Recently, a 100-fold increase in device area was accomplished for these devices in preparation for on-orbit testing of this technology aboard Materials International Space Station Experiment number 5 (MISSE5). The GaAs/Si MISSE5 experiment contains five (5) GaAs/Si test devices with areas of lcm(exp 2) and 4cm(exp 4) as well as two (2) GaAs on GaAs control devices. Electrical performance data, measured on-orbit for three (3) of the test devices and one (1) of the control devices, will be telemetered to ground stations daily. After approximately one year on orbit, the MISSE5 payload will be returned to Earth for post flight evaluation. This paper will discuss the development of the GaAs/Si devices for the MISSE5 flight experiment and will present recent ground and on-orbit performance data.

  9. Precision calibration of the silicon doping level in gallium arsenide epitaxial layers

    NASA Astrophysics Data System (ADS)

    Mokhov, D. V.; Berezovskaya, T. N.; Kuzmenkov, A. G.; Maleev, N. A.; Timoshnev, S. N.; Ustinov, V. M.

    2017-10-01

    An approach to precision calibration of the silicon doping level in gallium arsenide epitaxial layers is discussed that is based on studying the dependence of the carrier density in the test GaAs layer on the silicon- source temperature using the Hall-effect and CV profiling techniques. The parameters are measured by standard or certified measuring techniques and approved measuring instruments. It is demonstrated that the use of CV profiling for controlling the carrier density in the test GaAs layer at the thorough optimization of the measuring procedure ensures the highest accuracy and reliability of doping level calibration in the epitaxial layers with a relative error of no larger than 2.5%.

  10. Three-dimensional lattice rotation in GaAs nanowire growth on hydrogen-silsesquioxane covered GaAs (001) using molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Tran, Dat Q.; Pham, Huyen T.; Higashimine, Koichi; Oshima, Yoshifumi; Akabori, Masashi

    2018-05-01

    We report on crystallographic behaviors of inclined GaAs nanowires (NWs) self-crystallized on GaAs (001) substrate. The NWs were grown on hydrogen-silsesquioxane (HSQ) covered substrates using molecular beam epitaxy (MBE). Commonly, the epitaxial growth of GaAs < 111>B (B-polar) NWs is prominently observed on GaAs (001); however, we yielded a remarkable number of epitaxially grown GaAs < 111>A (A-polar) NWs in addition to the majorly obtained B-polar NWs. Such NW orientations are always accompanied by a typical inclined angle of 35° from (001) plane. NWs with another inclined angle of 74° were additionally observed and attributed to be < 111>-oriented, not in direct epitaxial relation with the substrate. Such 74° NWs' existence is related to first-order three-dimensional (3D) lattice rotation taking place at the very beginning of the growth. It turns out that spatially 60° lattice rotation around < 111> directions at GaAs seeds is essentially in charge of A- and B-polar 74° NWs. Transmission electron microscope observations reveal a high density of twinning in the B-polar NWs and twin-free characteristic in the A-polar NWs.

  11. Photoelectron and Auger electron diffraction studies of a sulfur-terminated GaAs(001)-(2×6) surface

    NASA Astrophysics Data System (ADS)

    Shimoda, M.; Tsukamoto, S.; Koguchi, N.

    1998-01-01

    Core-level X-ray photoelectron diffraction (XPD) and Auger electron diffraction (AED) have been applied to investigate the sulfur-terminated GaAs(001)-(2×6) surface. No forward scattering peaks were found in the XPD pattern of S 2s emission, indicating that adsorbed S atoms form a single layer on the GaAs substrate. In accordance with the zincblende structure of GaAs, the AED patterns of Ga L 3M 45M 45 and As L 3M 45M 45 emission almost coincide with each other, if one of the emissions is rotated by 90° around the [001] direction. This fact suggests that the diffraction patterns mainly reflect the structure of the bulk GaAs crystal. In order to investigate the surface structure, AED patterns in large polar angles were analyzed with single scattering cluster (SSC) calculations. The best result was obtained with a model cluster where the S-S bond length was set at 0.28 nm, 30% shorter than the corresponding length of the ideal (1×1) structure, and the adsorption height was set at 0.12-0.13 nm, 10% shorter than the ideal interlayer distance of GaAs(001) planes. These values are in good agreement with the results of STM measurements. A modulation of the inter-dimer distance was also found, suggesting the existence of missing dimers.

  12. Dopant diffusion and segregation in semiconductor heterostructures: Part III, diffusion of Si into GaAs

    NASA Astrophysics Data System (ADS)

    Chen, C.-H.; Gösele, U. M.; Tan, T. Y.

    We have mentioned previously that in the third part of the present series of papers, a variety of n-doping associated phenomena will be treated. Instead, we have decided that this paper, in which the subject treated is diffusion of Si into GaAs, shall be the third paper of the series. This choice is arrived at because this subject is a most relevent heterostructure problem, and also because of space and timing considerations. The main n-type dopant Si in GaAs is amphoteric which may be incorporated as shallow donor species SiGa+ and as shallow acceptor species SiAs-. The solubility of SiAs- is much lower than that of SiGa+ except at very high Si concentration levels. Hence, a severe electrical self-compensation occurs at very high Si concentrations. In this study we have modeled the Si distribution process in GaAs by assuming that the diffusing species is SiGa+ which will convert into SiAs- in accordance with their solubilities and that the point defect species governing the diffusion of SiGa+ are triply-negatively-charged Ga vacancies VGa3-. The outstanding features of the Si indiffusion profiles near the Si/GaAs interface have been quantitatively explained for the first time. Deposited on the GaAs crystal surface, the Si source material is a polycrystalline Si layer which may be undoped or n+-doped using As or P. Without the use of an As vapor phase in the ambient, the As- and P-doped source materials effectively render the GaAs crystals into an As-rich composition, which leads to a much more efficient Si indiffusion process than for the case of using undoped source materials which maintains the GaAs crystals in a relatively As-poor condition. The source material and the GaAs crystal together form a heterostructure with its junction influencing the electron distribution in the region, which, in turn, affects the Si indiffusion process prominently.

  13. Germanium- and tellurium-doped GaAs for non-alloyed p-type and n-type ohmic contacts

    NASA Astrophysics Data System (ADS)

    Park, Joongseo; Barnes, Peter A.; Lovejoy, Michael L.

    1995-08-01

    Epitaxial ohmic contacts to GaAs were grown by liquid phase epitaxy. Heavily Ge-doped GaAs was grown to prepare ohmic contacts to p-GaAs while Te was used for the n-type contacts. Hall measurements were carried out for the samples grown from melts in which the mole fraction of Ge was varied between 1.55 atomic % and 52.2 atomic %, while the Te mole fractions varied between 0.03% and 0.5%. Specific contact resistance, rc, as low as rcp=2.9×10-6 ohm-cm 2 for Ge doping of p=(Na-Nd)=6.0×1019 holes/cm3 was measured for p-contacts and rcn=9.6×10-5 ohm-cm2 was measured for Te doping of n=(Nd-Na)=8.9×1018 electrons/cm3 for GaAs metallized with non-alloyed contacts of Ti/Al.

  14. Impact of stress relaxation in GaAsSb cladding layers on quantum dot creation in InAs/GaAsSb structures grown on GaAs (001)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bremner, S. P.; Ban, K.-Y.; Faleev, N. N.

    2013-09-14

    We describe InAs quantum dot creation in InAs/GaAsSb barrier structures grown on GaAs (001) wafers by molecular beam epitaxy. The structures consist of 20-nm-thick GaAsSb barrier layers with Sb content of 8%, 13%, 15%, 16%, and 37% enclosing 2 monolayers of self-assembled InAs quantum dots. Transmission electron microscopy and X-ray diffraction results indicate the onset of relaxation of the GaAsSb layers at around 15% Sb content with intersected 60° dislocation semi-loops, and edge segments created within the volume of the epitaxial structures. 38% relaxation of initial elastic stress is seen for 37% Sb content, accompanied by the creation of amore » dense net of dislocations. The degradation of In surface migration by these dislocation trenches is so severe that quantum dot formation is completely suppressed. The results highlight the importance of understanding defect formation during stress relaxation for quantum dot structures particularly those with larger numbers of InAs quantum-dot layers, such as those proposed for realizing an intermediate band material.« less

  15. Isolating GaSb membranes grown metamorphically on GaAs substrates using highly selective substrate removal etch processes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lavrova, Olga; Balakrishnan, Ganesh

    2017-02-24

    The etch rates of NH 4OH:H 2O 2 and C 6H 8O 7:H 2O 2 for GaAs and GaSb have been investigated to develop a selective etch for GaAs substrates and to isolate GaSb epilayers grown on GaAs. The NH 4OH:H 2O 2 solution has a greater etch rate differential for the GaSb/GaAs material system than C 6H 8O 7:H 2O 2 solution. The selectivity of NH 4OH:H 2O 2 for GaAs/GaSb under optimized etch conditions has been observed to be as high as 11471 ± 1691 whereas that of C 6H 8O 7:H 2O 2 has been measured upmore » to 143 ± 2. The etch contrast has been verified by isolating 2 μm thick GaSb epi-layers that were grown on GaAs substrates. GaSb membranes were tested and characterized with high-resolution X-Ray diffraction (HR-XRD) and atomic force microscopy (AFM).« less

  16. Optical characterization of composite layers prepared by plasma polymerization

    NASA Astrophysics Data System (ADS)

    Radeva, E.; Hikov, T.; Mitev, D.; Stroescu, H.; Nicolescu, M.; Gartner, M.; Presker, R.; Pramatarova, L.

    2016-02-01

    Thin composite layers from polymer/nanoparticles (Ag-nanoparticles and detonation nanodiamonds) were prepared by plasma polymerization process on the base of hexamethyldisiloxane. The variation of the layer composition was achieved by changing the type of nanoparticles. The optical measurement techniques used were UV-VIS-NIR ellipsometry (SE), Fourier-transformed infrared spectroscopy (FTIR) and Raman spectroscopy. The values of the refractive index determined are in the range 1.30 to 1.42. All samples are transparent with transmission between 85-95% and very smooth. The change in Raman and FTIR spectra of the composites verify the expected bonding between polymer and diamond nanoparticles due to the penetration of the fillers in the polymer matrix. The comparison of the spectra of the corresponding NH3 plasma treated composites revealed that the composite surface becomes more hydrophilic. The obtained results indicate that preparation of layers with desired compositions is possible at a precise control of the detonation nanodiamond materials.

  17. High Performance 0.1 μm GaAs Pseudomorphic High Electron Mobility Transistors with Si Pulse-Doped Cap Layer for 77 GHz Car Radar Applications

    NASA Astrophysics Data System (ADS)

    Kim, Sungwon; Noh, Hunhee; Jang, Kyoungchul; Lee, JaeHak; Seo, Kwangseok

    2005-04-01

    In this study, 0.1 μm double-recessed T-gate GaAs pseudomorphic high electron mobility transistors (PHEMT’s), in which an InGaAs layer and a Si pulse-doped layer in the cap structure are inserted, have been successfully fabricated. This cap structure improves ohmic contact. The ohmic contact resistance is as small as 0.07 Ωmm, consequently the source resistance is reduced by about 20% compared to that of a conventional cap structure. This device shows good DC and microwave performance such as an extrinsic transconductance of 620 mS/mm, a maximum saturated drain current of 780 mA/mm, a cut-off frequency fT of 140 GHz and a maximum oscillation frequency of 260 GHz. The reverse breakdown is 5.7 V at a gate current density of 1 mA/mm. The maximum available gain is about 7 dB at 77 GHz. It is well suited for car radar monolithic microwave integrated circuits (MMICs).

  18. Preparation of pH-Responsive Hollow Capsules via Layer-by-Layer Assembly of Exfoliated Layered Double Hydroxide Nanosheets and Polyelectrolytes.

    PubMed

    Katagiri, Kiyofumi; Shishijima, Yoshinori; Koumoto, Kunihito; Inumaru, Kei

    2018-01-01

    pH-Responsive smart capsules were developed by the layer-by-layer assembly with a colloidtemplating technique. Polystyrene (PS) particles were employed as core templates. Acid-soluble inorganic nanosheets were prepared from Mg-Al layered double hydroxide (LDH) by an exfoliation technique. LDH nanosheets and anionic polyelectrolytes were alternatively deposited on PS core particles by the layer-by-layer assembly using electrostatic interaction. Hollow capsules were obtained by the removal of the PS core particles. The hollow capsules obtained thus were collapsed at acidic conditions by dissolution of LDH nanosheets in the hollow shells. The dissolution rate, i.e., the responsiveness of capsule, is tunable according to the strength of acids.

  19. The electronic and optical properties of quaternary GaAs1-x-y N x Bi y alloy lattice-matched to GaAs: a first-principles study.

    PubMed

    Ma, Xiaoyang; Li, Dechun; Zhao, Shengzhi; Li, Guiqiu; Yang, Kejian

    2014-01-01

    First-principles calculations based on density functional theory have been performed for the quaternary GaAs1-x-y N x Bi y alloy lattice-matched to GaAs. Using the state-of-the-art computational method with the Heyd-Scuseria-Ernzerhof (HSE) hybrid functional, electronic, and optical properties were obtained, including band structures, density of states (DOSs), dielectric function, absorption coefficient, refractive index, energy loss function, and reflectivity. It is found that the lattice constant of GaAs1-x-y N x Bi y alloy with y/x =1.718 can match to GaAs. With the incorporation of N and Bi into GaAs, the band gap of GaAs1-x-y N x Bi y becomes small and remains direct. The calculated optical properties indicate that GaAs1-x-y N x Bi y has higher optical efficiency as it has less energy loss than GaAs. In addition, it is also found that the electronic and optical properties of GaAs1-x-y N x Bi y alloy can be further controlled by tuning the N and Bi compositions in this alloy. These results suggest promising applications of GaAs1-x-y N x Bi y quaternary alloys in optoelectronic devices.

  20. Characteristics of GaAs with inverted thermal conversion

    NASA Technical Reports Server (NTRS)

    Kang, C. H.; Lagowski, J.; Gatos, H. C.

    1987-01-01

    GaAs crystals exhibiting inverted thermal conversion (ITC) of resistivity were investigated in conjunction with standard semiinsulating (SI) GaAs regarding characteristics important in device processing. It was established that dislocation density and Si implant activation are unaffected by transformation to the ITC state. However, in ITC GaAs the controlled increase of the EL2 (native midgap donor) concentration during annealing makes it possible to attain resistivities one order of magnitude greater (e.g., about 10 to the 9th ohm cm of 300 K) than those attained in standard SI GaAs (e.g., 10 to the 7th-10 to the 8th ohm cm).

  1. The growth of low band-gap InAs on (111)B GaAs substrates

    NASA Technical Reports Server (NTRS)

    Welser, R. E.; Guido, L. J.

    1995-01-01

    The use of low band-gap materials is of interest for a number of photovoltaic and optoelectronic applications, such as bottom cells of optimized multijunction solar cell designs, long wavelength light sources, detectors, and thermophotovoltaics. However, low band-gap materials are generally mismatched with respect to lattice constant, thermal expansion coefficient, and chemical bonding to the most appropriate commercially available substrates (Si, Ge, and GaAs). For the specific case of III-V semiconductor heteroepitaxy, one must contend with the strain induced by both lattice constant mismatch at the growth temperature and differences in the rates of mechanical deformation during the cool down cycle. Several experimental techniques have been developed to minimize the impact of these phenomena (i.e., compositional grading, strained layer superlattices, and high-temperature annealing). However, in highly strained systems such as InAs-on-GaAs, three-dimensional island formation and large defect densities (greater than or equal to 10(exp 8)/ cm(exp -2)) tend to limit their applicability. In these particular cases, the surface morphology and defect density must be controlled during the initial stages of nucleation and growth. At the last SPRAT conference, we reported on a study of the evolution of InAs islands on (100) and (111)B GaAs substrates. Growth on the (111)B orientation exhibits a number of advantageous properties as compared to the (100) during these early stages of strained-layer epitaxy. In accordance with a developing model of nucleation and growth, we have deposited thin (60 A - 2500 A), fully relaxed InAs films on (111)B GaAs substrates. Although thicker InAs films are subject to the formation of twin defects common to epitaxy on the (111)B orientation, appropriate control of the growth parameters can greatly minimize their density. Using this knowledge base, InAs films up to 2 microns in thickness with improved morphology and structural quality have

  2. Electrodeposition of Metal on GaAs Nanowires

    NASA Astrophysics Data System (ADS)

    Liu, Chao; Einabad, Omid; Watkins, Simon; Kavanagh, Karen

    2010-10-01

    Copper (Cu) electrical contacts to freestanding gallium arsenide (GaAs) nanowires have been fabricated via electrodeposition. The nanowires are zincblende (111) oriented grown epitaxially on n-type Si-doped GaAs (111)B substrates by gold-catalyzed Vapor Liquid Solid (VLS) growth in a metal organic vapour phase epitaxy (MOVPE) reactor. The epitaxial electrodeposition process, based on previous work with bulk GaAs substrates, consists of a substrate oxide pre-etch in dilute ammonium-hydroxide carried out prior to galvanostatic electrodeposition in a pure Cu sulphate aqueous electrolyte at 20-60^oC. For GaAs nanowires, we find that Cu or Fe has a preference for growth on the gold catalyst avoiding the sidewalls. After removing gold, both metals still prefer to grow only on top of the nanowire, which has the largest potential field.

  3. Overcoming Ehrlich-Schwöbel barrier in (1 1 1)A GaAs molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Ritzmann, Julian; Schott, Rüdiger; Gross, Katherine; Reuter, Dirk; Ludwig, Arne; Wieck, Andreas D.

    2018-01-01

    In this work, we first study the effect of different growth parameters on the molecular beam epitaxy (MBE) growth of GaAs layers on (1 1 1)A oriented substrates. After that we present a method for the MBE growth of atomically smooth layers by sequences of growth and annealing phases. The samples exhibit low surface roughness and good electrical properties shown by atomic force microscopy (AFM), scanning electron microscopy (SEM) and van-der-Pauw Hall measurements.

  4. GaAs Solar Cell Radiation Handbook

    NASA Technical Reports Server (NTRS)

    Anspaugh, B. E.

    1996-01-01

    The handbook discusses the history of GaAs solar cell development, presents equations useful for working with GaAs solar cells, describes commonly used instrumentation techniques for assessing radiation effects in solar cells and fundamental processes occurring in solar cells exposed to ionizing radiation, and explains why radiation decreases the electrical performance of solar cells. Three basic elements required to perform solar array degradation calculations: degradation data for GaAs solar cells after irradiation with 1 MeV electrons at normal incidence; relative damage coefficients for omnidirectional electron and proton exposure; and the definition of the space radiation environment for the orbit of interest, are developed and used to perform a solar array degradation calculation.

  5. Modeling of phase velocity and frequency spectrum of guided Lamb waves in piezoelectric-semiconductor multilayered structures made of AlAs and GaAs

    NASA Astrophysics Data System (ADS)

    Othmani, Cherif; Takali, Farid; Njeh, Anouar

    2017-11-01

    Modeling of guided Lamb waves propagation in piezoelectric-semiconductor multilayered structures made of AlAs and GaAs is evaluated in this paper. Here, the Legendre polynomial method is used to calculate dispersion curves, frequency spectrum and field distributions of guided Lamb waves propagation modes in AlAs, GaAs, AlAs/GaAs and AlAs/GaAs/AlAs-1/2/1 structures. In fact, formulations are given for open-circuit surface. Consequently, the polynomial method is numerically stable according to the total number of layers and the frequency range. This analysis is meaningful for the applications of the piezoelectric-semiconductor multilayered structures made of AlAs and GaAs such as in novel acoustic devices.

  6. Observation of linear I-V curves on vertical GaAs nanowires with atomic force microscope

    NASA Astrophysics Data System (ADS)

    Geydt, P.; Alekseev, P. A.; Dunaevskiy, M.; Lähderanta, E.; Haggrén, T.; Kakko, J.-P.; Lipsanen, H.

    2015-12-01

    In this work we demonstrate the possibility of studying the current-voltage characteristics for single vertically standing semiconductor nanowires on standard AFM equipped by current measuring module in PeakForce Tapping mode. On the basis of research of eight different samples of p-doped GaAs nanowires grown on different GaAs substrates, peculiar electrical effects were revealed. It was found how covering of substrate surface by SiOx layer increases the current, as well as phosphorous passivation of the grown nanowires. Elimination of the Schottky barrier between golden cap and the top parts of nanowires was observed. It was additionally studied that charge accumulation on the shell of single nanowires affects its resistivity and causes the hysteresis loops on I-V curves.

  7. Crystal growth of device quality GaAs in space

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.; Lagowski, J.

    1979-01-01

    The optimization of space processing of GaAs is described. The detailed compositional, structural, and electronic characterization of GaAs on a macro- and microscale and the relationships between growth parameters and the properties of GaAs are among the factors discussed. The key parameters limiting device performance are assessed.

  8. Optimization of GaAs Nanowire Pin Junction Array Solar Cells by Using AlGaAs/GaAs Heterojunctions

    NASA Astrophysics Data System (ADS)

    Wu, Yao; Yan, Xin; Wei, Wei; Zhang, Jinnan; Zhang, Xia; Ren, Xiaomin

    2018-04-01

    We optimized the performance of GaAs nanowire pin junction array solar cells by introducing AlGaAs/GaAs heterejunctions. AlGaAs is used for the p type top segment for axial junctions and the p type outer shell for radial junctions. The AlGaAs not only serves as passivation layers for GaAs nanowires but also confines the optical generation in the active regions, reducing the recombination loss in heavily doped regions and the minority carrier recombination at the top contact. The results show that the conversion efficiency of GaAs nanowires can be greatly enhanced by using AlGaAs for the p segment instead of GaAs. A maximum efficiency enhancement of 8.42% has been achieved in this study. And for axial nanowire, by using AlGaAs for the top p segment, a relatively long top segment can be employed without degenerating device performance, which could facilitate the fabrication and contacting of nanowire array solar cells. While for radial nanowires, AlGaAs/GaAs nanowires show better tolerance to p-shell thickness and surface condition.

  9. Optimization of GaAs Nanowire Pin Junction Array Solar Cells by Using AlGaAs/GaAs Heterojunctions.

    PubMed

    Wu, Yao; Yan, Xin; Wei, Wei; Zhang, Jinnan; Zhang, Xia; Ren, Xiaomin

    2018-04-25

    We optimized the performance of GaAs nanowire pin junction array solar cells by introducing AlGaAs/GaAs heterejunctions. AlGaAs is used for the p type top segment for axial junctions and the p type outer shell for radial junctions. The AlGaAs not only serves as passivation layers for GaAs nanowires but also confines the optical generation in the active regions, reducing the recombination loss in heavily doped regions and the minority carrier recombination at the top contact. The results show that the conversion efficiency of GaAs nanowires can be greatly enhanced by using AlGaAs for the p segment instead of GaAs. A maximum efficiency enhancement of 8.42% has been achieved in this study. And for axial nanowire, by using AlGaAs for the top p segment, a relatively long top segment can be employed without degenerating device performance, which could facilitate the fabrication and contacting of nanowire array solar cells. While for radial nanowires, AlGaAs/GaAs nanowires show better tolerance to p-shell thickness and surface condition.

  10. Excitation power dependence of photoluminescence spectra of GaSb type-II quantum dots in GaAs grown by droplet epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kawazu, T., E-mail: KAWAZU.Takuya@nims.go.jp; Noda, T.; Sakuma, Y.

    2016-04-15

    We investigated the excitation power P dependence of photoluminescence (PL) spectra of GaSb type-II quantum dots (QDs) in GaAs grown by droplet epitaxy. We prepared two QD samples annealed at slightly different temperatures (380 {sup o}C and 400 {sup o}C) and carried out PL measurements. The 20 {sup o}C increase of the annealing temperature leads to (1) about 140 and 60 times stronger wetting layer (WL) luminescence at low and high P, (2) about 45% large energy shift of QD luminescence with P, and (3) the different P dependence of the PL intensity ratio between the QD and the WL. These differences ofmore » the PL characteristics are explained by the effects of the WL.« less

  11. Characterisation of semi-insulating GaAs

    NASA Technical Reports Server (NTRS)

    Walukiewicz, W.; Pawlowicz, L.; Lagowski, J.; Gatos, H. C.

    1982-01-01

    Hole and electron mobilities as functions of temperature and ionised impurity concentration are calculated for GaAs. It is shown that these calculations, when used to analyse electrical properties of semi-insulating GaAs, enable an assessment of the Fermi energy position and ionised impurity concentration to be made. In contrast to previous work, the analysis does not require any phenomenological assumptions.

  12. Analysis of twin defects in GaAs(111)B molecular beam epitaxy growth

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Park, Yeonjoon; Cich, Michael J.; Zhao, Rian

    2000-05-01

    The formation of twin is common during GaAs(111) and GaN(0001) molecular beam epitaxy (MBE) metalorganic chemical vapor deposition growth. A stacking fault in the zinc-blende (ZB)(111) direction can be described as an insertion of one monolayer of wurtzite structure, sandwiched between two ZB structures that have been rotated 60 degree sign along the growth direction. GaAs(111)A/B MBE growth within typical growth temperature regimes is complicated by the formation of pyramidal structures and 60 degree sign rotated twins, which are caused by faceting and stacking fault formation. Although previous studies have revealed much about the structure of these twins, a well-establishedmore » simple nondestructive characterization method which allows the measurement of total aerial density of the twins does not exist at present. In this article, the twin density of AlGaAs layers grown on 1 degree sign miscut GaAs(111)B substrates has been measured using high resolution x-ray diffraction, and characterized with a combination of Nomarski microscopy, atomic force microscopy, and transmission electron microscopy. These comparisons permit the relationship between the aerial twin density and the growth condition to be determined quantitatively. (c) 2000 American Vacuum Society.« less

  13. p-type zinc-blende GaN on GaAs substrates

    NASA Astrophysics Data System (ADS)

    Lin, M. E.; Xue, G.; Zhou, G. L.; Greene, J. E.; Morkoç, H.

    1993-08-01

    We report p-type cubic GaN. The Mg-doped layers were grown on vicinal (100) GaAs substrates by plasma-enhanced molecular beam epitaxy. Thermally sublimed Mg was, with N2 carrier gas, fed into an electron-cyclotron resonance source. p-type zinc-blende-structure GaN films were achieved with hole mobilities as high as 39 cm2/V s at room temperature. The cubic nature of the films were confirmed by x-ray diffractometry. The depth profile of Mg was investigated by secondary ions mass spectroscopy.

  14. Technology requirements for GaAs photovoltaic arrays

    NASA Technical Reports Server (NTRS)

    Scott-Monck, J.; Rockey, D.

    1981-01-01

    An analysis based on percent GaAs solar cell weight and cost is performed to assess the utility of this cell for future space missions. It is shown that the GaAs substrate cost and the end-of-life (EOL) advantage the cell can provide over the space qualified silicon solar cell are the dominant factors determining potential use. Examples are presented to show that system level advantages resulting from reduction in solar panel area may warrant the use of GaAs at its current weight and projected initial cost provided the EOL advantage over silicon is at least 20 percent.

  15. Crystal Growth of Device Quality Gaas in Space

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.

    1985-01-01

    The GaAs research evolves about these key thrust areas. The overall program combines: (1) studies of crystal growth on novel approaches to engineering of semiconductor material (i.e., GaAs and related compounds); (2) investigation and correlation of materials properties and electronic characteristics on a macro- and microscale; and (3) investigation of electronic properties and phenomena controlling device applications and device performance. This effort is aimed at the essential ground-based program which would insure successful experimentation with and eventually processing of GaAs in near zero gravity environment. It is believed that this program addresses in a unique way materials engineering aspects which bear directly on the future exploitation of the potential of GaAs and related materials in device and systems applications.

  16. ZnO films on /001/-cut (110)-propagating GaAs substrates for surface acoustic wave device applications

    NASA Technical Reports Server (NTRS)

    Hickernell, Frederick S.; Higgins, Robert J.; Jen, Cheng-Kuei; Kim, Yoonkee; Hunt, William D.

    1995-01-01

    A potential application for piezoelectric films substrates is the monolithic integration of surface acoustic wave (SAW) devices with GaAs electronics. Knowledge of the SAW properties of the layered structure is critical for the optimum and accurate design of such devices. The acoustic properties of ZnO films sputtered on /001/-cut group of (110) zone axes-propagating GaAs substrates are investigated in this article, including SAW velocity, effective piezoelectric coupling constant, propagation loss, diffraction, velocity surface, and reflectivity of shorted and open metallic gratings. The measurements of these essential SAW properties for the frequency range between 180 and 360 MHz have been performed using a knife-edge laser probe for film thicknesses over the range of 1.6-4 micron and with films of different grain sizes. The high quality of dc triode sputtered films was observed as evidenced by high K(sup 2) and low attenuation. The measurements of the velocity surface, which directly affects the SAW diffraction, on the bare and metalized ZnO on SiO2 or Si3N4 on /001/-cut GaAs samples are reported using two different techniques: (1) knife-edge laser probe, (2) line-focus-beam scanning acoustic microscope. It was found that near the group of (110) zone axes propagation direction, the focusing SAW property of the bare GaAs changes into a nonfocusing one for the layered structure, but a reversed phenomenon exists near the (100) direction. Furthermore, to some extent the diffraction of the substrate can be controlled with the film thickness. The reflectivity of shorted and open gratings are also analyzed and measured. Zero reflectivity is observed for a shorted grating. There is good agreement between the measured data and theoretical values.

  17. Crystal growth of device quality GaAs in space

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.; Lagowski, J.

    1984-01-01

    The crystal growth, device processing and device related properties and phenomena of GaAs are investigated. Our GaAs research evolves about these key thrust areas. The overall program combines: (1) studies of crystal growth on novel approaches to engineering of semiconductor materials (i.e., GaAs and related compounds); (2) investigation and correlation of materials properties and electronic characteristics on a macro- and microscale; (3) investigation of electronic properties and phenomena controlling device applications and device performance. The ground based program is developed which would insure successful experimentation with and eventually processing of GaAs in a near zero gravity environment.

  18. A two layer hermetic-like coating process for on-wafer encapsulation of GaAs MMIC`s

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kaleta, T.; Varmazis, C.; Carney, J.P.

    1995-12-31

    The authors have developed a low-cost, manufacturable, 2-layer coating process for on-wafer encapsulation of GaAs MMICs. This packaging approach takes advantage of the low dielectric permittivity of polymers such as Benzocyclobutene (BCB) and the sealing properties of ceramics such as SiC to provide both mechanical protection to MMICs during handling and also hermetic-like equivalence to moisture with predictable changes in the electrical performance of the coated MMICs. The effects of coatings on FET parameters, spiral inductors and a two stage X-Band LNA have been investigated. Results on FETs indicate that the internode capacitances Cgs and Cgd exhibited the same incrementalmore » change of 0.035 pF/mm (3 and 25 % increase respectively), while Cds changed by 0.051 pF/mm (27% increase) with very minimal changes in the other FET parameters. The only observed change in spiral inductors was a 112% increase in Cp from 0.006 pF to 0.013 pF. The LNA exhibited a 1 GHz shift in frequency response from 7 to 11 GHz to 6 to 11 GHz with no substantial changes in gain and noise figure. Preliminary reliability investigations on coated devices did not show any failures after 150 hours in autoclave (120C, 100% humidity).« less

  19. Preparation of insulin-containing microcapsules by a layer-by-layer deposition of concanavalin A and glycogen.

    PubMed

    Sato, Katsuhiko; Kodama, Daisuke; Endo, Yoshihiro; Anzai, Jun-ichi

    2009-01-01

    The sugar sensitive microcapsules were prepared by a layer-by-layer deposition of concanavalin A (Con A) and glycogen on a calcium carbonate particle containing fluorescein-labeled insulin (F-insulin). The Con A/glycogen multilayer capsules were formed through sugar-lectin interactions by using inner and outer poly(ethyleneimine)/poly(vinyl sulfate) multilayers as supports, while without the supports the microcapsules could not be formed. Fluorescent microscope observations revealed that the capsules thus prepared are spherical in shape with 3-10 microm diameter. The microcapsules released encapsulated F-insulin upon addition of sugars. This is because the added sugars replace glycogen in the binding site of Con A, resulting in the enhanced permeability of the microcapsules to insulin.

  20. Self-ordering of InAs nanostructures on (631)A/B GaAs substrates

    NASA Astrophysics Data System (ADS)

    Eugenio-López, Eric; Alejandro Mercado-Ornelas, Christian; Kisan Patil, Pallavi; Cortes-Mestizo, Irving Eduardo; Ángel Espinoza-Figueroa, José; Gorbatchev, Andrei Yu; Shimomura, Satoshi; Ithsmel Espinosa-Vega, Leticia; Méndez-García, Víctor Hugo

    2018-02-01

    The high order self-organization of quantum dots is demonstrated in the growth of InAs on a GaAs(631)-oriented crystallographic plane. The unidimensional ordering of the quantum dots (QDs) strongly depends on the As flux beam equivalent pressure (P As) and the cation/anion terminated surface, i.e., A- or B-type GaAs(631). The self-organization of QDs occurs for both surface types along [\\bar{1}13], while the QD shape and size distribution were found to be different for the self-assembly on the A- and B-type surfaces. In addition, the experiments showed that any misorientation from the (631) plane, which results from the buffer layer waviness, does not allow a high order of unidimensional arrangements of QDs. The optical properties were studied by photoluminescence spectroscopy, where good correspondence was obtained between the energy transitions and the size of the QDs.

  1. Doping assessment in GaAs nanowires.

    PubMed

    Goktas, N Isik; Fiordaliso, E M; LaPierre, R R

    2018-06-08

    Semiconductor nanowires (NWs) are a candidate technology for future optoelectronic devices. One of the critical issues in NWs is the control of impurity doping for the formation of p-n junctions. In this study, beryllium (p-type dopant) and tellurium (n-type dopant) in self-assisted GaAs NWs was studied. The GaAs NWs were grown on (111) Si by molecular beam epitaxy using the self-assisted method. The dopant incorporation in the self-assisted GaAs NWs was investigated using Raman spectroscopy, photoluminescence, secondary ion mass spectrometry and electron holography. Be-doped NWs showed similar carrier concentration as compared to thin film (TF) standards. However, Te-doped NWs showed at least a one order of magnitude lower carrier concentration as compared to TF standards. Dopant incorporation mechanisms in NWs are discussed.

  2. Doping assessment in GaAs nanowires

    NASA Astrophysics Data System (ADS)

    Isik Goktas, N.; Fiordaliso, E. M.; LaPierre, R. R.

    2018-06-01

    Semiconductor nanowires (NWs) are a candidate technology for future optoelectronic devices. One of the critical issues in NWs is the control of impurity doping for the formation of p–n junctions. In this study, beryllium (p-type dopant) and tellurium (n-type dopant) in self-assisted GaAs NWs was studied. The GaAs NWs were grown on (111) Si by molecular beam epitaxy using the self-assisted method. The dopant incorporation in the self-assisted GaAs NWs was investigated using Raman spectroscopy, photoluminescence, secondary ion mass spectrometry and electron holography. Be-doped NWs showed similar carrier concentration as compared to thin film (TF) standards. However, Te-doped NWs showed at least a one order of magnitude lower carrier concentration as compared to TF standards. Dopant incorporation mechanisms in NWs are discussed.

  3. Visible GaAs/0.7/P/0.3/ CW heterojunction lasers

    NASA Technical Reports Server (NTRS)

    Kressel, H.; Olsen, G. H.; Nuese, C. J.

    1977-01-01

    The paper reports the first low-threshold red-light-emitting heterojunction laser diodes consisting of lattice-matched Ga(As,P)/(In,Ga)P heteroepitaxial layers. A room-temperature threshold current of 3400 A/sq cm was obtained at a wavelength of about 7000 A; this value is substantially lower than those achieved at this wavelength with (Al,Ga)As lasers. For the first time, continuous-wave laser operation at temperatures as high as 10 C has been obtained for GaAs(1-x)P(x).

  4. GaAs Solar Cells Grown on Unpolished, Spalled Ge Substrates: Preprint

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cavalli, Alessandro; Johnston, Steven; Sulas, Dana

    Decreasing the cost of single-crystal substrates by wafer reuse techniques has long been sought for III-V solar cells. Controlled spalling of III-V devices is a possible pathway for epitaxial liftoff, which would help reduce costs, but chemo- mechanical polishing after liftoff tends to limit the potential cost savings. Growth on an unpolished spalled surface would be an additional step toward lower costs, but it is crucial to show high efficiency solar cell devices on these unprocessed substrates. In this study, we spalled 2-inch Ge wafers using a Ni stressor layer, and then grew GaAs solar cells by HVPE on themore » spalled Ge surface without any other surface treatment. We show a 12.8% efficient single-junction device, without anti-reflection coating, with quantum efficiency very close to identical devices grown by HVPE on non-spalled GaAs substrates. Demonstrating a high carrier collection on unpolished spalled wafers is a step toward reducing substrate-related liftoff and reuse costs.« less

  5. Morphologies, Preparations and Applications of Layered Double Hydroxide Micro-/Nanostructures

    PubMed Central

    Kuang, Ye; Zhao, Lina; Zhang, Shuai; Zhang, Fazhi; Dong, Mingdong; Xu, Sailong

    2010-01-01

    Layered double hydroxides (LDHs), also well-known as hydrotalcite-like layered clays, have been widely investigated in the fields of catalysts and catalyst support, anion exchanger, electrical and optical functional materials, flame retardants and nanoadditives. This feature article focuses on the progress in micro-/nanostructured LDHs in terms of morphology, and also on the preparations, applications, and perspectives of the LDHs with different morphologies. PMID:28883378

  6. Materials Research Society Symposium Proceedings Held in Boston, Massachusetts on 4-6 December 1991. Low Temperature (LT) GaAs and Related Materials. Volume 241.

    DTIC Science & Technology

    1992-09-14

    AIGaAs-GaAs QUANTUM WELLS GROWN ON LOW TEMPERATURE GaAs 239 Y. Hwang, D. Zhang, T. Zhang, M. Mytych, and R.M. Kolbas MOLECULAR BEAM EPITAXY OF LOW...GaA/ quantum wells : 24i howvever, in our case. AIks layers were not introduced. Formation (if these rows is most prolf,.+l influenced hb the diffusimon...regions. Preliminary investigations into this method have been performed using GaAs quantum wells between thick AIGaAs barriers as shown in Fig. 7. This

  7. Structure of high-index GaAs surfaces - the discovery of the stable GaAs(2511) surface

    NASA Astrophysics Data System (ADS)

    Jacobi, K.; Geelhaar, L.; Márquez, J.

    We present a brief overview of surface structures of high-index GaAs surfaces, putting emphasis on recent progress in our own laboratory. By adapting a commercial scanning tunneling microscope (STM) to our molecular beam epitaxy and ultra high vacuum analysis chamber system, we have been able to atomically resolve the GaAs( {1} {1} {3})B(8 ×1), (114)Aα2(2×1), (137), (3715), and (2511) surface structures. In cooperation with P. Kratzer and M. Scheffler from the Theory Department of the Fritz-Haber Institute we determined the structure of some of these surfaces by comparing total-energy calculations and STM image simulations with the atomically resolved STM images. We present the results for the {112}, {113}, and {114} surfaces. Then we describe what led us to proceed into the inner parts of the stereographic triangle and to discover the hitherto unknown stable GaAs(2511) surface.

  8. Interface structure and composition of MoO3/GaAs(0 0 1)

    NASA Astrophysics Data System (ADS)

    Sarkar, Anirban; Ashraf, Tanveer; Grafeneder, Wolfgang; Koch, Reinhold

    2018-04-01

    We studied growth, structure, stress, oxidation state as well as surface and interface structure and composition of thermally-evaporated thin MoO3 films on the technologically important III/V-semiconductor substrate GaAs(0 0 1). The MoO3 films grow with Mo in the 6+  oxidation state. The electrical resistance is tunable by the oxygen partial pressure during deposition from transparent insulating to semi-transparant halfmetallic. In the investigated growth temperature range (room temperature to 200 °C) no diffraction spots are detected by x-ray diffraction. However, high resolution transmission electron microscopy reveals the formation of MoO3 nanocrystal grains with diameters of 5–8 nm. At the interface a  ≈3 nm-thick intermediate layer has formed, where the single-crystal lattice of GaAs gradually transforms to the nanocrystalline MoO3 structure. This interpretation is corroborated by our in situ and real-time stress measurements evidencing a two-stage growth process as well as by elemental interface analysis revealing coexistance of Ga, As, Mo, and oxygen in a intermediate layer of 3–4 nm.

  9. Electrode pattern design for GaAs betavoltaic batteries

    NASA Astrophysics Data System (ADS)

    Haiyang, Chen; Jianhua, Yin; Darang, Li

    2011-08-01

    The sensitivities of betavoltaic batteries and photovoltaic batteries to series and parallel resistance are studied. Based on the study, an electrode pattern design principle of GaAs betavoltaic batteries is proposed. GaAs PIN junctions with and without the proposed electrode pattern are fabricated and measured under the illumination of 63Ni. Results show that the proposed electrode can reduce the backscattering and shadowing for the beta particles from 63Ni to increase the GaAs betavoltaic battery short circuit currents effectively but has little impact on the fill factors and ideal factors.

  10. GaAs core--shell nanowires for photovoltaic applications.

    PubMed

    Czaban, Josef A; Thompson, David A; LaPierre, Ray R

    2009-01-01

    We report the use of Te as an n-type dopant in GaAs core-shell p-n junction nanowires for use in photovoltaic devices. Te produced significant change in the morphology of GaAs nanowires grown by the vapor-liquid-solid process in a molecular beam epitaxy system. The increase in radial growth of nanowires due to the surfactant effect of Te had a significant impact on the operating characteristics of photovoltaic devices. A decrease in solar cell efficiency occurred when the Te-doped GaAs growth duration was increased.

  11. Modeling and Simulation of Capacitance-Voltage Characteristics of a Nitride GaAs Schottky Diode

    NASA Astrophysics Data System (ADS)

    Ziane, Abderrezzaq; Amrani, Mohammed; Benamara, Zineb; Rabehi, Abdelaziz

    2018-06-01

    A nitride GaAs Schottky diode has been fabricated by the nitridation of GaAs substrates using a radio frequency discharge nitrogen plasma source with a layer thickness of approximately 0.7 nm of GaN. The capacitance-voltage (C-V) characteristics of the Au/GaN/GaAs structure were investigated at room temperature for different frequencies, ranging from 1 kHz to 1 MHz. The C-V measurements for the Au/GaN/GaAs Schottky diode were found to be strongly dependent on the bias voltage and the frequency. The capacitance curves depict an anomalous peak and a negative capacitance phenomenon, indicating the presence of continuous interface state density behavior. A numerical drift-diffusion model based on the Scharfetter-Gummel algorithm was elaborated to solve a system composed of the Poisson and continuities equations. In this model, we take into account the continuous interface state density, and we have considered exponential and Gaussian distributions of trap states in the band gap. The effects of the GaAs doping concentration and the trap state density are discussed. We deduce the shape and values of the trap states, then we validate the developed model by fitting the computed C-V curves with experimental measurements at low frequency.

  12. Structural Study of GaAs(001):In 4×2 Surface

    NASA Astrophysics Data System (ADS)

    Lee, T.-L.; Zegenhagen, J.; Lyman, P. F.; Bedzyk, M. J.

    1997-03-01

    In a STM and LEED investigation (U. Resch-Esser et al., JVST B 13, 1672 (1995)), the indium-terminated GaAs(001) surface exhibited a (4×2) reconstruction. Based on this study, a dimer model, similar to that proposed by Biegelsen et al. (PRB 41, 5701(1990)) for the (4×2) clean surface, was proposed. However, the detailed local structure of the In ad-atoms was not resolvable from the STM image. In this work, we applied in situ x-ray standing wave (XSW) measurements to determine the surface structure of the GaAs(001) upon the adsorption of In at low coverages. The (4×2)/c(8×2) In-terminated GaAs(001) surface (Θ_In = 0.2 ML) was prepared by MBE. The (004) XSW measurement showed that the In ad-atoms were located 1.61 Åabove the (004) diffraction planes. At higher In coverages (up to 0.6 ML) the In (004) coherent fraction was small. This is consistent with the ladder-type pattern observed by STM at Θ_In > 0.5 ML, which indicated that there were two coexisting surface structures. For Θ_In = 0.2 ML, we found that our off-normal XSW measurements did not agree with the model proposed by Resch-Esser et al.. This work is sponsored by DOE-BES No. W-31-109-ENG-38 and by NSF No. DMR-9632472.

  13. GaAs homojunction solar cell development

    NASA Technical Reports Server (NTRS)

    Flood, D. J.; Swartz, C. K.; Hart, R. E., Jr.

    1980-01-01

    The Lincoln Laboratory n(+)/p/p(+) GaAs shallow homojunction cell structure was successfully demonstrated on 2 by 2 cm GaAs substrates. Air mass zero efficiencies of the seven cells produced to date range from 13.6 to 15.6 percent. Current voltage (I-V) characteristics, spectral response, and measurements were made on all seven cells. Preliminary analysis of 1 MeV electron radiation damage data indicate excellent radiation resistance for these cells.

  14. Determination of n-Type Doping Level in Single GaAs Nanowires by Cathodoluminescence.

    PubMed

    Chen, Hung-Ling; Himwas, Chalermchai; Scaccabarozzi, Andrea; Rale, Pierre; Oehler, Fabrice; Lemaître, Aristide; Lombez, Laurent; Guillemoles, Jean-François; Tchernycheva, Maria; Harmand, Jean-Christophe; Cattoni, Andrea; Collin, Stéphane

    2017-11-08

    We present an effective method of determining the doping level in n-type III-V semiconductors at the nanoscale. Low-temperature and room-temperature cathodoluminescence (CL) measurements are carried out on single Si-doped GaAs nanowires. The spectral shift to higher energy (Burstein-Moss shift) and the broadening of luminescence spectra are signatures of increased electron densities. They are compared to the CL spectra of calibrated Si-doped GaAs layers, whose doping levels are determined by Hall measurements. We apply the generalized Planck's law to fit the whole spectra, taking into account the electron occupation in the conduction band, the bandgap narrowing, and band tails. The electron Fermi levels are used to determine the free electron concentrations, and we infer nanowire doping of 6 × 10 17 to 1 × 10 18  cm -3 . These results show that cathodoluminescence provides a robust way to probe carrier concentrations in semiconductors with the possibility of mapping spatial inhomogeneities at the nanoscale.

  15. Study of subband electronic structure of Si δ-doped GaAs using magnetotransport measurements in tilted magnetic fields

    NASA Astrophysics Data System (ADS)

    Li, G.; Hauser, N.; Jagadish, C.; Antoszewski, J.; Xu, W.

    1996-06-01

    Si δ-doped GaAs grown by metal organic vapor phase epitaxy (MOVPE) is characterized using magnetotransport measurements in tilted magnetic fields. Angular dependence of the longitudinal magnetoresistance (Rxx) vs the magnetic field (B) traces in tilted magnetic fields is used to examine the existence of a quasi-two-dimensional electron gas. The subband electron densities (ni) are obtained applying fast Fourier transform (FFT) analysis to the Rxx vs B trace and using mobility spectrum (MS) analysis of the magnetic field dependent Hall data. Our results show that (1) the subband electron densities remain roughly constant when the tilted magnetic field with an angle <30° measured from the Si δ-doped plane normal is ramped up to 13 T; (2) FFT analysis of the Rxx vs B trace and MS analysis of the magnetic field dependent Hall data both give the comparable results on subband electron densities of Si δ-doped GaAs with low δ-doping concentration, however, for Si δ-doped GaAs with very high δ-doping concentration, the occupation of the lowest subbands cannot be well resolved in the MS analysis; (3) the highest subband electron mobility reported to date of 45 282 cm2/s V is observed in Si δ-doped GaAs at 77 K in the dark; and (4) the subband electron densities of Si δ-doped GaAs grown by MOVPE at 700 °C are comparable to those grown by MBE at temperatures below 600 °C. A detailed study of magnetotransport properties of Si δ-doped GaAs in the parallel magnetic fields is then carried out to further confirm the subband electronic structures revealed by FFT and MS analysis. Our results are compared to theoretical calculation previously reported in literature. In addition, influence of different cap layer structures on subband electronic structures of Si δ-doped GaAs is observed and also discussed.

  16. Photoluminescence intensity enhancement of GaAs by vapor-deposited GaS - A rational approach to surface passivation

    NASA Technical Reports Server (NTRS)

    Jenkins, Phillip P.; Hepp, Aloysius F.; Power, Michael B.; Macinnes, Andrew N.; Barron, Andrew R.

    1993-01-01

    A two order-of-magnitude enhancement of photoluminescence intensity relative to untreated GaAs has been observed for GaAs surfaces coated with chemical vapor-deposited GaS. The increase in photoluminescence intensity can be viewed as an effective reduction in surface recombination velocity and/or band bending. The gallium cluster (/t-Bu/GaS)4 was used as a single-source precursor for the deposition of GaS thin films. The cubane core of the structurally-characterized precursor is retained in the deposited film producing a cubic phase. Furthermore, a near-epitaxial growth is observed for the GaS passivating layer. Films were characterized by transmission electron microscopy, X-ray powder diffraction, and X-ray photoelectron and Rutherford backscattering spectroscopies.

  17. Gate Drain Underlapped-PNIN-GAA-TFET for Comprehensively Upgraded Analog/RF Performance

    NASA Astrophysics Data System (ADS)

    Madan, Jaya; Chaujar, Rishu

    2017-02-01

    This work integrates the merits of gate-drain underlapping (GDU) and N+ source pocket on cylindrical gate all around tunnel FET (GAA-TFET) to form GDU-PNIN-GAA-TFET. It is analysed that the source pocket located at the source-channel junction narrows the tunneling barrier width at the tunneling junction and thereby enhances the ON-state current of GAA-TFET. Further, it is obtained that the GDU resists the extension of carrier density (built-up under the gated region) towards the drain side (under the underlapped length), thereby suppressing the ambipolar current and reducing the parasitic capacitances of GAA-TFET. Consequently, the amalgamated merits of both engineering schemes are obtained in GDU-PNIN-GAA-TFET that thus conquers the greatest challenges faced by TFET. Thus, GDU-PNIN-GAA-TFET results in an up-gradation in the overall performance of GAA-TFET. Moreover, it is realised that the RF figure of merits FOMs such as cut-off frequency (fT) and maximum oscillation frequency (fMAX) are also considerably improved with integration of source pocket on GAA-TFET. Thus, the improved analog and RF performance of GDU-PNIN-GAA-TFET makes it ideal for low power and high-speed applications.

  18. Monolithic barrier-all-around high electron mobility transistor with planar GaAs nanowire channel.

    PubMed

    Miao, Xin; Zhang, Chen; Li, Xiuling

    2013-06-12

    High-quality growth of planar GaAs nanowires (NWs) with widths as small as 35 nm is realized by comprehensively mapping the parameter space of group III flow, V/III ratio, and temperature as the size of the NWs scales down. Using a growth mode modulation scheme for the NW and thin film barrier layers, monolithically integrated AlGaAs barrier-all-around planar GaAs NW high electron mobility transistors (NW-HEMTs) are achieved. The peak extrinsic transconductance, drive current, and effective electron velocity are 550 μS/μm, 435 μA/μm, and ~2.9 × 10(7) cm/s, respectively, at 2 V supply voltage with a gate length of 120 nm. The excellent DC performance demonstrated here shows the potential of this bottom-up planar NW technology for low-power high-speed very-large-scale-integration (VLSI) circuits.

  19. High Quality GaAs Growth by MBE on Si Using GeSi Buffers and Prospects for Space Photovoltaics

    NASA Technical Reports Server (NTRS)

    Carlin, J. A.; Ringel, S. A.; Fitzgerald, E. A.; Bulsara, M.

    2005-01-01

    III-V solar cells on Si substrates are of interest for space photovoltaics since this would combine high performance space cells with a strong, lightweight and inexpensive substrate. However, the primary obstacles blocking III-V/Si cells from achieving high performance to date have been fundamental materials incompatabilities, namely the 4% lattice mismatch between GaAs and Si, and the large mismatch in thermal expansion coefficient. In this paper, we report on the molecular beam epitaxial (MBE) growth and properties of GaAs layers and single junction GaAs cells on Si wafers which utilize compositionally graded GeSi Intermediate buffers grown by ultra-high vacuum chemical vapor deposition (UHVCVD) to mitigate the large lattice mismatch between GaAs and Si. Ga As cell structures were found to incorporate a threading dislocation density of 0.9-1.5 x 10 (exp 6) per square centimeter, identical to the underlying relaxed Ge cap of the graded buffer, via a combination of transmission electron microscopy, electron beam induced current, and etch pit density measurements. AlGaAs/GaAs double heterostructures wre grown on the GeSi/Si substrates for time-resolved photoluminescence measurements, which revealed a bulk GaAs minority carrier lifetime in excess of 10 ns, the highest lifetime ever reported for GaAs on Si. A series of growth were performed to ass3ss the impact of a GaAs buffer to a thickness of only 0.1 micrometer. Secondary ion mass spectroscopy studies revealed that there is negligible cross diffusion of Ga, As and Ge at he III-V/Ge interface, identical to our earlier findings for GaAs grown on Ge wafers using MBE. This indicates that there is no need for a buffer to "bury" regions of high autodopjing,a nd that either pn or np configuration cells are easily accomodated by these substrates. Preliminary diodes and single junction Al Ga As heteroface cells were grown and fabricated on the Ge/GeSi/Si substrates for the first time. Diodes fabricated on GaAs, Ge and Ge

  20. Panel fabrication utilizing GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Mardesich, N.

    1984-01-01

    The development of the GaAs solar cells for space applications is described. The activities in the fabrication of GaAs solar panels are outlined. Panels were fabricated while introducing improved quality control, soldering laydown and testing procedures. These panels include LIPS II, San Marco Satellite, and a low concentration panel for Rockwells' evaluation. The panels and their present status are discussed.

  1. Heterostructures of metamorphic GaInAs photovoltaic converters fabricated by MOCVD on GaAs substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mintairov, S. A., E-mail: mintairov@scell.ioffe.ru; Emelyanov, V. M.; Rybalchenko, D. V.

    Heterostructures of metamorphic GaInAs photovoltaic converters (PVCs) are on GaAs substrates by the metal-organic chemical vapor deposition (MOCVD) method. It is shown that using a multilayer metamorphic buffer with a step of 2.5% in indium content and layer thicknesses of 120 nm provides the high quality of bulk layers subsequently grown on the buffer up to an indium content of 24%. PVCs with a long-wavelength photosensitivity edge up to 1300 nm and a quantum efficiency of ~80% in the spectral range 1050–1100 nm are fabricated. Analysis of the open-circuit voltage of the PVCs and diffusion lengths of minority carriers inmore » the layers demonstrates that the density of misfit dislocations penetrating into the bulk layers increases at an indium content exceeding 10%.« less

  2. Lead bromide-based layered perovskite Langmuir-Blodgett films having π-conjugated molecules as organic layer prepared by using squeezed out technique

    NASA Astrophysics Data System (ADS)

    Era, Masanao; Shironita, Yu; Soda, Koichi

    2018-03-01

    Using the squeezed out technique, we successfully prepared PbBr-based layered perovskite Langmuir-Blodgett (LB) films, which have π-conjugated materials as an organic layer (i.e., a phenylenevinylene oligomer, a dithienylethene derivative, and a π-conjugated polyfluorene derivative). The mixed monolayers of π-conjugated materials and octadecylammonium bromide were spread on an aqueous subphase containing saturated PbBr2. During pressing, octadecylammonium molecules were squeezed from the mixed monolayer, and the squeezed ammonium molecules formed the PbBr-based layered perovskite structure at the air-aqueous subphase interface. The monolayers with the PbBr-based layered perovskite structure could be deposited on fused quartz substrates by the LB technique. In addition to the preparation procedure, the structural and optical properties of the layered perovskite LB films and their formation mechanism are reported in this paper.

  3. Possibility of a quasi-liquid layer of As on GaAs substrate grown by MBE as observed by enhancement of Ga desorption at high As pressure

    NASA Astrophysics Data System (ADS)

    Asai, K.; Feng, J. M.; Vaccaro, P. O.; Fujita, K.; Ohachi, T.

    2000-06-01

    The As vapor pressure dependence of the Ga desorption rate during molecular beam epitaxy (MBE) growth on GaAs( n11)A ( n=1-4 hereafter) substrates was studied by photoluminescence (PL) measurements at 12 K for undoped AlGaAs/GaAs asymmetric double quantum wells (ADQWs). Reflection high energy electron diffraction (RHEED) oscillation measurements on a GaAs(100) surface were also used. Two K-cells of As solid sources (corresponding to beam equivalent pressures (BEPs) of 9.0×10 -6 and 4.5×10 -5 Torr) were used to change the As pressure rapidly. The Ga flux and substrate temperature were kept constant at 0.76 ML/s and 12 K, respectively, while the As flux changed from 7.6 (BEP 9.0×10 -6 Torr) to 32 ML/s (4.5×10 -5 Torr). With increasing As pressure, two separated PL peaks for the wide well (WW) of high index substrates were observed. This peak separation is attributed to a reduced well depth from an increasing Ga desorption rate. The energy differences of the PL peak depending on the off-angle from (111)A to (100) plane indicates an orientation-dependent Ga desorption rate. Moreover, amongst all ( n11)A and (100) planes, the Ga desorption rate was smallest from the (111)A surface. The increase of Ga desorption from the surface at high As pressures probably arose from an increasing coverage with a quasi-liquid layer (QLL).

  4. Comparisons of single event vulnerability of GaAs SRAMS

    NASA Astrophysics Data System (ADS)

    Weatherford, T. R.; Hauser, J. R.; Diehl, S. E.

    1986-12-01

    A GaAs MESFET/JFET model incorporated into SPICE has been used to accurately describe C-EJFET, E/D MESFET and D MESFET/resistor GaAs memory technologies. These cells have been evaluated for critical charges due to gate-to-drain and drain-to-source charge collection. Low gate-to-drain critical charges limit conventional GaAs SRAM soft error rates to approximately 1E-6 errors/bit-day. SEU hardening approaches including decoupling resistors, diodes, and FETs have been investigated. Results predict GaAs RAM cell critical charges can be increased to over 0.1 pC. Soft error rates in such hardened memories may approach 1E-7 errors/bit-day without significantly reducing memory speed. Tradeoffs between hardening level, performance and fabrication complexity are discussed.

  5. Prediction of dislocation generation during Bridgman growth of GaAs crystals

    NASA Technical Reports Server (NTRS)

    Tsai, C. T.; Yao, M. W.; Chait, Arnon

    1992-01-01

    Dislocation densities are generated in GaAs single crystals due to the excessive thermal stresses induced by temperature variations during growth. A viscoplastic material model for GaAs, which takes into account the movement and multiplication of dislocations in the plastic deformation, is developed according to Haasen's theory. The dislocation density is expressed as an internal state variable in this dynamic viscoplastic model. The deformation process is a nonlinear function of stress, strain rate, dislocation density and temperature. The dislocation density in the GaAs crystal during vertical Bridgman growth is calculated using a nonlinear finite element model. The dislocation multiplication in GaAs crystals for several temperature fields obtained from thermal modeling of both the GTE GaAs experimental data and artificially designed data are investigated.

  6. Prediction of dislocation generation during Bridgman growth of GaAs crystals

    NASA Astrophysics Data System (ADS)

    Tsai, C. T.; Yao, M. W.; Chait, Arnon

    1992-11-01

    Dislocation densities are generated in GaAs single crystals due to the excessive thermal stresses induced by temperature variations during growth. A viscoplastic material model for GaAs, which takes into account the movement and multiplication of dislocations in the plastic deformation, is developed according to Haasen's theory. The dislocation density is expressed as an internal state variable in this dynamic viscoplastic model. The deformation process is a nonlinear function of stress, strain rate, dislocation density and temperature. The dislocation density in the GaAs crystal during vertical Bridgman growth is calculated using a nonlinear finite element model. The dislocation multiplication in GaAs crystals for several temperature fields obtained from thermal modeling of both the GTE GaAs experimental data and artificially designed data are investigated.

  7. Effect of different irrigation on smear layer removal after post space preparation.

    PubMed

    Gu, Xin-Hua; Mao, Cai-Yun; Kern, Matthias

    2009-04-01

    The purpose of this study was to evaluate the effect of different irrigating solutions on smear layer removal and dentinal tubule opening on root canal surfaces after post space preparation and to study whether additional ultrasonic irrigation has any effect on smear layer removal. Forty-eight anterior teeth were treated endodontically. After post space preparation, they were assigned to six groups: group 1, EDTA; group 2, EDTA with ultrasonic activation; group 3, sodium hypochlorite (NaOCl); group 4, NaOCl with ultrasonic activation; group 5, sodium chloride (NaCl); and group 6, NaCl with ultrasonic activation. Specimens were examined under a field-emission scanning electron microscope and scored for debris removal and dentinal tubule opening at the coronal, middle, and apical thirds of the root canal. The results showed that EDTA performed significantly better than NaCl and NaOCl in smear layer removal and dentinal tubule opening. Additional ultrasonic irrigation did not improve smear layer removal significantly.

  8. Protective capping and surface passivation of III-V nanowires by atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dhaka, Veer, E-mail: veer.dhaka@aalto.fi; Perros, Alexander; Kakko, Joona-Pekko

    2016-01-15

    Low temperature (∼200 °C) grown atomic layer deposition (ALD) films of AlN, TiN, Al{sub 2}O{sub 3}, GaN, and TiO{sub 2} were tested for protective capping and surface passivation of bottom-up grown III-V (GaAs and InP) nanowires (NWs), and top-down fabricated InP nanopillars. For as-grown GaAs NWs, only the AlN material passivated the GaAs surface as measured by photoluminescence (PL) at low temperatures (15K), and the best passivation was achieved with a few monolayer thick (2Å) film. For InP NWs, the best passivation (∼2x enhancement in room-temperature PL) was achieved with a capping of 2nm thick Al{sub 2}O{sub 3}. All othermore » ALD capping layers resulted in a de-passivation effect and possible damage to the InP surface. Top-down fabricated InP nanopillars show similar passivation effects as InP NWs. In particular, capping with a 2 nm thick Al{sub 2}O{sub 3} layer increased the carrier decay time from 251 ps (as-etched nanopillars) to about 525 ps. Tests after six months ageing reveal that the capped nanostructures retain their optical properties. Overall, capping of GaAs and InP NWs with high-k dielectrics AlN and Al{sub 2}O{sub 3} provides moderate surface passivation as well as long term protection from oxidation and environmental attack.« less

  9. Single quantum dot emission by nanoscale selective growth of InAs on GaAs: A bottom-up approach

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Patella, F.; Arciprete, F.; Placidi, E.

    2008-12-08

    We report on single dot microphotoluminescence ({mu}PL) emission at low temperature and low power from InAs dots grown by molecular beam epitaxy in nanoscale holes of a SiO{sub 2} mask deposited on GaAs(001). By comparing atomic force microscopy measurements with {mu}PL data, we show that the dot sizes inside the nanoholes are smaller than those of the dots nucleated on the extended GaAs surface. PL of dots spans a wide energy range depending on their size and on the thickness and composition of the InGaAs capping layer. Time-resolved PL experiments demonstrate a negligible loss of radiative recombination efficiency, proving highlymore » effective in the site-controlled dot nucleation.« less

  10. Crystal growth of device quality GaAs in space

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.; Lagowski, J.

    1983-01-01

    GaAs device technology has recently reached a new phase of rapid advancement, made possible by the improvement of the quality of GaAs bulk crystals. At the same time, the transition to the next generation of GaAs integrated circuits and optoelectronic systems for commercial and government applications hinges on new quantum steps in three interrelated areas: crystal growth, device processing and device-related properties and phenomena. Special emphasis is placed on the establishment of quantitative relationships among crystal growth parameters-material properties-electronic properties and device applications. The overall program combines studies of crystal growth on novel approaches to engineering of semiconductor material (i.e., GaAs and related compounds); investigation and correlation of materials properties and electronic characteristics on a macro- and microscale; and investigation of electronic properties and phenomena controlling device applications and device performance.

  11. Quantitative analysis of the interplay between InAs quantum dots and wetting layer during the GaAs capping process

    NASA Astrophysics Data System (ADS)

    González, D.; Braza, V.; Utrilla, A. D.; Gonzalo, A.; Reyes, D. F.; Ben, T.; Guzman, A.; Hierro, A.; Ulloa, J. M.

    2017-10-01

    A procedure to quantitatively analyse the relationship between the wetting layer (WL) and the quantum dots (QDs) as a whole in a statistical way is proposed. As we will show in the manuscript, it allows determining, not only the proportion of deposited InAs held in the WL, but also the average In content inside the QDs. First, the amount of InAs deposited is measured for calibration in three different WL structures without QDs by two methodologies: strain mappings in high-resolution transmission electron microscopy images and compositional mappings with ChemiSTEM x-ray energy spectrometry. The area under the average profiles obtained by both methodologies emerges as the best parameter to quantify the amount of InAs in the WL, in agreement with high-resolution x-ray diffraction results. Second, the effect of three different GaAs capping layer (CL) growth rates on the decomposition of the QDs is evaluated. The CL growth rate has a strong influence on the QD volume as well as the WL characteristics. Slower CL growth rates produce an In enrichment of the WL if compared to faster ones, together with a diminution of the QD height. In addition, assuming that the QD density does not change with the different CL growth rates, an estimation of the average In content inside the QDs is given. The high Ga/In intermixing during the decomposition of buried QDs does not only trigger a reduction of the QD height, but above all, a higher impoverishment of the In content inside the QDs, therefore modifying the two most important parameters that determine the optical properties of these structures.

  12. Formation of III–V ternary solid solutions on GaAs and GaSb plates via solid-phase substitution reactions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vasil’ev, V. I.; Gagis, G. S., E-mail: galina.gagis@gmail.com; Kuchinskii, V. I.

    2015-07-15

    Processes are considered in which ultrathin layers of III–V ternary solid solutions are formed via the delivery of Group-V element vapors to GaAs and GaSb semiconductor plates, with solid-phase substitution reactions occurring in the surface layers of these plates. This method can form defect-free GaAs{sup 1–x}P{sup x}, GaAs{sup x}Sb{sup 1–x}, and GaP{sup x}Sb{sup 1–x} layers with thicknesses of 10–20 nm and a content x of the embedded components of up to 0.04.

  13. Ultrasonication assisted Layer-by-Layer technology for the preparation of multi-functional anticancer drugs paclitaxel and lapatinib

    NASA Astrophysics Data System (ADS)

    Zhang, Xingcai

    In this dissertation, ultrasonication assisted Layer-by-Layer (LbL) technology for the preparation of multifunctional poorly water-soluble anticancer drug nanoparticles, paclitaxel and lapatinib, has been developed. Many FDA approved drugs are very low soluble in water; therefore, it is very difficult to load and control their release and targeting efficiently, which greatly confines their application. The development of this method will pave the way for the development and application of those low soluble anticancer drugs. In the first part of this dissertation, the first approach for powerful ultrasonication, the top-down approach (sonicating bulk drug crystals in polyelectrolyte solution), was successfully applied for the preparation of the nanoparticles of paclitaxel. For this approach, a 200 nm diameter was a kind of "magic" barrier for colloidal particles prepared. This diameter barrier may be related to the nucleation size of the solvent vapor microbubbles. Consequently, agents enhancing bubbling formation (such as NH4HCO3) were applied to decrease paclitaxel colloid particles to 100-120 nm. Those paclitaxel nanoparticles were Layer-by-Layer coated with a 10-20 nm polycation/polyanion shell to provide aqueous colloidal stability and slower particle dissolution. However, a large obstacle of these powerful ultrasonication methods was a necessity of long ca 45 minutes high power ultrasonication which resulted in TiO2 contamination from titanium electrode. The small amount of TiO2 contamination from ultrasonication did negatively affect the in vivo testing of this system in mice, and had to be removed before low toxicity of the Layer-by-Layer coated paclitaxel nanoparticles were observed. In the second part of the dissertation, the second approach for sonication, the bottom-up approach (sonicating drug in a water-miscible organic solvent followed by slow water add-in) was successfully applied for the preparation of the nanoparticles of lapatinib and paclitaxel

  14. Buffer layer between a planar optical concentrator and a solar cell

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Solano, Manuel E.; Barber, Greg D.; Department of Chemistry, Pennsylvania State University, University Park, PA 16802

    2015-09-15

    The effect of inserting a buffer layer between a periodically multilayered isotropic dielectric (PMLID) material acting as a planar optical concentrator and a photovoltaic solar cell was theoretically investigated. The substitution of the photovoltaic material by a cheaper dielectric material in a large area of the structure could reduce the fabrication costs without significantly reducing the efficiency of the solar cell. Both crystalline silicon (c-Si) and gallium arsenide (GaAs) were considered as the photovoltaic material. We found that the buffer layer can act as an antireflection coating at the interface of the PMLID and the photovoltaic materials, and the structuremore » increases the spectrally averaged electron-hole pair density by 36% for c-Si and 38% for GaAs compared to the structure without buffer layer. Numerical evidence indicates that the optimal structure is robust with respect to small changes in the grating profile.« less

  15. Long-term radiation effects on GaAs solar cell characteristics

    NASA Technical Reports Server (NTRS)

    Heinbockel, J. H.; Doviak, M. J.

    1978-01-01

    This report investigates preliminary design considerations which should be considered for a space experiment involving Gallium Arsenide (GaAs) solar cells. The electron radiation effects on GaAs solar cells were conducted in a laboratory environment, and a statistical analysis of the data is presented. In order to augment the limited laboratory data, a theoretical investigation of the effect of radiation on GaAs solar cells is also developed. The results of this study are empirical prediction equations which can be used to estimate the actual damage of electrical characteristics in a space environment. The experimental and theoretical studies also indicate how GaAs solar cell parameters should be designed in order to withstand the effects of electron radiation damage.

  16. Fabrication, testing and reliability modeling of copper/titanium-metallized GaAs MESFETs and HEMTs for low-noise applications

    NASA Astrophysics Data System (ADS)

    Feng, Ting

    interface between the gate and GaAs channel layer were found. A quantifying gate sinking degradation model was developed in order to extend device physics models to reliability testing results of Cu/Ti GaAs FETs. The gate sinking degradation model includes the gate metal and hydrogen in-diffusion effect, decrease of effective channel due to the formation of interfacial compounds, decrease of electron mobility due to the increase of in-diffused impurities, and donor compensation from in-diffused metal impurity acceptors or hydrogen passivation. A variational charge control model was applied to simulate and understand the degradation mechanisms of Cu/Ti HEMTs, including hydrogen induced degradation due to the neutralization of donors. The degradation model established in this study is also applicable to other Au or Al metallized GaAs FETs for understanding the failure mechanisms induced by gate sinking and hydrogen neutralization of donors and con-elating the device physics model with reliability testing results.

  17. Growth studies of erbium-doped GaAs deposited by metalorganic vapor phase epitaxy using noval cyclopentadienyl-based erbium sources

    NASA Technical Reports Server (NTRS)

    Redwing, J. M.; Kuech, T. F.; Gordon, D. C.; Vaartstra, B. A.; Lau, S. S.

    1994-01-01

    Erbium-doped GaAS layers were grown by metalorganic vapor phase epitaxy using two new sources, bis(i-propylcyclopentadienyl)cyclopentadienyl erbium and tris(t-butylcyclopentadienyl) erbium. Controlled Er doping in the range of 10(exp 17) - 10(exp 18)/cu cm was achieved using a relatively low source temperature of 90 C. The doping exhibits a second-order dependence on inlet source partial pressure, similar to behavior obtained with cyclopentadienyl Mg dopant sources. Equivalent amounts of oxygen and Er are present in 'as-grown' films indicating that the majority of Er dopants probably exist as Er-O complexes in the material. Er(+3) luminescence at 1.54 micrometers was measured from the as-grown films, but ion implantation of additional oxygen decreases the emission intensity. Electrical compensation of n-type GaAs layers codoped with Er and Si is directly correlated to the Er concentration is proposed to arise from the deep centers associated with Er which are responsible for a broad emission band near 0.90 micrometers present in the photoluminescence spectra of GaAs:Si, Er films.

  18. GaAs thin films and methods of making and using the same

    DOEpatents

    Boettcher, Shannon; Ritenour, Andrew; Boucher, Jason; Greenaway, Ann

    2016-06-14

    Disclosed herein are embodiments of methods for making GaAs thin films, such as photovoltaic GaAs thin films. The methods disclosed herein utilize sources, precursors, and reagents that do not produce (or require) toxic gas and that are readily available and relatively low in cost. In some embodiments, the methods are readily scalable for industrial applications and can provide GaAs thin films having properties that are at least comparable to or potentially superior to GaAs films obtained from conventional methods.

  19. Mixed mosaic membranes prepared by layer-by-layer assembly for ionic separations.

    PubMed

    Rajesh, Sahadevan; Yan, Yu; Chang, Hsueh-Chia; Gao, Haifeng; Phillip, William A

    2014-12-23

    Charge mosaic membranes, which possess distinct cationic and anionic domains that traverse the membrane thickness, are capable of selectively separating dissolved salts from similarly sized neutral solutes. Here, the generation of charge mosaic membranes using facile layer-by-layer assembly methodologies is reported. Polymeric nanotubes with pore walls lined by positively charged polyethylenimine moieties or negatively charged poly(styrenesulfonate) moieties were prepared via layer-by-layer assembly using track-etched membranes as sacrificial templates. Subsequently, both types of nanotubes were deposited on a porous support in order to produce mixed mosaic membranes. Scanning electron microscopy demonstrates that the facile deposition techniques implemented result in nanotubes that are vertically aligned without overlap between adjacent elements. Furthermore, the nanotubes span the thickness of the mixed mosaic membranes. The effects of this unique nanostructure are reflected in the transport characteristics of the mixed mosaic membranes. The hydraulic permeability of the mixed mosaic membranes in piezodialysis operations was 8 L m(-2) h(-1) bar(-1). Importantly, solute rejection experiments demonstrate that the mixed mosaic membranes are more permeable to ionic solutes than similarly sized neutral molecules. In particular, negative rejection of sodium chloride is observed (i.e., the concentration of NaCl in the solution that permeates through a mixed mosaic membrane is higher than in the initial feed solution). These properties illustrate the ability of mixed mosaic membranes to permeate dissolved ions selectively without violating electroneutrality and suggest their utility in ionic separations.

  20. Growth and characteristics of p-type doped GaAs nanowire

    NASA Astrophysics Data System (ADS)

    Li, Bang; Yan, Xin; Zhang, Xia; Ren, Xiaomin

    2018-05-01

    The growth of p-type GaAs nanowires (NWs) on GaAs (111) B substrates by metal-organic chemical vapor deposition (MOCVD) has been systematically investigated as a function of diethyl zinc (DEZn) flow. The growth rate of GaAs NWs was slightly improved by Zn-doping and kink is observed under high DEZn flow. In addition, the I–V curves of GaAs NWs has been measured and the p-type dope concentration under the II/III ratio of 0.013 and 0.038 approximated to 1019–1020 cm‑3. Project supported by the National Natural Science Foundation of China (Nos. 61376019, 61504010, 61774021) and the Fund of State Key Laboratory of Information Photonics and Optical Communications (Beijing University of Posts and Telecommunications), China (Nos. IPOC2017ZT02, IPOC2017ZZ01).

  1. Oscillations of absorption of a probe picosecond light pulse caused by its interaction with stimulated picosecond emission of GaAs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ageeva, N. N.; Bronevoi, I. L., E-mail: bil@cplire.ru; Zabegaev, D. N.

    2015-04-15

    The self-modulation of absorption of a picosecond light pulse was observed earlier [1] in a thin (∼1-μm thick) GaAs layer pumped by a high-power picosecond pulse. Analysis of the characteristics of this self-modulation predicted [5] that the dependences of the probe pulse absorption on the pump pulse energy and picosecond delay between pump and probe pulses should be self-modulated by oscillations. Such self-modulation was experimentally observed in this work. Under certain conditions, absorption oscillations proved to be a function of part of the energy of picosecond stimulated emission of GaAs lying above a certain threshold in the region where themore » emission front overlapped the probe pulse front. Absorption oscillations are similar to self-modulation of the GaAs emission characteristics observed earlier [4]. This suggests that the self-modulation of absorption and emission is determined by the same type of interaction of light pulses in the active medium, the physical mechanism of which has yet to be determined.« less

  2. Preparation and optical characteristics of layered perovskite-type lead-bromide-incorporated azobenzene chromophores

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sasai, Ryo, E-mail: rsasai@riko.shimane-u.ac.jp; Shinomura, Hisashi

    Lead bromide-based layered perovskite powders with azobenzene derivatives were prepared by a homogeneous precipitation method. From the diffuse reflectance (DR) and photoluminescence (PL) spectra of the hybrid powder materials, the present hybrids exhibited sharp absorption and PL peaks originating from excitons produced in the PbBr{sub 4}{sup 2-} layer. When the present hybrid powder was irradiated with UV light at 350 nm, the absorption band from the trans-azobenzene chromophore, observed around 350 nm, decreased, while the absorption band from the cis-azobenzene chromophore, observed around 450 nm, increased. These results indicate that azobenzene chromophores in the present hybrid materials exhibit reversible photoisomerization.more » Moreover, it was found that the PL intensity from the exciton also varied due to photoisomerization of the azobenzene chromophores in the present hybrid. Thus, for the first time we succeeded in preparing the azobenzene derivative lead-bromide-based layered perovskite with photochromism before and after UV light irradiation. - Graphical abstract: For the first time, we succeeded in preparing the azobenzene derivative lead-bromide-based layered perovskite with photochromism before and after UV light irradiation. Highlights: Black-Right-Pointing-Pointer PbBr-based layered perovskite with azobenezene derivatives could be synthesized by a homogeneous precipitation method. Black-Right-Pointing-Pointer Azobenzene derivatives incorporated the present hybrid that exhibited reversible photoisomerization under UV and/or visible light irradiation. Black-Right-Pointing-Pointer PL property of the present hybrid could also be varied by photoisomerization.« less

  3. Relation between trinucleotide GAA repeat length and sensory neuropathy in Friedreich's ataxia.

    PubMed

    Santoro, L; De Michele, G; Perretti, A; Crisci, C; Cocozza, S; Cavalcanti, F; Ragno, M; Monticelli, A; Filla, A; Caruso, G

    1999-01-01

    To verify if GAA expansion size in Friedreich's ataxia could account for the severity of sensory neuropathy. Retrospective study of 56 patients with Friedreich's ataxia selected according to homozygosity for GAA expansion and availability of electrophysiological findings. Orthodromic sensory conduction velocity in the median nerve was available in all patients and that of the tibial nerve in 46 of them. Data of sural nerve biopsy and of a morphometric analysis were available in 12 of the selected patients. The sensory action potential amplitude at the wrist (wSAP) and at the medial malleolus (m mal SAP) and the percentage of myelinated fibres with diameter larger than 7, 9, and 11 microm in the sural nerve were correlated with disease duration and GAA expansion size on the shorter (GAA1) and larger (GAA2) expanded allele in each pair. Pearson's correlation test and stepwise multiple regression were used for statistical analysis. A significant inverse correlation between GAA1 size and wSAP, m mal SAP, and percentage of myelinated fibres was found. Stepwise multiple regression showed that GAA1 size significantly affects electrophysiological and morphometric data, whereas duration of disease has no effect. The data suggest that the severity of the sensory neuropathy is probably genetically determined and that it is not progressive.

  4. Comparison of photoemission characteristics between square and circular wire array GaAs photocathodes.

    PubMed

    Deng, Wenjuan; Peng, Xincun; Zou, Jijun; Wang, Weilu; Liu, Yun; Zhang, Tao; Zhang, Yijun; Zhang, Daoli

    2017-11-10

    Two types of negative electron affinity gallium arsenide (GaAs) wire array photocathodes were fabricated by reactive ion etching and inductively coupled plasma etching of bulk GaAs material. High density GaAs wire arrays with high periodicity and good morphology were verified using scanning electron microscopy, and photoluminescence spectra confirmed the wire arrays had good crystalline quality. Reflection spectra showed that circular GaAs wire arrays had superior light trapping compared with square ones. However, after Cs/O activation, the square GaAs wire array photocathodes showed enhanced spectral response. The integral sensitivity of the square wire array photocathodes was approximately 2.8 times that of the circular arrays.

  5. n-Type Doping of Vapor-Liquid-Solid Grown GaAs Nanowires.

    PubMed

    Gutsche, Christoph; Lysov, Andrey; Regolin, Ingo; Blekker, Kai; Prost, Werner; Tegude, Franz-Josef

    2011-12-01

    In this letter, n-type doping of GaAs nanowires grown by metal-organic vapor phase epitaxy in the vapor-liquid-solid growth mode on (111)B GaAs substrates is reported. A low growth temperature of 400°C is adjusted in order to exclude shell growth. The impact of doping precursors on the morphology of GaAs nanowires was investigated. Tetraethyl tin as doping precursor enables heavily n-type doped GaAs nanowires in a relatively small process window while no doping effect could be found for ditertiarybutylsilane. Electrical measurements carried out on single nanowires reveal an axially non-uniform doping profile. Within a number of wires from the same run, the donor concentrations ND of GaAs nanowires are found to vary from 7 × 10(17) cm(-3) to 2 × 10(18) cm(-3). The n-type conductivity is proven by the transfer characteristics of fabricated nanowire metal-insulator-semiconductor field-effect transistor devices.

  6. Antibacterial properties of Ag-doped hydroxyapatite layers prepared by PLD method

    NASA Astrophysics Data System (ADS)

    Jelínek, Miroslav; Kocourek, Tomáš; Jurek, Karel; Remsa, Jan; Mikšovský, Jan; Weiserová, Marie; Strnad, Jakub; Luxbacher, Thomas

    2010-12-01

    Thin hydroxyapatite (HA), silver-doped HA and silver layers were prepared using a pulsed laser deposition method. Doped layers were ablated from silver/HA targets. Amorphous and crystalline films of silver concentrations of 0.06 at.%, 1.2 at.%, 4.4 at.%, 8.3 at.% and 13.7 at.% were synthesized. Topology was studied using scanning electron microscopy and atomic force microscopy. Contact angle and zeta potential measurements were conducted to determine the wettability, surface free energy and electric surface properties. In vivo measurement (using Escherichia coli cells) of antibacterial properties of the HA, silver-doped HA and silver layers was carried out. The best antibacterial results were achieved for silver-doped HA layers of silver concentration higher than 1.2 at.%.

  7. Optical and structural properties of protein/gold hybrid bio-nanofilms prepared by layer-by-layer method.

    PubMed

    Pál, Edit; Hornok, Viktória; Sebok, Dániel; Majzik, Andrea; Dékány, Imre

    2010-08-01

    Lysozyme/gold thin layers were prepared by layer-by-layer (LbL) self-assembly method. The build-up of the films was followed by UV-vis-absorbance spectra, quartz crystal microbalance (QCM) and surface plasmon resonance (SPR) techniques. The structural property of films was examined by X-ray diffraction (XRD) measurements, while their morphology was studied by scanning electron microscopy (SEM) and atomic force microscopy (AFM). It was found that gold nanoparticles (NPs) had cubic crystalline structure, the primary particles form aggregates in the thin layer due to the presence of lysozyme molecules. The UV-vis measurements prove change in particle size while the colour of the film changes from wine-red to blue. The layer thickness of films was determined using the above methods and the loose, porous structure of the films explains the difference in the results. The vapour adsorption property of hybrid layers was also studied by QCM using different saturated vapours and ammonia gas. The lysozyme/Au films were most sensitive for ammonia gas among the tested gases/vapours due to the strongest interaction between the functional groups of the protein. Copyright 2010 Elsevier B.V. All rights reserved.

  8. A Novel Growth Method To Improve the Quality of GaAs Nanowires Grown by Ga-Assisted Chemical Beam Epitaxy.

    PubMed

    García Núñez, Carlos; Braña, Alejandro F; López, Nair; García, Basilio J

    2018-06-13

    The successful synthesis of high crystalline quality and high aspect ratio GaAs nanowires (NWs) with a uniform diameter is needed to develop advanced applications beyond the limits established by thin film and bulk material properties. Vertically aligned GaAs NWs have been extensively grown by Ga-assisted vapor-liquid-solid (VLS) mechanism on Si(111) substrates, and they have been used as building blocks in photovoltaics, optoelectronics, electronics, and so forth. However, the nucleation of parasitic species such as traces and nanocrystals on the Si substrate surface during the NW growth could affect significantly the controlled nucleation of those NWs, and therefore the resulting performance of NW-based devices. Preventing the nucleation of parasitic species on the Si substrate is a matter of interest, because they could act as traps for gaseous precursors and/or chemical elements during VLS growth, drastically reducing the maximum length of grown NWs, affecting their morphology and structure, and reducing the NW density along the Si substrate surface. This work presents a novel and easy to develop growth method (i.e., without using advanced nanolithography techniques) to prevent the nucleation of parasitic species, while preserving the quality of GaAs NWs even for long duration growths. GaAs NWs are grown by Ga-assisted chemical beam epitaxy on oxidized Si(111) substrates using triethylgallium and tertiarybutylarsine precursors by a two-step-based growth method presented here; this method includes a growth interruption for an oxidation on air between both steps of growth, reducing the nucleation of parasitic crystals on the thicker SiO x capping layer during the second and longer growth step. VLS conditions are preserved overtime, resulting in a stable NW growth rate of around 6 μm/h for growth times up to 1 h. Resulting GaAs NWs have a high aspect ratio of 85 and average radius of 35 nm. We also report on the existence of characteristic reflection high

  9. High efficiency epitaxial GaAs/GaAs and GaAs/Ge solar cell technology using OM/CVD

    NASA Technical Reports Server (NTRS)

    Wang, K. L.; Yeh, Y. C. M.; Stirn, R. J.; Swerdling, S.

    1980-01-01

    A technology for fabricating high efficiency, thin film GaAs solar cells on substrates appropriate for space and/or terrestrial applications was developed. The approach adopted utilizes organometallic chemical vapor deposition (OM-CVD) to form a GaAs layer epitaxially on a suitably prepared Ge epi-interlayer deposited on a substrate, especially a light weight silicon substrate which can lead to a 300 watt per kilogram array technology for space. The proposed cell structure is described. The GaAs epilayer growth on single crystal GaAs and Ge wafer substrates were investigated.

  10. Photovoltaic Properties of p-Doped GaAs Nanowire Arrays Grown on n-Type GaAs(111)B Substrate

    PubMed Central

    2010-01-01

    We report on the molecular beam epitaxy growth of Au-assisted GaAs p-type-doped NW arrays on the n-type GaAs(111)B substrate and their photovoltaic properties. The samples are grown at different substrate temperature within the range from 520 to 580 °C. It is shown that the dependence of conversion efficiency on the substrate temperature has a maximum at the substrate temperature of 550 °C. For the best sample, the conversion efficiency of 1.65% and the fill factor of 25% are obtained. PMID:20672038

  11. Two-Photon Pumped Synchronously Mode-Locked Bulk GaAs Laser

    NASA Astrophysics Data System (ADS)

    Cao, W. L.; Vaucher, A. M.; Ling, J. D.; Lee, C. H.

    1982-04-01

    Pulses 7 picoseconds or less in duration have been generated from a bulk GaAs crystal by a synchronous mode-locking technique. The GaAs crystal was optically pumped by two-photon absorption of the emission from a mode-locked Nd:glass laser. Two-photon absorption as the means of excitation increases the volume of the gain medium by increasing the pene-tration depth of the pump intensity, enabling generation of intra-cavity pulses with peak power in the megawatt range. Tuning of the wavelength of the GaAs emission is achieved by varying the temperature. A tuning range covering 840 nm to 885 nm has been observed over a temperature range from 97°K to 260°K. The intensity of the GaAs emission has also been observed to decrease as the temperature of the crystal is increased.

  12. Interface demarcation in GaAs by current pulsing

    NASA Technical Reports Server (NTRS)

    Matthiesen, D. H.; Kafalas, J. A.; Duchene, G. A.; Bellows, A. H.

    1990-01-01

    GTE Laboratories is currently conducting a program to investigate the effect of convection in the melt on the properties of bulk grown gallium arsenide (GaAs). In addition to extensive ground based experimentation, a Get Away Special growth system has been developed to grow two GaAs crystals aboard the Space Shuttle, each with a one inch diameter. In order to perform a complete segregation analysis of the crystals grown in space, it is necessary to measure the interface shape and growth rate as well as the spatial distribution of the selenium dopant. The techniques for interface demarcation in selenium doped GaAs by current pulsing have been developed at GTE Laboratories and successful interface demarcation has been achieved for current pulses ranging from 20 to 90 amps, in both single crystal and polycrystalline regions.

  13. Lithography-Free Fabrication of Core-Shell GaAs Nanowire Tunnel Diodes.

    PubMed

    Darbandi, A; Kavanagh, K L; Watkins, S P

    2015-08-12

    GaAs core-shell p-n junction tunnel diodes were demonstrated by combining vapor-liquid-solid growth with gallium oxide deposition by atomic layer deposition for electrical isolation. The characterization of an ensemble of core-shell structures was enabled by the use of a tungsten probe in a scanning electron microscope without the need for lithographic processing. Radial tunneling transport was observed, exhibiting negative differential resistance behavior with peak-to-valley current ratios of up to 3.1. Peak current densities of up to 2.1 kA/cm(2) point the way to applications in core-shell photovoltaics and tunnel field effect transistors.

  14. Fabrication and characterization of the noble metal nanostructures on the GaAs surface

    NASA Astrophysics Data System (ADS)

    Gladskikh, Polina V.; Gladskikh, Igor A.; Toropov, Nikita A.; Vartanyan, Tigran A.

    2016-04-01

    Self-assembled silver, gold, and copper nanostructures on the monocrystalline GaAs (100) wafer surface were obtained via physical vapor deposition and characterized by optical reflection spectroscopy, scanning electron microscopy, and current-voltage curve measurements. Reflection spectra of the samples with Ag equivalent thicknesses of 2, 5, 7.5, and 10 nm demonstrated wide plasmonic bands in the visible range of spectra. Thermal annealing of the nanostructures led to narrowing of the plasmonic bands of Au and Ag nanostructures caused by major transformations of the film morphology. While the as prepared films predominantly had a small scale labyrinth structure, after annealing well-separated nanoislands are formed on the gallium arsenide surface. A clear correlation between films morphology and their optical and electrical properties is elucidated. Annealing of the GaAs substrate with Ag nanostructures at 100 °C under control of the resistivity allowed us to obtain and fix the structure at the percolation threshold. It is established that the samples at the percolation threshold possess the properties of resistance switching and hysteresis.

  15. Advanced GaAs Process Modeling. Volume 1

    DTIC Science & Technology

    1989-05-01

    COSATI CODES 18 . SUBJECT TERMS (Continue on reverse if necessary and identify by block number) FIELD GROUP SUB-GROUP Gallium Arsenide, MESFET, Process...Background 9 3.2 Model Calculations 10 3.3 Conclusions 17 IV. ION-IMPLANTATION INTO GaAs PROFILE DETERMINATION 18 4.1 Ion Implantation Profile...Determination in GaAs 18 4.1.1. Background 18 4.1.2. Experimental Measurements 20 4.1.3. Results 22 4.1.3.1 Ion-Energy Dependence 22 4.1.3.2. Tilt and Rotation

  16. Tungstocobaltate-pillared layered double hydroxides: Preparation, characterization, magnetic and catalytic properties

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wei Xiaocui; Baicheng College of Higher Medicine, Baicheng 137000; Fu Youzhi

    2008-06-15

    A new polyoxometalate anion-pillared layered double hydroxide (LDH) was prepared by aqueous ion exchange of a Mg-Al LDH precursor in nitrate form with the tungstocobaltate anions [CoW{sub 12}O{sub 40}]{sup 5-}. The physicochemical properties of the product were characterized by the methods of powder X-ray diffraction, elemental analysis, infrared spectroscopy, thermogravimetric analysis and cyclic voltammetry. It was confirmed that [CoW{sub 12}O{sub 40}]{sup 5-} was intercalated between the brucite-type layers of the LDHs without a change in the structure. Magnetic measurement shows the occurrence of antiferromagnetic interactions between the magnetic centers. The investigation of catalytic performance for this sample exhibits high activitymore » for the oxidation of benzaldehyde by hydrogen peroxide. - Graphical abstract: A tungstocobaltate anion [CoW{sub 12}O{sub 40}]{sup 5-} pillared layered double hydroxide (LDH) was prepared by aqueous ion exchange with a Mg-Al LDH precursor in nitrate form, demonstrating that [CoW{sub 12}O{sub 40}]{sup 5-} was intercalated between the brucite-type layers of the LDHs without change in structure. Magnetic measurement shows the occurrence of antiferromagnetic interactions between the magnetic centers. The investigation of catalytic performance for this sample exhibits high activity for the oxidation of benzaldehyde by hydrogen peroxide.« less

  17. Rare-earth gate oxides for GaAs MOSFET application

    NASA Astrophysics Data System (ADS)

    Kwon, Kwang-Ho; Yang, Jun-Kyu; Park, Hyung-Ho; Kim, Jongdae; Roh, Tae Moon

    2006-08-01

    Rare-earth oxide films for gate dielectric on n-GaAs have been investigated. The oxide films were e-beam evaporated on S-passivated GaAs, considering interfacial chemical bonding state and energy band structure. Rare-earth oxides such as Gd 2O 3, (Gd xLa 1- x) 2O 3, and Gd-silicate were employed due to high resistivity and no chemical reaction with GaAs. Structural and bonding properties were characterized by X-ray photoemission, absorption, and diffraction. The electrical characteristics of metal-oxide-semiconductor (MOS) diodes were correlated with material properties and energy band structures to guarantee the feasibility for MOS field effect transistor (FET) application. Gd 2O 3 films were grown epitaxially on S-passivated GaAs (0 0 1) at 400 °C. The passivation induced a lowering of crystallization temperature with an epitaxial relationship of Gd 2O 3 (4 4 0) and GaAs (0 0 1). A better lattice matching relation between Gd 2O 3 and GaAs substrate was accomplished by the substitution of Gd with La, which has larger ionic radius. The in-plane relationship of (Gd xLa 1- x) 2O 3 (4 4 0) with GaAs (0 0 1) was found and the epitaxial films showed an improved crystalline quality. Amorphous Gd-silicate film was synthesized by the incorporation of SiO 2 into Gd 2O 3. These amorphous Gd-silicate films excluded defect traps or current flow path due to grain boundaries and showed a relatively larger energy band gap dependent on the contents of SiO 2. Energy band parameters such as Δ EC, Δ EV, and Eg were effectively controlled by the film composition.

  18. Failure Mechanisms of GaAs Transistors - A Literature Survey

    DTIC Science & Technology

    1990-03-01

    doping profile cannot be as sharp as with epitaxial methods. This is the result of the statistics of the implantation and the general diffusion that...Speed GaAs Logic Gates 5.1 GaAs PLANAR TRANSITOR STRUCTURES USED IN IC’S Some planar transistor structures used in IC’s with examples of the

  19. Layer-by-Layer Molecular Assemblies for Dye-Sensitized Photoelectrosynthesis Cells Prepared by Atomic Layer Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Degao; Sheridan, Matthew V.; Shan, Bing

    2017-08-30

    In a Dye Sensitized Photoelectrosynthesis Cell (DSPEC) the relative orientation of catalyst and chromophore play important roles. Here we introduce a new, robust, Atomic Layer Deposition (ALD) procedure for the preparation of assemblies on wide bandgap semiconductors. In the procedure, phosphonated metal complex precursors react with metal ion bridging to an external chromophore or catalyst to give assemblies bridged by Al(III), Sn(IV), Ti(IV), or Zr(IV) metal oxide units as bridges. The procedure has been extended to chromophore-catalyst assemblies for water oxidation catalysis. A SnO2 bridged assembly on SnO2/TiO2 core/shell electrodes undergoes water splitting with an incident photon conversion efficiency (IPCE)more » of 17.1% at 440 nm. Reduction of water at a Ni(II)-based catalyst on NiO films has been shown to give H2. Compared to conventional solution-based procedures, the ALD approach offers significant advantages in scope and flexibility for the preparation of stable surface structures.« less

  20. Photon counting microstrip X-ray detectors with GaAs sensors

    NASA Astrophysics Data System (ADS)

    Ruat, M.; Andrä, M.; Bergamaschi, A.; Barten, R.; Brückner, M.; Dinapoli, R.; Fröjdh, E.; Greiffenberg, D.; Lopez-Cuenca, C.; Lozinskaya, A. D.; Mezza, D.; Mozzanica, A.; Novikov, V. A.; Ramilli, M.; Redford, S.; Ruder, C.; Schmitt, B.; Shi, X.; Thattil, D.; Tinti, G.; Tolbanov, O. P.; Tyazhev, A.; Vetter, S.; Zarubin, A. N.; Zhang, J.

    2018-01-01

    High-Z sensors are increasingly used to overcome the poor efficiency of Si sensors above 15 keV, and further extend the energy range of synchrotron and FEL experiments. Detector-grade GaAs sensors of 500 μm thickness offer 98% absorption efficiency at 30 keV and 50% at 50 keV . In this work we assess the usability of GaAs sensors in combination with the MYTHEN photon-counting microstrip readout chip developed at PSI. Different strip length and pitch are compared, and the detector performance is evaluated in regard of the sensor material properties. Despite increased leakage current and noise, photon-counting strips mounted with GaAs sensors can be used with photons of energy as low as 5 keV, and exhibit excellent linearity with energy. The charge sharing is doubled as compared to silicon strips, due to the high diffusion coefficient of electrons in GaAs.

  1. The Growth of Expitaxial GaAs and GaAlAs on Silicon Substrates by OMVPE

    DTIC Science & Technology

    1988-08-01

    structures have been grown on semi-insulating gallium arsenide substrates, and on high-resistivity silicon substrates using a two stage growth technique...fully in Quarter 9. 2. MATERIALS GROWTH 2.1 DOPING OF GALLIUM ARSENIDE FOR FETs As reported in quarter 7, doping levels for GaAs/SI 4ere found to be a...FET structures on both GaAs and Si substrates. A number of FET layers have been grown to the GAT4 specification on semi-insulating gallium arsenide

  2. Laser Induced Electrodeposition on Polyimide and GaAs Substrates

    DTIC Science & Technology

    1983-10-01

    6 3.1 Laser Gold Plating on Undoped Ga As Substrate ........... 6 3.1.1 Deposit Formation...22 iv LIST OF ILLUSTRATIONS Figure Page 1. Experimental Set-Up . . . . . .................. 4 2. Laser Gold Pla’ting Undoped GaAs (100...9 3. Laser Gold Plating Undoped GaAs (100) Deposit Resistance Measurement ......................... .10 4. Laser Gold Plating on Polyimide

  3. Improvements of MCT MBE Growth on GaAs

    NASA Astrophysics Data System (ADS)

    Ziegler, J.; Wenisch, J.; Breiter, R.; Eich, D.; Figgemeier, H.; Fries, P.; Lutz, H.; Wollrab, R.

    2014-08-01

    In recent years, continuous progress has been published in the development of HgCdTe (MCT) infrared (IR) focal plane arrays (FPAs) fabricated by molecular beam epitaxy on GaAs substrates. In this publication, further characterization of the state-of-the art 1280 × 1024 pixel, 15- μm pitch detector fabricated from this material in both the mid-wavelength (MWIR) and long-wavelength (LWIR) IR region will be presented. For MWIR FPAs, the percentage of defective pixel remains below 0.5% up to an operating temperature ( T OP) of around 100 K. For the LWIR FPA, an operability of 99.25% was achieved for a T OP of 76 K. Additionally, the beneficial effect of the inclusion of MCT layers with a graded composition region was investigated and demonstrated on current-voltage ( IV) characteristics on test diodes in a MWIR FPA.

  4. Cathodoluminescence Characterization of Ion Implanted GaAs.

    DTIC Science & Technology

    1980-03-01

    technique that can be used to characterize the semiconductor device "in situ" before further processing can save the Air Force valuable time as well...Patterson Air Force Base,Ohio i! i ill i I ;Wow AFIT/DS/PH/80- I.i1I LEVELOO CATHODOLUMINESCENCE CHARACTERIZATION OF ION IPLANTED GaAs D I SSERUrAT ION...CATODOLUMINESCENCE CHARACTERIZATION .’ a .... OF ION IMPLANTED GaAs’ - .. .. Dtriy’ t’ c:’/ A’: t 1. - Cc;-,P by an i’or Milton L one B.S., M.S. Major USAF Approved

  5. Amplification in Double Heterostructure GaAs Lasers.

    DTIC Science & Technology

    1981-03-15

    done, for example, in the book by Siegman . When the laser signal which is to be amplified is a single mode, it is important to include the possibility...k A’AD-A097 862 AEROSPACE CORP EL SEGUNDO CA ELECTRONICS RESEARCH LAP) P 5 20/5 I AMPLIFICATION IN DOUBLE HETEROSTRUCTURE GAAS LASERS .(U IMAR al E...GARMIRE, M CHANG F04701-80-C-0081I UNCLASSIFIED TR GO81(6930 03)-2 SD-TA8-30 NL Amplification in Double Heterostructure GaAs Lasers E. GARMIRE nd M

  6. GaAs Surface Passivation for Device Applications.

    DTIC Science & Technology

    1981-12-01

    Ga203.’" . QI. a) / b) x 2.5 •• 24 21 18 As3d a) b) x 2. / 0 II 2 46 43 40 BINDING ENERGY (eV) Fig. 3 XPS spectra from a Ga2O3 covered GaAs surface of Ga...wU 24 21 Gas 18 SAs3d As2O3 ) .. 46 43 40 BINDING ENERGY (e) Fig. 4 XPS spectra from a AsJ03- Ga2O3 covered GaAs surface of Ga 3d (upper panel) and As

  7. Inverted thermal conversion - GaAs, a new alternative material for integrated circuits

    NASA Technical Reports Server (NTRS)

    Lagowski, J.; Gatos, H. C.; Kang, C. H.; Skowronski, M.; Ko, K. Y.

    1986-01-01

    A new type of GaAs is developed which exhibits inverted thermal conversion (ITC); i.e., it converts from conducting to semiinsulating upon annealing at about 850 C. In device fabrication, its low resistivity prior to high-temperature processing differentiates ITC GaAs from the standard semiinsulating GaAs. The ITC characteristics are obtained through control of the concentration of the midgap donor EL2 based on heat treatment and crystal-growth modification. Thus EL2 does not exist in the conducting state of ITC GaAs. Conversion to the semiinsulating state during 850 C annealing is caused by the formation of EL2.

  8. Dynamics of reflection high-energy electron diffraction intensity oscillations during molecular beam epitaxial growth of GaAs on (111)B GaAs substrates

    NASA Astrophysics Data System (ADS)

    Yen, M. Y.; Haas, T. W.

    1990-06-01

    We have observed intensity oscillations in reflection high-energy electron diffraction during molecular beam epitaxial growth of GaAs on (111)B GaAs substrates. These oscillations only exist over a narrow range of growth conditions and their behavior is strongly dependent on the migration kinetics of group III and the molecular dissociative reaction of group V elements.

  9. Effect of in situ annealing on the structural and electrical properties and infrared photodetection of III-Sb on GaAs using interfacial misfit array

    NASA Astrophysics Data System (ADS)

    Jia, Bo Wen; Tan, Kian Hua; Loke, Wan Khai; Wicaksono, Satrio; Yoon, Soon Fatt

    2018-01-01

    This work presents the effects of in situ thermal annealing under antimony overpressure on the structural, electrical, and optical properties of III-Sb (GaSb and InSb) grown on (100) GaAs using an interfacial misfit array to accommodate the lattice mismatch. Both the sample growth and the in situ thermal annealing were carried out in the in the molecular beam epitaxy system, and the temperature of the as-grown sample was increased to exceed its growth temperature during the annealing. X-ray diffraction demonstrates nearly fully relaxed as-grown and annealed III-Sb layers. The optimal annealing temperatures and durations are for 590 °C, 5 min for GaSb and 420 °C, 15 min for InSb, respectively. In situ annealing decreased the surface roughness of the III-Sb layers. X-ray reciprocal space mapping and transmission electron microscopy observation showed stable interfacial misfit arrays, and no interfacial diffusion occurred in the annealed III-Sb layers. A Hall measurement of unintentionally doped III-Sb layers showed greater carrier mobility and a lower carrier concentration in the annealed samples at both 77 and 300 K. In situ annealing improved the photoresponsivity of GaSb and InSb photoconductors grown on GaAs in the near- and mid-infrared ranges, respectively.

  10. Enhancement of photoluminescence intensity of GaAs with cubic GaS chemical vapor deposited using a structurally designed single-source precursor

    NASA Technical Reports Server (NTRS)

    Macinnes, Andrew N.; Power, Michael B.; Barron, Andrew R.; Jenkins, Phillip P.; Hepp, Aloysius F.

    1993-01-01

    A two order-of-magnitude enhancement of photoluminescence intensity relative to untreated GaAs has been observed for GaAs surfaces coated with chemical vapor-deposited GaS. The increase in photoluminescence intensity can be viewed as an effective reduction in surface recombination velocity and/or band bending. The gallium cluster /(t-Bu)GaS/4 was used as a single-source precursor for the deposition of GaS thin films. The cubane core of the structurally characterized precursor is retained in the deposited film producing a cubic phase. Furthermore, a near-epitaxial growth is observed for the GaS passivating layer. Films were characterized by transmission electron microscopy, X-ray powder diffraction, and X-ray photoelectron and Rutherford backscattering spectroscopies.

  11. Integration of GaAs vertical-cavity surface emitting laser on Si by substrate removal

    NASA Astrophysics Data System (ADS)

    Yeh, Hsi-Jen J.; Smith, John S.

    1994-03-01

    The successful integration of strained quantum well InGaAs vertical-cavity surface-emitting lasers (VCSELs) on both Si and Cu substrates was described using a GaAs substrate removal technique. The GaAs VCSEL structure was metallized and bonded to the Si substrate after growth. The GaAs substrate was then removed by selective chemical wet etching. Finally, the bonded GaAs film metallized on the top (emitting) side and separate lasers were defined. This is the first time a VCSEL had been integrated on a Si substrate with its substrate removed. The performance enhancement of GaAs VCSELs bonded on good thermal conductors are demonstrated.

  12. MEDIPIX: a VLSI chip for a GaAs pixel detector for digital radiology

    NASA Astrophysics Data System (ADS)

    Amendolia, S. R.; Bertolucci, E.; Bisogni, M. G.; Bottigli, U.; Ceccopieri, A.; Ciocci, M. A.; Conti, M.; Delogu, P.; Fantacci, M. E.; Maestro, P.; Marzulli, V.; Pernigotti, E.; Romeo, N.; Rosso, V.; Rosso, P.; Stefanini, A.; Stumbo, S.

    1999-02-01

    A GaAs pixel detector designed for digital mammography, equipped with a 36-channel single photon counting discrete read-out electronics, was tested using a test object developed for quality control purposes in mammography. Each pixel was 200×200 μm 2 large, and 200 μm deep. The choice of GaAs with respect to silicon (largely used in other applications and with a more established technique) has been made because of the much better detection efficiency at mammographic energies, combined with a very good charge collection efficiency achieved thanks to new ohmic contacts. This GaAs detector is able to perform a measurement of low-contrast details, with minimum contrast lower (nearly a factor two) than that typically achievable with standard mammographic film+screen systems in the same conditions of clinical routine. This should allow for an earlier diagnosis of breast tumour masses. Due to these encouraging results, the next step in the evolution of our imaging system based on GaAs detectors has been the development of a VLSI front-end prototype chip (MEDIPIX ) in order to cover a much larger diagnostic area. The chip reads 64×64 channels in single photon counting mode, each one 170 μm wide. Each channel contains also a test input where a signal can be simulated, injecting a known charge through a 16 f F capacitor. Fake signals have been injected via the test input measuring and equalizing minimum thresholds for all the channels. On an average, in most of the performing chips available up to now, we have found that it is possible to set a threshold as low as 1800 electrons with an RMS of 150 electrons (10 standard deviations lower than the 20 keV photon signal roughly equivalent to 4500 electrons). The detector, bump-bonded to the chip, will be tested and a ladder of detectors will be prepared to be able to scan large surface objects.

  13. Structural and Optical Studies of ZnCdSe/ZnSe/ZnMgSSe Separate Confinement Heterostructures with Different Buffer Layers

    NASA Astrophysics Data System (ADS)

    Tu, Ru-Chin; Su, Yan-Kuin; Huang, Ying-Sheng; Chen, Giin-Sang; Chou, Shu-Tsun

    1998-09-01

    Detailed structural and optical studies of ZnCdSe/ZnSe/ZnMgSSe separate confinementheterostructures (SCH) grown on ZnSe, ZnSe/ZnSSe strained-layer superlattices (SLS),and GaAs buffer layers at the II VI/GaAs interface have been carried out by employingtransmission electron microscopy, variable temperature photoluminescence (PL), andcontactless electroreflectance (CER) measurements. A significant improvement onthe defect reduction and the optical quality has been observed by using either theZnSe/ZnSSe SLS or GaAs as the buffer layers when compared to that of the sample usingonly ZnSe as the buffer layer. However, the sample grown with the SLS buffer layersreveals a room temperature PL intensity higher than that of the sample grown witha GaAs buffer layer, which may still suffer from the great ionic differences betweenthe II V and III V atoms. Using 15 K CER spectra, we have also studied variousexcitonic transitions originating from strained Zn0.80Cd0.20Se/ZnSe single quantumwell in SCH with different buffer layers. An analysis of the CER spectra has ledto the identification of various excitonic transitions, mnH (L), between the mthconduction band state and the nth heavy (light)-hole band state. An excellentagreement between experiments and theoretical calculations based on the envelopefunction approximation model has been achieved.

  14. Technology of GaAs metal-oxide-semiconductor solar cells

    NASA Technical Reports Server (NTRS)

    Stirn, R. J.; Yeh, Y. C. M.

    1977-01-01

    The growth of an oxide interfacial layer was recently found to increase the open-circuit voltage (OCV) and efficiency by up to 60 per cent in GaAs metal-semiconductor solar cells. Details of oxidation techniques to provide the necessary oxide thickness and chemical structure and using ozone, water-vapor-saturated oxygen, or oxygen gas discharges are described, as well as apparent crystallographic orientation effects. Preliminary results of the oxide chemistry obtained from X-ray, photoelectron spectroscopy are given. Ratios of arsenic oxide to gallium oxide of unity or less seem to be preferable. Samples with the highest OVC predominantly have As(+3) in the arsenic oxide rather than As(+5). A major difficulty at this time is a reduction in OCV by 100-200 mV when the antireflection coating is vacuum deposited.

  15. Laser induced OMCVD growth of AlGaAs on GaAs

    NASA Technical Reports Server (NTRS)

    Wilt, David M.; Warner, Joseph D.; Aron, Paul R.; Pouch, John J.; Hoffman, Richard W., Jr.

    1987-01-01

    A major factor limiting the efficiency of the GaAs-GaAlAs solar cell is the rate of recombination at the GaAs-AlGaAs interface. Evidence has been previously reported which indicates that recombination at this interface can be greatly reduced if the AlGaAs layer is grown at lower than normal temperatures. The authors examine the epitaxial growth of AlGaAs on GaAs using a horizontal OMCVD reactor and an excimer laser operating in the UV (lambda = 193 nm) region. The growth temperatures were 450 and 500 C. The laser beam was utilized in two orientations: 75 deg angle of incidence and parallel to the substrate. Film composition and structure were determined by Auger electron spectroscopy (AES) and transmission electron microscopy (TEM). Auger analysis of epilayers grown at 500 C with the laser impinging show no carbon or oxygen contamination of the epitaxial layers or interfaces. TEM diffraction patterns of these same epilayers exhibit single crystal (100) zone axis patterns.

  16. MAPLE prepared heterostructures with oligoazomethine: Fullerene derivative mixed layer for photovoltaic applications

    NASA Astrophysics Data System (ADS)

    Stanculescu, A.; Rasoga, O.; Socol, M.; Vacareanu, L.; Grigoras, M.; Socol, G.; Stanculescu, F.; Breazu, C.; Matei, E.; Preda, N.; Girtan, M.

    2017-09-01

    Mixed layers of azomethine oligomers containing 2,5-diamino-3,4-dicyanothiophene as central unit and triphenylamine (LV5) or carbazol (LV4) at both ends as donor and fullerene derivative, [6,6]-phenyl-C61 butyric acid butyl ester ([C60]PCB-C4) as acceptor, have been prepared by Matrix Assisted Pulsed Laser Evaporation (MAPLE) on glass/ITO and Si substrates. The effect of weight ratio between donor and acceptor (1:1; 1:2) and solvent type (chloroform, dimethylsulphoxide) on the optical (UV-vis transmission/absorption, photoluminescence) and morphological properties of LV4 (LV5): [C60]PCB-C4 mixed layers has been evidenced. Dark and under illumination I-V characteristics of the heterostructures realized with these mixed layers sandwiched between ITO and Al electrodes have revealed a solar cell behavior for the heterostructures prepared with both LV4 and LV5 using chloroform as matrix solvent. The solar cell structure realized with oligomer LV5, glass/ITO/LV5: [C60]PCB-C4 (1:1) has shown the best parameters.

  17. Lightweight, Light-Trapped, Thin GaAs Solar Cells for Spacecraft Applications.

    DTIC Science & Technology

    1995-10-05

    improve the efficiency of this type of cell. 2 The high efficiency and light weight of the cover glass supported GaAs solar cell can have a significant...is a 3-mil cover glass and 1-mil silicone adhesive on the front surface of the GaAs solar cell. Power Output 3000 400 -{ 2400 { N 300 S18200 W/m2...the ultra-thin, light-trapped GaAs solar ceill 3. Incorporate light trapping. 0 external quantum efficiency at 850 nm increased by 5.2% 4. Develop

  18. The mismatch repair system protects against intergenerational GAA repeat instability in a Friedreich ataxia mouse model.

    PubMed

    Ezzatizadeh, Vahid; Pinto, Ricardo Mouro; Sandi, Chiranjeevi; Sandi, Madhavi; Al-Mahdawi, Sahar; Te Riele, Hein; Pook, Mark A

    2012-04-01

    Friedreich ataxia (FRDA) is an autosomal recessive neurodegenerative disorder caused by a dynamic GAA repeat expansion mutation within intron 1 of the FXN gene. Studies of mouse models for other trinucleotide repeat (TNR) disorders have revealed an important role of mismatch repair (MMR) proteins in TNR instability. To explore the potential role of MMR proteins on intergenerational GAA repeat instability in FRDA, we have analyzed the transmission of unstable GAA repeat expansions from FXN transgenic mice which have been crossed with mice that are deficient for Msh2, Msh3, Msh6 or Pms2. We find in all cases that absence of parental MMR protein not only maintains transmission of GAA expansions and contractions, but also increases GAA repeat mutability (expansions and/or contractions) in the offspring. This indicates that Msh2, Msh3, Msh6 and Pms2 proteins are not the cause of intergenerational GAA expansions or contractions, but act in their canonical MMR capacity to protect against GAA repeat instability. We further identified differential modes of action for the four MMR proteins. Thus, Msh2 and Msh3 protect against GAA repeat contractions, while Msh6 protects against both GAA repeat expansions and contractions, and Pms2 protects against GAA repeat expansions and also promotes contractions. Furthermore, we detected enhanced occupancy of Msh2 and Msh3 proteins downstream of the FXN expanded GAA repeat, suggesting a model in which Msh2/3 dimers are recruited to this region to repair mismatches that would otherwise produce intergenerational GAA contractions. These findings reveal substantial differences in the intergenerational dynamics of expanded GAA repeat sequences compared with expanded CAG/CTG repeats, where Msh2 and Msh3 are thought to actively promote repeat expansions. Copyright © 2012 Elsevier Inc. All rights reserved.

  19. The mismatch repair system protects against intergenerational GAA repeat instability in a Friedreich ataxia mouse model

    PubMed Central

    Ezzatizadeh, Vahid; Pinto, Ricardo Mouro; Sandi, Chiranjeevi; Sandi, Madhavi; Al-Mahdawi, Sahar; te Riele, Hein; Pook, Mark A.

    2013-01-01

    Friedreich ataxia (FRDA) is an autosomal recessive neurodegenerative disorder caused by a dynamic GAA repeat expansion mutation within intron 1 of the FXN gene. Studies of mouse models for other trinucleotide repeat (TNR) disorders have revealed an important role of mismatch repair (MMR) proteins in TNR instability. To explore the potential role of MMR proteins on intergenerational GAA repeat instability in FRDA, we have analyzed the transmission of unstable GAA repeat expansions from FXN transgenic mice which have been crossed with mice that are deficient for Msh2, Msh3, Msh6 or Pms2. We find in all cases that absence of parental MMR protein not only maintains transmission of GAA expansions and contractions, but also increases GAA repeat mutability (expansions and/or contractions) in the offspring. This indicates that Msh2, Msh3, Msh6 and Pms2 proteins are not the cause of intergenerational GAA expansions or contractions, but act in their canonical MMR capacity to protect against GAA repeat instability. We further identified differential modes of action for the four MMR proteins. Thus, Msh2 and Msh3 protect against GAA repeat contractions, while Msh6 protects against both GAA repeat expansions and contractions, and Pms2 protects against GAA repeat expansions and also promotes contractions. Furthermore, we detected enhanced occupancy of Msh2 and Msh3 proteins downstream of the FXN expanded GAA repeat, suggesting a model in which Msh2/3 dimers are recruited to this region to repair mismatches that would otherwise produce intergenerational GAA contractions. These findings reveal substantial differences in the intergenerational dynamics of expanded GAA repeat sequences compared with expanded CAG/CTG repeats, where Msh2 and Msh3 are thought to actively promote repeat expansions. PMID:22289650

  20. Oxygen in GaAs - Direct and indirect effects

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.; Skowronski, M.; Pawlowicz, L.; Lagowski, J.

    1984-01-01

    Oxygen has profound effects on the key electronic properties and point defects of GaAs crystals. Thus, when added in the growth system, it decreases the free electron concentration and enhances the concentration of deep donors in the resulting crystals. Both of these effects are highly beneficial for achieving semi-insulating material and have been utilized for that purpose. They have been attributed to the tendency of oxygen to getter silicon impurities during crystal growth. Only recently, it has been found that oxygen in GaAs introduces also a midgap level, ELO, with essentially the same activation energy as EL2 but with four times greater electron capture cross section. The present report reassesses the electrical and optical properties of the midgap levels in GaAs crystals grown by the horizontal Bridgman (HB) and the Czochralski-LEC techniques. Emphasis is placed on the identification of the specific effects of ELO.

  1. Effect of Ion Sputtering on Interface Chemistry and Electrical Properties of an Gaas (100) Schottky Contacts

    NASA Technical Reports Server (NTRS)

    Wang, Y. X.; Holloway, P. H.

    1984-01-01

    Auger and electron photoelectron spectroscopy were used to measure the extent of As depletion during 1 keV to 5 keV argon sputtering of GaAs surfaces. This depletion was correlated with a general decrease in the barrier height of the rectifying Au contact deposited in situ. However, nondestructive angle resolved XPS measurements showed As was depleted at the outer surface more by 1 keV than 3 keV argon. These effects are explained based on a combined work effective work function model and creation of a donor like surface damage layer. The donor layer was correlated with As depletion by sputtering. Deep level trap formation and annealing of sputtering effects were studied.

  2. Enhancement-mode GaAs metal-oxide-semiconductor high-electron-mobility transistors with atomic layer deposited Al2O3 as gate dielectric

    NASA Astrophysics Data System (ADS)

    Lin, H. C.; Yang, T.; Sharifi, H.; Kim, S. K.; Xuan, Y.; Shen, T.; Mohammadi, S.; Ye, P. D.

    2007-11-01

    Enhancement-mode GaAs metal-oxide-semiconductor high-electron-mobility transistors (MOS-HEMTs) with ex situ atomic-layer-deposited Al2O3 as gate dielectrics are studied. Maximum drain currents of 211 and 263mA/mm are obtained for 1μm gate-length Al2O3 MOS-HEMTs with 3 and 6nm thick gate oxide, respectively. C-V characteristic shows negligible hysteresis and frequency dispersion. The gate leakage current density of the MOS-HEMTs is 3-5 orders of magnitude lower than the conventional HEMTs under similar bias conditions. The drain current on-off ratio of MOS-HEMTs is ˜3×103 with a subthreshold swing of 90mV/decade. A maximum cutoff frequency (fT) of 27.3GHz and maximum oscillation frequency (fmax) of 39.9GHz and an effective channel mobility of 4250cm2/Vs are measured for the 1μm gate-length Al2O3 MOS-HEMT with 6nm gate oxide. Hooge's constant measured by low frequency noise spectral density characterization is 3.7×10-5 for the same device.

  3. New poly(butylene succinate)/layered silicate nanocomposites: preparation and mechanical properties.

    PubMed

    Ray, Suprakas Sinha; Okamoto, Kazuaki; Maiti, Pralay; Okamoto, Masami

    2002-04-01

    New poly(butylene succinate) (PBS)/layered silicate nanocomposites have been successfully prepared by simple melt extrusion of PBS and octadecylammonium modified montmorillonite (C18-mmt) at 150 degrees C. The d-spacing of both C18-mmt and intercalated nanocomposites was investigated by wide-angle X-ray diffraction analysis. Bright-field transmission electron microscopic study showed several stacked silicate layers with random orientation in the PBS matrix. The intercalated nanocomposites exhibited remarkable improvement of mechanical properties in both solid and melt states as compared with that of PBS matrix without clay.

  4. Microporous structure with layered interstitial surface treatment, and method and apparatus for preparation thereof

    NASA Technical Reports Server (NTRS)

    Koontz, Steven L. (Inventor)

    1992-01-01

    A microporous structure with layered interstitial surface treatments, and the method and apparatus for its preparation are disclosed. The structure is prepared by sequentially subjecting a uniformly surface treated structure to atomic oxygen treatment to remove an outer layer of surface treatment to a generally uniform depth, and then surface treating the so exposed layer with another surface treating agent. The atomic oxygen/surface treatment steps may optionally be repeated, each successive time to a lesser depth, to produce a microporous structure having multilayered surface treatments. The apparatus employs at least one side arm from a main oxygen-containing chamber. The side arm has characteristic relaxation times such that a uniform atomic oxygen dose rate is delivered to a specimen positioned transversely in the side arm spaced from the main gas chamber.

  5. Microporous structure with layered interstitial surface treatment, and method and apparatus for preparation thereof

    NASA Technical Reports Server (NTRS)

    Koontz, Steven L. (Inventor)

    1994-01-01

    A microporous structure with layered interstitial surface treatments, and method and apparatus for preparation thereof is presented. The structure is prepared by sequentially subjecting a uniformly surface-treated structure to atomic oxygen treatment to remove an outer layer of surface treatment to a generally uniform depth, and then surface treating the so exposed layer with another surface treating agent. The atomic oxygen/surface treatment steps may optionally be repeated, each successive time to a lesser depth, to produce a microporous structure having multilayered surface treatments. The apparatus employs at least one side arm from a main atomic oxygen-containing chamber. The side arm has characteristic relaxation times such that a uniform atomic oxygen dose rate is delivered to a specimen positioned transversely in the side arm spaced from the main gas chamber.

  6. Performance and temperature dependencies of proton irradiated n/p GaAs and n/p silicon cells

    NASA Technical Reports Server (NTRS)

    Weinberg, I.; Swartz, C. K.; Hart, R. E., Jr.

    1985-01-01

    The n/p homojunction GaAs cell is found to be more radiation resistant than p/nheteroface GaAs under 10 MeV proton irradiation. Both GaAs cell types outperform conventional silicon n/p cells under the same conditions. An increase temperature dependency of maximum power for the GaAs n/p cells is attributed largely to differences in Voc between the two GaAs cell types. These results and diffusion length considerations are consistent with the conclusion that p-type GaAs is more radiation resistant than n-type and therefore that the n/p configuration is possibly favored for use in the space radiation environment. However, it is concluded that additional work is required in order to choose between the two GaAs cell configurations.

  7. Preparation of low-sulfur platinum and platinum aluminide layers in thermal barrier coatings

    NASA Technical Reports Server (NTRS)

    Spitsberg, Irene T. (Inventor); Walston, William S. (Inventor); Schaeffer, Jon C. (Inventor)

    2003-01-01

    A method for preparing a coated nickel-base superalloy article reduces the sulfur content of the surface region of the metallic coating layers to low levels, thereby improving the adhesion of the coating layers to the article. The method includes depositing a first layer of platinum overlying the surface of a substrate, depositing a second layer of aluminum over the platinum, and final desulfurizing the article by heating the article to elevated temperature, preferably in hydrogen, and removing a small amount of material from the surface that was exposed during the step of heating. A ceramic layer may be deposited over the desulfurized article. The article may also be similarly desulfurized at other points in the fabrication procedure.

  8. GaAs Spectrometer for Electron Spectroscopy at Europa

    NASA Astrophysics Data System (ADS)

    Lioliou, G.; Barnett, A. M.

    2016-12-01

    We propose a GaAs based electron spectrometer for a hypothetical future mission orbiting Europa. Previous observations at Europa's South Pole with the Hubble Space Telescope of hydrogen Lyman-α and oxygen OI 130.4 nm emissions were consistent with water vapor plumes [Roth et al., 2014, Science 343, 171]. Future observations and analysis of plumes on Europa could provide information about its subsurface structure and the distribution of liquid water within its icy shells [Rhoden at al. 2015, Icarus 253, 169]. In situ low energy (1keV - 100keV) electron spectroscopy along with UV imaging either in situ or with the Hubble Space Telescope Wide Field Camera 3 or similar would allow verification of the auroral observations being due to electron impact excitation of water vapor plumes. The proposed spectrometer includes a novel GaAs p+-i-n+ photodiode and a custom-made charge-sensitive preamplifier. The use of an early prototype GaAs detector for direct electron spectroscopy has already been demonstrated in ground based applications [Barnett et al., 2012, J. Instrum. 7, P09012]. Based on previous radiation hardness measurements of GaAs, the expected duration of the mission without degradation of the detector performance is estimated to be 4 months. Simulations and laboratory experiments characterising the detection performance of the proposed system are presented.

  9. Improved resonance characteristics of GaAs beam resonators by epitaxially induced strain

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yamaguchi, H.; Onomitsu, K.; Kato, K.

    2008-06-23

    Micromechanical-beam resonators were fabricated using a strained GaAs film grown on relaxed In{sub 0.1}Ga{sub 0.9}As/In{sub 0.1}Al{sub 0.9}As buffer layers. The natural frequency of the fundamental mode was increased 2.5-4 times by applying tensile strain, showing good agreement with the model calculation assuming strain of 0.35% along the beam. In addition, the Q factor of 19 000 was obtained for the best sample, which is one order of magnitude higher than that for the unstrained resonator. This technique can be widely applied for improving the performance of resonator-based micro-/nanoelectromechanical devices.

  10. Photo-recovery of electron-irradiated GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Meulenberg, Andrew

    1995-01-01

    The first long-term (3000 hours) UV testing of unirradiated and 1 MeV electron-irradiated GaAs solar cells, with multilayer-coated coverslides to reduce solar array operating temperature, has produced some unexpected and important results. Two results, independent of the coverslide coatings, are of particular importance in terms of the predictability of GaAs solar-array lifetime in space: ( 1) The GaAs/Ge solar cells used for this series of tests displayed a much higher radiation degradation than that predicted based on JPL Solar Cell Radiation Handbook data. Covered cells degraded more in Isc than did bare cells. Short-term illumination at 60 C did not produce significant recovery (-1%) of the radiation damage. (2) However, electron radiation damage to these GaAs solar celIs anneals at 40 C when exposed to approximately 1 sun AM0 UV light sources for extended periods. The effect appears to be roughly linear with time (-1% of lsc per 1000 UVSH), is large (greater than or equal to 3%), and has not yet saturated (at 3000 hours). This photo-recovery of radiation damage to GaAs solar cells is a new effect and potentially important to the spacecraft community. The figure compares the effects of extended UV on irradiated and unirradiated GaAs solar cells with INTELSAT-6 Si cells. The effect and its generality, the extent of and conditions for photo-recovery, and the implications of such recovery for missions in radiation environments have not yet been determined.

  11. Defect interactions in GaAs single crystals

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.; Lagowski, J.

    1984-01-01

    The two-sublattice structural configuration of GaAs and deviations from stoichiometry render the generation and interaction of electrically active point defects (and point defect complexes) critically important for device applications and very complex. Of the defect-induced energy levels, those lying deep into the energy band are very effective lifetime ""killers". The level 0.82 eV below the condition band, commonly referred to as EL2, is a major deep level, particularly in melt-grown GaAs. This level is associated with an antisite defect complex (AsGa - VAS). Possible mechanisms of its formation and its annihilation were further developed.

  12. Upright and Inverted Single-Junction GaAs Solar Cells Grown by Hydride Vapor Phase Epitaxy

    DOE PAGES

    Simon, John; Schulte, Kevin L.; Jain, Nikhil; ...

    2016-10-19

    Hydride vapor phase epitaxy (HVPE) is a low-cost alternative to conventional metal-organic vapor phase epitaxy (MOVPE) growth of III-V solar cells. In this work, we show continued improvement of the performance of HVPE-grown single-junction GaAs solar cells. We show over an order of magnitude improvement in the interface recombination velocity between GaAs and GaInP layers through the elimination of growth interrupts, leading to increased short-circuit current density and open-circuit voltage compared with cells with interrupts. One-sun conversion efficiencies as high as 20.6% were achieved with this improved growth process. Solar cells grown in an inverted configuration that were removed frommore » the substrate showed nearly identical performance to on-wafer cells, demonstrating the viability of HVPE to be used together with conventional wafer reuse techniques for further cost reduction. As a result, these devices utilized multiple heterointerfaces, showing the potential of HVPE for the growth of complex and high-quality III-V devices.« less

  13. Surface reconstruction of GaAs(001) nitrided under the controlled As partial pressure [rapid communication

    NASA Astrophysics Data System (ADS)

    Imayoshi, Takahiro; Oigawa, Haruhiro; Shigekawa, Hidemi; Tokumoto, Hiroshi

    2003-08-01

    Under the controlled As partial pressure, the nitridation process of GaAs(0 0 1)-(2 × 4) surface was studied using a scanning tunneling microscope (STM) combined with an electron cyclotron resonance plasma-assisted molecular beam epitaxy system. With either prolonging the nitridation time or decreasing the As partial pressure, the previously reported (3 × 3) structure with two dimers per surface cell ((3 × 3)-2D) was found to progressively convert into a new (3 × 3) structure characterized by one dimer per surface cell ((3 × 3)-1D). Reversely the exposure to arsenic transformed the structure from (3 × 3)-1D to (3 × 3)-2D, suggesting that the topmost layer is composed of As 2-dimers. Based on these STM images together with the X-ray photoelectron spectroscopy data, we propose the new As 2-dimer coverage models to explain both (3 × 3)-1D and -2D structures involving the exchange reaction of arsenic with nitrogen in the subsurface region of GaAs.

  14. Improved interfacial and electrical properties of GaAs metal-oxide-semiconductor capacitors with HfTiON as gate dielectric and TaON as passivation interlayer

    NASA Astrophysics Data System (ADS)

    Wang, L. S.; Xu, J. P.; Zhu, S. Y.; Huang, Y.; Lai, P. T.

    2013-08-01

    The interfacial and electrical properties of sputtered HfTiON on sulfur-passivated GaAs with or without TaON as interfacial passivation layer (IPL) are investigated. Experimental results show that the GaAs metal-oxide-semiconductor capacitor with HfTiON/TaON stacked gate dielectric annealed at 600 °C exhibits low interface-state density (1.0 × 1012 cm-2 eV-1), small gate leakage current (7.3 × 10-5 A cm-2 at Vg = Vfb + 1 V), small capacitance equivalent thickness (1.65 nm), and large equivalent dielectric constant (26.2). The involved mechanisms lie in the fact that the TaON IPL can effectively block the diffusions of Hf, Ti, and O towards GaAs surface and suppress the formation of interfacial As-As bonds, Ga-/As-oxides, thus unpinning the Femi level at the TaON/GaAs interface and improving the interface quality and electrical properties of the device.

  15. Preparation and optical characteristics of layered perovskite-type lead-bromide-incorporated azobenzene chromophores

    NASA Astrophysics Data System (ADS)

    Sasai, Ryo; Shinomura, Hisashi

    2013-02-01

    Lead bromide-based layered perovskite powders with azobenzene derivatives were prepared by a homogeneous precipitation method. From the diffuse reflectance (DR) and photoluminescence (PL) spectra of the hybrid powder materials, the present hybrids exhibited sharp absorption and PL peaks originating from excitons produced in the PbBr42- layer. When the present hybrid powder was irradiated with UV light at 350 nm, the absorption band from the trans-azobenzene chromophore, observed around 350 nm, decreased, while the absorption band from the cis-azobenzene chromophore, observed around 450 nm, increased. These results indicate that azobenzene chromophores in the present hybrid materials exhibit reversible photoisomerization. Moreover, it was found that the PL intensity from the exciton also varied due to photoisomerization of the azobenzene chromophores in the present hybrid. Thus, for the first time we succeeded in preparing the azobenzene derivative lead-bromide-based layered perovskite with photochromism before and after UV light irradiation.

  16. Transient GaAs plasmonic metasurfaces at terahertz frequencies

    DOE PAGES

    Yang, Yuanmu; Kamaraju, N.; Campione, Salvatore; ...

    2016-12-09

    Here we demonstrate the ultrafast formation of terahertz (THz) metasurfaces through all-optical creation of spatially modulated carrier density profiles in a deep-subwavelength GaAs film. The switch-on of the transient plasmon mode, governed by the GaAs effective electron mass and electron–phonon interactions, is revealed by structured-optical pump THz probe spectroscopy, on a time scale of 500 fs. By modulating the carrier density using different pump fluences, we observe a wide tuning of the electric dipole resonance of the transient GaAs metasurface from 0.5 THz to 1.7 THz. Furthermore, we numerically demonstrate that the metasurface presented here can be generalized to moremore » complex architectures for realizing functionalities such as perfect absorption, leading to a 30 dB modulation depth. In conclusion, the platform also provides a pathway to achieve ultrafast manipulation of infrared beams in the linear and, potentially, nonlinear regime.« less

  17. GaAs QWIP Array Containing More Than a Million Pixels

    NASA Technical Reports Server (NTRS)

    Jhabvala, Murzy; Choi, K. K.; Gunapala, Sarath

    2005-01-01

    A 1,024 x 1,024-pixel array of quantum-well infrared photodetectors (QWIPs) has been built on a 1.8 x 1.8- cm GaAs chip. In tests, the array was found to perform well in detecting images at wavelengths from 8 to 9 m in operation at temperatures between 60 and 70 K. The largest-format QWIP prior array that performed successfully in tests contained 512 x 640 pixels. There is continuing development effort directed toward satisfying actual and anticipated demands to increase numbers of pixels and pixel sizes in order to increase the imaging resolution of infrared photodetector arrays. A 1,024 x 1,024-pixel and even larger formats have been achieved in the InSb and HgCdTe material systems, but photodetector arrays in these material systems are very expensive and manufactured by fewer than half a dozen large companies. In contrast, GaAs-photodetector-array technology is very mature, and photodetectors in the GaAs material system can be readily manufactured by a wide range of industrial technologists, by universities, and government laboratories. There is much similarity between processing in the GaAs industry and processing in the pervasive silicon industry. With respect to yield and cost, the performance of GaAs technology substantially exceeds that of InSb and HgCdTe technologies. In addition, GaAs detectors can be designed to respond to any portion of the wavelength range from 3 to about 16 micrometers - a feature that is very desirable for infrared imaging. GaAs QWIP arrays, like the present one, have potential for use as imaging sensors in infrared measuring instruments, infrared medical imaging systems, and infrared cameras.

  18. Strain relaxation in convex-graded InxAl1-xAs (x = 0.05-0.79) metamorphic buffer layers grown by molecular beam epitaxy on GaAs(001)

    NASA Astrophysics Data System (ADS)

    Solov'ev, V. A.; Chernov, M. Yu; Baidakova, M. V.; Kirilenko, D. A.; Yagovkina, M. A.; Sitnikova, A. A.; Komissarova, T. A.; Kop'ev, P. S.; Ivanov, S. V.

    2018-01-01

    This paper presents a study of structural properties of InGaAs/InAlAs quantum well (QW) heterostructures with convex-graded InxAl1-xAs (x = 0.05-0.79) metamorphic buffer layers (MBLs) grown by molecular beam epitaxy on GaAs substrates. Mechanisms of elastic strain relaxation in the convex-graded MBLs were studied by the X-ray reciprocal space mapping combined with the data of spatially-resolved selected area electron diffraction implemented in a transmission electron microscope. The strain relaxation degree was approximated for the structures with different values of an In step-back. Strong contribution of the strain relaxation via lattice tilt in addition to the formation of the misfit dislocations has been observed for the convex-graded InAlAs MBL, which results in a reduced threading dislocation density in the QW region as compared to a linear-graded MBL.

  19. Spin-lattice relaxation of optically polarized nuclei in p -type GaAs

    NASA Astrophysics Data System (ADS)

    Kotur, M.; Dzhioev, R. I.; Vladimirova, M.; Cherbunin, R. V.; Sokolov, P. S.; Yakovlev, D. R.; Bayer, M.; Suter, D.; Kavokin, K. V.

    2018-04-01

    Spin-lattice relaxation of the nuclear spin system in p -type GaAs is studied using a three-stage experimental protocol including optical pumping and measuring the difference of the nuclear spin polarization before and after a dark interval of variable length. This method allows us to measure the spin-lattice relaxation time T1 of optically pumped nuclei "in the dark," that is, in the absence of illumination. The measured T1 values fall into the subsecond time range, being three orders of magnitude shorter than in earlier studied n -type GaAs. The drastic difference is further emphasized by magnetic-field and temperature dependencies of T1 in p -GaAs, showing no similarity to those in n -GaAs. This unexpected behavior finds its explanation in the spatial selectivity of the optical pumping in p -GaAs, that is only efficient in the vicinity of shallow donors, together with the quadrupole relaxation of nuclear spins, which is induced by electric fields within closely spaced donor-acceptor pairs. The developed theoretical model explains the whole set of experimental results.

  20. Preparation of Ferroelectric Thin Films of Bismuth Layer Structured Compounds

    NASA Astrophysics Data System (ADS)

    Watanabe, Hitoshi; Mihara, Takashi; Yoshimori, Hiroyuki; Araujo, Carlos

    1995-09-01

    Ferroelectric thin films of bismuth layer structured compounds, SrBi2Ta2O9, SrBi2Nb2O9, SrBi4Ti4O15 and their solid solutions, were formed onto a sputtered platinum layer on a silicon substrate using spin-on technique and metal-organic decomposition (MOD) method. X-ray diffraction (XRD) analysis and some electrical measurements were performed on the prepared thin films. XRD results of SrBi2(Ta1- x, Nb x)2O9 films (0≤x≤1) showed that niobium ions substitute for tantalum ions in an arbitrary ratio without any change of the layer structure and lattice constants. Furthermore, XRD results of SrBi2 xTa2O9 films (0≤x≤1.5) indicated that the formation of the bismuth layer structure does not always require an accurate bismuth content. The layer structure was formed above 50% of the stoichiometric bismuth content in the general formula. SrBi2(Ta1- x, Nb x)2O9 films with various Ta/Nb ratios have large enough remanent polarization for nonvolatile memory application and have shown high fatigue resistance against 1011 cycles of full switching of the remanent polarization. Mixture films of the three compounds were also investigated.

  1. Implementation and Performance of GaAs Digital Signal Processing ASICs

    NASA Technical Reports Server (NTRS)

    Whitaker, William D.; Buchanan, Jeffrey R.; Burke, Gary R.; Chow, Terrance W.; Graham, J. Scott; Kowalski, James E.; Lam, Barbara; Siavoshi, Fardad; Thompson, Matthew S.; Johnson, Robert A.

    1993-01-01

    The feasibility of performing high speed digital signal processing in GaAs gate array technology has been demonstrated with the successful implementation of a VLSI communications chip set for NASA's Deep Space Network. This paper describes the techniques developed to solve some of the technology and implementation problems associated with large scale integration of GaAs gate arrays.

  2. New method for MBE growth of GaAs nanowires on silicon using colloidal Au nanoparticles

    NASA Astrophysics Data System (ADS)

    Bouravleuv, A.; Ilkiv, I.; Reznik, R.; Kotlyar, K.; Soshnikov, I.; Cirlin, G.; Brunkov, P.; Kirilenko, D.; Bondarenko, L.; Nepomnyaschiy, A.; Gruznev, D.; Zotov, A.; Saranin, A.; Dhaka, V.; Lipsanen, H.

    2018-01-01

    We present a new method for the deposition of colloidal Au nanoparticles on the surface of silicon substrates based on short-time Ar plasma treatment without the use of any polymeric layers. The elaborated method is compatible with molecular beam epitaxy, which allowed us to carry out the detailed study of GaAs nanowire synthesis on Si(111) substrates using colloidal Au nanoparticles as seeds for their growth. The results obtained elucidated the causes of the difference between the initial nanoparticle sizes and the diameters of the grown nanowires.

  3. Luminescence and Electroluminescence of Nd, Tm and Yb Doped GaAs and some II-Vi Compounds

    DTIC Science & Technology

    1994-02-28

    from the bandgap discontinuity (as was proposed in my publications [1,2]). Also, by using superlattice structure A1GaAs / GaAs: Er / AlGaAs, we could...n ipact ightemiting evic 10 3. The AlGaAs/GaAs: Er/A1GaAs superlattice structure. For the first time we designed the unipolar n’ - superlattice - n...structure as shown in Figure 5. The GaAs: Er/Alo.45Gao.55As superlattice was grown by MBE on an n’ GaAs: Si substrate. It consisted of 60 periods of

  4. Crystal growth of GaAs in space

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.; Lagowski, J.; Pawlowicz, L. M.; Dabkowski, F.; Li, C. J.

    1984-01-01

    It is shown that stoichiometry variations in the GaAs melt during growth constitute the most critical parameter regarding defect formations and their interactions; this defect structure determines all relevant characteristics of GaAs. Convection in the melt leads to stoichiometric variations. Growth in axial magnetic fields reduces convection and permits the study of defect structure. In order to control stoichiometry in space and to accommodate expansion during solidification, a partially confined configuration was developed. A triangular prism is employed to contain the growth melt. This configuration permits the presence of the desired vapor phase in contact with the melt for controlling the melt stoichiometry.

  5. Growth control and design principles of self-assembled quantum dot multiple layer structures for photodetector applications

    NASA Astrophysics Data System (ADS)

    Asano, Tetsuya

    -QDIP with detectivites > 1011 cmHz1/2/W that become competitive with other photodetector technologies in the mid IR (3 -- 5 mum) and long wavelength IR (8 -- 12 mum) ranges with the added advantage of materials stability and normal incidence sensitivity. Extended defect-free and size-uniform MQD structures of shallow InAs on GaAs (001) SAQDs capped with In0.15Ga0.85As strain relief layers and separated by GaAs spacer layer were grown up to 20 periods employing a judicious combination of MBE and migration enhanced epitaxy (MEE) techniques and examined by detailed transmission electron microscopy studies to reveal the absence of detectable extended defects (dislocation density < ˜ 107 /cm2). Photoluminescence studies revealed high optical quality. As our focus was on mid-infrared detectors, the MQD structures were grown in n (GaAs) -- i (MQD) -- n (GaAs) structures providing electron occupancy in at least the quantum confined ground energy states of the SAQDs and thus photodetection based upon transitions to electron excited states. Bias and temperature-dependent dark and photocurrent measurements were carried out for a variety of doping profiles and the electron density spatial distribution was determined from the resulting band bending profiles. It is revealed that almost no free electrons are present in the middle SAQD layers in the 10-period and 20-period n--i--n QDIP structures, indicating the existence of a high density (˜1015/cm3) of negative charges which can be attributed to electrons trapped in deep levels. To examine the nature of these deep traps, samples suitable for deep level transient spectroscopy measurement were synthesized and examined. These studies, carried out for the first time for SAQDs, revealed that the deep traps are dominantly present in the GaAs overgrowth layers grown at 500°C by MBE. For structures involving GaAs overgrowths using MEE at temperatures as low as 350°C, the deep trap density in the GaAs overgrowth layer was found to be

  6. A model for proton-irradiated GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Wilson, J. W.; Walker, G. H.; Outlaw, R. A.; Stock, L. V.

    1982-01-01

    A simple model for proton radiation damage in GaAs heteroface solar cells is developed. The model includes the effects of spatial nonuniformity of low energy proton damage. Agreement between the model and experimental proton damage data for GaAs heteroface solar cells is satisfactory. An extension of the model to include angular isotropy, as is appropriate for protons in space, is shown to result in significantly less cell damage than for normal proton incidence.

  7. High efficiency thin-film GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Stirn, R. J.

    1977-01-01

    Several oxidation techniques are discussed which have been found to increase the open circuit (V sub oc) of metal-GaAs Schottky barrier solar cells, the oxide chemistry, attempts to measure surface state parameters, the evolving characteristics of the solar cell as background contamination (has been decreased, but not eliminated), results of focused Nd/YAG laser beam recrystallization of Ge films evaporated onto tungsten, and studies of AMOS solar cells fabricated on sliced polycrystalline GaAs wafers. Also discussed are projected materials availability and costs for GaAs thin-film solar cells.

  8. Au-coated 3-D nanoporous titania layer prepared using polystyrene-b-poly(2-vinylpyridine) block copolymer nanoparticles.

    PubMed

    Shin, Won-Jeong; Basarir, Fevzihan; Yoon, Tae-Ho; Lee, Jae-Suk

    2009-04-09

    New nanoporous structures of Au-coated titania layers were prepared by using amphiphilic block copolymer nanoparticles as a template. A 3-D template composed of self-assembled quaternized polystyrene-b-poly(2-vinylpyridine) (Q-PS-b-P2VP) block copolymer nanoparticles below 100 nm was prepared. The core-shell-type nanoparticles were well ordered three-dimensionally using the vertical immersion method on the substrate. The polar solvents were added to the polymer solution to prevent particle merging at 40 degrees C when considering the interaction between polymer nanoparticles and solvents. Furthermore, Au-coated PS-b-P2VP nanoparticles were prepared using thiol-capped Au nanoparticles (3 nm). The 3-D arrays with Au-coated PS-b-P2VP nanoparticles as a template contributed to the preparation of the nanoporous Au-coated titania layer. Therefore, the nanoporous Au-coated titania layer was fabricated by removing PS-b-P2VP block copolymer nanoparticles by oxygen plasma etching.

  9. AC-coupled GaAs microstrip detectors with a new type of integrated bias resistors

    NASA Astrophysics Data System (ADS)

    Irsigler, R.; Geppert, R.; Göppert, R.; Hornung, M.; Ludwig, J.; Rogalla, M.; Runge, K.; Schmid, Th.; Söldner-Rembold, A.; Webel, M.; Weber, C.

    1998-02-01

    Full-size single-sided GaAs microstrip detectors with integrated coupling capacitors and bias resistors have been fabricated on 3″ substrate wafers. PECVD deposited SiO 2 and {SiO 2}/{Si 3N 4} layers were used to provide coupling capacitances of 32.5 and 61.6 pF/cm, respectively. The resistors are made of sputtered CERMET using simple lift of technique. The sheet resistivity of 78 kΩ/□ and the thermal coefficient of resistance of less than 4 × 10 -3/°C satisfy the demands of small area biasing resistors, working on a wide temperature range.

  10. Evaluation of GaAs low noise and power MMIC technologies to neutron, ionizing dose and dose rate effects

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Derewonko, H.; Bosella, A.; Pataut, G.

    1996-06-01

    An evaluation program of Thomson CSF-TCS GaAs low noise and power MMIC technologies to 1 MeV equivalent neutron fluence levels, up to 1 {times} 10{sup 15} n/cm{sup 2}, ionizing 1.17--1.33 MeV CO{sup 60} dose levels in excess of 200 Mrad(GaAs) and dose rate levels reaching 1.89 {times} 10{sup 11} rad(GaAs)/s is presented in terms of proper components and parameter choices, DC/RF electrical measurements and test methods under irradiation. Experimental results are explained together with drift analyses of electrical parameters that have determined threshold limits of component degradations. Modelling the effects of radiation on GaAs components relies on degradation analysis ofmore » active layer which appears to be the most sensitive factor. MMICs degradation under neutron fluence was simulated from irradiated FET data. Finally, based on sensitivity of technological parameters, rad-hard design including material, technology and MMIC design enhancement is discussed.« less

  11. Intrinsic radiation tolerance of ultra-thin GaAs solar cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hirst, L. C.; Yakes, M. K.; Warner, J. H.

    2016-07-18

    Radiation tolerance is a critical performance criterion of photovoltaic devices for space power applications. In this paper we demonstrate the intrinsic radiation tolerance of an ultra-thin solar cell geometry. Device characteristics of GaAs solar cells with absorber layer thicknesses 80 nm and 800 nm were compared before and after 3 MeV proton irradiation. Both cells showed a similar degradation in V{sub oc} with increasing fluence; however, the 80 nm cell showed no degradation in I{sub sc} for fluences up to 10{sup 14 }p{sup +} cm{sup −2}. For the same exposure, the I{sub sc} of the 800 nm cell had severely degraded leaving a remaining factor ofmore » 0.26.« less

  12. Spatial modulation of the Fermi level by coherent illumination of undoped GaAs

    NASA Astrophysics Data System (ADS)

    Nolte, D. D.; Olson, D. H.; Glass, A. M.

    1989-11-01

    The Fermi level in undoped GaAs has been modulated spatially by optically quenching EL2 defects. The spatial gradient of the Fermi level produces internal electric fields that are much larger than fields generated by thermal diffusion alone. The resulting band structure is equivalent to a periodic modulation-doped p-i-p structure of alternating insulating and p-type layers. The internal fields are detected via the electro-optic effect by the diffraction of a probe laser in a four-wave mixing geometry. The direct control of the Fermi level distinguishes this phenomenon from normal photorefractive behavior and introduces a novel nonlinear optical process.

  13. The horizontal brain slice preparation: a novel approach for visualizing and recording from all layers of the tadpole tectum.

    PubMed

    Hamodi, Ali S; Pratt, Kara G

    2015-01-01

    The Xenopus tadpole optic tectum is a multisensory processing center that receives direct visual input as well as nonvisual mechanosensory input. The tectal neurons that comprise the optic tectum are organized into layers. These neurons project their dendrites laterally into the neuropil where visual inputs target the distal region of the dendrite and nonvisual inputs target the proximal region of the same dendrite. The Xenopus tadpole tectum is a popular model to study the development of sensory circuits. However, whole cell patch-clamp electrophysiological studies of the tadpole tectum (using the whole brain or in vivo preparations) have focused solely on the deep-layer tectal neurons because only neurons of the deep layer are visible and accessible for whole cell electrophysiological recordings. As a result, whereas the development and plasticity of these deep-layer neurons has been well-studied, essentially nothing has been reported about the electrophysiology of neurons residing beyond this layer. Hence, there exists a large gap in our understanding about the functional development of the amphibian tectum as a whole. To remedy this, we developed a novel isolated brain preparation that allows visualizing and recording from all layers of the tectum. We refer to this preparation as the "horizontal brain slice preparation." Here, we describe the preparation method and illustrate how it can be used to characterize the electrophysiology of neurons across all of the layers of the tectum as well as the spatial pattern of synaptic input from the different sensory modalities. Copyright © 2015 the American Physiological Society.

  14. Valence-band-edge shift due to doping in p + GaAs

    NASA Astrophysics Data System (ADS)

    Silberman, J. A.; de Lyon, T. J.; Woodall, J. M.

    1991-05-01

    Accurate knowledge of the shifts in valence- and conduction-band edges due to heavy doping effects is crucial in modeling GaAs device structures that utilize heavily doped layers. X-ray photoemission spectroscopy was used to deduce the shift in the valence-band-edge induced by carbon (p type) doping to a carrier density of 1×1020 cm-3 based on a determination of the bulk binding energy of the Ga and As core levels in this material. Analysis of the data indicates that the shift of the valence-band maximum into the gap and the penetration of the Fermi level into the valence bands exactly compensate at this degenerate carrier concentration, to give ΔEv =0.12±0.05 eV.

  15. Homojunction GaAs solar cells grown by close space vapor transport

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Boucher, Jason W.; Ritenour, Andrew J.; Greenaway, Ann L.

    2014-06-08

    We report on the first pn junction solar cells grown by homoepitaxy of GaAs using close space vapor transport (CSVT). Cells were grown both on commercial wafer substrates and on a CSVT absorber film, and had efficiencies reaching 8.1%, open circuit voltages reaching 909 mV, and internal quantum efficiency of 90%. The performance of these cells is partly limited by the electron diffusion lengths in the wafer substrates, as evidenced by the improved peak internal quantum efficiency in devices fabricated on a CSVT absorber film. Unoptimized highly-doped n-type emitters also limit the photocurrent, indicating that thinner emitters with reduced doping,more » and ultimately wider band gap window or surface passivation layers, are required to increase the efficiency.« less

  16. DFT algorithms for bit-serial GaAs array processor architectures

    NASA Technical Reports Server (NTRS)

    Mcmillan, Gary B.

    1988-01-01

    Systems and Processes Engineering Corporation (SPEC) has developed an innovative array processor architecture for computing Fourier transforms and other commonly used signal processing algorithms. This architecture is designed to extract the highest possible array performance from state-of-the-art GaAs technology. SPEC's architectural design includes a high performance RISC processor implemented in GaAs, along with a Floating Point Coprocessor and a unique Array Communications Coprocessor, also implemented in GaAs technology. Together, these data processors represent the latest in technology, both from an architectural and implementation viewpoint. SPEC has examined numerous algorithms and parallel processing architectures to determine the optimum array processor architecture. SPEC has developed an array processor architecture with integral communications ability to provide maximum node connectivity. The Array Communications Coprocessor embeds communications operations directly in the core of the processor architecture. A Floating Point Coprocessor architecture has been defined that utilizes Bit-Serial arithmetic units, operating at very high frequency, to perform floating point operations. These Bit-Serial devices reduce the device integration level and complexity to a level compatible with state-of-the-art GaAs device technology.

  17. Si and GaAs photocapacitive MIS infrared detectors

    NASA Technical Reports Server (NTRS)

    Sher, A.; Tsuo, Y. H.; Moriarty, J. A.; Miller, W. E.; Crouch, R. K.

    1980-01-01

    Improvement of the previously reported photocapacitive MIS infrared detectors has led to the development of exceptional room-temperature devices. Unoptimized peak detectivities on the order of 10 to the 13th cm sq rt Hz/W, a value which exceeds the best obtainable from existing solid-state detectors, have now been consistently obtained in Si and GaAs devices using high-capacitance LaF3 or composite LaF3/native-oxide insulating layers. The measured spectral response of representative samples is presented and discussed in detail together with a simple theory which accounts for the observed behavior. The response of an ideal MIS photocapacitor is also contrasted with that of both a conventional photoconductor and a p-i-n photodiode, and reasons for the superior performance of the MIS detectors are given. Finally, fundamental studies on the electrical, optical, and noise characteristics of the MIS structures are analyzed and discussed in the context of infrared-detector applications.

  18. High-efficiency, radiation-resistant GaAs space cells

    NASA Technical Reports Server (NTRS)

    Bertness, K. A.; Ristow, M. Ladle; Grounner, M.; Kuryla, M. S.; Werthen, J. G.

    1991-01-01

    Although many GaAs solar cells are intended for space applicatons, few measurements of cell degradation after radiation are available, particularly for cells with efficiencies exceeding 20 percent (one-sun, AMO). Often the cell performance is optimized for the highest beginning-of-life (BOL) efficiency, despite the unknown effect of such design on end-of-life (EOL) efficiencies. The results of a study of the radiation effects on p-n GaAs cells are presented. The EOL efficiency of GaAs space cell can be increased by adjusting materials growth parameters, resulting in a demonstration of 16 percent EOL efficiency at one-sun, AMO. Reducing base doping levels to below 3 x 10(exp 17)/cu m and decreasing emitter thickness to 0.3 to 0.5 micron for p-n cells led to significant improvements in radiation hardness as measured by EOL/BOL efficiency ratios for irradiation of 10(exp -15)/sq cm electrons at 1 MeV. BOL efficiency was not affected by changes in emitter thickness but did improve with lower base doping.

  19. Reduced interface spin polarization by antiferromagnetically coupled Mn segregated to the C o2MnSi /GaAs (001) interface

    NASA Astrophysics Data System (ADS)

    Rath, Ashutosh; Sivakumar, Chockalingam; Sun, C.; Patel, Sahil J.; Jeong, Jong Seok; Feng, J.; Stecklein, G.; Crowell, Paul A.; Palmstrøm, Chris J.; Butler, William H.; Voyles, Paul M.

    2018-01-01

    We have investigated the interfacial structure and its correlation with the calculated spin polarization in C o2MnSi /GaAs(001) lateral spin valves. C o2MnSi (CMS) films were grown on As-terminated c(4 ×4 ) GaAs(100) by molecular beam epitaxy using different first atomic layers: MnSi, Co, and Mn. Atomically resolved Z -contrast scanning transmission electron microscopy (STEM) imaging and electron energy loss spectroscopy (EELS) were used to develop atomic structural models of the CMS/GaAs interfaces that were used as inputs for first-principles calculations to understand the magnetic and electronic properties of the interface. First-principles structures were relaxed and then validated by comparing experimental and simulated high-resolution STEM images. STEM-EELS results show that all three films have similar six atomic layer thick, Mn- and As-rich multilayer interfaces. However, the Co-initiated interface contains a M n2As -like layer, which is antiferromagnetic, and which is not present in the other two interfaces. Density functional theory calculations show a higher degree of interface spin polarization in the Mn- and MnSi-initiated cases, compared to the Co-initiated case, although none of the interfaces are half-metallic. The loss of half-metallicity is attributed, at least in part, to the segregation of Mn at the interface, which leads to the formation of interface states. The implications for the performance of lateral spin valves based on these interfaces are discussed briefly.

  20. Terahertz-radiation generation and detection in low-temperature-grown GaAs epitaxial films on GaAs (100) and (111)A substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Galiev, G. B.; Pushkarev, S. S., E-mail: s-s-e-r-p@mail.ru; Buriakov, A. M.

    The efficiency of the generation and detection of terahertz radiation in the range up to 3 THz by LT-GaAs films containing equidistant Si doping δ layers and grown by molecular beam epitaxy on GaAs (100) and (111)Ga substrates is studied by terahertz spectroscopy. Microstrip photoconductive antennas are fabricated on the film surface. Terahertz radiation is generated by exposure of the antenna gap to femtosecond optical laser pulses. It is shown that the intensity of terahertz radiation from the photoconductive antenna on LT-GaAs/GaAs (111)Ga is twice as large as the intensity of a similar antenna on LT-GaAs/GaAs(100) and the sensitivity ofmore » the antenna on LT-GaAs/GaAs (111)Ga as a terahertz-radiation detector exceeds that of the antenna on LT-GaAs/GaAs(100) by a factor of 1.4.« less

  1. Influence of GaAs substrate properties on the congruent evaporation temperature

    NASA Astrophysics Data System (ADS)

    Spirina, A. A.; Nastovjak, A. G.; Shwartz, N. L.

    2018-03-01

    High-temperature annealing of GaAs(111)A and GaAs(111)B substrates under Langmuir evaporation conditions was studied using Monte Carlo simulation. The maximal value of the congruent evaporation temperature was estimated. The congruent evaporation temperature was demonstrated to be dependent on the surface orientation and concentration of surface defects.

  2. Self-assembled InAs quantum dot formation on GaAs ring-like nanostructure templates

    PubMed Central

    Strom, NW; Wang, Zh M; AbuWaar, ZY; Mazur, Yu I; Salamo, GJ

    2007-01-01

    The evolution of InAs quantum dot (QD) formation is studied on GaAs ring-like nanostructures fabricated by droplet homo-epitaxy. This growth mode, exclusively performed by a hybrid approach of droplet homo-epitaxy and Stransky-Krastanor (S-K) based QD self-assembly, enables one to form new QD morphologies that may find use in optoelectronic applications. Increased deposition of InAs on the GaAs ring first produced a QD in the hole followed by QDs around the GaAs ring and on the GaAs (100) surface. This behavior indicates that the QDs prefer to nucleate at locations of high monolayer (ML) step density.

  3. GaAs quantum dots in a GaP nanowire photodetector

    NASA Astrophysics Data System (ADS)

    Kuyanov, P.; McNamee, S. A.; LaPierre, R. R.

    2018-03-01

    We report the structural, optical and electrical properties of GaAs quantum dots (QDs) embedded along GaP nanowires. The GaP nanowires contained p-i-n junctions with 15 consecutively grown GaAs QDs within the intrinsic region. The nanowires were grown by molecular beam epitaxy using the self-assisted vapor-liquid-solid process. The crystal structure of the NWs alternated between twinned ZB and WZ as the composition along the NW alternated between the GaP barriers and the GaAs QDs, respectively, leading to a polytypic structure with a periodic modulation of the NW sidewall facets. Photodetector devices containing QDs showed absorption beyond the bandgap of GaP in comparison to nanowires without QDs. Voltage-dependent measurements suggested a field emission process of carriers from the QDs.

  4. GaAs optoelectronic neuron arrays

    NASA Technical Reports Server (NTRS)

    Lin, Steven; Grot, Annette; Luo, Jiafu; Psaltis, Demetri

    1993-01-01

    A simple optoelectronic circuit integrated monolithically in GaAs to implement sigmoidal neuron responses is presented. The circuit integrates a light-emitting diode with one or two transistors and one or two photodetectors. The design considerations for building arrays with densities of up to 10,000/sq cm are discussed.

  5. Preparation of multi-layer film consisting of hydrogen-free DLC and nitrogen-containing DLC for conductive hard coating

    NASA Astrophysics Data System (ADS)

    Iijima, Yushi; Harigai, Toru; Isono, Ryo; Degai, Satoshi; Tanimoto, Tsuyoshi; Suda, Yoshiyuki; Takikawa, Hirofumi; Yasui, Haruyuki; Kaneko, Satoru; Kunitsugu, Shinsuke; Kamiya, Masao; Taki, Makoto

    2018-01-01

    Conductive hard-coating films have potential application as protective films for contact pins used in the electrical inspection process for integrated circuit chips. In this study, multi-layer diamond-like carbon (DLC) films were prepared as conductive hard-coating films. The multi-layer DLC films consisting of DLC and nitrogen-containing DLC (N-DLC) film were prepared using a T-shape filtered arc deposition method. Periodic DLC/N-DLC four-layer and eight-layer films had the same film thickness by changing the thickness of each layer. In the ball-on-disk test, the N-DLC mono-layer film showed the highest wear resistance; however, in the spherical polishing method, the eight-layer film showed the highest polishing resistance. The wear and polishing resistance and the aggressiveness against an opponent material of the multi-layer DLC films improved by reducing the thickness of a layer. In multi-layer films, the soft N-DLC layer between hard DLC layers is believed to function as a cushion. Thus, the tribological properties of the DLC films were improved by a multi-layered structure. The electrical resistivity of multi-layer DLC films was approximately half that of the DLC mono-layer film. Therefore, the periodic DLC/N-DLC eight-layer film is a good conductive hard-coating film.

  6. Investigation of high efficiency GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Olsen, Larry C.; Dunham, Glen; Addis, F. W.; Huber, Dan; Linden, Kurt

    1989-01-01

    Investigations of basic mechanisms which limit the performance of high efficiency GaAs solar cells are discussed. P/N heteroface structures have been fabricated from MOCVD epiwafers. Typical AM1 efficiencies are in the 21 to 22 percent range, with a SERI measurement for one cell being 21.5 percent. The cells are nominally 1.5 x 1.5 cm in size. Studies have involved photoresponse, T-I-V analyses, and interpretation of data in terms of appropriate models to determine key cell parameters. Results of these studies are utilized to determine future approaches for increasing GaAs solar cell efficiencies.

  7. Electronic Properties of Grain Boundaries in GaAs: A Study of Oriented Bicrystals Prepared by Epitaxial Lateral Overgrowth.

    DTIC Science & Technology

    1984-05-10

    overgrowth from a spoke 90 pattern of radial stripe openings at 1 intervals on an Si0 2 coated (110) surface. Bright regions are GaAs and dark regions are Si0...the dark current for such an ideal device is given by Idark - Io[exp(eVbi/AokT) - 1] , (11-l) where Io is a proportionality constant describing the...recombination and leakage currents which contribute to an increased dark current. The value of Voc is determined by the built-in junction barrier height and the

  8. Iron silicide formation at different layers of (Fe/Si)3 multilayered structures determined by conversion electron Mössbauer spectroscopy

    NASA Astrophysics Data System (ADS)

    Badía-Romano, L.; Rubín, J.; Magén, C.; Bürgler, D. E.; Bartolomé, J.

    2014-07-01

    The morphology and the quantitative composition of the Fe-Si interface layer forming at each Fe layer of a (Fe/Si)3 multilayer have been determined by means of conversion electron Mössbauer spectroscopy (CEMS) and high-resolution transmission electron microscopy (HRTEM). For the CEMS measurements, each layer was selected by depositing the Mössbauer active 57Fe isotope with 95% enrichment. Samples with Fe layers of nominal thickness dFe = 2.6 nm and Si spacers of dSi = 1.5 nm were prepared by thermal evaporation onto a GaAs(001) substrate with an intermediate Ag(001) buffer layer. HRTEM images showed that Si layers grow amorphous and the epitaxial growth of the Fe is good only for the first deposited layer. The CEMS spectra show that at all Fe/Si and Si/Fe interfaces a paramagnetic c-Fe1-xSi phase is formed, which contains 16% of the nominal Fe deposited in the Fe layer. The bottom Fe layer, which is in contact with the Ag buffer, also contains α-Fe and an Fe1-xSix alloy that cannot be attributed to a single phase. In contrast, the other two layers only comprise an Fe1-xSix alloy with a Si concentration of ≃0.15, but no α-Fe.

  9. Response of GaAs charge storage devices to transient ionizing radiation

    NASA Astrophysics Data System (ADS)

    Hetherington, D. L.; Klem, J. F.; Hughes, R. C.; Weaver, H. T.

    Charge storage devices in which non-equilibrium depletion regions represent stored charge are sensitive to ionizing radiation. This results since the radiation generates electron-hole pairs that neutralize excess ionized dopant charge. Silicon structures, such as dynamic RAM or CCD cells are particularly sensitive to radiation since carrier diffusion lengths in this material are often much longer than the depletion width, allowing collection of significant quantities of charge from quasi-neutral sections of the device. For GaAs the situation is somewhat different in that minority carrier diffusion lengths are shorter than in silicon, and although mobilities are higher, we expect a reduction of radiation sensitivity as suggested by observations of reduced quantum efficiency in GaAs solar cells. Dynamic memory cells in GaAs have potential increased retention times. In this paper, we report the response of a novel GaAs dynamic memory element to transient ionizing radiation. The charge readout technique is nondestructive over a reasonable applied voltage range and is more sensitive to stored charge than a simple capacitor.

  10. An evaluation of smear layer with various desensitizing agents after tooth preparation.

    PubMed

    Zaimoglu, A; Aydin, A K

    1992-09-01

    According to hydrodynamics, any agent blocking the dentinal tubules reduces the flow of fluids and diminishes hypersensitivity. The properties of the desensitizing agents that sponsor tubular occlusion and the barrier efficiency resulting from the interaction of the smear layer with test materials were examined with the scanning electron microscope and energy-dispersive x-ray microanalysis. Selected dentinal desensitizing was accomplished with burnishing procedures, cavity varnish, calcium hydroxide, and topical fluoride. Subjective evaluations were also recorded clinically after tooth preparation. This investigation indicated that the smear layer did not protect against zinc phosphate cement, and that cavity varnish prevented the formation of the smear plugs. The smear layer and plugs were basically composed of calcium and phosphorus, the major ingredients of dentin.

  11. Crystal growth of device quality GaAs in space

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.; Lagowski, J.

    1985-01-01

    The present program has been aimed at solving the fundamental and technological problems associated with Crystal Growth of Device Quality in Space. The initial stage of the program was devoted strictly to ground-based research. The unsolved problems associated with the growth of bulk GaAs in the presence of gravitational forces were explored. Reliable chemical, structural and electronic characterization methods were developed which would permit the direct relation of the salient materials parameters (particularly those affected by zero gravity conditions) to the electronic characteristics of single crystal GaAs, in turn to device performance. These relationships are essential for the development of optimum approaches and techniques. It was concluded that the findings on elemental semiconductors Ge and Si regarding crystal growth, segregation, chemical composition, defect interactions, and materials properties-electronic properties relationships are not necessarily applicable to GaAs (and to other semiconductor compounds). In many instances totally unexpected relationships were found to prevail.

  12. GaAs High Breakdown Voltage Front and Back Side Processed Schottky Detectors for X-Ray Detection

    DTIC Science & Technology

    2007-11-01

    front and back side processed, unintentionally doped bulk gallium -arsenic (GaAs) Schottky detectors and determined that GaAs detectors with a large...a few materials that fulfill these requirements are gallium -arsenic (GaAs) and cadmium-zinc-tellurium (CdZnTe or CZT). They are viable alternative...Whitehill, C.; Pospíšil, S.; Wilhem, I.; Doležal, Z.; Juergensen, H.; Heuken, M. Development of low-pressure vapour -phase epitaxial GaAs for medical imaging

  13. Room temperature lasing of GaAs quantum wire vertical-cavity surface-emitting lasers grown on (7 7 5) B GaAs substrates by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Higuchi, Y.; Osaki, S.; Kitada, T.; Shimomura, S.; Takasuka, Y.; Ogura, M.; Hiyamizu, S.

    2006-06-01

    Self-organized GaAs/(GaAs) 4(AlAs) 2 quantum wires (QWRs) grown on (7 7 5) B-oriented GaAs substrates by molecular beam epitaxy have been applied to an active region of vertical-cavity surface-emitting lasers (VCSELs). The (7 7 5) B GaAs QWR-VCSEL with an aperture diameter of 3 μm lased at a wavelength of 765 nm with a threshold current of 0.38 mA at room temperature. This is the first demonstration of laser operation of the QWR-VCSEL by current injection. The light output was linearly polarized in the direction parallel to the QWRs due to the optical anisotropy of the self-organized (7 7 5) B GaAs QWRs.

  14. Low-temperature photoluminescence study of thin epitaxial GaAs films on Ge substrates

    NASA Astrophysics Data System (ADS)

    Brammertz, Guy; Mols, Yves; Degroote, Stefan; Motsnyi, Vasyl; Leys, Maarten; Borghs, Gustaaf; Caymax, Matty

    2006-05-01

    Thin epitaxial GaAs films, with thickness varying from 140 to 1000 nm and different Si doping levels, were grown at 650 °C by organometallic vapor phase epitaxy on Ge substrates and analyzed by low-temperature photoluminescence (PL) spectroscopy. All spectra of thin GaAs on Ge show two different structures, one narrow band-to-band (B2B) structure at an energy of ~1.5 eV and a broad inner-band-gap (IB) structure at an energy of ~1.1 eV. Small strain in the thin GaAs films causes the B2B structure to be separated into a light-hole and a heavy-hole peak. At 2.5 K the good structural quality of the thin GaAs films on Ge can be observed from the narrow excitonic peaks. Peak widths of less than 1 meV are measured. GaAs films with thickness smaller than 200 nm show B2B PL spectra with characteristics of an n-type doping level of approximately 1018 at./cm3. This is caused by heavy Ge diffusion from the substrate into the GaAs at the heterointerface between the two materials. The IB structure observed in all films consists of two Gaussian peaks with energies of 1.04 and 1.17 eV. These deep trapping states arise from Ge-based complexes formed within the GaAs at the Ge-GaAs heterointerface, due to strong diffusion of Ge atoms into the GaAs. Because of similarities with Si-based complexes, the peak at 1.04 eV was identified to be due to a GeGa-GeAs complex, whereas the peak at 1.17 eV was attributed to the GeGa-VGa complex. The intensity of the IB structure decreases strongly as the GaAs film thickness is increased. PL intensity of undoped GaAs films containing antiphase domains (APDs) is four orders of magnitude lower than for similar films without APDs. This reduction in intensity is due to the electrically active Ga-Ga and As-As bonds at the boundaries between the different APDs. When the Si doping level is increased, the PL intensity of the APD-containing films is increased again as well. A film containing APDs with a Si doping level of ~1018 at./cm3 has only a factor 10

  15. Effect of growth temperature on closely lattice-matched GaAsSbN intrinsic layer for GaAs-based 1.3 {mu}m p-i-n photodetector

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wicaksono, S.; Yoon, S.F.; Loke, W.K.

    2006-05-15

    GaAsSbN layers closely lattice-matched to GaAs were studied for application as the intrinsic layer in GaAs-based 1.3 {mu}m p-i-n photodetector. The GaAsSbN was grown as the intrinsic layer for the GaAs/GaAsSbN/GaAs photodetector structure using solid-source molecular beam epitaxy in conjunction with a radio frequency plasma-assisted nitrogen source and valved antimony cracker source. The lattice mismatch of the GaAsSbN layer to GaAs was kept below 4000 ppm, which is sufficient to maintain coherent growth of {approx}0.45 {mu}m thick GaAsSbN on the GaAs substrate. The growth temperature of the GaAsSbN layer was varied from 420-480 deg. C. All samples exhibit room temperaturemore » photocurrent response in the 1.3 {mu}m wavelength region, with dark current density of {approx}0.3-0.5 mA/cm{sup 2} and responsivity of up to 33 mA/W at 2 V reverse bias. Reciprocal space maps reveal traces of point defects and segregation (clustering) of N and Sb, which may have a detrimental effect on the photocurrent responsivity.« less

  16. Comparison of the reactivity of alkyl and alkyl amine precursors with native oxide GaAs(100) and InAs(100) surfaces

    NASA Astrophysics Data System (ADS)

    Henegar, A. J., , Dr.; Gougousi, T., , Prof.

    2016-12-01

    In this manuscript we compare the interaction of alkyl (trimethyl aluminum) and alkyl amine (tetrakis dimethylamino titanium) precursors during thermal atomic layer deposition with III-V native oxides. For that purpose we deposit Al2O3 and TiO2, using H2O as the oxidizer, on GaAs(100) and InAs(100) native oxide surfaces. We find that there are distinct differences in the behavior of the two films. For the Al2O3 ALD very little native oxide removal happens after the first few ALD cycles while the interaction of the alkyl amine precursor for TiO2 and the native oxides continues well after the surface has been covered with 2 nm of TiO2. This difference is traced to the superior properties of Al2O3 as a diffusion barrier. Differences are also found in the behavior of the arsenic oxides of the InAs and GaAs substrates. The arsenic oxides from the InAs surface are found to mix more efficiently in the growing dielectric film than those from the GaAs surface. This difference is attributed to lower native oxide stability as well as an initial diffusion path formation by the indium oxides.

  17. Optical properties of beryllium-doped GaSb epilayers grown on GaAs substrate

    NASA Astrophysics Data System (ADS)

    Deng, Zhuo; Chen, Baile; Chen, Xiren; Shao, Jun; Gong, Qian; Liu, Huiyun; Wu, Jiang

    2018-05-01

    In this work, the effects of p-type beryllium (Be) doping on the optical properties of GaSb epilayers grown on GaAs substrate by Molecular Beam Epitaxy (MBE) have been studied. Temperature- and excitation power-dependent photoluminescence (PL) measurements were performed on both nominally undoped and intentionally Be-doped GaSb layers. Clear PL emissions are observable even at the temperature of 270 K from both layers, indicating the high material quality. In the Be-doped GaSb layer, the transition energies of main PL features exhibit red-shift up to ∼7 meV, and the peak widths characterized by Full-Width-at-Half-Maximum (FWHM) also decrease. In addition, analysis on the PL integrated intensity in the Be-doped sample reveals a gain of emission signal, as well as a larger carrier thermal activation energy. These distinctive PL behaviors identified in the Be-doped GaSb layer suggest that the residual compressive strain is effectively relaxed in the epilayer, due possibly to the reduction of dislocation density in the GaSb layer with the intentional incorporation of Be dopants. Our results confirm the role of Be as a promising dopant in the improvement of crystalline quality in GaSb, which is a crucial factor for growth and fabrication of high quality strain-free GaSb-based devices on foreign substrates.

  18. Maskless micro/nanofabrication on GaAs surface by friction-induced selective etching

    PubMed Central

    2014-01-01

    In the present study, a friction-induced selective etching method was developed to produce nanostructures on GaAs surface. Without any resist mask, the nanofabrication can be achieved by scratching and post-etching in sulfuric acid solution. The effects of the applied normal load and etching period on the formation of the nanostructure were studied. Results showed that the height of the nanostructure increased with the normal load or the etching period. XPS and Raman detection demonstrated that residual compressive stress and lattice densification were probably the main reason for selective etching, which eventually led to the protrusive nanostructures from the scratched area on the GaAs surface. Through a homemade multi-probe instrument, the capability of this fabrication method was demonstrated by producing various nanostructures on the GaAs surface, such as linear array, intersecting parallel, surface mesas, and special letters. In summary, the proposed method provided a straightforward and more maneuverable micro/nanofabrication method on the GaAs surface. PMID:24495647

  19. Weakly doped InP layers prepared by liquid phase epitaxy using a modulated cooling rate

    NASA Astrophysics Data System (ADS)

    Krukovskyi, R.; Mykhashchuk, Y.; Kost, Y.; Krukovskyi, S.; Saldan, I.

    2017-04-01

    Epitaxial structures based on InP are widely used to manufacture a number of devices such as microwave transistors, light-emitting diodes, lasers and Gunn diodes. However, their temporary instability caused by heterogeneity of resistivity along the layer thickness and the influence of various external or internal factors prompts the need for the development of a new reliable technology for their preparation. Weak doping by Yb, Al and Sn together with modulation of the cooling rate applied to prepare InP epitaxial layers is suggested to be adopted within the liquid phase epitaxy (LPE) method. The experimental results confirm the optimized conditions created to get a uniform electron concentration in the active n-InP layer. A sharp profile of electron concentration in the n+-InP(substrate)/n-InP/n+-InP epitaxial structure was observed experimentally at the proposed modulated cooling rate of 0.3 °С-1.5 °С min-1. The proposed technological method can be used to control the electrical and physical properties of InP epitaxial layers to be used in Gunn diodes.

  20. Performance and temperature dependencies of proton irradiated n/p and p/n GaAs and n/p silicon cells

    NASA Technical Reports Server (NTRS)

    Weinberg, I.; Swartz, C. K.; Hart, R. E., Jr.

    1985-01-01

    n/p homojunction GaAs cells are found to be more radiation resistant than p/n heteroface GaAs under 10 MeV proton irradiation. Both GaAs cell types outperform conventional silicon n/p cells under the same conditions. An increased temperature dependency of maximum power for the GaAs n/p cells is attributed to differences in Voc between the two GaAs cell types. These results and diffusion length considerations are consistent with the conclusion that p-type GaAs is more radiation resistant than n-type and therefore that the n/p configuration is possibly favored for use in the space radiation environment. However, it is concluded that additional work is required in order to choose between the two GaAs cell configurations.

  1. Passivation of oxide traps and interface states in GaAs metal-oxide-semiconductor capacitor by LaTaON passivation layer and fluorine incorporation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, L. N.; Choi, H. W.; Lai, P. T., E-mail: laip@eee.hku.hk

    2015-11-23

    GaAs metal-oxide-semiconductor capacitor with TaYON/LaTaON gate-oxide stack and fluorine-plasma treatment is fabricated and compared with its counterparts without the LaTaON passivation interlayer or the fluorine treatment. Experimental results show that the sample exhibits better characteristics: low interface-state density (8 × 10{sup 11 }cm{sup −2}/eV), small flatband voltage (0.69 V), good capacitance-voltage behavior, small frequency dispersion, and small gate leakage current (6.35 × 10{sup −6} A/cm{sup 2} at V{sub fb} + 1 V). These should be attributed to the suppressed growth of unstable Ga and As oxides on the GaAs surface during gate-oxide annealing by the LaTaON interlayer and fluorine incorporation, and the passivating effects of fluorine atoms on the acceptor-likemore » interface and near-interface traps.« less

  2. GaAs laser diode pumped Nd:YAG laser

    NASA Technical Reports Server (NTRS)

    Conant, L. C.; Reno, C. W.

    1974-01-01

    A 1.5-mm by 3-cm neodymium-ion doped YAG laser rod has been side pumped using a GaAs laser diode array tuned to the 8680-A absorption line, achieving a multimode average output power of 120 mW for a total input power of 20 W to the final-stage laser diode drivers. The pumped arrangement was designed to take advantage of the high brightness of a conventional GaAs array as a linear source by introducing the pump light through a slit into a close-wrapped gold coated pump cavity. This cavity forms an integrating chamber for the pump light.

  3. Applicability of preparative overpressured layer chromatography and direct bioautography in search of antibacterial chamomile compounds.

    PubMed

    Móricz, Agnes M; Ott, Péter G; Alberti, Agnes; Böszörményi, Andrea; Lemberkovics, Eva; Szoke, Eva; Kéry, Agnes; Mincsovics, Emil

    2013-01-01

    In situ sample preparation and preparative overpressured layer chromatography (OPLC) fractionation on a 0.5 mm thick adsorbent layer of chamomile flower methanol extract prepurified by conventional gravitation accelerated column chromatography were applied in searching for bioactive components. Sample cleanup in situ on the adsorbent layer subsequent to sample application was performed using mobile phase flow in the opposite direction (the input and output of the eluent was exchanged). The antibacterial effect of the fractions obtained from the stepwise gradient OPLC separation with the flow in the normal direction was evaluated by direct bioautography against two Gram-negative bacteria: the luminescence gene tagged plant pathogenic Pseudomonas syringae pv. maculicola, and the naturally luminescent marine bacterium Vibrio fischeri. The fractions having strong activity were analyzed by SPME-GC/MS and HPLC/MS/MS. Mainly essential oil components, coumarins, flavonoids, phenolic acids, and fatty acids were tentatively identified in the fractions.

  4. Growth and characterization of metamorphic InAs/GaSb tunnel heterojunction on GaAs by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, Jheng-Sin; Clavel, Michael B.; Hudait, Mantu K., E-mail: mantu.hudait@vt.edu

    The structural, morphological, optical, and electrical transport characteristics of a metamorphic, broken-gap InAs/GaSb p-i-n tunnel diode structure, grown by molecular beam epitaxy on GaAs, were demonstrated. Precise shutter sequences were implemented for the strain-balanced InAs/GaSb active layer growth on GaAs, as corroborated by high-resolution X-ray analysis. Cross-sectional transmission electron microscopy and detailed micrograph analysis demonstrated strain relaxation primarily via the formation of 90° Lomer misfit dislocations (MDs) exhibiting a 5.6 nm spacing and intermittent 60° MDs at the GaSb/GaAs heterointerface, which was further supported by a minimal lattice tilt of 180 arc sec observed during X-ray analysis. Selective area diffraction and Fastmore » Fourier Transform patterns confirmed the full relaxation of the GaSb buffer layer and quasi-ideal, strain-balanced InAs/GaSb heteroepitaxy. Temperature-dependent photoluminescence measurements demonstrated the optical band gap of the GaSb layer. Strong optical signal at room temperature from this structure supports a high-quality material synthesis. Current–voltage characteristics of fabricated InAs/GaSb p-i-n tunnel diodes measured at 77 K and 290 K demonstrated two bias-dependent transport mechanisms. The Shockley–Read–Hall generation–recombination mechanism at low bias and band-to-band tunneling transport at high bias confirmed the p-i-n tunnel diode operation. This elucidated the importance of defect control in metamorphic InAs/GaSb tunnel diodes for the implementation of low-voltage and high-performance tunnel field effect transistor applications.« less

  5. Probability of twin formation on self-catalyzed GaAs nanowires on Si substrate

    PubMed Central

    2012-01-01

    We attempted to control the incorporation of twin boundaries in self-catalyzed GaAs nanowires (NWs). Self-catalyzed GaAs NWs were grown on a Si substrate under various arsenic pressures using molecular beam epitaxy and the vapor-liquid-solid method. When the arsenic flux is low, wurtzite structures are dominant in the GaAs NWs. On the other hand, zinc blende structures become dominant as the arsenic flux rises. We discussed this phenomenon on the basis of thermodynamics and examined the probability of twin-boundary formation in detail. PMID:23043754

  6. Surface segregation and the Al problem in GaAs quantum wells

    NASA Astrophysics Data System (ADS)

    Chung, Yoon Jang; Baldwin, K. W.; West, K. W.; Shayegan, M.; Pfeiffer, L. N.

    2018-03-01

    Low-defect two-dimensional electron systems (2DESs) are essential for studies of fragile many-body interactions that only emerge in nearly-ideal systems. As a result, numerous efforts have been made to improve the quality of modulation-doped AlxGa1 -xAs /GaAs quantum wells (QWs), with an emphasis on purifying the source material of the QW itself or achieving better vacuum in the deposition chamber. However, this approach overlooks another crucial component that comprises such QWs, the AlxGa1 -xAs barrier. Here we show that having a clean Al source and hence a clean barrier is instrumental to obtain a high-quality GaAs 2DES in a QW. We observe that the mobility of the 2DES in GaAs QWs declines as the thickness or Al content of the AlxGa1 -xAs barrier beneath the QW is increased, which we attribute to the surface segregation of oxygen atoms that originate from the Al source. This conjecture is supported by the improved mobility in the GaAs QWs as the Al cell is cleaned out by baking.

  7. Large Signal Modeling and Analysis of the GaAs MESFET.

    DTIC Science & Technology

    1986-07-09

    various dimensions and physical parameters. A powerful computer aided design system can be developed by automating the circuit element and parameter...circuit model of the GaAs MESFET to aid in the designs of microwave MESFET circuits. The circuit elements of this model are obtained either directly...34. -. ’ Abstract The purpose of this work is to develop a large signal signal lumped circuit model of the GaAs MESFET to aid In the designs of microwave MESFET

  8. Giant and reversible enhancement of the electrical resistance of GaAs1-xNx by hydrogen irradiation

    NASA Astrophysics Data System (ADS)

    Alvarez, J.; Kleider, J.-P.; Trotta, R.; Polimeni, A.; Capizzi, M.; Martelli, F.; Mariucci, L.; Rubini, S.

    2011-08-01

    The electrical properties of untreated and hydrogen-irradiated GaAs1-xNx are investigated by conductive-probe atomic force microscopy (CP-AFM). After hydrogen irradiation, the resistance R of GaAs1-xNx increases by more than three orders of magnitude while that of a N-free GaAs reference slightly decreases. Thermal annealing at 550 °C of H-irradiated GaAs1-xNx restores the pristine electrical properties of the as-grown sample thus demonstrating that this phenomenon is fully reversible. These effects are attributed to the nitrogen-hydrogen complexes that passivate N in GaAs1-xNx (thus restoring the energy gap of N-free GaAs) and, moreover, reduce the carrier scattering time by more than one order of magnitude. This opens up a route to the fabrication of planar conductive/resistive/conductive heterostructures with submicrometer spatial resolution, which is also reported here.

  9. Preparation and in vitro evaluation of guar gum based triple-layer matrix tablet of diclofenac sodium

    PubMed Central

    Chavda, H.V.; Patel, M.S.; Patel, C.N.

    2012-01-01

    The objective of the present study was to design an oral controlled drug delivery system for sparingly soluble diclofenac sodium (DCL) using guar gum as triple-layer matrix tablets. Matrix tablet granules containing 30% (D1), 40% (D2) or 50% (D3) of guar gum were prepared by the conventional wet granulation technique. Matrix tablets of diclofenac sodium were prepared by compressing three layers one by one. Centre layer of sandwich like structure was incorporated with matrix granules containing DCL which was covered on either side by guar gum granule layers containing either 70, 80 or 87% of guar gum as release retardant layers. The tablets were evaluated for hardness, thickness, drug content, and drug release studies. To ascertain the kinetics of drug release, the dissolution profiles were fitted to various mathematical models. The in vitro drug release from proposed system was best explained by the Hopfenberg model indicating that the release of drug from tablets displayed heterogeneous erosion. D3G3, containing 87% of guar gum in guar gum layers and 50% of guar gum in DCL matrix granule layer was found to provide the release rate for prolonged period of time. The results clearly indicate that guar gum could be a potential hydrophilic carrier in the development of oral controlled drug delivery systems. PMID:23181081

  10. Preparation of porous hollow silica spheres via a layer-by-layer process and the chromatographic performance

    NASA Astrophysics Data System (ADS)

    Wei, Xiaobing; Gong, Cairong; Chen, Xujuan; Fan, Guoliang; Xu, Xinhua

    2017-03-01

    Hollow silica spheres possessing excellent mechanical properties were successfully prepared through a layer-by-layer process using uniform polystyrene (PS) latex fabricated by dispersion polymerization as template. The formation of hollow SiO2 micro-spheres, structures and properties were observed in detail by zeta potential, SEM, TEM, FTIR, TGA and nitrogen sorption porosimetry. The results indicated that the hollow spheres were uniform with particle diameter of 1.6 μm and shell thickness of 150 nm. The surface area was 511 m2/g and the pore diameter was 8.36 nm. A new stationary phase for HPLC was obtained by using C18-derivatized hollow SiO2 micro-spheres as packing materials and the chromatographic properties were evaluated for the separation of some regular small molecules. The packed column showed low column pressure, high values of efficiency (up to about 43 000 plates/m) and appropriate asymmetry factors.

  11. Mechanisms Determining the Structure of Gold-Catalyzed GaAs Nanowires Studied by in Situ X-ray Diffraction

    DOE PAGES

    Takahasi, Masamitu; Kozu, Miwa; Sasaki, Takuo; ...

    2015-09-02

    The evolution of polytypism during GaAs nanowire growth was investigated with in situ X-ray diffraction. The growth of nanowires was found to start with the formation of zincblende structure, followed by the growth of wurtzite structure. The growth process was well reproduced by a simulation based on a layer-by-layer nucleation model. The good agreement between the measured and simulated results confirms that nucleation costs higher energy for the stackings changing the crystal structure than for those conserving the preceding structure. The transition in prevalent structure can be accounted for by the change of local growth conditions related to the shapemore » of triple phase line rather than by the change in supersaturation level, which quickly reaches steady state after starting growth.« less

  12. Electric field effects on the optical properties of buckled GaAs monolayer

    NASA Astrophysics Data System (ADS)

    Bahuguna, Bhagwati Prasad; Saini, L. K.; Sharma, Rajesh O.

    2018-04-01

    Buckled GaAs monolayer has a direct band gap semiconductor with energy gap of 1.31 eV in the absence of electric field. When we applied transverse electric field, the value of band gap decreases with increasing of electric field strength. In our previous work [1], it is observed that the buckled GaAs monolayer becomes metallic at 1.3 V/Å. In the present work, we investigate the optical properties such as photon energy-dependent dielectric functions, extinction coefficient, refractive index, absorption spectrum and reflectivity of buckled GaAs monolayer in the semiconducting phase i.e. absence of external electric field and metallic phase i.e. presence of external electric field using density functional theory.

  13. The barrier to misfit dislocation glide in continuous, strained, epitaxial layers on patterned substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Watson, G.P.; Ast, D.G.; Anderson, T.J.

    1993-09-01

    In a previous report [G. P. Watson, D. G. Ast, T. J. Anderson, and Y. Hayakawa, Appl. Phys. Lett. [bold 58], 2517 (1991)] we demonstrated that the motion of misfit dislocations in InGaAs, grown by organometallic vapor phase epitaxy on patterned GaAs substrates, can be impeded even if the strained epitaxial layer is continuous. Trenches etched into GaAs before growth are known to act as a barrier to misfit dislocation propagation [E. A. Fitzgerald, G. P. Watson, R. E. Proano, D. G. Ast, P. D. Kirchner, G. D. Pettit, and J. M. Woodall, J. Appl. Phys. [bold 65], 2220 (1989)]more » when those trenches create discontinuities in the epitaxial layers; but even shallow trenches, with continuous strained layers following the surface features, can act as barriers. By considering the strain energy required to change the length of the dislocation glide segments that stretch from the interface to the free surface, a simple model is developed that explains the major features of the unique blocking action observed at the trench edges. The trench wall angle is found to be an important parameter in determining whether or not a trench will block dislocation glide. The predicted blocking angles are consistent with observations made on continuous 300 and 600 nm thick In[sub 0.04]Ga[sub 0.96]As films on patterned GaAs. Based on the model, a structure is proposed that may be used as a filter to yield misfit dislocations with identical Burgers vectors or dislocations which slip in only one glide plane.« less

  14. Nuclear spin warm up in bulk n -GaAs

    NASA Astrophysics Data System (ADS)

    Kotur, M.; Dzhioev, R. I.; Vladimirova, M.; Jouault, B.; Korenev, V. L.; Kavokin, K. V.

    2016-08-01

    We show that the spin-lattice relaxation in n -type insulating GaAs is dramatically accelerated at low magnetic fields. The origin of this effect, which cannot be explained in terms of well-known diffusion-limited hyperfine relaxation, is found in the quadrupole relaxation, induced by fluctuating donor charges. Therefore, quadrupole relaxation, which governs low field nuclear spin relaxation in semiconductor quantum dots, but was so far supposed to be harmless to bulk nuclei spins in the absence of optical pumping, can be studied and harnessed in the much simpler model environment of n -GaAs bulk crystal.

  15. 46 CFR Sec. 7 - Operation under current GAA/MSTS Southeast Asia Program.

    Code of Federal Regulations, 2013 CFR

    2013-10-01

    ... provisions of NSA Order 35 (OPR-2) to the particular circumstances of the present GAA/MSTS Southeast Asia... General Agency operations not related to the current GAA/MSTS Southeast Asia Program, NSA Order 35 (OPR-2... lieu of those appearing in sections 3 and 4 of NSA Order 35 (OPR-2). Continental United States ports do...

  16. 46 CFR Sec. 7 - Operation under current GAA/MSTS Southeast Asia Program.

    Code of Federal Regulations, 2012 CFR

    2012-10-01

    ... provisions of NSA Order 35 (OPR-2) to the particular circumstances of the present GAA/MSTS Southeast Asia... General Agency operations not related to the current GAA/MSTS Southeast Asia Program, NSA Order 35 (OPR-2... lieu of those appearing in sections 3 and 4 of NSA Order 35 (OPR-2). Continental United States ports do...

  17. 46 CFR Sec. 7 - Operation under current GAA/MSTS Southeast Asia Program.

    Code of Federal Regulations, 2010 CFR

    2010-10-01

    ... provisions of NSA Order 35 (OPR-2) to the particular circumstances of the present GAA/MSTS Southeast Asia... General Agency operations not related to the current GAA/MSTS Southeast Asia Program, NSA Order 35 (OPR-2... lieu of those appearing in sections 3 and 4 of NSA Order 35 (OPR-2). Continental United States ports do...

  18. 46 CFR Sec. 7 - Operation under current GAA/MSTS Southeast Asia Program.

    Code of Federal Regulations, 2014 CFR

    2014-10-01

    ... provisions of NSA Order 35 (OPR-2) to the particular circumstances of the present GAA/MSTS Southeast Asia... General Agency operations not related to the current GAA/MSTS Southeast Asia Program, NSA Order 35 (OPR-2... lieu of those appearing in sections 3 and 4 of NSA Order 35 (OPR-2). Continental United States ports do...

  19. 46 CFR Sec. 7 - Operation under current GAA/MSTS Southeast Asia Program.

    Code of Federal Regulations, 2011 CFR

    2011-10-01

    ... provisions of NSA Order 35 (OPR-2) to the particular circumstances of the present GAA/MSTS Southeast Asia... General Agency operations not related to the current GAA/MSTS Southeast Asia Program, NSA Order 35 (OPR-2... lieu of those appearing in sections 3 and 4 of NSA Order 35 (OPR-2). Continental United States ports do...

  20. GaAs Solar Cell Radiation Handbook

    NASA Technical Reports Server (NTRS)

    Anspaugh, B. E.

    1996-01-01

    History of GaAs solar cell development is provided. Photovoltaic equations are described along with instrumentation techniques for measuring solar cells. Radiation effects in solar cells, electrical performance, and spacecraft flight data for solar cells are discussed. The space radiation environment and solar array degradation calculations are addressed.

  1. Periodic annealing of radiation damage in GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Loo, R. Y.; Knechtli, R. C.; Kamath, G. S.

    1980-01-01

    Continuous annealing of GaAs solar cells is compared with periodic annealing to determine their relative effectiveness in minimizing proton radiation damage. It is concluded that continuous annealing of the cells in space at 150 C can effectively reduce the proton radiation damage to the GaAs solar cells. Periodic annealing is most effective if it can be initiated at relatively low fluences (approximating continuous annealing), especially if low temperatures of less than 200 C are to be used. If annealing is started only after the fluence of the damaging protons has accumulated to a high value 10 to the 11th power sq/pcm), effective annealing is still possible at relatively high temperatures. Finally, since electron radiation damage anneals even more easily than proton radiation damage, substantial improvements in GaAs solar cell life can be achieved by incorporating the proper annealing capabilities in solar panels for practical space missions where both electron and proton radiation damage have to be minimized.

  2. GaSb thermophotovoltaic cells grown on GaAs by molecular beam epitaxy using interfacial misfit arrays

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Juang, Bor-Chau, E-mail: bcjuang@ucla.edu; Laghumavarapu, Ramesh B.; Foggo, Brandon J.

    There exists a long-term need for foreign substrates on which to grow GaSb-based optoelectronic devices. We address this need by using interfacial misfit arrays to grow GaSb-based thermophotovoltaic cells directly on GaAs (001) substrates and demonstrate promising performance. We compare these cells to control devices grown on GaSb substrates to assess device properties and material quality. The room temperature dark current densities show similar characteristics for both cells on GaAs and on GaSb. Under solar simulation the cells on GaAs exhibit an open-circuit voltage of 0.121 V and a short-circuit current density of 15.5 mA/cm{sup 2}. In addition, the cells on GaAsmore » substrates maintain 10% difference in spectral response to those of the control cells over a large range of wavelengths. While the cells on GaSb substrates in general offer better performance than the cells on GaAs substrates, the cost-savings and scalability offered by GaAs substrates could potentially outweigh the reduction in performance. By further optimizing GaSb buffer growth on GaAs substrates, Sb-based compound semiconductors grown on GaAs substrates with similar performance to devices grown directly on GaSb substrates could be realized.« less

  3. Disruption of Higher Order DNA Structures in Friedreich’s Ataxia (GAA)n Repeats by PNA or LNA Targeting

    PubMed Central

    Bergquist, Helen; Rocha, Cristina S. J.; Álvarez-Asencio, Rubén; Nguyen, Chi-Hung; Rutland, Mark. W.; Smith, C. I. Edvard; Good, Liam; Nielsen, Peter E.; Zain, Rula

    2016-01-01

    Expansion of (GAA)n repeats in the first intron of the Frataxin gene is associated with reduced mRNA and protein levels and the development of Friedreich’s ataxia. (GAA)n expansions form non-canonical structures, including intramolecular triplex (H-DNA), and R-loops and are associated with epigenetic modifications. With the aim of interfering with higher order H-DNA (like) DNA structures within pathological (GAA)n expansions, we examined sequence-specific interaction of peptide nucleic acid (PNA) with (GAA)n repeats of different lengths (short: n=9, medium: n=75 or long: n=115) by chemical probing of triple helical and single stranded regions. We found that a triplex structure (H-DNA) forms at GAA repeats of different lengths; however, single stranded regions were not detected within the medium size pathological repeat, suggesting the presence of a more complex structure. Furthermore, (GAA)4-PNA binding of the repeat abolished all detectable triplex DNA structures, whereas (CTT)5-PNA did not. We present evidence that (GAA)4-PNA can invade the DNA at the repeat region by binding the DNA CTT strand, thereby preventing non-canonical-DNA formation, and that triplex invasion complexes by (CTT)5-PNA form at the GAA repeats. Locked nucleic acid (LNA) oligonucleotides also inhibited triplex formation at GAA repeat expansions, and atomic force microscopy analysis showed significant relaxation of plasmid morphology in the presence of GAA-LNA. Thus, by inhibiting disease related higher order DNA structures in the Frataxin gene, such PNA and LNA oligomers may have potential for discovery of drugs aiming at recovering Frataxin expression. PMID:27846236

  4. Study of the characteristics current-voltage and capacitance-voltage in nitride GaAs Schottky diode

    NASA Astrophysics Data System (ADS)

    Rabehi, Abdelaziz; Amrani, Mohamed; Benamara, Zineb; Akkal, Boudali; Hatem-Kacha, Arslane; Robert-Goumet, Christine; Monier, Guillaume; Gruzza, Bernard

    2015-10-01

    This article reports the study of Au/GaN/GaAs Schottky diodes, where the thin GaN film is prepared by nitridation of GaAs substrates with thicknesses of 0.7 and 0.8 nm. The resulting GaN sample with thickness 0.8 nm is then treated with an annealing operation (heating to 620 °C) to improve the current transport. The current-voltage (I-V) and capacitance-voltage (C-V) of the Au/GaN/GaAs structures were investigated at room temperature. In fact, the I-V characteristics show that the annealed sample has low series resistance (Rs) and ideality factor (n) (63 Ω, 2.27 respectively) when compared to the values obtained in the untreated sample (1.83 kΩ, 3.31 respectively). The formation of the GaN layer on the gallium arsenide surface is investigated through calculation of the interface state density NSS with and without the presence of series resistance Rs. The value of the interface state density NSS(E) close to the mid-gap was estimated to be in the order of 4.7×1012 cm-2 eV-1 and 1.02× 1013 cm-2 eV-1 with and without the annealing operation, respectively. However, nitridation with the annealing operation at 620 °C improves the electrical properties of the resultant Schottky diode.

  5. Metastable bcc phase formation in 3d ferromagnetic transition metal thin films sputter-deposited on GaAs(100) substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Minakawa, Shigeyuki, E-mail: s-minakawa@futamoto.elect.chuo-u.ac.jp; Ohtake, Mitsuru; Futamoto, Masaaki

    2015-05-07

    Co{sub 100−x}Fe{sub x} and Ni{sub 100−y}Fe{sub y} (at. %, x = 0–30, y = 0–60) films of 10 nm thickness are prepared on GaAs(100) substrates at room temperature by using a radio-frequency magnetron sputtering system. The detailed growth behavior is investigated by in-situ reflection high-energy electron diffraction. (100)-oriented Co and Ni single-crystals with metastable bcc structure are formed in the early stage of film growth, where the metastable structure is stabilized through hetero-epitaxial growth. With increasing the thickness up to 2 nm, the Co and the Ni films start to transform into more stable hcp and fcc structures through atomic displacements parallel to bcc(110) slide planes,more » respectively. The stability of bcc phase is improved by adding a small volume of Fe atoms into a Co film. The critical thickness of bcc phase formation is thicker than 10 nm for Co{sub 100−x}Fe{sub x} films with x ≥ 10. On the contrary, the stability of bcc phase for Ni-Fe system is less than that for Co-Fe system. The critical thicknesses for Ni{sub 100−y}Fe{sub y} films with y = 20, 40, and 60 are 1, 3, and 5 nm, respectively. The Co{sub 100−x}Fe{sub x} single-crystal films with metastable bcc structure formed on GaAs(100) substrates show in-plane uniaxial magnetic anisotropies with the easy direction along GaAs[011], similar to the case of Fe film epitaxially grown on GaAs(100) substrate. A Co{sub 100−x}Fe{sub x} film with higher Fe content shows a higher saturation magnetization and a lower coercivity.« less

  6. Preparation and mechanical properties of layers made of recombinant spider silk proteins and silk from silk worm

    NASA Astrophysics Data System (ADS)

    Junghans, F.; Morawietz, M.; Conrad, U.; Scheibel, T.; Heilmann, A.; Spohn, U.

    2006-02-01

    Layers of recombinant spider silks and native silks from silk worms were prepared by spin-coating and casting of various solutions. FT-IR spectra were recorded to investigate the influence of the different mechanical stress occurring during the preparation of the silk layers. The solubility of the recombinant spider silk proteins SO1-ELP, C16, AQ24NR3, and of the silk fibroin from Bombyx mori were investigated in hexafluorisopropanol, ionic liquids and concentrated salt solutions. The morphology and thickness of the layers were determined by Atomic Force Microscopy (AFM) or with a profilometer. The mechanical behaviour was investigated by acoustic impedance analysis by using a quartz crystal microbalance (QCMB) as well as by microindentation. The density of silk layers (d<300 nm) was determined based on AFM and QCMB measurements. At silk layers thicker than 300 nm significant changes of the half-band-half width can be correlated with increasing energy dissipation. Microhardness measurements demonstrate that recombinant spider silk and sericine-free Bombyx mori silk layers achieve higher elastic penetration modules EEP and Martens hardness values HM than those of polyethylenterephthalate (PET) and polyetherimide (PEI) foils.

  7. Intracavity double diode structures with GaInP barrier layers for thermophotonic cooling

    NASA Astrophysics Data System (ADS)

    Tiira, Jonna; Radevici, Ivan; Haggren, Tuomas; Hakkarainen, Teemu; Kivisaari, Pyry; Lyytikäinen, Jari; Aho, Arto; Tukiainen, Antti; Guina, Mircea; Oksanen, Jani

    2017-02-01

    Optical cooling of semiconductors has recently been demonstrated both for optically pumped CdS nanobelts and for electrically injected GaInAsSb LEDs at very low powers. To enable cooling at larger power and to understand and overcome the main obstacles in optical cooling of conventional semiconductor structures, we study thermophotonic (TPX) heat transport in cavity coupled light emitters. Our structures consist of a double heterojunction (DHJ) LED with a GaAs active layer and a corresponding DHJ or a p-n-homojunction photodiode, enclosed within a single semiconductor cavity to eliminate the light extraction challenges. Our presently studied double diode structures (DDS) use GaInP barriers around the GaAs active layer instead of the AlGaAs barriers used in our previous structures. We characterize our updated double diode structures by four point probe IV- measurements and measure how the material modifications affect the recombination parameters and coupling quantum efficiencies in the structures. The coupling quantum efficiency of the new devices with InGaP barrier layers is found to be approximately 10 % larger than for the structures with AlGaAs barriers at the point of maximum efficiency.

  8. Influence of substrate preparation on the shaping of the topography of the surface of nanoceramic oxide layers

    NASA Astrophysics Data System (ADS)

    Bara, Marek; Kubica, Marek

    2014-02-01

    The paper discusses the shaping mechanism and changes occurring in the structure and topography of the surface of nanoceramic oxide layers during their formation. The paper presents the influence of substrate preparation on the surface topography of oxide layers. The layers were produced via hard anodizing on the EN AW-5251 aluminum alloy. The layers obtained were subjected to microscope examinations, image and chemical composition analyses, and stereometric examinations. Heredity of substrate properties in the topography of the surface of nanoceramic oxide layers formed as a result of electrochemical oxidation has been shown.

  9. A comparison of GaAs and Si hybrid solar power systems

    NASA Technical Reports Server (NTRS)

    Heinbockel, J. H.; Roberts, A. S., Jr.

    1977-01-01

    Five different hybrid solar power systems using silicon solar cells to produce thermal and electric power are modeled and compared with a hybrid system using a GaAs cell. Among the indices determined are capital cost per unit electric power plus mechanical power, annual cost per unit electric energy, and annual cost per unit electric plus mechanical work. Current costs are taken to be $35,000/sq m for GaAs cells with an efficiency of 15% and $1000/sq m for Si cells with an efficiency of 10%. It is shown that hybrid systems can be competitive with existing methods of practical energy conversion. Limiting values for annual costs of Si and GaAs cells are calculated to be 10.3 cents/kWh and 6.8 cents/kWh, respectively. Results for both systems indicate that for a given flow rate there is an optimal operating condition for minimum cost photovoltaic output. For Si cell costs of $50/sq m optimal performance can be achieved at concentrations of about 10; for GaAs cells costing 1000/sq m, optimal performance can be obtained at concentrations of around 100. High concentration hybrid systems offer a distinct cost advantage over flat systems.

  10. Identification of the limiting factors for high-temperature GaAs, GaInP, and AlGaInP solar cells from device and carrier lifetime analysis

    NASA Astrophysics Data System (ADS)

    Perl, E. E.; Kuciauskas, D.; Simon, J.; Friedman, D. J.; Steiner, M. A.

    2017-12-01

    We analyze the temperature-dependent dark saturation current density and open-circuit voltage (VOC) for GaAs, GaInP, and AlGaInP solar cells from 25 to 400 °C. As expected, the intrinsic carrier concentration, ni, dominates the temperature dependence of the dark currents. However, at 400 °C, we measure VOC that is ˜50 mV higher for the GaAs solar cell and ˜60-110 mV lower for the GaInP and AlGaInP solar cells compared to what would be expected from commonly used solar cell models that consider only the ni2 temperature dependence. To better understand these deviations, we measure the carrier lifetimes of p-type GaAs, GaInP, and AlGaInP double heterostructures (DHs) from 25 to 400 °C using time-resolved photoluminescence. Temperature-dependent minority carrier lifetimes are analyzed to determine the relative contributions of the radiative recombination, interface recombination, Shockley-Read-Hall recombination, and thermionic emission processes. We find that radiative recombination dominates for the GaAs DHs with the effective lifetime approximately doubling as the temperature is increased from 25 °C to 400 °C. In contrast, we find that thermionic emission dominates for the GaInP and AlGaInP DHs at elevated temperatures, leading to a 3-4× reduction in the effective lifetime and ˜40× increase in the surface recombination velocity as the temperature is increased from 25 °C to 400 °C. These observations suggest that optimization of the minority carrier confinement layers for the GaInP and AlGaInP solar cells could help to improve VOC and solar cell efficiency at elevated temperatures. We demonstrate VOC improvement at 200-400 °C in GaInP solar cells fabricated with modified AlGaInP window and back surface field layers.

  11. Identification of the limiting factors for high-temperature GaAs, GaInP, and AlGaInP solar cells from device and carrier lifetime analysis

    DOE PAGES

    Perl, E. E.; Kuciauskas, D.; Simon, J.; ...

    2017-12-21

    We analyze the temperature-dependent dark saturation current density and open-circuit voltage (VOC) for GaAs, GaInP, and AlGaInP solar cells from 25 to 400 degrees C. As expected, the intrinsic carrier concentration, ni, dominates the temperature dependence of the dark currents. However, at 400 degrees C, we measure VOC that is ~50 mV higher for the GaAs solar cell and ~60-110 mV lower for the GaInP and AlGaInP solar cells compared to what would be expected from commonly used solar cell models that consider only the ni2 temperature dependence. To better understand these deviations, we measure the carrier lifetimes of p-typemore » GaAs, GaInP, and AlGaInP double heterostructures (DHs) from 25 to 400 degrees C using time-resolved photoluminescence. Temperature-dependent minority carrier lifetimes are analyzed to determine the relative contributions of the radiative recombination, interface recombination, Shockley-Read-Hall recombination, and thermionic emission processes. We find that radiative recombination dominates for the GaAs DHs with the effective lifetime approximately doubling as the temperature is increased from 25 degrees C to 400 degrees C. In contrast, we find that thermionic emission dominates for the GaInP and AlGaInP DHs at elevated temperatures, leading to a 3-4x reduction in the effective lifetime and ~40x increase in the surface recombination velocity as the temperature is increased from 25 degrees C to 400 degrees C. These observations suggest that optimization of the minority carrier confinement layers for the GaInP and AlGaInP solar cells could help to improve VOC and solar cell efficiency at elevated temperatures. We demonstrate VOC improvement at 200-400 degrees C in GaInP solar cells fabricated with modified AlGaInP window and back surface field layers.« less

  12. Identification of the limiting factors for high-temperature GaAs, GaInP, and AlGaInP solar cells from device and carrier lifetime analysis

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Perl, E. E.; Kuciauskas, D.; Simon, J.

    We analyze the temperature-dependent dark saturation current density and open-circuit voltage (VOC) for GaAs, GaInP, and AlGaInP solar cells from 25 to 400 degrees C. As expected, the intrinsic carrier concentration, ni, dominates the temperature dependence of the dark currents. However, at 400 degrees C, we measure VOC that is ~50 mV higher for the GaAs solar cell and ~60-110 mV lower for the GaInP and AlGaInP solar cells compared to what would be expected from commonly used solar cell models that consider only the ni2 temperature dependence. To better understand these deviations, we measure the carrier lifetimes of p-typemore » GaAs, GaInP, and AlGaInP double heterostructures (DHs) from 25 to 400 degrees C using time-resolved photoluminescence. Temperature-dependent minority carrier lifetimes are analyzed to determine the relative contributions of the radiative recombination, interface recombination, Shockley-Read-Hall recombination, and thermionic emission processes. We find that radiative recombination dominates for the GaAs DHs with the effective lifetime approximately doubling as the temperature is increased from 25 degrees C to 400 degrees C. In contrast, we find that thermionic emission dominates for the GaInP and AlGaInP DHs at elevated temperatures, leading to a 3-4x reduction in the effective lifetime and ~40x increase in the surface recombination velocity as the temperature is increased from 25 degrees C to 400 degrees C. These observations suggest that optimization of the minority carrier confinement layers for the GaInP and AlGaInP solar cells could help to improve VOC and solar cell efficiency at elevated temperatures. We demonstrate VOC improvement at 200-400 degrees C in GaInP solar cells fabricated with modified AlGaInP window and back surface field layers.« less

  13. Heavily doped GaAs:Te layers grown by MOVPE using diisopropyl telluride as a source

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Daniltsev, V. M.; Demidov, E. V.; Drozdov, M. N.

    2016-11-15

    The capabilities of GaAs epitaxial layers extremely heavily doped with tellurium by metal-organic vapor-phase epitaxy using diisopropyl telluride as a source are studied. It is shown that tellurium incorporation into GaAs occurs to an atomic concentration of 10{sup 21} cm{sup –3} without appreciable diffusion and segregation effects. Good carrier concentrations (2 × 10{sup 19} cm{sup –3}) and specific contact resistances of non-alloyed ohmic contacts (1.7 × 10{sup –6} Ω cm{sup 2}) give grounds to use such layers to create non-alloyed ohmic contacts in electronic devices. A sharp decrease in the electrical activity of Te atoms, a decrease in the electronmore » mobility, and an increase in the contact resistance at atomic concentrations above 2 × 10{sup 20} cm{sup –3} are detected.« less

  14. Basic mechanisms study for MIS solar cell structures on GaAs

    NASA Technical Reports Server (NTRS)

    Fonash, S. J.

    1978-01-01

    The solar cell structure examined is the MIS configuration on (n) GaAs. The metal room temperature oxide/(n) GaAs materials system was studied. Metals with electronegativities varying from 2.4 (Au) to 1.5 (Al) were used as the upper electrode. The thinnest metallization that did not interfere with the measurement techniques (by introducing essentially transmission line series resistance problems across a device) was used. Photovoltaic response was not optimized.

  15. Chemical beam epitaxy of GaAs1-xNx using MMHy and DMHy precursors, modeled by ab initio study of GaAs(100) surfaces stability over As2, H2 and N2

    NASA Astrophysics Data System (ADS)

    Valencia, Hubert; Kangawa, Yoshihiro; Kakimoto, Koichi

    2017-06-01

    Using ab initio calculations, a simple model for GaAs1-xNx vapor-phase epitaxy on (100) surface of GaAs was created. By studying As2 and H2 molecules adsorptions and As/N atom substitutions on (100) GaAs surfaces, we obtain a relative stability diagram of all stable surfaces under varying As2, H2, and N2 conditions. We previously proved that this model could describe the vapor-phase epitaxy of GaAs1-x Nx with simple, fully decomposed, precursors. In this paper, we show that in more complex reaction conditions using monomethylhydrazine (MMHy), and dimethylhydrazine (DMHy), it is still possible to use our model to obtain an accurate description of the temperature and pressure stability domains for each surfaces, linked to chemical beam epitaxy (CBE) growth conditions. Moreover, the different N-incorporation regimes observed experimentally at different temperature can be explain and predict by our model. The use of MMHy and DMHy precursors can also be rationalized. Our model should then help to better understand the conditions needed to obtain an high quality GaAs1-xNx using vapor-phase epitaxy.

  16. Surface plasmon enhanced SWIR absorption at the ultra n-doped substrate/PbSe nanostructure layer interface

    NASA Astrophysics Data System (ADS)

    Wittenberg, Vladimir; Rosenblit, Michael; Sarusi, Gabby

    2017-08-01

    This work presents simulation results of the plasmon enhanced absorption that can be achieved in the short wavelength infrared (SWIR - 1200 nm to 1800 nm) spectral range at the interface between ultra-heavily doped substrates and a PbSe nanostructure non-epitaxial growth absorbing layer. The absorption enhancement simulated in this study is due to surface plasmon polariton (SPP) excitation at the interface between these ultra-heavily n-doped GaAs or GaN substrates, which are nearly semimetals to SWIR light, and an absorption layer made of PbSe nano-spheres or nano-columns. The ultra-heavily doped GaAs or GaN substrates are simulated as examples, based on the Drude-Lorentz permittivity model. In the simulation, the substrates and the absorption layer were patterned jointly to forma blazed lattice, and then were back-illuminated using SWIR with a central wavelength of 1500 nm. The maximal field enhancement achieved was 17.4 with a penetration depth of 40 nm. Thus, such architecture of an ultra-heavily doped semiconductor and infrared absorbing layer can further increase the absorption due to the plasmonic enhanced absorption effect in the SWIR spectral band without the need to use a metallic layer as in the case of visible light.

  17. Scanning tunneling microscopy studies of Si donors (Si[sub Ga]) in GaAs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zheng, J.F.; Liu, X.; Newman, N.

    1994-03-07

    We report scanning tunneling microscopy (STM) studies of Si substitutional donors (Si[sub Ga]) in GaAs that reveal delocalized and localized electronic features corresponding to Si[sub Ga] in the top few layers of the (110) cleavage surface. The delocalized features appear as protrusions a few nm in size, superimposed on the background lattice. These features are attributed to enhanced tunneling due to the local perturbation of the band bending by the Coulomb potential of subsurface Si[sub Ga]. In contrast, STM images of surface Si[sub Ga] show very localized electronic structures, in good agreement with a recent theoretical prediction [J. Wang [italmore » et] [ital al]., Phys. Rev. B 47, 10 329 (1993)].« less

  18. Monolithic optical integrated control circuitry for GaAs MMIC-based phased arrays

    NASA Technical Reports Server (NTRS)

    Bhasin, K. B.; Ponchak, G. E.; Kascak, T. J.

    1985-01-01

    Gallium arsenide (GaAs) monolithic microwave integrated circuits (MMIC's) show promise in phased-array antenna applications for future space communications systems. Their efficient usage will depend on the control of amplitude and phase signals for each MMIC element in the phased array and in the low-loss radiofrequency feed. For a phased array contining several MMIC elements a complex system is required to control and feed each element. The characteristics of GaAs MMIC's for 20/30-GHz phased-array systems are discussed. The optical/MMIC interface and the desired characteristics of optical integrated circuits (OIC's) for such an interface are described. Anticipated fabrication considerations for eventual full monolithic integration of optical integrated circuits with MMIC's on a GaAs substrate are presented.

  19. Nitride surface passivation of GaAs nanowires: impact on surface state density.

    PubMed

    Alekseev, Prokhor A; Dunaevskiy, Mikhail S; Ulin, Vladimir P; Lvova, Tatiana V; Filatov, Dmitriy O; Nezhdanov, Alexey V; Mashin, Aleksander I; Berkovits, Vladimir L

    2015-01-14

    Surface nitridation by hydrazine-sulfide solution, which is known to produce surface passivation of GaAs crystals, was applied to GaAs nanowires (NWs). We studied the effect of nitridation on conductivity and microphotoluminescence (μ-PL) of individual GaAs NWs using conductive atomic force microscopy (CAFM) and confocal luminescent microscopy (CLM), respectively. Nitridation is found to produce an essential increase in the NW conductivity and the μ-PL intensity as well evidence of surface passivation. Estimations show that the nitride passivation reduces the surface state density by a factor of 6, which is of the same order as that found for GaAs/AlGaAs nanowires. The effects of the nitride passivation are also stable under atmospheric ambient conditions for six months.

  20. Orientation and temperature dependent adsorption of H 2S on GaAs: Valence band photoemission

    NASA Astrophysics Data System (ADS)

    Ranke, W.; Kuhr, H. J.; Finster, J.

    A cylindrically shaped GaAs single crystal was used to study the adsorption of H 2S on the six inequivalent orientations (001), (113), (111), (110), (111) and (113) by angle resolved valence band photoelectron spectroscopy and surface dipole measurements. Adsorption at 150 K on the surface prepared by molecular beam epitaxy (MBE) yields similar adsorbate induced emission on all orientations which were ascribed to SH radicals. On (110), where preferential adsorption occurs additional features from molecular H 2S are observed. The adsorbate spectra at 720 K are ascribed to atomic sulphur. On the surface prepared by ion bombardment and annealing, defect enhanced adsorption occurs in the range (111)-(113). The adsorbate spectra are very similar to those on the MBE surface at 720 K. Thus, no new species are adsorbed on defects but only sticking probability and penetration capability are increased.

  1. Universal biomimetic preparation and immobilization of layered double hydroxide films and adsorption behavior

    NASA Astrophysics Data System (ADS)

    Zhou, Wei; Zhang, Wenpeng; Chen, Zilin

    2017-01-01

    Preparation and immobilization of layered double hydroxides (LDHs) film onto multiple substrates is important and challenging in functional materials fields by date. In this work, a simple and universal polydopamine (PD)-based layer-by-layer assembly strategy was developed for the immobilization of LDHs film onto surfaces such as polypropylene chip, glass slides and metal coins. The surface of substrates was firstly modified by polydopamine functionalization, and then LDHs film was synthesized via urea method and directly immobilized on the PD layer by in situ growing strategy in one step. The PD layer as well as the final LDHs film was characterized by energy dispersive X-ray spectroscopy, scanning electron microscope, infrared spectroscopy, X-ray diffraction pattern and X-ray photoelectron spectra. It has been demonstrated the formation of the dense and homogeneous nanoscaled LDHs film with 400 nm thickness. Adsorption behavior of the fabricated NiAl-LDHs film toward anionic dyes and pharmaceuticals was further assessed. To demonstrate their extensive application, fast and high efficient adsorption of anionic dyes and pharmaceuticals was achieved by NiAl-LDHs-modified polypropylene centrifugal tube.

  2. Precise measurement of electric potential, field, and charge density profiles across a biased GaAs p-n tunnel junction by in situ phase-shifting electron holography

    NASA Astrophysics Data System (ADS)

    Anada, Satoshi; Yamamoto, Kazuo; Sasaki, Hirokazu; Shibata, Naoya; Hori, Yujin; Kinugawa, Kouhei; Imamura, Akihiro; Hirayama, Tsukasa

    2017-12-01

    We combined an in situ biasing technique with phase-shifting electron holography, which can simultaneously achieve a high precision and high spatial resolution, to measure the electric potential, field, and charge density profiles across a GaAs p-n tunnel junction. A thin-film specimen was prepared by thinning one part of a bulk specimen using a cryo focused ion beam (FIB) system. We obtained precise electric potential profiles and successfully converted them into smooth electric field and charge density profiles without any fitting simulations. From the relationship between the applied voltage and measured height of the potential step across the p-n junction, the built-in potential of the p-n junction was determined to be 1.55 ± 0.02 V. The electric field profiles showed that the unbiased p-n junction had a depletion layer with a width of 24 ± 1 nm; the width increased to 26 ± 1 nm under a reverse bias of -0.3 V and decreased to 22 ± 1 nm under a forward bias of 0.5 V. Moreover, the charge density profiles indicated the presence of passivated dopants and/or trapped carriers even in the internal active layer of the specimen, with little damage introduced by FIB milling.

  3. High purity low dislocation GaAs single crystals

    NASA Technical Reports Server (NTRS)

    Chen, R. T.; Holmes, D. E.; Kirkpatrick, C. G.

    1982-01-01

    Recent advances in GaAs bulk crystal growth using the LEC (liquid encapsulated Czochralski) technique are described. The dependence of the background impurity concentration and the dislocation density distribution on the materials synthesis and growth conditions were investigated. Background impurity concentrations as low as 4 x 10 to the 15th power were observed in undoped LEC GaAs. The dislocation density in selected regions of individual ingots was very low, below the 3000 cm .3000/sq cm threshold. The average dislocation density over a large annular ring on the wafers fell below the 10000/sq cm level for 3 inch diameter ingots. The diameter control during the program advanced to a diameter variation along a 3 inch ingot less than 2 mm.

  4. Insertion of GaAs MMICs into EW systems

    NASA Astrophysics Data System (ADS)

    Schineller, E. R.; Pospishil, A.; Grzyb, J.

    1989-09-01

    Development activities on a microwave/mm-wave monolithic IC (MIMIC) program are described, as well as the methodology for inserting these GaAs IC chips into several EW systems. The generic EW chip set developed on the MIMIC program consists of 23 broadband chip types, including amplifiers, oscillators, mixers, switches, variable attenuators, power dividers, and power combiners. These chips are being designed for fabrication using the multifunction self-aligned gate process. The benefits from GaAs IC insertion are quantified by a comparison of hardware units fabricated with existing MIC and digital ECL technology and the same units manufactured with monolithic technology. It is found that major improvements in cost, reliability, size, weight, and performance can be realized. Examples illustrating the methodology for technology insertion are presented.

  5. Highly efficient single-junction GaAs thin-film solar cell on flexible substrate.

    PubMed

    Moon, Sunghyun; Kim, Kangho; Kim, Youngjo; Heo, Junseok; Lee, Jaejin

    2016-07-20

    There has been much interest in developing a thin-film solar cell because it is lightweight and flexible. The GaAs thin-film solar cell is a top contender in the thin-film solar cell market in that it has a high power conversion efficiency (PCE) compared to that of other thin-film solar cells. There are two common structures for the GaAs solar cell: n (emitter)-on-p (base) and p-on-n. The former performs better due to its high collection efficiency because the electron diffusion length of the p-type base region is much longer than the hole diffusion length of the n-type base region. However, it has been limited to fabricate highly efficient n-on-p single-junction GaAs thin film solar cell on a flexible substrate due to technical obstacles. We investigated a simple and fast epitaxial lift-off (ELO) method that uses a stress originating from a Cr/Au bilayer on a 125-μm-thick flexible substrate. A metal combination of AuBe/Pt/Au is employed as a new p-type ohmic contact with which an n-on-p single-junction GaAs thin-film solar cell on flexible substrate was successfully fabricated. The PCE of the fabricated single-junction GaAs thin-film solar cells reached 22.08% under air mass 1.5 global illumination.

  6. A process for preparing an ultra-thin, adhesiveless, multi-layered, patterned polymer substrate

    NASA Technical Reports Server (NTRS)

    Bryant, Robert G. (Inventor); Kruse, Nancy H. M. (Inventor); Fox, Robert L. (Inventor); Tran, Sang Q. (Inventor)

    1995-01-01

    A process for preparing an ultra-thin, adhesiveless, multi-layered, patterned polymer substrate is disclosed. The process may be used to prepare both rigid and flexible cables and circuit boards. A substrate is provided and a polymeric solution comprising a self-bonding, soluble polymer and a solvent is applied to the substrate. Next, the polymer solution is dried to form a polymer coated substrate. The polymer coated substrate is metallized and patterned. At least one additional coating of the polymeric solution is applied to the metallized, patterned, polymer coated substrate and the steps of metallizing and patterning are repeated. Lastly, a cover coat is applied. When preparing a flexible cable and flexible circuit board, the polymer coating is removed from the substrate.

  7. Characterization and growth of epitaxial layers of Gs exhibiting high resistivity for ionic implantation

    NASA Technical Reports Server (NTRS)

    1979-01-01

    Either classical or low temperature epitaxial growth techniques can be used to control the deposition of buffer layers of GaAs on semiconducting substrates and to obtain the resistivity and purity desired. Techniques developed to study, as a function of thickness, the evolution of mobilities by photoHall, and the spectroscopy of shallow and deep centers by cathodoluminescence and current transients reveal one very pure layer of medium resistivity and high mobility, and another "dead layer" of elevated resistivity far from the surface. The highly resistive layer remains pure over several microns, which appears interesting for implantation.

  8. Radiation testing of GaAs on CRRES and LIPS experiment

    NASA Technical Reports Server (NTRS)

    Trumble, T. M.; Masloski, K.

    1984-01-01

    The radiation damage of solar cells has become a prime concern to the U.S. Air Force due to longer satellite lifetime requirements. Flight experiments were undertaken on the Navy Living Plume Shield (LPS) satellite and the NASA/Air Force Combined Release and Radiation Effects Satellite (CRRES) to complement existing radiation testing. Each experiment, the rationale behind it, and its approach and status are presented. The effect of space radiation on gallium arsenide (GaAs) solar cells was the central parameter investigated. Specifications of the GaAs solar cells are given.

  9. Phase Modulator with Terahertz Optical Bandwidth Formed by Multi-Layered Dielectric Stack

    NASA Technical Reports Server (NTRS)

    Keys, Andrew S. (Inventor); Fork, Richard L. (Inventor)

    2005-01-01

    An optical phase modulator includes a bandpass multilayer stack, formed by a plurality of dielectric layers, preferably of GaAs and AlAs, and having a transmission function related to the refractive index of the layers of the stack, for receiving an optical input signal to be phase modulated. A phase modulator device produces a nonmechanical change in the refractive index of each layer of the stack by, e.g., the injection of free carrier, to provide shifting of the transmission function so as to produce phase modulation of the optical input signal and to thereby produce a phase modulated output signal.

  10. Core-level photoemission investigation of atomic-fluorine adsorption on GaAs(110)

    NASA Astrophysics Data System (ADS)

    McLean, A. B.; Terminello, L. J.; McFeely, F. R.

    1989-12-01

    The adsorption of atomic F on the cleaved GaAs(110) surface has been studied with use of high-resolution core-level photoelectron spectroscopy by exposing the GaAs(110) surfaces to XeF2, which adsorbs dissociatively, leaving atomic F behind. This surface reaction produces two chemically shifted components in the Ga 3d core-level emission which are attributed to an interfacial monofluoride and a stable trifluoride reaction product, respectively. The As 3d core level develops only one chemically shifted component and from its exposure-dependent behavior it is attributed to an interfacial monofluoride. Least-squares analysis of the core-level line shapes revealed that (i) the F bonds to both the anion and the cation , (ii) the GaF3 component (characteristic of strong interfacial reaction) and the surface core-level shifted component (characteristic of a well ordered, atomically clean surface) are present together over a relatively large range of XeF2 exposures, and (iii) it is the initial disruption of the GaAs(110) surface that is the rate-limiting step in this surface reaction. These results are compared with similar studies of Cl and O adsorption on GaAs(110).

  11. Self-catalyzed GaAs nanowires on silicon by hydride vapor phase epitaxy.

    PubMed

    Dong, Zhenning; André, Yamina; Dubrovskii, Vladimir G; Bougerol, Catherine; Leroux, Christine; Ramdani, Mohammed R; Monier, Guillaume; Trassoudaine, Agnès; Castelluci, Dominique; Gil, Evelyne

    2017-03-24

    Gold-free GaAs nanowires on silicon substrates can pave the way for monolithic integration of photonic nanodevices with silicon electronic platforms. It is extensively documented that the self-catalyzed approach works well in molecular beam epitaxy but is much more difficult to implement in vapor phase epitaxies. Here, we report the first gallium-catalyzed hydride vapor phase epitaxy growth of long (more than 10 μm) GaAs nanowires on Si(111) substrates with a high integrated growth rate up to 60 μm h -1 and pure zincblende crystal structure. The growth is achieved by combining a low temperature of 600 °C with high gaseous GaCl/As flow ratios to enable dechlorination and formation of gallium droplets. GaAs nanowires exhibit an interesting bottle-like shape with strongly tapered bases, followed by straight tops with radii as small as 5 nm. We present a model that explains the peculiar growth mechanism in which the gallium droplets nucleate and rapidly swell on the silicon surface but then are gradually consumed to reach a stationary size. Our results unravel the necessary conditions for obtaining gallium-catalyzed GaAs nanowires by vapor phase epitaxy techniques.

  12. Enhanced second-harmonic generation from resonant GaAs gratings.

    PubMed

    de Ceglia, D; D'Aguanno, G; Mattiucci, N; Vincenti, M A; Scalora, M

    2011-03-01

    We theoretically study second harmonic generation in nonlinear, GaAs gratings. We find large enhancement of conversion efficiency when the pump field excites the guided mode resonances of the grating. Under these circumstances the spectrum near the pump wavelength displays sharp resonances characterized by dramatic enhancements of local fields and favorable conditions for second-harmonic generation, even in regimes of strong linear absorption at the harmonic wavelength. In particular, in a GaAs grating pumped at 1064 nm, we predict second-harmonic conversion efficiencies approximately 5 orders of magnitude larger than conversion rates achievable in either bulk or etalon structures of the same material.

  13. GaAs VLSI for aerospace electronics

    NASA Technical Reports Server (NTRS)

    Larue, G.; Chan, P.

    1990-01-01

    Advanced aerospace electronics systems require high-speed, low-power, radiation-hard, digital components for signal processing, control, and communication applications. GaAs VLSI devices provide a number of advantages over silicon devices including higher carrier velocities, ability to integrate with high performance optical devices, and high-resistivity substrates that provide very short gate delays, good isolation, and tolerance to many forms of radiation. However, III-V technologies also have disadvantages, such as lower yield compared to silicon MOS technology. Achieving very large scale integration (VLSI) is particularly important for fast complex systems. At very short gate delays (less than 100 ps), chip-to-chip interconnects severely degrade circuit clock rates. Complex systems, therefore, benefit greatly when as many gates as possible are placed on a single chip. To fully exploit the advantages of GaAs circuits, attention must be focused on achieving high integration levels by reducing power dissipation, reducing the number of devices per logic function, and providing circuit designs that are more tolerant to process and environmental variations. In addition, adequate noise margin must be maintained to ensure a practical yield.

  14. Covalent attachment of TAT peptides and thiolated alkyl molecules on GaAs surfaces.

    PubMed

    Cho, Youngnam; Ivanisevic, Albena

    2005-07-07

    Four TAT peptide fragments were used to functionalize GaAs surfaces by adsorption from solution. In addition, two well-studied alkylthiols, mercaptohexadecanoic acid (MHA) and 1-octadecanethiol (ODT) were utilized as references to understand the structure of the TAT peptide monolayer on GaAs. The different sequences of TAT peptides were employed in recognition experiments where a synthetic RNA sequence was tested to verify the specific interaction with the TAT peptide. The modified GaAs surfaces were characterized by atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS), and Fourier transform infrared reflection absorption spectroscopy (FT-IRRAS). AFM studies were used to compare the surface roughness before and after functionalization. XPS allowed us to characterize the chemical composition of the GaAs surface and conclude that the monolayers composed of different sequences of peptides have similar surface chemistries. Finally, FT-IRRAS experiments enabled us to deduce that the TAT peptide monolayers have a fairly ordered and densely packed alkyl chain structure. The recognition experiments showed preferred interaction of the RNA sequence toward peptides with high arginine content.

  15. Accelerated GaAs growth through MOVPE for low-cost PV applications

    NASA Astrophysics Data System (ADS)

    Ubukata, Akinori; Sodabanlu, Hassanet; Watanabe, Kentaroh; Koseki, Shuichi; Yano, Yoshiki; Tabuchi, Toshiya; Sugaya, Takeyoshi; Matsumoto, Koh; Nakano, Yoshiaki; Sugiyama, Masakazu

    2018-05-01

    The high growth rate of epitaxial GaAs was investigated using a novel horizontal metalorganic vapor phase epitaxy (MOVPE) reactor, from the point of view of realizing low-cost photovoltaic (PV) solar cells. The GaAs growth rate exhibited an approximately linear relationship with the amount of trimethylgalium (TMGa) supplied, up to a rate of 90 μm/h. The distribution of growth rate was observed for a two-inch wafer, along the flow direction, and the normalized profile of the distribution was found to be independent of the precursor input, from 20 to 70 μm/h. These tendencies indicated that significant parasitic prereaction did not occur in the gaseous phase, for this range of growth rate. GaAs p-n single-junction solar cells were successfully fabricated at growth rates of 20, 60, and 80 μm/h. The conversion efficiency of the cell grown at 80 μm/h was comparable to that of the 20 μm/h cell, indicating the good quality and properties of GaAs. The epitaxial growth exhibited good uniformity, as evidenced by the uniformity of the cell performance across the wafer, from the center to the edge. The result indicated the potential of high-throughput MOVPE for low-cost production, not only for PV devices but also for other semiconductor applications.

  16. Aging behavior of Au-based ohmic contacts to GaAs

    NASA Technical Reports Server (NTRS)

    Fatemi, Navid S.

    1989-01-01

    Gold based alloys, commonly used as ohmic contacts for solar cells, are known to react readily with GaAs. It is shown that the contact interaction with the underlying GaAs can continue even at room temperature upon aging, altering both the electrical characteristics of the contacts and the nearby pn junction. Au-Ge-Ni as-deposited (no heat-treatment) contacts made to thin emitter (0.15 microns) GaAs diodes have shown severe shunting of the pn junction upon aging for several months at room temperature. The heat-treated contacts, despite showing degradation in contact resistance, did not affect the underlying pn junction. Au-Zn-Au contacts to p-GaAs emitter (0.2 microns) diodes, however, showed slight improvement in contact resistance upon 200 C isothermal annealing for several months, without degrading the pn junction. The effect of aging on electrical characteristics of the as-deposited and heat-treated contacts and the nearby pn junction, as well as on the surface morphology of the contacts are presented.

  17. Aging behavior of Au-based ohmic contacts to GaAs

    NASA Technical Reports Server (NTRS)

    Fatemi, Navid S.

    1988-01-01

    Gold based alloys, commonly used as ohmic contacts for solar cells, are known to react readily with GaAs. It is shown that the contact interaction with the underlying GaAs can continue even at room temperature upon aging, altering both the electrical characteristics of the contacts and the nearby pn junction. Au-Ge-Ni as-deposited (no heat treatment) contacts made to thin emitter (0.15 micrometer) GaAs diodes have shown severe shunting of the pn junction upon aging for several months at room temperature. The heat-treated contacts, despite showing degradation in contact resistance did not affect the underlying pn junction. Au-Zn-Au contacts to p-GaAs emitter (0.2 micrometer) diodes, however, showed slight improvement in contact resistance upon 200 C isothermal annealing for several months, without degrading the pn junction. The effect of aging on electrical characteristics of the as-deposited and heat-treated contacts and the nearby pn junction, as well as on the surface morphology of the contacts are presented.

  18. Multidimensional Coherent Spectroscopy of GaAs Excitons and Quantum Microcavity Polaritons

    NASA Astrophysics Data System (ADS)

    Wilmer, Brian L.

    Light-matter interactions associated with excitons and exciton related complexes are explored in bulk GaAs and semiconductor microcavities using multidimensional coherent spectroscopy (MDCS). This approach provides rich spectra determining quantum excitation pathways, structural influences on the excitons, and coherence times. Polarization, excitation density, and temperature-dependent MDCS is performed on excitons in strained bulk GaAs layers, probing the coherent response for differing amounts of strain. Biaxial tensile strain lifts the degeneracy of heavy-hole and light-hole valence states, leading to an observed splitting of the associated excitons at low temperature. Increasing the strain increases the magnitude of the heavy-/light- hole exciton peak splitting, induces an asymmetry in the off-diagonal interaction coherences, increases the difference in the heavy- and light- hole exciton homogenous linewidths, and increases the inhomogeneous broadening of both exciton species. All results arise from strain-induced variations in the local electronic environment, which is not uniform along the growth direction of the thin layers. For cross-linear polarized excitation, wherein excitonic signals give way to biexcitonic signals, the high-strain sample shows evidence of bound light-, heavy- and mixed- hole biexcitons. 2DCS maps the anticrossing associated with normal mode splitting in a semiconductor microcavity. For a detuning range near zero, it is observed that there are two diagonal features related to the intra-action of exciton-polariton branches and two off-diagonal features related to coherent interaction between the polaritons. At negative detuning, the line shape properties of the diagonal intra-action features are distinguishable and can be associated with cavity-like and exciton-like modes. A biexcitonic companion feature is observed, shifted from the exciton feature by the biexciton binding energy. Closer to zero detuning, all features are enhanced and

  19. Study of strain boundary conditions and GaAs buffer sizes in InGaAs quantum dots

    NASA Technical Reports Server (NTRS)

    Oyafuso, F.; Klimeck, G.; Boykin, T. B.; Bowen, R. C.; Allmen, P. von

    2003-01-01

    NEMO 3-D has been developed for the simulation of electronic structure in self-assembled InGaAs quantum dots on GaAs substrates. Typical self-assembled quantum dots in that material system contain about 0.5 to 1 million atoms. Effects of strain by the surrounding GaAs buffer modify the electronic structure inside the quantum dot significantly and a large GaAs buffer must be included in the strain and electronic structure.

  20. Alternatives to Arsine: The Atmospheric Pressure Organometallic Chemical Vapor Deposition Growth of GaAs Using Triethylarsenic.

    DTIC Science & Technology

    1987-08-15

    SUPPLEMENTARY NOTATION 17. COSATI CODES 18 SUBJECT TERMS (Corinue on reverse if necessary and identify by block number) FIELD GROUP SUB-GROUP Epitaxy GaAs 9...Zr leiK m I141’ FIGURES 1 . Effect of Growth Parameters on Residual Doping Type ................... 7 2. Photoluminescence Spectrum of a GaAs Epilayer... 1 3 Successful homoepitaxial growth of high purity, unintentionally doped GaAs epilayers by organometallic chemical vapor deposition (OMCVD) has

  1. Origin and enhancement of the 1.3 μm luminescence from GaAs treated by ion-implantation and flash lamp annealing

    NASA Astrophysics Data System (ADS)

    Gao, Kun; Prucnal, S.; Skorupa, W.; Helm, M.; Zhou, Shengqiang

    2013-09-01

    GaAs and GaAs based materials have outstanding optoelectronic properties and are widely used as light emitting media in devices. Many approaches have been applied to GaAs to generate luminescence at 0.88, 1.30, and 1.55 μm which are transmission windows of optical fibers. In this paper, we present the photoluminescence at 1.30 μm from deep level defects in GaAs treated by ion-implantation and flash lamp annealing (FLA). Such emission, which exhibits superior temperature stability, can be obtained from FLA treated virgin GaAs as well as doped GaAs. Indium-doping in GaAs can greatly enhance the luminescence. By photoluminescence, Raman measurements, and positron annihilation spectroscopy, we conclude that the origin of the 1.30 μm emission is from transitions between the VAs-donor and X-acceptor pairs.

  2. Novel anti-reflection technology for GaAs single-junction solar cells using surface patterning and Au nanoparticles.

    PubMed

    Kim, Youngjo; Lam, Nguyen Dinh; Kim, Kangho; Kim, Sangin; Rotermund, Fabian; Lim, Hanjo; Lee, Jaejin

    2012-07-01

    Single-junction GaAs solar cell structures were grown by low-pressure MOCVD on GaAs (100) substrates. Micro-rod arrays with diameters of 2 microm, 5 microm, and 10 microm were fabricated on the surfaces of the GaAs solar cells via photolithography and wet chemical etching. The patterned surfaces were coated with Au nanoparticles using an Au colloidal solution. Characteristics of the GaAs solar cells with and without the micro-rod arrays and Au nanoparticles were investigated. The short-circuit current density of the GaAs solar cell with 2 microm rod arrays and Au nanoparticles increased up to 34.9% compared to that of the reference cell without micro-rod arrays and Au nanoparticles. The conversion efficiency of the GaAs solar cell that was coated with Au nanoparticles on the patterned surface with micro-rod arrays can be improved from 14.1% to 19.9% under 1 sun AM 1.5G illumination. These results show that micro-rod arrays and Au nanoparticle coating can be applied together in surface patterning to achieve a novel cost-effective anti-reflection technology.

  3. Spatial structure of single and interacting Mn acceptors in GaAs

    NASA Astrophysics Data System (ADS)

    Koenraad, Paul

    2005-03-01

    Ferromagnetic semiconductors such as Ga1-xMnxAs are receiving a lot of attention at the moment because of their application in spintronic devices. However, despite intense study of deep acceptors in III-V semiconductors such as MnGa, little information has been obtained on their electronic properties at the atomic scale. Yet the spatial shape of the Mn acceptor state will influence the hole-mediated Mn-Mn coupling and thus all of the magnetic properties of ferromagnetic semiconductors such as Ga1-xMnxAs. This study presents an experimental and theoretical description of the spatial symmetry of the Mn acceptor wave-function in GaAs. We present measurements of the spatial mapping of the anisotropic wavefunction of a hole localized at a Mn acceptor. To achieve this, we have used the STM tip not only to image the Mn acceptor but also to manipulate its charge state A^0/A^- at room temperature. Within an envelope function effective mass model (EFM) the anisotropy in the acceptor wave-function can be traced to the influence of the cubic symmetry of the GaAs crystal which selects specific d-states that mix into the ground state due to the spin-orbit interaction in the valence band. Comparison with calculations based on a tight-binding model (TBM) for the Mn acceptor structure supports this conclusion. Using the same experimental and theoretical approach we furthermore explored the interaction between Mn acceptors directly by analyzing close Mn-Mn pairs, which were separated by less than 2 nm. We will discuss some implications of these results for Mn delta-doped layers grown on differently oriented growth surfaces.

  4. Measurement of electron beam polarization produced by photoemission from bulk GaAs using twisted light

    NASA Astrophysics Data System (ADS)

    Clayburn, Nathan; Dreiling, Joan; McCarter, James; Ryan, Dominic; Poelker, Matt; Gay, Timothy

    2012-06-01

    GaAs photocathodes produce spin polarized electron beams when illuminated with circularly polarized light with photon energy approximately equal to the bandgap energy [1, 2]. A typical polarization value obtained with bulk GaAs and conventional circularly polarized light is 35%. This study investigated the spin polarization of electron beams emitted from GaAs illuminated with ``twisted light,'' an expression that describes a beam of light having orbital angular momentum (OAM). In the experiment, 790nm laser light was focused to a near diffraction-limited spot size on the surface of the GaAs photocathode to determine if OAM might couple to valence band electron spin mediated by the GaAs lattice. Our polarization measurements using a compact retarding-field micro-Mott polarimeter [3] have established an upper bound on the polarization of the emitted electron beam of 2.5%. [4pt] [1] D.T. Pierce, F. Meier, P. Zurcher, Appl. Phys. Lett. 26 670 (1975).[0pt] [2] C.K. Sinclair, et al., PRSTAB 10 023501 (2007).[0pt] [3] J.L. McCarter, M.L. Stutzman, K.W. Trantham, T.G. Anderson, A.M. Cook, and T.J. Gay Nucl. Instrum. and Meth. A (2010).

  5. Influence of the local environment on Mn acceptors in GaAs

    NASA Astrophysics Data System (ADS)

    Lee, Donghun; Gohlke, David; Benjamin, Anne; Gupta, Jay A.

    2015-04-01

    As transistors continue to shrink toward nanoscale dimensions, their characteristics are increasingly dependent on the statistical variations of impurities in the semiconductor material. The scanning tunneling microscope (STM) can be used to not only study prototype devices with atomically precise placement of impurity atoms, but can also probe how the properties of these impurities depend on the local environment. Tunneling spectroscopy of Mn acceptors in GaAs indicates that surface-layer Mn act as a deep acceptor, with a hole binding energy that can be tuned by positioning charged defects nearby. Band bending induced by the tip or by these defects can also tune the ionization state of the acceptor complex, evident as a ring-like contrast in STM images. The interplay of these effects is explored over a wide range of defect distances, and understood using iterative simulations of tip-induced band bending.

  6. Nanoscale Footprints of Self-Running Gallium Droplets on GaAs Surface

    PubMed Central

    Wu, Jiang; Wang, Zhiming M.; Li, Alvason Z.; Benamara, Mourad; Li, Shibin; Salamo, Gregory J.

    2011-01-01

    In this work, the nanoscale footprints of self-driven liquid gallium droplet movement on a GaAs (001) surface will be presented and analyzed. The nanoscale footprints of a primary droplet trail and ordered secondary droplets along primary droplet trails are observed on the GaAs surface. A well ordered nanoterrace from the trail is left behind by a running droplet. In addition, collision events between two running droplets are investigated. The exposed fresh surface after a collision demonstrates a superior evaporation property. Based on the observation of droplet evolution at different stages as well as nanoscale footprints, a schematic diagram of droplet evolution is outlined in an attempt to understand the phenomenon of stick-slip droplet motion on the GaAs surface. The present study adds another piece of work to obtain the physical picture of a stick-slip self-driven mechanism in nanoscale, bridging nano and micro systems. PMID:21673965

  7. Negative differential velocity in ultradilute GaAs1-xNx alloys

    NASA Astrophysics Data System (ADS)

    Vogiatzis, N.; Rorison, J. M.

    2011-04-01

    We present theoretical results on steady state characteristics in bulk GaAs1-xNx alloys (x ≤ 0.2) using the single electron Monte-Carlo method. Two approaches have been used; the first assumes a GaAs band with a strong nitrogen scattering resonance and the second uses the band anti-crossing model, in which the localized N level interacts with the GaAs band strongly perturbing the conduction band. In the first model we observe two negative differential velocity peaks, the lower one associated with nitrogen scattering while the higher one with polar optical phonon emission accounting for the nonparabolicity effect. In the second model one negative differential velocity peak is observed associated with polar optical phonon emission. Good agreement with experimental low field mobility is obtained from the first model. We also comment on the results from both Models when the intervalley Г → L transfer is accounted for.

  8. New flange correction formula applied to interfacial resistance measurements of ohmic contacts to GaAs

    NASA Technical Reports Server (NTRS)

    Lieneweg, Udo; Hannaman, David J.

    1987-01-01

    A quasi-two-dimensional analytical model is developed to account for vertical and horizontal current flow in and adjacent to a square ohmic contact between a metal and a thin semiconducting strip which is wider than the contact. The model includes side taps to the contact area for voltage probing and relates the 'apparent' interfacial resistivity to the (true) interfacial resistivity, the sheet resistance of the semiconducting layer, the contact size, and the width of the 'flange' around the contact. This relation is checked against numerical simulations. With the help of the model, interfacial resistivities of ohmic contacts to GaAs were extracted and found independent of contact size in the range of 1.5-10 microns.

  9. Intrinsic Spin-Hall Effect in n-Doped Bulk GaAs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bernevig, B.Andrei; Zhang, Shou-Cheng; /Stanford U., Phys. Dept.

    2010-01-15

    We show that the bulk Dresselhauss (k{sup 3}) spin-orbit coupling term leads to an intrinsic spin-Hall effect in n-doped bulk GaAs, but without the appearance of uniform magnetization. The spin-Hall effect in strained and unstrained bulk GaAs has been recently observed experimentally by Kato et. al. [1]. We show that the experimental result is quantitatively consistent with the intrinsic spin-Hall effect due to the Dresselhauss term, when lifetime broadening is taken into account. On the other hand, extrinsic contribution to the spin-Hall effect is several orders of magnitude smaller than the observed effect.

  10. Ab initio study of GaAs(100) surface stability over As2, H2 and N2 as a model for vapor-phase epitaxy of GaAs1-xNx

    NASA Astrophysics Data System (ADS)

    Valencia, Hubert; Kangawa, Yoshihiro; Kakimoto, Koichi

    2015-12-01

    GaAs(100) c(4×4) surfaces were examined by ab initio calculations, under As2, H2 and N2 gas mixed conditions as a model for GaAs1-xNx vapor-phase epitaxy (VPE) on GaAs(100). Using a simple model consisting of As2 and H2 molecules adsorptions and As/N atom substitutions, it was shown to be possible to examine the crystal growth behavior considering the relative stability of the resulting surfaces against the chemical potential of As2, H2 and N2 gases. Such simple model allows us to draw a picture of the temperature and pressure stability domains for each surfaces that can be linked to specific growth conditions, directly. We found that, using this simple model, it is possible to explain the different N-incorporation regimes observed experimentally at different temperatures, and to predict the transition temperature between these regimes. Additionally, a rational explanation of N-incorporation ratio for each of these regimes is provided. Our model should then lead to a better comprehension and control of the experimental conditions needed to realize a high quality VPE of GaAs1-xNx.

  11. Terahertz pulse induced intervalley scattering in photoexcited GaAs.

    PubMed

    Su, F H; Blanchard, F; Sharma, G; Razzari, L; Ayesheshim, A; Cocker, T L; Titova, L V; Ozaki, T; Kieffer, J-C; Morandotti, R; Reid, M; Hegmann, F A

    2009-06-08

    Nonlinear transient absorption bleaching of intense few-cycle terahertz (THz) pulses is observed in photoexcited GaAs using opticalpump--THz-probe techniques. A simple model of the electron transport dynamics shows that the observed nonlinear response is due to THz-electric- field-induced intervalley scattering over sub-picosecond time scales as well as an increase in the intravalley scattering rate attributed to carrier heating. Furthermore, the nonlinear nature of the THz pulse transmission at high peak fields leads to a measured terahertz conductivity in the photoexcited GaAs that deviates significantly from the Drude behavior observed at low THz fields, emphasizing the need to explore nonlinear THz pulse interactions with materials in the time domain.

  12. Crystal growth of device quality GaAs in space

    NASA Technical Reports Server (NTRS)

    Gatos, Harry C.; Lagowski, Jacek

    1989-01-01

    The program on Crystal Growth of Device Quality GaAs in Space was initiated in 1977. The initial stage covering 1977 to 1984 was devoted strictly to ground-based research. By 1985 the program had evolved into its next logical stage aimed at space growth experiments; however, since the Challenger disaster, the program has been maintained as a ground-based program awaiting activation of experimentation in space. The overall prgram has produced some 80 original scientific publications on GaAs crystal growth, crystal characterization, and new approaches to space processing. Publication completed in the last three years are listed. Their key results are outlined and discussed in the twelve publications included as part of the report.

  13. Effects of in-situ UV irradiation on the uniformity and optical properties of GaAsBi epi-layers grown by MBE

    NASA Astrophysics Data System (ADS)

    Beaton, Daniel A.; Steger, M.; Christian, T.; Mascarenhas, A.

    2018-02-01

    In-situ UV illumination influences the incorporation dynamics of bismuth adatom in GaAs. Here we use the inherent variation of the fluence across the sample to explore the role of the incident irradiation. With illumination it is found that steady state growth processes are achieved more quickly resulting in more abrupt interfaces, as well as uniform GaAs1-xBix epi-layers. Comparisons of low temperature photoluminescence spectra show an increasing density of clusters of incorporated bismuth atoms with decreasing incident fluence.

  14. Effects of in-situ UV irradiation on the uniformity and optical properties of GaAsBi epi-layers grown by MBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Beaton, Daniel A.; Steger, M.; Christian, T.

    In-situ UV illumination influences the incorporation dynamics of bismuth adatom in GaAs. Here we use the inherent variation of the fluence across the sample to explore the role of the incident irradiation. With illumination it is found that steady state growth processes are achieved more quickly resulting in more abrupt interfaces, as well as uniform GaAs 1-xBi x epi-layers. Comparisons of low temperature photoluminescence spectra show an increasing density of clusters of incorporated bismuth atoms with decreasing incident fluence.

  15. Effects of in-situ UV irradiation on the uniformity and optical properties of GaAsBi epi-layers grown by MBE

    DOE PAGES

    Beaton, Daniel A.; Steger, M.; Christian, T.; ...

    2017-12-14

    In-situ UV illumination influences the incorporation dynamics of bismuth adatom in GaAs. Here we use the inherent variation of the fluence across the sample to explore the role of the incident irradiation. With illumination it is found that steady state growth processes are achieved more quickly resulting in more abrupt interfaces, as well as uniform GaAs 1-xBi x epi-layers. Comparisons of low temperature photoluminescence spectra show an increasing density of clusters of incorporated bismuth atoms with decreasing incident fluence.

  16. High-Performance GaAs Nanowire Solar Cells for Flexible and Transparent Photovoltaics.

    PubMed

    Han, Ning; Yang, Zai-xing; Wang, Fengyun; Dong, Guofa; Yip, SenPo; Liang, Xiaoguang; Hung, Tak Fu; Chen, Yunfa; Ho, Johnny C

    2015-09-16

    Among many available photovoltaic technologies at present, gallium arsenide (GaAs) is one of the recognized leaders for performance and reliability; however, it is still a great challenge to achieve cost-effective GaAs solar cells for smart systems such as transparent and flexible photovoltaics. In this study, highly crystalline long GaAs nanowires (NWs) with minimal crystal defects are synthesized economically by chemical vapor deposition and configured into novel Schottky photovoltaic structures by simply using asymmetric Au-Al contacts. Without any doping profiles such as p-n junction and complicated coaxial junction structures, the single NW Schottky device shows a record high apparent energy conversion efficiency of 16% under air mass 1.5 global illumination by normalizing to the projection area of the NW. The corresponding photovoltaic output can be further enhanced by connecting individual cells in series and in parallel as well as by fabricating NW array solar cells via contact printing showing an overall efficiency of 1.6%. Importantly, these Schottky cells can be easily integrated on the glass and plastic substrates for transparent and flexible photovoltaics, which explicitly demonstrate the outstanding versatility and promising perspective of these GaAs NW Schottky photovoltaics for next-generation smart solar energy harvesting devices.

  17. Fabrication of (In,Ga)As quantum-dot chains on GaAs(100)

    NASA Astrophysics Data System (ADS)

    Wang, Z. M.; Holmes, K.; Mazur, Yu. I.; Salamo, G. J.

    2004-03-01

    Nanostructure evolution during the growth of multilayers of In0.5Ga0.5As/GaAs (100) by molecular-beam epitaxy is investigated to control the formation of lines of quantum dots called quantum-dot chains. It is found that the dot chains can be substantially increased in length by the introduction of growth interruptions during the initial stages of growth of the GaAs spacer layer. Quantum-dot chains that are longer than 5 μm are obtained by adjusting the In0.5Ga0.5As coverage and growth interruptions. The growth procedure is also used to create a template to form InAs dots into chains with a predictable dot density. The resulting dot chains offer the possibility to engineer carrier interaction among dots for novel physical phenomena and potential devices.

  18. Frequency-tunable continuous-wave terahertz sources based on GaAs plasmonic photomixers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Shang-Hua; Jarrahi, Mona; Electrical Engineering Department, University of California Los Angeles, Los Angeles, California 90095

    2015-09-28

    We present frequency-tunable, continuous-wave terahertz sources based on GaAs plasmonic photomixers, which offer high terahertz radiation power levels at 50% radiation duty cycle. The use of plasmonic contact electrodes enhances photomixer quantum efficiency while maintaining its ultrafast operation by concentrating a large number of photocarriers in close proximity to the device contact electrodes. Additionally, the relatively high thermal conductivity and high resistivity of GaAs allow operation under high optical pump power levels and long duty cycles without reaching the thermal breakdown limit of the photomixer. We experimentally demonstrate continuous-wave terahertz radiation with a radiation frequency tuning range of more thanmore » 2 THz and a record-high radiation power of 17 μW at 1 THz through plasmonic photomixers fabricated on a low temperature grown GaAs substrate at 50% radiation duty cycle.« less

  19. In-situ ellipsometric studies of optical and surface properties of GaAs(100) at elevated temperatures

    NASA Technical Reports Server (NTRS)

    Yao, Huade; Snyder, Paul G.

    1991-01-01

    A rotating-polarizer ellipsometer was attached to an ultrahigh vacuum (UHV) chamber. A GaAs(100) sample was introduced into the UHV chamber and heated at anumber of fixed elevated temperatures, without arsenic overpressure. In-situ spectroscopic ellipsometric (SE) measurements were taken, through a pair of low-strain quartz windows, to monitor the surface changes and measure the pseudodielectric functions at elevated temperatures. Real-time data from GaAs surface covered with native oxide showed clearly the evolution of oxide desorption at approximately 580 C. In addition, surface degradation was found before and after the oxide desorption. An oxide free and smooth GaAs surface was obtained by depositing an arsenic protective coating onto a molecular beam epitaxy grown GaAs surface. The arsenic coating was evaporated immediately prior to SE measurements. A comparison showed that our room temperature data from this GaAs surface, measured in the UHV, are in good agreement with those in the literature obtained by wet-chemical etching. The surface also remained clean and smooth at higher temperatures, so that reliable temperature-dependent dielectric functions were obtained.

  20. A validated high performance thin layer chromatography method for determination of yohimbine hydrochloride in pharmaceutical preparations

    PubMed Central

    Badr, Jihan M.

    2013-01-01

    Background: Yohimbine is an indole alkaloid used as a promising therapy for erectile dysfunction. A number of methods were reported for the analysis of yohimbine in the bark or in pharmaceutical preparations. Materials and Method: In the present work, a simple and sensitive high performance thin layer chromatographic method is developed for determination of yohimbine (occurring as yohimbine hydrochloride) in pharmaceutical preparations and validated according to International Conference of Harmonization (ICH) guidelines. The method employed thin layer chromatography aluminum sheets precoated with silica gel as the stationary phase and the mobile phase consisted of chloroform:methanol:ammonia (97:3:0.2), which gave compact bands of yohimbine hydrochloride. Results: Linear regression data for the calibration curves of standard yohimbine hydrochloride showed a good linear relationship over a concentration range of 80–1000 ng/spot with respect to the area and correlation coefficient (R2) was 0.9965. The method was evaluated regarding accuracy, precision, selectivity, and robustness. Limits of detection and quantitation were recorded as 5 and 40 ng/spot, respectively. The proposed method efficiently separated yohimbine hydrochloride from other components even in complex mixture containing powdered plants. The amount of yohimbine hydrochloride ranged from 2.3 to 5.2 mg/tablet or capsule in preparations containing the pure alkaloid, while it varied from zero (0) to 1.5–1.8 mg/capsule in dietary supplements containing powdered yohimbe bark. Conclusion: We concluded that this method employing high performance thin layer chromatography (HPTLC) in quantitative determination of yohimbine hydrochloride in pharmaceutical preparations is efficient, simple, accurate, and validated. PMID:23661986

  1. A validated high performance thin layer chromatography method for determination of yohimbine hydrochloride in pharmaceutical preparations.

    PubMed

    Badr, Jihan M

    2013-01-01

    Yohimbine is an indole alkaloid used as a promising therapy for erectile dysfunction. A number of methods were reported for the analysis of yohimbine in the bark or in pharmaceutical preparations. In the present work, a simple and sensitive high performance thin layer chromatographic method is developed for determination of yohimbine (occurring as yohimbine hydrochloride) in pharmaceutical preparations and validated according to International Conference of Harmonization (ICH) guidelines. The method employed thin layer chromatography aluminum sheets precoated with silica gel as the stationary phase and the mobile phase consisted of chloroform:methanol:ammonia (97:3:0.2), which gave compact bands of yohimbine hydrochloride. Linear regression data for the calibration curves of standard yohimbine hydrochloride showed a good linear relationship over a concentration range of 80-1000 ng/spot with respect to the area and correlation coefficient (R(2)) was 0.9965. The method was evaluated regarding accuracy, precision, selectivity, and robustness. Limits of detection and quantitation were recorded as 5 and 40 ng/spot, respectively. The proposed method efficiently separated yohimbine hydrochloride from other components even in complex mixture containing powdered plants. The amount of yohimbine hydrochloride ranged from 2.3 to 5.2 mg/tablet or capsule in preparations containing the pure alkaloid, while it varied from zero (0) to 1.5-1.8 mg/capsule in dietary supplements containing powdered yohimbe bark. We concluded that this method employing high performance thin layer chromatography (HPTLC) in quantitative determination of yohimbine hydrochloride in pharmaceutical preparations is efficient, simple, accurate, and validated.

  2. Experimental investigation of millimeter-wave GaAs TED oscillators cooled to cryogenic temperatures

    NASA Astrophysics Data System (ADS)

    Rydberg, Anders; Kollberg, Erik

    1988-03-01

    The output power and operating (bias) point for 80-100-GHz GaAs TED oscillators have been investigated for temperatures between 300 and 40 K. It is shown experimentally that the power can be increased by as much as nearly four times by cooling the oscillator. The thermal design of the oscillator was studied for GaAs and InP TED oscillators.

  3. Single crystal and polycrystalline GaAs solar cells using AMOS technology

    NASA Technical Reports Server (NTRS)

    Stirn, R. J.; Yeh, Y. C. M.

    1976-01-01

    A description is given of current technology for fabricating single AMOS (antireflection-coated metal oxide semiconductor) solar cells, with attention given to thermal, plasma, and anodic oxidation, native oxide stripping, and X-ray photoelectron spectroscopy results. Some preliminary results are presented on the chemistry and electrical characterization of such cells, and the characteristics of cells fabricated on sliced polycrystalline GaAs wafers are examined. Consideration is also given to the recrystallization of evaporated Ge films for use as low-cost substrates for polycrystalline GaAs solar cells.

  4. Influence of interface layer preparation on the electrical and spectral characteristics of GaN/Si solar cells

    NASA Astrophysics Data System (ADS)

    Shugurov, K. U.; Mozharov, A. M.; Sapunov, G. A.; Fedorov, V. V.; Bolshakov, A. D.; Mukhin, I. S.

    2018-03-01

    Volt-ampere and spectral characteristics of GaN/Si solar cell samples differing in interface layer preparation are obtained and analyzed. External quantum efficiency curves are experimentally determined via excitation with a 532 nm incident radiation wavelength. It is demonstrated that interface preparation has a significant influence on photovoltaic characteristics of the studied samples.

  5. Dynamic Curvature and Stress Studies for MBE CdTe on Si and GaAs Substrates

    NASA Astrophysics Data System (ADS)

    Jacobs, R. N.; Jaime Vasquez, M.; Lennon, C. M.; Nozaki, C.; Almeida, L. A.; Pellegrino, J.; Arias, J.; Taylor, C.; Wissman, B.

    2015-09-01

    Infrared focal plane arrays (IRFPA) based on HgCdTe semiconductor alloys have been shown to be ideal for tactical and strategic applications. High density (>1 M pixel), high operability HgCdTe detectors on large area, low-cost composite substrates, such as CdTe-buffered Si or GaAs, are envisioned for next-generation IRFPAs. Thermal expansion mismatch is among various material parameters that govern the structural properties of the final detector layer. It has previously been shown that thermal expansion mismatch plays the dominant role in the residual stress characteristics of these heteroepitaxial structures (Jacobs et al. in J Electron Mater 37:1480, 2008). The wafer curvature (bowing) resulting from residual stress, is a likely source of problems that may occur during subsequent processing. This includes cracking of the film and substrate during post-growth annealing processes or even certain characterization techniques. In this work, we examine dynamic curvature and stress during molecular beam epitaxy (MBE), of CdTe on Si and GaAs substrates. The effect of temperature changes on wafer curvature throughout the growth sequence is documented using a multi-beam optical sensor developed by K-Space Associates. This monitoring technique makes possible the study of growth sequences which employ annealing schemes and/or interlayers to influence the final residual stress state of the heteroepitaxial structures.

  6. Influences of ultrathin amorphous buffer layers on GaAs/Si grown by metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Hu, Haiyang; Wang, Jun; Cheng, Zhuo; Yang, Zeyuan; Yin, Haiying; Fan, Yibing; Ma, Xing; Huang, Yongqing; Ren, Xiaomin

    2018-04-01

    In this work, a technique for the growth of GaAs epilayers on Si, combining an ultrathin amorphous Si buffer layer and a three-step growth method, has been developed to achieve high crystalline quality for monolithic integration. The influences of the combined technique for the crystalline quality of GaAs on Si are researched in this article. The crystalline quality of GaAs epilayer on Si with the combined technique is investigated by scanning electron microscopy, double crystal X-ray diffraction (DCXRD), photoluminescence, and transmission electron microscopy measurements. By means of this technique, a 1.8-µm-thick high-quality GaAs/Si epilayer was grown by metal-organic chemical vapor deposition. The full-width at half-maximum of the DCXRD rocking curve in the (400) reflection obtained from the GaAs/Si epilayers is about 163 arcsec. Compared with only using three-step growth method, the current technique reduces etch pit density from 3 × 106 cm-2 to 1.5 × 105 cm-2. The results demonstrate that the combined technique is an effective approach for reducing dislocation density in GaAs epilayers on Si.

  7. Nickel hydroxide nanoparticles-reduced graphene oxide nanosheets film: layer-by-layer electrochemical preparation, characterization and rifampicin sensory application.

    PubMed

    Rastgar, Shokoufeh; Shahrokhian, Saeed

    2014-02-01

    Electrochemical deposition, as a well-controlled synthesis procedure, has been used for subsequently layer-by-layer preparation of nickel hydroxide nanoparticle-reduced graphene oxide nanosheets (Ni(OH)2-RGO) on a graphene oxide (GO) film pre-cast on a glassy carbon electrode surface. The surface morphology and nature of the nano-hybrid film (Ni(OH)2-RGO) was thoroughly characterized by scanning electron and atomic force microscopy, spectroscopy and electrochemical techniques. The modified electrode appeared as an effective electro-catalytic model for analysis of rifampicin (RIF) by using linear sweep voltammetry (LSV). The prepared modified electrode exhibited a distinctly higher activity for electro-oxidation of RIF than either GO, RGO nanosheets or Ni(OH)2 nanoparticles. Enhancement of peak currents is ascribed to the fast heterogeneous electron transfer kinetics that arise from the synergistic coupling between the excellent properties of RGO nanosheets (such as high density of edge plane sites, subtle electronic characteristics and attractive π-π interaction) and unique properties of metal nanoparticles. Under the optimized analysis conditions, the modified electrode showed two oxidation processes for rifampicin at potentials about 0.08 V (peak I) and 0.69 V (peak II) in buffer solution of pH 7.0 with a wide linear dynamic range of 0.006-10.0 µmol L(-1) and 0.04-10 µmol L(-1) with a detection limit of 4.16 nmol L(-1) and 2.34 nmol L(-1) considering peaks I and II as an analytical signal, respectively. The results proved the efficacy of the fabricated modified electrode for simple, low cost and highly sensitive medicine sensor well suited for the accurate determinations of trace amounts of rifampicin in the pharmaceutical and clinical preparations. © 2013 Elsevier B.V. All rights reserved.

  8. High power cascaded mid-infrared InAs/GaSb light emitting diodes on mismatched GaAs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Provence, S. R., E-mail: sydney-provence@uiowa.edu; Ricker, R.; Aytac, Y.

    2015-09-28

    InAs/GaSb mid-wave, cascaded superlattice light emitting diodes are found to give higher radiance when epitaxially grown on mismatched GaAs substrates compared to lattice-matched GaSb substrates. Peak radiances of 0.69 W/cm{sup 2}-sr and 1.06 W/cm{sup 2}-sr for the 100 × 100 μm{sup 2} GaSb and GaAs-based devices, respectively, were measured at 77 K. Measurement of the recombination coefficients shows the shorter Shockley-Read-Hall recombination lifetime as misfit dislocations for growth on GaAs degrade the quantum efficiency only at low current injection. The improved performance on GaAs was found to be due to the higher transparency and improved thermal properties of the GaAs substrate.

  9. Analysis of GAA/TTC DNA triplexes using nuclear magnetic resonance and electrospray ionization mass spectrometry.

    PubMed

    Mariappan, S V Santhana; Cheng, Xun; van Breemen, Richard B; Silks, Louis A; Gupta, Goutam

    2004-11-15

    The formation of a GAA/TTC DNA triplex has been implicated in Friedreich's ataxia. The destabilization of GAA/TTC DNA triplexes either by pH or by binding to appropriate ligands was analyzed by nuclear magnetic resonance (NMR) and positive-ion electrospray mass spectrometry. The triplexes and duplexes were identified by changes in the NMR chemical shifts of H8, H1, H4, 15N7, and 15N4. The lowest pH at which the duplex is detectable depends upon the overall stability and the relative number of Hoogsteen C composite function G to T composite function A basepairs. A melting pH (pHm) of 7.6 was observed for the destabilization of the (GAA)2T4(TTC)2T4(CTT)2 triplex to the corresponding Watson-Crick duplex and the T4(CTT)2 overhang. The mass spectrometric analyses of (TTC)6.(GAA)6 composite function(TTC)6 triplex detected ions due to both triplex and single-stranded oligonucleotides under acidic conditions. The triplex ions disappeared completely at alkaline pH. Duplex and single strands were detectable only at neutral and alkaline pH values. Mass spectrometric analyses also showed that minor groove-binding ligands berenil, netropsin, and distamycin and the intercalating ligand acridine orange destabilize the (TTC)6.(GAA)6 composite function (TTC)6 triplex. These NMR and mass spectrometric methods may function as screening assays for the discovery of agents that destabilize GAA/TTC triplexes and as general methods for the characterization of structure, dynamics, and stability of DNA and DNA-ligand complexes.

  10. High-efficiency thin-film GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Stirn, R. J.

    1979-01-01

    GaAs chemical vapor deposition (CVD) growth on single-crystal GaAs substrates was investigated over a temperature range of 600 to 750 C, As/GA mole-ratio range of 3 to 11, and gas molefraction range 5 x 10 to the minus 9th power to 7x 10 to the minus 7th power for H2S doping. GasAs CVD growth on recrystallized Ge films was investigated for a temperature range of 550 to 700 C, an As/GA mole ratio of 5, and for various H2S mole fraction. The highest efficiency cell observed on these films with 2 mm dots was 4.8% (8% when AR-coated). Improvements in fill factor and opencircuit voltage by about 40% each are required in order to obtain efficiencies of 15% or greater.

  11. An experimental study on the preparation of tochilinite-originated intercalation compounds comprised of Fe 1-xS host layers and various kinds of guest layers

    NASA Astrophysics Data System (ADS)

    Peng, Yiya; Xi, Guangcheng; Zhong, Chang; Wang, Linping; Lu, Jun; Sun, Ximeng; Zhu, Lu; Han, Qikun; Chen, Lin; Shi, Lei; Sun, Mei; Li, Qianrong; Yu, Min; Yin, Mingwen

    2009-08-01

    Tochilinite represents a mineral group of ordered mixed-layer structures containing alternating Fe 1-xS layers with mackinawite-like structure and metal hydroxide layers with Mg(OH) 2-like structure. In this article, we report the preparation of a series of tochilinite-originated (or Fe 1-xS-based) intercalation compounds (ICs). According to their preparation procedures, these ICs can be divided into four kinds. The first kind of IC was sodium tochilinite (Na-tochilinite), which was prepared by the hydrothermal reaction of metallic Fe particles with concentrated Na 2S·9H 2O aqueous solutions. The hydroxide layer of the Na-tochilinite was a mixed hydroxide of Na + ions along with a certain amount of Fe 2+ ions. When the hydroxide layer of the Na-tochilinite completely dissolved in aqueous solutions, a Fe-deficient mackinawite-like phase Fe 1-xS was obtained, which was probably an electron-deficient p-type conductor. The second kind of ICs was prepared by 'low-temperature direct intercalation in aqueous solutions, using Na-tochilinite as a parental precursor. When the Na-tochilinite was ultrasonicated in aqueous solutions containing Lewis basic complexing agents (like NH 3, N 2H 4, 2,2'-bipyridine (bipy), and 1,10-phenanthroline (phen)), the Na + ions of the Na-tochilinite were removed and the Lewis basic complexing agents entered the hydroxide layer of the Na-tochilinite and became coordinated with the Fe 2+ ions, and the second kind of ICs was thus produced. The second kind of ICs includes NH 3 IC, N 2H 4 IC, N 2H 4-NH 3 IC, [Fe(bipy) 3] 2+-containing IC and [Fe(phen) 3] 2+-containing IC. The third kind of ICs, which includes NH 3 IC, N 2H 4-NH 3 IC and N 2H 4-LiOH (NaOH) IC, was prepared by the hydrothermal reaction of metallic Fe particles with (NH 4) 2S aqueous solution, S (elemental) + N 2H 4·H 2O aqueous solution, and S + N 2H 4·H 2O + LiOH (NaOH) aqueous solution, respectively. The third kind of ICs has a close relationship with the second kind of ICs both

  12. STM studies of an atomic-scale gate electrode formed by a single charged vacancy in GaAs

    NASA Astrophysics Data System (ADS)

    Lee, Donghun; Daughton, David; Gupta, Jay

    2009-03-01

    Electric-field control of spin-spin interactions at the atomic level is desirable for the realization of spintronics and spin-based quantum computation. Here we demonstrate the realization of an atomic-scale gate electrode formed by a single charged vacancy on the GaAs(110) surface[1]. We can position these vacancies with atomic precision using the tip of a home-built, low temperature STM. Tunneling spectroscopy of single Mn acceptors is used to quantify the electrostatic field as a function of distance from the vacancy. Single Mn acceptors are formed by substituting Mn adatoms for Ga atoms in the first layer of the p-GaAs(110) surface[2]. Depending on the distance, the in-gap resonance of single Mn acceptors can shift as much as 200meV. Our data indicate that the electrostatic field decays according to a screened Coulomb potential. The charge state of the vacancy can be switched to neutral, as evidenced by the Mn resonance returning to its unperturbed position. Reversible control of the local electric field as well as charged states of defects in semiconductors can open new insights such as realizing an atomic-scale gate control and studying spin-spin interactions in semiconductors. http://www.physics.ohio-state.edu/sim jgupta [1] D. Lee and J.A. Gupta (in preparation) [2] D. Kitchen et al., Nature 442, 436-439 (2006)

  13. Arsenic ambient conditions preventing surface degradation of GaAs during capless annealing at high temperatures

    NASA Technical Reports Server (NTRS)

    Kang, C. H.; Kondo, K.; Lagowski, J.; Gatos, H. C.

    1987-01-01

    Changes in surface morphology and composition caused by capless annealing of GaAs were studied as a function of annealing temperature, T(GaAs), and the ambient arsenic pressure controlled by the temperature, T(As), of an arsenic source in the annealing ampul. It was established that any degradation of the GaAs surface morphology could be completely prevented, providing that T(As) was more than about 0.315T(GaAs) + 227 C. This empirical relationship is valid up to the melting point temperature of GaAs (1238 C), and it may be useful in some device-processing steps.

  14. Heteroepitaxial growth of Cd(1-x)Mn(x)Te on GaAs by metalorganic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Nouhi, Akbar; Stirn, Richard J.

    1987-01-01

    In this letter, preliminary results are reported of heteroepitaxial growth of the dilute magnetic semiconductor alloy Cd(1-x)Mn(x)Te on GaAs by metalorganic chemical vapor deposition. Dimethylcadmium (DMCd), diethyltellurium (DETe), and tricarbonyl (methylcyclopentadienyl) manganese (TCPMn) were used as source materials. The TCPMn had to be heated to as high as 140 C to provide the required vapor pressure. Films with Mn atomic fractions up to 30 percent have been grown over the temperature range 410-450 C. Results of optical absorption/transmission, photoluminescence, and X-ray diffraction measurements are presented along with a scanning electron micrograph showing good surface morphology of the grown layers.

  15. Nevoid melanoma of the vagina: report of one case diagnosed on thin layer cytological preparations.

    PubMed

    Fulciniti, Franco; Ascierto, Paolo Antonio; Simeone, Ester; Bove, Patrizia; Losito, Simona; Russo, Serena; Gallo, Maria Stella; Greggi, Stefano

    2007-07-03

    Primary melanoma of the vagina is an extremely rare neoplasm with approximately 250 reported cases in the world literature 1234. In its amelanotic variant this lesion may raise several differential diagnostic problems in cytological specimens 5. In this setting, the usage of thin layer cytopathological techniques (Liquid Based Preparations = LBP) may enhance the diagnostic sensitivity by permitting immunocytochemical study without having to repeat the sampling procedure. The aim of this paper is to describe the cytomorphological presentation of primary vaginal melanoma on LBP since it has not previously been reported up to now, to our knowledge. a 79-y-o female complaining of vulvar itching and yellowish vaginal discharge underwent a complete gynaecological evaluation during which a LBP cytological sample was taken from a suspicious whitish mass protruding into the vaginal lumen. A cytopathological diagnosis of amelanotic melanoma was rendered. The mass was radically excised and the patient was treated with alpha-Interferon. amelanotic melanoma may be successfully diagnosed on LBP cytological preparations. Thin layer preparations may enhance the diagnostic cytomorphological clues to its diagnosis and may permit an adequate immunocytochemical characterization of the neoplasm.

  16. Spectrally resolved localized states in GaAs 1– xBi x

    DOE PAGES

    Christian, Theresa M.; Alberi, Kirstin; Beaton, Daniel A.; ...

    2017-02-01

    In this study, the role of localized states and their influence on the broader band structure remains a crucial question in understanding the band structure evolution in GaAs 1-xBi x. Here in this work, we present clear spectroscopic observations of recombination at several localized states in GaAs 1-xBi x. Sharp and recognizable photoluminescence features appear in multiple samples and redshift as a function of GaBi fraction between x = 0.16% and 0.4% at a linearized rate of 34 meV per % Bi, weaker than the redshift associated with band-to-band recombination. Interpreting these results in terms of radiative recombination between localizedmore » holes and free electrons sheds light on the relative movement of the conduction band minimum and the characteristics of localized bismuth-related trap states in GaAs 1-xBi x alloys.« less

  17. Surface science analysis of GaAs photocathodes following sustained electron beam delivery

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Carlos Hernandez-Garcia, Fay Hannon, Marcy Stutzman, V. Shutthanandan, Z. Zhu, M. Nandasri, S. V. Kuchibhatla, S. Thevuthasan, W. P. Hess

    2012-06-01

    Degradation of the photocathode materials employed in photoinjectors represents a challenge for sustained operation of nuclear physics accelerators and high power Free Electron Lasers (FEL). Photocathode quantum efficiency (QE) degradation is due to residual gasses in the electron source vacuum system being ionized and accelerated back to the photocathode. These investigations are a first attempt to characterize the nature of the photocathode degradation, and employ multiple surface and bulk analysis techniques to investigate damage mechanisms including sputtering of the Cs-oxidant surface monolayer, other surface chemistry effects, and ion implantation. Surface and bulk analysis studies were conducted on two GaAs photocathodes,more » which were removed from the JLab FEL DC photoemission gun after delivering electron beam, and two control samples. The analysis techniques include Helium Ion Microscopy (HIM), Rutherford Backscattering Spectrometry (RBS), Atomic Force Microscopy (AFM) and Secondary Ion Mass Spectrometry (SIMS). In addition, two high-polarization strained superlattice GaAs photocathode samples, one removed from the Continuous Electron Beam Accelerator Facility (CEBAF) photoinjector and one unused, were also analyzed using Transmission Electron Microscopy (TEM) and SIMS. It was found that heat cleaning the FEL GaAs wafer introduces surface roughness, which seems to be reduced by prolonged use. The bulk GaAs samples retained a fairly well organized crystalline structure after delivering beam but shows evidence of Cs depletion on the surface. Within the precision of the SIMS and RBS measurements the data showed no indication of hydrogen implantation or lattice damage from ion back bombardment in the bulk GaAs wafers. In contrast, SIMS and TEM measurements of the strained superlattice photocathode show clear crystal damage in the wafer from ion back bombardment.« less

  18. Optical detectors for GaAs MMIC integration: Technology assessment

    NASA Technical Reports Server (NTRS)

    Claspy, P. C.; Bhasin, K. B.

    1989-01-01

    Fiber optic links are being considered to transmit digital and analog signals in phased array antenna feed networks in space communications systems. The radiating elements in these arrays will be GaAs monolithic microwave integrated circuits (MMIC's) in numbers ranging from a few hundred to several thousand. If such optical interconnects are to be practical it appears essential that the associated components, including detectors, be monolithically integrated on the same chip as the microwave circuitry. The general issue of monolithic integration of microwave and optoelectronic components is addressed from the point of view of fabrication technology and compatibility. Particular attention is given to the fabrication technology of various types of GaAs optical detectors that are designed to operate at a wavelength of 830 nm.

  19. MIM capacitors with various Al2O3 thicknesses for GaAs RFIC application

    NASA Astrophysics Data System (ADS)

    Jiahui, Zhou; Hudong, Chang; Honggang, Liu; Guiming, Liu; Wenjun, Xu; Qi, Li; Simin, Li; Zhiyi, He; Haiou, Li

    2015-05-01

    The impact of various thicknesses of Al2O3 metal—insulator—metal (MIM) capacitors on direct current and radio frequency (RF) characteristics is investigated. For 20 nm Al2O3, the fabricated capacitor exhibits a high capacitance density of 3850 pF/mm2 and acceptable voltage coefficients of capacitance of 681 ppm/V2 at 1 MHz. An outstanding VCC-α of 74 ppm/V2 at 1 MHz, resonance frequency of 8.2 GHz and Q factor of 41 at 2 GHz are obtained by 100 nm Al2O3 MIM capacitors. High-performance MIM capacitors using GaAs process and atomic layer deposition Al2O3 could be very promising candidates for GaAs RFIC applications. Project supported by the National Natural Science Foundation of China (Nos. 61274077, 61474031), the Guangxi Natural Science Foundation (No. 2013GXNSFGA019003), the Guangxi Department of Education Project (No. 201202ZD041), the Guilin City Technology Bureau (Nos. 20120104-8, 20130107-4), the China Postdoctoral Science Foundation Funded Project (Nos. 2012M521127, 2013T60566), the National Basic Research Program of China (Nos. 2011CBA00605, 2010CB327501), the Innovation Project of GUET Graduate Education (Nos. GDYCSZ201448, GDYCSZ201449), the State Key Laboratory of Electronic Thin Films and Integrated Devices, UESTC (No. KFJJ201205), and the Guilin City Science and Technology Development Project (Nos. 20130107-4, 20120104-8).

  20. Versatile buffer layer architectures based on Ge1-xSnx alloys

    NASA Astrophysics Data System (ADS)

    Roucka, R.; Tolle, J.; Cook, C.; Chizmeshya, A. V. G.; Kouvetakis, J.; D'Costa, V.; Menendez, J.; Chen, Zhihao D.; Zollner, S.

    2005-05-01

    We describe methodologies for integration of compound semiconductors with Si via buffer layers and templates based on the GeSn system. These layers exhibit atomically flat surface morphologies, low defect densities, tunable thermal expansion coefficients, and unique ductile properties, which enable them to readily absorb differential stresses produced by mismatched overlayers. They also provide a continuous selection of lattice parameters higher than that of Ge, which allows lattice matching with technologically useful III-V compounds. Using this approach we have demonstrated growth of GaAs, GeSiSn, and pure Ge layers at low temperatures on Si(100). These materials display extremely high-quality structural, morphological, and optical properties opening the possibility of versatile integration schemes directly on silicon.

  1. Preparation and characterization of 6-layered functionally graded nickel-alumina (Ni-Al2O3) composites

    NASA Astrophysics Data System (ADS)

    Latiff, M. I. A.; Nuruzzaman, D. M.; Basri, S.; Ismail, N. M.; Jamaludin, S. N. S.; Kamaruzaman, F. F.

    2018-04-01

    The present research study deals with the preparation of 6-layered functionally graded (FG) metal-ceramic composite materials through powder metallurgy technique. Using a cylindrical die-punch set made of steel, the nickel-alumina (Ni-Al2O3) graded composite structure was fabricated. The samples consist of four gradual inter layers of varied nickel composition (80wt.%, 60wt.%, 40wt.%, 20wt.%) sandwiched with pure Ni and Al2O3 powders at the ends (100wt.% and 0wt.% nickel) were fabricated under 30 ton compaction load using a hydraulic press. After that, two-step sintering was carried out at sintering temperature 1200ºC and soaking time 3 hours was maintained in a tube furnace. The properties of the prepared samples were characterized by radial shrinkage, optical microscopy and hardness testing. Results showed that larger shrinkage occurred within the ceramic phase which proves that more porosities were eliminated in the ceramic rich layers. From the microstructural analysis, it was observed that alumina particles are almost uniformly distributed in nickel matrix, so as nickel particles in the ceramic matrix of alumina-dominant layers. From interfacial analyses, it was observed that a smooth transition in microstructure from one layer to the next confirms a good interfacial solid state bonding between metal-ceramic constituents and good compaction process. On the other hand, microhardness test results suggest that there might be increasing percentage of porosities in the graded structure as the ceramic content rises.

  2. The release kinetics, antimicrobial activity and cytocompatibility of differently prepared collagen/hydroxyapatite/vancomycin layers: Microstructure vs. nanostructure.

    PubMed

    Suchý, Tomáš; Šupová, Monika; Klapková, Eva; Adamková, Václava; Závora, Jan; Žaloudková, Margit; Rýglová, Šárka; Ballay, Rastislav; Denk, František; Pokorný, Marek; Sauerová, Pavla; Hubálek Kalbáčová, Marie; Horný, Lukáš; Veselý, Jan; Voňavková, Tereza; Průša, Richard

    2017-03-30

    The aim of this study was to develop an osteo-inductive resorbable layer allowing the controlled elution of antibiotics to be used as a bone/implant bioactive interface particularly in the case of prosthetic joint infections, or as a preventative procedure with respect to primary joint replacement at a potentially infected site. An evaluation was performed of the vancomycin release kinetics, antimicrobial efficiency and cytocompatibility of collagen/hydroxyapatite layers containing vancomycin prepared employing different hydroxyapatite concentrations. Collagen layers with various levels of porosity and structure were prepared using three different methods: by means of the lyophilisation and electrospinning of dispersions with 0, 5 and 15wt% of hydroxyapatite and 10wt% of vancomycin, and by means of the electrospinning of dispersions with 0, 5 and 15wt% of hydroxyapatite followed by impregnation with 10wt% of vancomycin. The maximum concentration of the released active form of vancomycin characterised by means of HPLC was achieved via the vancomycin impregnation of the electrospun layers, whereas the lowest concentration was determined for those layers electrospun directly from a collagen solution containing vancomycin. Agar diffusion testing revealed that the electrospun impregnated layers exhibited the highest level of activity. It was determined that modification using hydroxyapatite exerts no strong effect on vancomycin evolution. All the tested samples exhibited sufficient cytocompatibility with no indication of cytotoxic effects using human osteoblastic cells in direct contact with the layers or in 24-hour infusions thereof. The results herein suggest that nano-structured collagen-hydroxyapatite layers impregnated with vancomycin following cross-linking provide suitable candidates for use as local drug delivery carriers. Copyright © 2017 Elsevier B.V. All rights reserved.

  3. Atomic-scale structural and electronic properties of SrTiO3/GaAs interfaces: A combined STEM-EELS and first-principles study

    NASA Astrophysics Data System (ADS)

    Hong, Liang; Bhatnagar, Kunal; Droopad, Ravi; Klie, Robert F.; Öǧüt, Serdar

    2017-07-01

    The electronic properties of epitaxial oxide thin films grown on compound semiconductors are largely determined by the interfacial atomic structure, as well as the thermodynamic conditions during synthesis. Ferroelectric polarization and Fermi-level pinning in SrTiO3 films have been attributed to the presence of oxygen vacancies at the oxide/semiconductor interface. Here, we present scanning transmission electron microscopy (STEM) and electron energy-loss spectroscopy analyses of GaAs films grown on SrTiO3 combined with first-principles calculations to determine the atomic and electronic structures of the SrTiO3/GaAs interfaces. An atomically abrupt SrO/As interface is observed and the interfacial SrO layer is found to be O-deficient. First-principles density functional theory (DFT) calculations show SrO/Ga and Sr/As interfaces are favorable under O-rich and O-poor conditions, respectively. The SrO/Ga interface is reconstructed via the formation of Ga-Ga dimers while the Sr/As interface is abrupt and consistent with the experiment. DFT calculations further reveal that intrinsic two-dimensional electron gas (2DEG) forms in both SrO/Ga and Sr/As interfaces, and the Fermi level is pinned to the localized 2DEG states. Interfacial O vacancies can enhance the 2DEG density while it is possible for Ga/As vacancies to unpin the Fermi level from the 2DEG states.

  4. Ultrathin Compound Semiconductor on Insulator Layers for High-Performance Nanoscale Transistors

    DTIC Science & Technology

    2010-11-11

    patterned on the sur- face of the source substrate. The InAs layer was then pattern etched into nano- ribbons using a mixture of citric acid (1 g per ml of...Electron. Dev. 55, 547–556 (2008). 27. DeSalvo, G. C., Kaspi, R. & Bozada, C. A. Citric acid etching of GaAs1-xSbx, Al0.5Ga0.5Sb, and InAs for...interfacial layer formed by thermal oxidation and used for surface passivation is clearly evident. LETTER RESEARCH 1 1 N O V E M B E R 2 0 1 0 | V O L

  5. The 20 GHz power GaAs FET development

    NASA Technical Reports Server (NTRS)

    Crandell, M.

    1986-01-01

    The development of power Field Effect Transistors (FET) operating in the 20 GHz frequency band is described. The major efforts include GaAs FET device development (both 1 W and 2 W devices), and the development of an amplifier module using these devices.

  6. The effect of preparation, storage and shipping of dried blood spots on the activity of five lysosomal enzymes.

    PubMed

    Elbin, Carole S; Olivova, Petra; Marashio, Carla A; Cooper, Samantha K; Cullen, Emmaline; Keutzer, Joan M; Zhang, X Kate

    2011-06-11

    Fluorometric and tandem mass spectrometry assays can be used to measure lysosomal enzyme activities in dried blood spots (DBS). The effect of DBS preparation, storage and shipping was evaluated on the activities of acid α-glucosidase, acid α-galactosidase, acid β-glucocerebrosidase, acid sphingomyelinase, and galactocerebrosidase. Whole blood from normal donors was used to prepare DBS following Clinical and Laboratory Standards Institute guidelines and by several deviations. Some DBS were subjected to various treatments, storage and shipping conditions. The activity of 5 lysosomal enzymes (GAA, GLA, GBA, ASM, and GALC) was measured using tandem mass spectrometric and fluorometric (GAA only) assays with 2 distinct and commonly used synthetic substrates. Enzyme activities were strongly affected by the way DBS were prepared and stored. Exposure of DBS to elevated heat and humidity can destroy enzyme functions rapidly. DBS prepared from poorly mixed blood caused significant variation on enzyme activities. EDTA, but not heparin, as an anti-coagulant gave more precise results. The study confirmed the importance of proper and consistent DBS preparation and storage when screening for deficiencies of lysosomal enzymes. Copyright © 2011 Elsevier B.V. All rights reserved.

  7. Cryogenic measurements of aerojet GaAs n-JFETs

    NASA Technical Reports Server (NTRS)

    Goebel, John H.; Weber, Theodore T.

    1993-01-01

    The spectral noise characteristics of Aerojet gallium arsenide (GaAs) junction field effect transistors (JFET's) have been investigated down to liquid-helium temperatures. Noise characterization was performed with the field effect transistor (FET) in the floating-gate mode, in the grounded-gate mode to determine the lowest noise readings possible, and with an extrinsic silicon photodetector at various detector bias voltages to determine optimum operating conditions. The measurements indicate that the Aerojet GaAs JFET is a quiet and stable device at liquid helium temperatures. Hence, it can be considered a readout line driver or infrared detector preamplifier as well as a host of other cryogenic applications. Its noise performance is superior to silicon (Si) metal oxide semiconductor field effect transistor (MOSFET's) operating at liquid helium temperatures, and is equal to the best Si n channel junction field effect transistor (n-JFET's) operating at 300 K.

  8. Preparation and regulating cell adhesion of anion-exchangeable layered double hydroxide micropatterned arrays.

    PubMed

    Yao, Feng; Hu, Hao; Xu, Sailong; Huo, Ruijie; Zhao, Zhiping; Zhang, Fazhi; Xu, Fujian

    2015-02-25

    We describe a reliable preparation of MgAl-layered double hydroxide (MgAl-LDH) micropatterned arrays on gold substrate by combining SO3(-)-terminated self-assembly monolayer and photolithography. The synthesis route is readily extended to prepare LDH arrays on the SO3(-)-terminated polymer-bonded glass substrate amenable for cell imaging. The anion-exchangeable MgAl-LDH micropattern can act both as bioadhesive region for selective cell adhesion and as nanocarrier for drug molecules to regulate cell behaviors. Quantitative analysis of cell adhesion shows that selective HepG2 cell adhesion and spreading are promoted by the micropatterned MgAl-LDH, and also suppressed by methotrexate drug released from the LDH interlayer galleries.

  9. Preparation of novel layer-stack hexagonal CdO micro-rods by a pre-oxidation and subsequent evaporation process

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Peng, Kun, E-mail: kpeng@hnu.edu.cn; Hunan Province Key Laboratory for Spray Deposition Technology and Application, Hunan University, Changsha 410082; Jiang, Pan

    2014-12-15

    Graphical abstract: Layer-stack hexagonal cadmium oxide (CdO) micro-rods were prepared. - Highlights: • Novel hexagonal layer-stack structure CdO micro-rods were synthesized by a thermal evaporation method. • The pre-oxidation, vapor pressure and substrate nature play a key role on the formation of CdO rods. • The formation mechanism of CdO micro-rods was explained. - Abstract: Novel layer-stack hexagonal cadmium oxide (CdO) micro-rods were prepared by pre-oxidizing Cd granules and subsequent thermal oxidation under normal atmospheric pressure. X-ray diffraction (XRD) and scanning electron microscopy (SEM) were performed to characterize the phase structure and microstructure. The pre-oxidation process, vapor pressure and substratemore » nature were the key factors for the formation of CdO micro-rods. The diameter of micro-rod and surface rough increased with increasing of thermal evaporation temperature, the length of micro-rod increased with the increasing of evaporation time. The formation of hexagonal layer-stack structure was explained by a vapor–solid mechanism.« less

  10. GaAs nanowire array solar cells with axial p-i-n junctions.

    PubMed

    Yao, Maoqing; Huang, Ningfeng; Cong, Sen; Chi, Chun-Yung; Seyedi, M Ashkan; Lin, Yen-Ting; Cao, Yu; Povinelli, Michelle L; Dapkus, P Daniel; Zhou, Chongwu

    2014-06-11

    Because of unique structural, optical, and electrical properties, solar cells based on semiconductor nanowires are a rapidly evolving scientific enterprise. Various approaches employing III-V nanowires have emerged, among which GaAs, especially, is under intense research and development. Most reported GaAs nanowire solar cells form p-n junctions in the radial direction; however, nanowires using axial junction may enable the attainment of high open circuit voltage (Voc) and integration into multijunction solar cells. Here, we report GaAs nanowire solar cells with axial p-i-n junctions that achieve 7.58% efficiency. Simulations show that axial junctions are more tolerant to doping variation than radial junctions and lead to higher Voc under certain conditions. We further study the effect of wire diameter and junction depth using electrical characterization and cathodoluminescence. The results show that large diameter and shallow junctions are essential for a high extraction efficiency. Our approach opens up great opportunity for future low-cost, high-efficiency photovoltaics.

  11. Comparison of Ti/Pd/Ag, Pd/Ti/Pd/Ag and Pd/Ge/Ti/Pd/Ag contacts to n-type GaAs for electronic devices handling high current densities

    NASA Astrophysics Data System (ADS)

    Huo, Pengyun; Galiana, Beatriz; Rey-Stolle, Ignacio

    2017-04-01

    In the quest for metal contacts for electronic devices handling high current densities, we report the results of Pd/Ti/Pd/Ag and Pd/Ge/Ti/Pd/Ag contacts to n-GaAs and compare them to Ti/Pd/Ag and AuGe/Ni/Au. These metal systems have been designed with the goal of producing an electrical contact with (a) low metal-semiconductor specific contact resistance, (b) very high sheet conductance, (c) good bondability, (d) long-term durability and (e) cost-effectiveness. The structure of the contacts consists of an interfacial layer (either Pd or Pd/Ge) intended to produce a low metal-semiconductor specific contact resistance; a diffusion barrier (Ti/Pd) and a thick top layer of Ag to provide the desired high sheet conductance, limited cost and good bondability. The results show that both systems can achieve very low metal resistivity (ρ M ˜ 2 × 10-6 Ω cm), reaching values close to that of pure bulk silver. This fact is attributed to the Ti/Pd bilayer acting as an efficient diffusion barrier, and thus the metal sheet resistance can be controlled by the thickness of the deposited silver layer. Moreover, the use of Pd as interfacial layer produces contacts with moderate specific contact resistance (ρ C ˜ 10-4 Ω cm2) whilst the use of Pd/Ge decreases the specific contact resistance to ρ C ˜ 1.5 × 10-7 Ω cm2, as a result of the formation of a Pd4(GaAs, Ge2) compound at the GaAs interface.

  12. Epitaxial gallium arsenide wafers

    NASA Technical Reports Server (NTRS)

    Black, J. F.; Robinson, L. B.

    1971-01-01

    The preparation of GaAs epitaxial layers by a vapor transport process using AsCl3, Ga and H2 was pursued to provide epitaxial wafers suitable for the fabrication of transferred electron oscillators and amplifiers operating in the subcritical region. Both n-n(+) structures, and n(++)-n-n(+) sandwich structures were grown using n(+) (Si-doped) GaAs substrates. Process variables such as the input AsCl3 concentration, gallium temperature, and substrate temperature and temperature gradient and their effects on properties are presented and discussed.

  13. Formation of the InAs-, InSb-, GaAs-, and GaSb-polished surface

    NASA Astrophysics Data System (ADS)

    Levchenko, Iryna; Tomashyk, Vasyl; Stratiychuk, Iryna; Malanych, Galyna; Korchovyi, Andrii; Kryvyi, Serhii; Kolomys, Oleksandr

    2018-04-01

    The features of the InAs, InSb, GaAs, and GaSb ultra-smooth surface have been investigated using chemical-mechanical polishing with the (NH4)2Cr2O7-HBr-CH2(OH)CH2(OH)-etching solutions. The etching rate of the semiconductors has been measured as a function of the solution saturation by organic solvent (ethylene glycol). It was found that mechanical effect significantly increases the etching rate from 1.5 to 57 µm/min, and the increase of the organic solvent concentration promotes the decrease of the damaged layer-removing rate. According to AFM, RS, HRXRD results, the treatment with the (NH4)2Cr2O7-HBr-ethylene glycol solutions produces the clean surface of the nanosize level (R a < 0.5 nm).

  14. Growth of GaAs crystals from the melt in a partially confined configuration

    NASA Technical Reports Server (NTRS)

    Gatos, Harry C.; Lagowski, Jacek

    1988-01-01

    The experimental approach was directed along two main goals: (1) the implementation of an approach to melt growth in a partially confined configuration; and (2) the investigation of point defect interaction and electronic characteristics as related to thermal treatment following solidification and stoichiometry. Significant progress was made along both fronts. Crystal growth of GaAs in triangular ampuls was already carried out successfully and consistent with the model. In fact, pronounced surface tension phenomena which cannot be observed in ordinary confinement system were identified and should premit the assessment of Maragoni effects prior to space processing. Regarding thermal treatment, it was discovered that the rate of cooling from elevated temperatures is primarily responsible for a whole class of defect interactions affecting the electronic characteristics of GaAs and that stoichiometry plays a critical role in the quality of GaAs.

  15. Quantum-confinement effects on conduction band structure of rectangular cross-sectional GaAs nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tanaka, H., E-mail: tanaka@semicon.kuee.kyoto-u.ac.jp; Morioka, N.; Mori, S.

    2014-02-07

    The conduction band structure and electron effective mass of GaAs nanowires with various cross-sectional shapes and orientations were calculated by two methods, a tight-binding method and an effective mass equation taking the bulk full-band structure into account. The effective mass of nanowires increases as the cross-sectional size decreases, and this increase in effective mass depends on the orientations and substrate faces of nanowires. Among [001], [110], and [111]-oriented rectangular cross-sectional GaAs nanowires, [110]-oriented nanowires with wider width along the [001] direction showed the lightest effective mass. This dependence originates from the anisotropy of the Γ valley of bulk GaAs. Themore » relationship between effective mass and bulk band structure is discussed.« less

  16. Interface states and internal photoemission in p-type GaAs metal-oxide-semiconductor surfaces

    NASA Technical Reports Server (NTRS)

    Kashkarov, P. K.; Kazior, T. E.; Lagowski, J.; Gatos, H. C.

    1983-01-01

    An interface photodischarge study of p-type GaAs metal-oxide-semiconductor (MOS) structures revealed the presence of deep interface states and shallow donors and acceptors which were previously observed in n-type GaAs MOS through sub-band-gap photoionization transitions. For higher photon energies, internal photoemission was observed, i.e., injection of electrons to the conduction band of the oxide from either the metal (Au) or from the GaAs valence band; the threshold energies were found to be 3.25 and 3.7 + or - 0.1 eV, respectively. The measured photoemission current exhibited a thermal activation energy of about 0.06 eV, which is consistent with a hopping mechanism of electron transport in the oxide.

  17. Scanning tunneling microscope study of GaAs(001) surfaces grown by migration enhanced epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, J.; Gallagher, M.C.; Willis, R.F.

    We report an investigation of the morphology of p-type GaAs(001) surfaces using scanning tunneling microscopy (STM). The substrates were prepared using two methods: migration enhanced epitaxy (MEE) and standard molecular-beam epitaxy (MBE). The STM measurements were performed ex situ using As decapping. Analysis indicates that the overall step density of the MEE samples decreases as the growth temperature is increased. Nominally flat samples grown at 300{degrees}C exhibited step densities of 10.5 steps/1000 {Angstrom} along [ 110] dropping to 2.5 steps at 580{degrees}C. MEE samples exhibited a lower step density than MBE samples. However as-grown surfaces exhibited a larger distribution ofmore » step heights. Annealing the samples reduced the step height distribution exposing fewer atomic layers. Samples grown by MEE at 580{degrees}C and annealed for 2 min displayed the lowest step density and the narrowest step height distribution. All samples displayed an anisotropic step density. We found a ratio of A-type to B-type steps of between 2 and 3 which directly reflects the difference in the incorporation energy at steps. The aspect ratio increased slightly with growth temperature. We found a similar aspect ratio on samples grown by MBE. This indicates that anisotropic growth during MEE, like MBE, is dominated by incorporation kinetics. MEE samples grown at 580{degrees}C and capped immediately following growth exhibited a number of {open_quotes}holes{close_quotes} in the surface. The holes could be eliminated by annealing the surface prior to quenching. 20 refs., 3 figs., 1 tab.« less

  18. Development of a 1K x 1K GaAs QWIP Far IR Imaging Array

    NASA Technical Reports Server (NTRS)

    Jhabvala, M.; Choi, K.; Goldberg, A.; La, A.; Gunapala, S.

    2003-01-01

    In the on-going evolution of GaAs Quantum Well Infrared Photodetectors (QWIPs) we have developed a 1,024 x 1,024 (1K x1K), 8.4-9 microns infrared focal plane array (FPA). This 1 megapixel detector array is a hybrid using the Rockwell TCM 8050 silicon readout integrated circuit (ROIC) bump bonded to a GaAs QWIP array fabricated jointly by engineers at the Goddard Space Flight Center (GSFC) and the Army Research Laboratory (ARL). The finished hybrid is thinned at the Jet Propulsion Lab. Prior to this development the largest format array was a 512 x 640 FPA. We have integrated the 1K x 1K array into an imaging camera system and performed tests over the 40K-90K temperature range achieving BLIP performance at an operating temperature of 76K (f/2 camera system). The GaAs array is relatively easy to fabricate once the superlattice structure of the quantum wells has been defined and grown. The overall arrays costs are currently dominated by the costs associated with the silicon readout since the GaAs array fabrication is based on high yield, well-established GaAs processing capabilities. In this paper we will present the first results of our 1K x 1K QWIP array development including fabrication methodology, test data and our imaging results.

  19. New dynamic FET logic and serial memory circuits for VLSI GaAs technology

    NASA Technical Reports Server (NTRS)

    Eldin, A. G.

    1991-01-01

    The complexity of GaAs field effect transistor (FET) very large scale integration (VLSI) circuits is limited by the maximum power dissipation while the uniformity of the device parameters determines the functional yield. In this work, digital GaAs FET circuits are presented that eliminate the DC power dissipation and reduce the area to 50% of that of the conventional static circuits. Its larger tolerance to device parameter variations results in higher functional yield.

  20. Monolithic high voltage nonlinear transmission line fabrication process

    DOEpatents

    Cooper, Gregory A.

    1994-01-01

    A process for fabricating sequential inductors and varactor diodes of a monolithic, high voltage, nonlinear, transmission line in GaAs is disclosed. An epitaxially grown laminate is produced by applying a low doped active n-type GaAs layer to an n-plus type GaAs substrate. A heavily doped p-type GaAs layer is applied to the active n-type layer and a heavily doped n-type GaAs layer is applied to the p-type layer. Ohmic contacts are applied to the heavily doped n-type layer where diodes are desired. Multiple layers are then either etched away or Oxygen ion implanted to isolate individual varactor diodes. An insulator is applied between the diodes and a conductive/inductive layer is thereafter applied on top of the insulator layer to complete the process.

  1. Photoreflectance from GaAs and GaAs/GaAs interfaces

    NASA Astrophysics Data System (ADS)

    Sydor, Michael; Angelo, James; Wilson, Jerome J.; Mitchel, W. C.; Yen, M. Y.

    1989-10-01

    Photoreflectance from semi-insulating GaAs, and GaAs/GaAs interfaces, is discussed in terms of its behavior with temperature, doping, epilayer thickness, and laser intensity. Semi-insulating substrates show an exciton-related band-edge signal below 200 K and an impurity-related photoreflectance above 400 K. At intermediate temperatures the band-edge signal from thin GaAs epilayers contains a contribution from the epilayer-substrate interface. The interface effect depends on the epilayer's thickness, doping, and carrier mobility. The effect broadens the band-edge photoreflectance by 5-10 meV, and artifically lowers the estimates for the critical-point energy, ECP, obtained through the customary third-derivative functional fit to the data.

  2. Effect of low and staggered gap quantum wells inserted in GaAs tunnel junctions

    NASA Astrophysics Data System (ADS)

    Louarn, K.; Claveau, Y.; Marigo-Lombart, L.; Fontaine, C.; Arnoult, A.; Piquemal, F.; Bounouh, A.; Cavassilas, N.; Almuneau, G.

    2018-04-01

    In this article, we investigate the impact of the insertion of either a type I InGaAs or a type II InGaAs/GaAsSb quantum well on the performances of MBE-grown GaAs tunnel junctions (TJs). The devices are designed and simulated using a quantum transport model based on the non-equilibrium Green’s function formalism and a 6-band k.p Hamiltonian. We experimentally observe significant improvements of the peak tunneling current density on both heterostructures with a 460-fold increase for a moderately doped GaAs TJ when the InGaAs QW is inserted at the junction interface, and a 3-fold improvement on a highly doped GaAs TJ integrating a type II InGaAs/GaAsSb QW. Thus, the simple insertion of staggered band lineup heterostructures enables us to reach a tunneling current well above the kA cm‑2 range, equivalent to the best achieved results for Si-doped GaAs TJs, implying very interesting potential for TJ-based components, such as multi-junction solar cells, vertical cavity surface emitting lasers and tunnel-field effect transistors.

  3. Gallium arsenide (GaAs) power conversion concept

    NASA Technical Reports Server (NTRS)

    Nussberger, A. A.

    1980-01-01

    A summary design analysis of a GaAs power conversion system for the solar power satellite (SPS) is presented. Eight different satellite configuration options for the solar arrays are compared. Solar cell annealing effects after proton irradiation are considered. Mass estimates for the SPS and the effect of solar cell parameters on SPS array design are discussed.

  4. Doped biocompatible layers prepared by laser

    NASA Astrophysics Data System (ADS)

    Jelínek, M.; Weiserová, M.; Kocourek, T.; Jurek, K.; Strnad, J.

    2010-03-01

    The contribution deals with KrF laser synthesis and study of doped biocompatible materials with focus on diamond-like carbon (DLC) and hydroxyapatite (HA). Overview of materials used for dopation is given. Experimental results of study of HA layers doped with silver are presented. Films properties were characterized using profilometer, SEM, WDX, XRD and optical transmission. Content of silver in layers moved from 0.06 to 13.7 at %. The antibacterial properties of HA, silver and doped HA layers were studied in vivo using Escherichia coli cells.

  5. GaAs photovoltaics and optoelectronics using releasable multilayer epitaxial assemblies.

    PubMed

    Yoon, Jongseung; Jo, Sungjin; Chun, Ik Su; Jung, Inhwa; Kim, Hoon-Sik; Meitl, Matthew; Menard, Etienne; Li, Xiuling; Coleman, James J; Paik, Ungyu; Rogers, John A

    2010-05-20

    Compound semiconductors like gallium arsenide (GaAs) provide advantages over silicon for many applications, owing to their direct bandgaps and high electron mobilities. Examples range from efficient photovoltaic devices to radio-frequency electronics and most forms of optoelectronics. However, growing large, high quality wafers of these materials, and intimately integrating them on silicon or amorphous substrates (such as glass or plastic) is expensive, which restricts their use. Here we describe materials and fabrication concepts that address many of these challenges, through the use of films of GaAs or AlGaAs grown in thick, multilayer epitaxial assemblies, then separated from each other and distributed on foreign substrates by printing. This method yields large quantities of high quality semiconductor material capable of device integration in large area formats, in a manner that also allows the wafer to be reused for additional growths. We demonstrate some capabilities of this approach with three different applications: GaAs-based metal semiconductor field effect transistors and logic gates on plates of glass, near-infrared imaging devices on wafers of silicon, and photovoltaic modules on sheets of plastic. These results illustrate the implementation of compound semiconductors such as GaAs in applications whose cost structures, formats, area coverages or modes of use are incompatible with conventional growth or integration strategies.

  6. Imaging performance of a Timepix detector based on semi-insulating GaAs

    NASA Astrophysics Data System (ADS)

    Zaťko, B.; Zápražný, Z.; Jakůbek, J.; Šagátová, A.; Boháček, P.; Sekáčová, M.; Korytár, D.; Nečas, V.; Žemlička, J.; Mora, Y.; Pichotka, M.

    2018-01-01

    This work focused on a Timepix chip [1] coupled with a bulk semi-insulating GaAs sensor. The sensor consisted of a matrix of 256 × 256 pixels with a pitch of 55 μm bump-bonded to a Timepix ASIC. The sensor was processed on a 350 μm-thick SI GaAs wafer. We carried out detector adjustment to optimize its performance. This included threshold equalization with setting up parameters of the Timepix chip, such as Ikrum, Pream, Vfbk, and so on. The energy calibration of the GaAs Timepix detector was realized using a 241Am radioisotope in two Timepix detector modes: time-over-threshold and threshold scan. An energy resolution of 4.4 keV in FWHM (Full Width at Half Maximum) was observed for 59.5 keV γ-photons using threshold scan mode. The X-ray imaging quality of the GaAs Timepix detector was tested using various samples irradiated by an X-ray source with a focal spot size smaller than 8 μm and accelerating voltage up to 80 kV. A 700 μm × 700 μm gold testing object (X-500-200-16Au with Siemens star) fabricated with high precision was used for the spatial resolution testing at different values of X-ray image magnification (up to 45). The measured spatial resolution of our X-ray imaging system was about 4 μm.

  7. The Hanikoda Method: 3-layered Negative Pressure Wound Therapy in Wound Bed Preparation.

    PubMed

    Chik, Ian; Kelly, Enda G; Jarmin, Razman; Imran, Farrah-Hani

    2016-10-01

    Negative pressure wound therapy is a widely used method of wound dressing with various commercially available brands. The authors created the Hanikoda Method (HM) for effective wound bed preparation or definite wound closure. In this case series, the authors discuss 8 different wound cases that presented to their Plastics Unit from January 2014 to June 2015. Patients with traumatic or infected wounds were selected for treatment with the HM. Selected patients underwent multiple cycles of this method until their wounds were ready for definite wound closure or the wounds had closed by secondary closure. The purpose of any wound dressing is to encourage epithelization while ensuring no factors impede wound healing. An additional benefit is to reduce wound bed size so that it may close by secondary intention or require less skin graft coverage. Each layer of the dressing is described, along with its function in wound bed preparation or in closure. The HM facilitates reduction of wound size, wound bed preparation, and overall management.

  8. Hb Beograd [beta121(GH4)Glu-->Val, GAA-->GTA] in the Turkish population.

    PubMed

    Atalay, Ayfer; Koyuncu, Hasan; Köseler, Aylin; Ozkan, Anzel; Atalay, Erol O

    2007-01-01

    Hb Beograd [beta121(GH4)Glu-->Val, GAA-->GTA] is a rare variant first reported in Yugoslavia and then in Turkey, Australia and New Zealand. We report two further unrelated cases from Turkey. The importance of identifying Hb Beograd at the molecular level, especially in regions where Hb D-Los Angeles [beta121(GH4)Glu-->Gln, GAA-->CAA] is prevalent, is emphasized.

  9. Effect of ZnSe/GaAs interface treatment in ZnSe quality control for optoelectronic device applications

    DOE PAGES

    Park, Kwangwook; Beaton, Daniel; Steirer, Kenneth X.; ...

    2017-01-27

    Here, we investigate the role of interface initiation conditions on the growth of ZnSe/GaAs heterovalent heterostructures. ZnSe epilayers were grown on a GaAs surface with various degrees of As-termination and the application of either a Zn or Se pre-treatment. Structural analysis revealed that Zn pre-treatment of an As-rich GaAs surface suppresses Ga 2Se 3 formation at the interface and promotes the growth of high crystal quality ZnSe. This is confirmed with low-temperature photoluminescence. However, moderation of Ga-Se bonding through a Se pre-treatment of an As-rich GaAs surface can prevent excessive intermixing at the interface and promote excitonic emission in themore » underlying GaAs layer. These results provide guidance on how best to prepare heterovalent interfaces for various applications.« less

  10. Electrophilic surface sites as precondition for the chemisorption of pyrrole on GaAs(001) surfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bruhn, Thomas; Leibniz-Institut für Analytische Wissenschaften - ISAS - e.V., Albert-Einstein-Str.9, 12489 Berlin; Fimland, Bjørn-Ove

    We report how the presence of electrophilic surface sites influences the adsorption mechanism of pyrrole on GaAs(001) surfaces. For this purpose, we have investigated the adsorption behavior of pyrrole on different GaAs(001) reconstructions with different stoichiometries and thus different surface chemistries. The interfaces were characterized by x-ray photoelectron spectroscopy, scanning tunneling microscopy, and by reflectance anisotropy spectroscopy in a spectral range between 1.5 and 5 eV. On the As-rich c(4 × 4) reconstruction that exhibits only nucleophilic surface sites, pyrrole was found to physisorb on the surface without any significant modification of the structural and electronic properties of the surface. Onmore » the Ga-rich GaAs(001)-(4 × 2)/(6 × 6) reconstructions which exhibit nucleophilic as well as electrophilic surface sites, pyrrole was found to form stable covalent bonds mainly to the electrophilic (charge deficient) Ga atoms of the surface. These results clearly demonstrate that the existence of electrophilic surface sites is a crucial precondition for the chemisorption of pyrrole on GaAs(001) surfaces.« less

  11. Thermal stress cycling of GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Janousek, B. K.; Francis, R. W.; Wendt, J. P.

    1985-01-01

    A thermal cycling experiment was performed on GaAs solar cells to establish the electrical and structural integrity of these cells under the temperature conditions of a simulated low-Earth orbit of 3-year duration. Thirty single junction GaAs cells were obtained and tests were performed to establish the beginning-of-life characteristics of these cells. The tests consisted of cell I-V power output curves, from which were obtained short-circuit current, open circuit voltage, fill factor, and cell efficiency, and optical micrographs, spectral response, and ion microprobe mass analysis (IMMA) depth profiles on both the front surfaces and the front metallic contacts of the cells. Following 5,000 thermal cycles, the performance of the cells was reexamined in addition to any factors which might contribute to performance degradation. It is established that, after 5,000 thermal cycles, the cells retain their power output with no loss of structural integrity or change in physical appearance.

  12. Sn nanothreads in GaAs: experiment and simulation

    NASA Astrophysics Data System (ADS)

    Semenikhin, I.; Vyurkov, V.; Bugaev, A.; Khabibullin, R.; Ponomarev, D.; Yachmenev, A.; Maltsev, P.; Ryzhii, M.; Otsuji, T.; Ryzhii, V.

    2016-12-01

    The gated GaAs structures like the field-effect transistor with the array of the Sn nanothreads was fabricated via delta-doping of vicinal GaAs surface by Sn atoms with a subsequent regrowth. That results in the formation of the chains of Sn atoms at the terrace edges. Two device models were developed. The quantum model accounts for the quantization of the electron energy spectrum in the self-consistent two-dimensional electric potential, herewith the electron density distribution in nanothread arrays for different gate voltages is calculated. The classical model ignores the quantization and electrons are distributed in space according to 3D density of states and Fermi-Dirac statistics. It turned out that qualitatively both models demonstrate similar behavior, nevertheless, the classical one is in better quantitative agreement with experimental data. Plausibly, the quantization could be ignored because Sn atoms are randomly placed along the thread axis. The terahertz hot-electron bolometers (HEBs) could be based on the structure under consideration.

  13. Monolithic GaAs dual-gate FET phase shifter

    NASA Astrophysics Data System (ADS)

    Kumar, M.; Subbarao, S. N.; Menna, R.

    1981-09-01

    The objective of this program is to develop a monolithic GaAs dual-gate FET phase shifter, operating over the 4- to 8-GHz frequency band and capable of a continuously programmable phase shift from 0 deg through N times 360 deg where N is an integer. The phase shift is to be controllable to within +3 deg. This phase shifter will be capable of delivering an output power up to 0 dBm with an input and output VSWR of less than 1.5:1. Progress 1: The photomask of a 0 to 90 deg monolithic GaAs dual-gate FET phase shifter has been procured, and we are in the process of fabricating the phase shifter. 2: We have designed and fabricated a 50 ohm, 4-line interdigitated coupler. Also, we have designed and fabricated a 25-ohm, 6-line interdigitated coupler. The performance of both couplers agrees quite well with the theoretical results. Technical Problems: there was no major problem during this period.

  14. 28 percent efficient GaAs concentrator solar cells

    NASA Technical Reports Server (NTRS)

    Macmillan, H. F.; Hamaker, H. C.; Kaminar, N. R.; Kuryla, M. S.; Ladle Ristow, M.

    1988-01-01

    AlGaAs/GaAs heteroface solar concentrator cells which exhibit efficiencies in excess of 27 percent at high solar concentrations (over 400 suns, AM1.5D, 100 mW/sq cm) have been fabricated with both n/p and p/n configurations. The best n/p cell achieved an efficiency of 28.1 percent around 400 suns, and the best p/n cell achieved an efficiency of 27.5 percent around 1000 suns. The high performance of these GaAs concentrator cells compared to earlier high-efficiency cells was due to improved control of the metal-organic chemical vapor deposition growth conditions and improved cell fabrication procedures (gridline definition and edge passivation). The design parameters of the solar cell structures and optimized grid pattern were determined with a realistic computer modeling program. An evaluation of the device characteristics and a discussion of future GaAs concentrator cell development are presented.

  15. Carbon Papers and Aerogels Based on Graphene Layers and Chitosan: Direct Preparation from High Surface Area Graphite.

    PubMed

    Barbera, Vincenzina; Guerra, Silvia; Brambilla, Luigi; Maggio, Mario; Serafini, Andrea; Conzatti, Lucia; Vitale, Alessandra; Galimberti, Maurizio

    2017-12-11

    In this work, carbon papers and aerogels based on graphene layers and chitosan were prepared. They were obtained by mixing chitosan (CS) and a high surface area nanosized graphite (HSAG) in water in the presence of acetic acid. HSAG/CS water dispersions were stable for months. High resolution transmission electron microscopy revealed the presence of few graphene layers in water suspensions. Casting or lyophilization of such suspensions led to the preparation of carbon paper and aerogel, respectively. In X-ray spectra of both aerogels and carbon paper, peaks due to regular stacks of graphene layers were not detected: graphene with unaltered sp 2 structure was obtained directly from graphite without the use of any chemical reaction. The composites were demonstrated to be electrically conductive thanks to the graphene. Chitosan thus makes it possible to obtain monolithic carbon aerogels and flexible and free-standing graphene papers directly from a nanosized graphite by avoiding oxidation to graphite oxide and successive reduction. Strong interaction between polycationic chitosan and the aromatic substrate appears to be at the origin of the stability of HSAG/CS adducts. Cation-π interaction is hypothesized, also on the basis of X-ray photoelectron spectroscopy findings. This work paves the way for the easy large-scale preparation of carbon papers through a method that has a low environmental impact and is based on a biosourced polymer, graphene, and water.

  16. Use of a corrugated surface to enhance radiation tolerance in a GaAs solar cell

    NASA Technical Reports Server (NTRS)

    Leon, Rosa P.; Piszczor, Michael F., Jr.

    1985-01-01

    The use of a corrugated surface on a GaAs solar cell and its effects on radiation resistance were studied. A compute code was developed to determine the performance of the cell for various geometric parameters. The large optical absorption coefficient of GaAs allows grooves to be only 4-5 micrometers deep. Using accepted material parameters for GaAs solar cells the theoretical performances were compared for various corrugated cells before and after minority carrier diffusion length degradation. The total power output was maximized for both n(+)/p and p(+)/n cells. Optimum values of 1.0-1.5 and 5.0 micrometers for groove and ridge widths respectively were determined.

  17. Interpolative modeling of GaAs FET S-parameter data bases for use in Monte Carlo simulations

    NASA Technical Reports Server (NTRS)

    Campbell, L.; Purviance, J.

    1992-01-01

    A statistical interpolation technique is presented for modeling GaAs FET S-parameter measurements for use in the statistical analysis and design of circuits. This is accomplished by interpolating among the measurements in a GaAs FET S-parameter data base in a statistically valid manner.

  18. GaAs monolithic RF modules for SARSAT distress beacons

    NASA Technical Reports Server (NTRS)

    Cauley, Michael A.

    1991-01-01

    Monolithic GaAs UHF components for use in SARSAT Emergency Distress beacons are under development by Microwave Monolithics, Inc., Simi Valley, CA. The components include a bi-phase modulator, driver amplifier, and a 5 watt power amplifier.

  19. Photoluminescence Study of N-Type Thermal Conversion in Semi-Insulating GaAs.

    DTIC Science & Technology

    1982-12-01

    free electron to the crystal. For example, in GaAs, a tellurium atom on an arsenic site (TeAs) or a silicon atom on a gallium site (SiGa) are donor atoms...Photoconductivity Photoluminescenc Silicon, SiGa 5.81 6.80 Germanium, GeGa 6.08 Sulfur, SAs 6.10 Selenium, SeAs 5.89 6.10 Tellurium , TeAs When an electron...34 to the neutral donor or acceptor (Ref 16:15). The following excitonic com- plexes have been observed in GaAs: (i) exciton bound to a neutron donor at

  20. Topography and presence of a smear layer in deciduous molars prepared with high-speed cutting and ultrasonic abrasion: an in-vitro study.

    PubMed

    Vieira, Áurea Simone Barroso; Pedro, Rafael de Lima; Antunes, Leonardo Dos Santos; Alves Dos Santos, Márcia Pereira; Antunes, Livia Azeredo Alves; Primo, Laura Guimarães; Maia, Lucianne Cople

    2011-05-01

    The aim of this in-vitro study was to compare the effect of high-speed cutting (HS) with ultrasonic abrasion (US) concerning the internal topography and the presence of a smear layer in a cavity preparation performed in healthy deciduous molars. Seven first deciduous molars were used. Two occlusal cavity preparation were done, one in the medial fossula and another in the distal fossula, which were chosen randomly. One preparation was carried out with a diamond point adapted to the HS system (GI), while a chemical vapor deposition (CVD) point adapted to a US device (GII) was used for the other preparation. Subsequently, all samples (n = 14) were cleaved to observe the inside and then prepared for evaluation using scanning electron photomicroscopy. The internal topography of the prepared cavities was descriptively analyzed. In order to assess the presence of a smear layer, scores were tabulated using the 2000 GMC program and analyzed using the Mann-Whitney test. Concerning the internal topography, the presence of striae was verified in both groups. In the GI group they were finer, found in a greater number, and with narrower spaces between them. In the GII group, the striae were undulating, similar to the effect of wheels on sand, and with wider spaces between them. As regards the presence of a smear layer, there was no statistically significant difference between the groups (P > 0.05). In view of the methodology employed, it may be concluded that cavity preparation with a CVD point in a US abrasion system led to the formation of fewer striae and both devices promoted the marked presence of a smear layer, obstructing dentinal tubuli.

  1. Structural and optical characterization of GaAs nano-crystals selectively grown on Si nano-tips by MOVPE.

    PubMed

    Skibitzki, Oliver; Prieto, Ivan; Kozak, Roksolana; Capellini, Giovanni; Zaumseil, Peter; Arroyo Rojas Dasilva, Yadira; Rossell, Marta D; Erni, Rolf; von Känel, Hans; Schroeder, Thomas

    2017-03-01

    We present the nanoheteroepitaxial growth of gallium arsenide (GaAs) on nano-patterned silicon (Si) (001) substrates fabricated using a CMOS technology compatible process. The selective growth of GaAs nano-crystals (NCs) was achieved at 570 °C by MOVPE. A detailed structure and defect characterization study of the grown nano-heterostructures was performed using scanning transmission electron microscopy, x-ray diffraction, micro-Raman, and micro-photoluminescence (μ-PL) spectroscopy. The results show single-crystalline, nearly relaxed GaAs NCs on top of slightly, by the SiO 2 -mask compressively strained Si nano-tips (NTs). Given the limited contact area, GaAs/Si nanostructures benefit from limited intermixing in contrast to planar GaAs films on Si. Even though a few growth defects (e.g. stacking faults, micro/nano-twins, etc) especially located at the GaAs/Si interface region were detected, the nanoheterostructures show intensive light emission, as investigated by μ-PL spectroscopy. Achieving well-ordered high quality GaAs NCs on Si NTs may provide opportunities for superior electronic, photonic, or photovoltaic device performances integrated on the silicon technology platform.

  2. GaAs monolayer: Excellent SHG responses and semi metallic to metallic transition modulated by vacancy effect

    NASA Astrophysics Data System (ADS)

    Rozahun, Ilmira; Bahti, Tohtiaji; He, Guijie; Ghupur, Yasenjan; Ablat, Abduleziz; Mamat, Mamatrishat

    2018-05-01

    Monolayer materials are considered as a promising candidate for novel applications due to their attractive magnetic, electronic and optical properties. Investigation on nonlinear optical (NLO) properties and effect of vacancy on monolayer materials are vital to property modulations of monolayers and extending their applications. In this work, with the aid of first-principles calculations, the crystal structure, electronic, magnetic, and optical properties of GaAs monolayers with the vacancy were investigated. The result shows gallium arsenic (GaAs) monolayer produces a strong second harmonic generation (SHG) response. Meanwhile, the vacancy strongly affects structural, electronic, magnetic and optical properties of GaAs monolayers. Furthermore, arsenic vacancy (VAs) brings semi metallic to metallic transition, while gallium vacancy (VGa) causes nonmagnetic to magnetic conversion. Our result reveals that GaAs monolayer possesses application potentials in Nano-amplifying modulator and Nano-optoelectronic devices, and may provide useful guidance in designing new generation of Nano-electronic devices.

  3. Passivation effects on quantum dots prepared by successive ionic layer adsorption and reaction

    NASA Astrophysics Data System (ADS)

    Dai, Qilin; Maloney, Scott; Chen, Weimin; Poudyal, Uma; Wang, Wenyong

    2016-06-01

    ZnS is typically used to passivate semiconductor quantum dots (QDs) prepared by the successive ionic layer adsorption and reaction (SILAR) method for solar cell applications, while for colloidal QDs, organic ligands are usually used for this passivation purpose. In this study we utilized oleylamine and oleic acid ligands, besides ZnS, to passivate QDs prepared by the SILAR approach, and investigated their effects on the incident photon-to-current efficiency (IPCE) performance of the solar cells. It was observed that oleylamine passivation decreased device performance, while oleic acid passivation improved the IPCE of the cells. Redshift of the IPCE onset wavelength was also observed after oleic acid coating, which was attributed to the delocalization of excitons in the CdS QDs.

  4. Al2 O3 Underlayer Prepared by Atomic Layer Deposition for Efficient Perovskite Solar Cells.

    PubMed

    Zhang, Jinbao; Hultqvist, Adam; Zhang, Tian; Jiang, Liangcong; Ruan, Changqing; Yang, Li; Cheng, Yibing; Edoff, Marika; Johansson, Erik M J

    2017-10-09

    Perovskite solar cells, as an emergent technology for solar energy conversion, have attracted much attention in the solar cell community by demonstrating impressive enhancement in power conversion efficiencies. However, the high temperature and manually processed TiO 2 underlayer prepared by spray pyrolysis significantly limit the large-scale application and device reproducibility of perovskite solar cells. In this study, lowtemperature atomic layer deposition (ALD) is used to prepare a compact Al 2 O 3 underlayer for perovskite solar cells. The thickness of the Al 2 O 3 layer can be controlled well by adjusting the deposition cycles during the ALD process. An optimal Al 2 O 3 layer effectively blocks electron recombination at the perovskite/fluorine-doped tin oxide interface and sufficiently transports electrons through tunneling. Perovskite solar cells fabricated with an Al 2 O 3 layer demonstrated a highest efficiency of 16.2 % for the sample with 50 ALD cycles (ca. 5 nm), which is a significant improvement over underlayer-free PSCs, which have a maximum efficiency of 11.0 %. Detailed characterization confirms that the thickness of the Al 2 O 3 underlayer significantly influences the charge transfer resistance and electron recombination processes in the devices. Furthermore, this work shows the feasibility of using a high band-gap semiconductor such as Al 2 O 3 as the underlayer in perovskite solar cells and opens up pathways to use ALD Al 2 O 3 underlayers for flexible solar cells. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. Formation of a pn junction on an anisotropically etched GaAs surface using metalorganic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Leon, R. P.; Bailey, S. G.; Mazaris, G. A.; Williams, W. D.

    1986-01-01

    A continuous p-type GaAs epilayer has been deposited on an n-type sawtooth GaAs surface using MOCVD. A wet chemical etching process was used to expose the intersecting (111)Ga and (-1 -1 1)Ga planes with 6-micron periodicity. Charge-collection microscopy was used to verify the presence of the pn junction thus formed and to measure its depth. The ultimate goal of this work is to fabricate a V-groove GaAs cell with improved absorptivity, high short-circuit current, and tolerance to particle radiation.

  6. Displacement damage and predicted non-ionizing energy loss in GaAs

    NASA Astrophysics Data System (ADS)

    Gao, Fei; Chen, Nanjun; Hernandez-Rivera, Efrain; Huang, Danhong; LeVan, Paul D.

    2017-03-01

    Large-scale molecular dynamics (MD) simulations, along with bond-order interatomic potentials, have been applied to study the defect production for lattice atom recoil energies from 500 eV to 20 keV in gallium arsenide (GaAs). At low energies, the most surviving defects are single interstitials and vacancies, and only 20% of the interstitial population is contained in clusters. However, a direct-impact amorphization in GaAs occurs with a high degree of probability during the cascade lifetime for Ga PKAs (primary knock-on atoms) with energies larger than 2 keV. The results reveal a non-linear defect production that increases with the PKA energy. The damage density within a cascade core is evaluated, and used to develop a model that describes a new energy partition function. Based on the MD results, we have developed a model to determine the non-ionizing energy loss (NIEL) in GaAs, which can be used to predict the displacement damage degradation induced by space radiation on electronic components. The calculated NIEL predictions are compared with the available data, thus validating the NIEL model developed in this study.

  7. Monolithic high voltage nonlinear transmission line fabrication process

    DOEpatents

    Cooper, G.A.

    1994-10-04

    A process for fabricating sequential inductors and varistor diodes of a monolithic, high voltage, nonlinear, transmission line in GaAs is disclosed. An epitaxially grown laminate is produced by applying a low doped active n-type GaAs layer to an n-plus type GaAs substrate. A heavily doped p-type GaAs layer is applied to the active n-type layer and a heavily doped n-type GaAs layer is applied to the p-type layer. Ohmic contacts are applied to the heavily doped n-type layer where diodes are desired. Multiple layers are then either etched away or Oxygen ion implanted to isolate individual varistor diodes. An insulator is applied between the diodes and a conductive/inductive layer is thereafter applied on top of the insulator layer to complete the process. 6 figs.

  8. GaAs Optoelectronic Integrated-Circuit Neurons

    NASA Technical Reports Server (NTRS)

    Lin, Steven H.; Kim, Jae H.; Psaltis, Demetri

    1992-01-01

    Monolithic GaAs optoelectronic integrated circuits developed for use as artificial neurons. Neural-network computer contains planar arrays of optoelectronic neurons, and variable synaptic connections between neurons effected by diffraction of light from volume hologram in photorefractive material. Basic principles of neural-network computers explained more fully in "Optoelectronic Integrated Circuits For Neural Networks" (NPO-17652). In present circuits, devices replaced by metal/semiconductor field effect transistors (MESFET's), which consume less power.

  9. Investigations into the impact of various substrates and ZnO ultra thin seed layers prepared by atomic layer deposition on growth of ZnO nanowire array

    PubMed Central

    2012-01-01

    The impact of various substrates and zinc oxide (ZnO) ultra thin seed layers prepared by atomic layer deposition on the geometric morphology of subsequent ZnO nanowire arrays (NWs) fabricated by the hydrothermal method was investigated. The investigated substrates included B-doped ZnO films, indium tin oxide films, single crystal silicon (111), and glass sheets. Scanning electron microscopy and X-ray diffraction measurements revealed that the geometry and aligment of the NWs were controlled by surface topography of the substrates and thickness of the ZnO seed layers, respectively. According to atomic force microscopy data, we suggest that the substrate, fluctuate amplitude and fluctuate frequency of roughness on ZnO seed layers have a great impact on the alignment of the resulting NWs, whereas the influence of the seed layers' texture was negligible. PMID:22759838

  10. First results from GaAs double-sided detectors

    NASA Astrophysics Data System (ADS)

    Beaumont, S. P.; Bertin, R.; Booth, C. N.; Buttar, C.; Carraresi, L.; Cindolo, F.; Colocci, M.; Combley, F. H.; D'Auria, S.; del Papa, C.; Dogru, M.; Edwards, M.; Foster, F.; Francescato, A.; Gowdy, S.; Gray, R.; Hill, G.; Hou, Y.; Houston, P.; Hughes, G.; Jones, B. K.; Lynch, J. G.; Lisowski, B.; Matheson, J.; Nava, F.; Nuti, M.; O'Shea, V.; Pelfer, P. G.; Raine, C.; Santana, J.; Saunders, I. J.; Seller, P. H.; Shankar, K.; Sharp, P. H.; Skillicorn, I. O.; Sloan, T.; Smith, K. M.; ten Have, I.; Turnbull, R. M.; Vanni, U.; Zichichi, A.

    1994-09-01

    Preliminary results are presented on the performance of double-sided microstrip detectors using Schottky contacts on both sides of a semi-insulating (SI) GaAs substrate wafer, after exposure to 10 14 neutrons cm -2 at the ISIS facility. A qualitative explanation of the device behaviour is given.

  11. Density of dislocations in CdHgTe heteroepitaxial structures on GaAs(013) and Si(013) substrates

    NASA Astrophysics Data System (ADS)

    Sidorov, Yu. G.; Yakushev, M. V.; Varavin, V. S.; Kolesnikov, A. V.; Trukhanov, E. M.; Sabinina, I. V.; Loshkarev, I. D.

    2015-11-01

    Epitaxial layers of Cd x Hg1- x Te (MCT) on GaAs(013) and Si(013) substrates were grown by molecular beam epitaxy. The introduction of ZnTe and CdTe intermediate layers into the structures made it possible to retain the orientation close to that of the substrate in MCT epitaxial layers despite the large mismatch between the lattice parameters. The structures were investigated using X-ray diffraction and transmission electron microscopy. The dislocation families predominantly removing the mismatch between the lattice parameters were found. Transmission electron microscopy revealed Γ-shaped misfit dislocations (MDs), which facilitated the annihilation of threading dislocations. The angles of rotation of the lattice due to the formation of networks of misfit dislocations were measured. It was shown that the density of threading dislocations in the active region of photodiodes is primarily determined by the network of misfit dislocations formed in the MCT/CdTe heterojunction. A decrease in the density of threading dislocations in the MCT film was achieved by cyclic annealing under conditions of the maximally facilitated nonconservative motion of dislocations. The dislocation density was determined from the etch pits.

  12. High temperature GaAs X-ray detectors

    NASA Astrophysics Data System (ADS)

    Lioliou, G.; Whitaker, M. D. C.; Barnett, A. M.

    2017-12-01

    Two GaAs p+-i-n+ mesa X-ray photodiodes were characterized for their electrical and photon counting X-ray spectroscopic performance over the temperature range of 100 °C to -20 °C. The devices had 10 μm thick i layers with different diameters: 200 μm (D1) and 400 μm (D2). The electrical characterization included dark current and capacitance measurements at internal electric field strengths of up to 50 kV/cm. The determined properties of the two devices were compared with previously reported results that were made with a view to informing the future development of photon counting X-ray spectrometers for harsh environments, e.g., X-ray fluorescence spectroscopy of planetary surfaces in high temperature environments. The best energy resolution obtained (Full Width at Half Maximum at 5.9 keV) decreased from 2.00 keV at 100 °C to 0.66 keV at -20 °C for the spectrometer with D1, and from 2.71 keV at 100 °C to 0.71 keV at -20 °C for the spectrometer with D2. Dielectric noise was found to be the dominant source of noise in the spectra, apart from at high temperatures and long shaping times, where the main source of photopeak broadening was found to be the white parallel noise.

  13. Temperature Dependences of the Product of the Differential Resistance by the Area in MIS-Structures Based on Cd x Hg1- x Te Grown by Molecularbeam Epitaxy on Alternative Si and GaAs Substrates

    NASA Astrophysics Data System (ADS)

    Voitsekhovskii, A. V.; Nesmelov, S. N.; Dzyadukh, S. M.; Varavin, V. S.; Vasil'ev, V. V.; Dvoretskii, S. A.; Mikhailov, N. N.; Yakushev, M. V.; Sidorov, G. Yu.

    2017-06-01

    In a temperature range of 9-200 K, temperature dependences of the differential resistance of space-charge region in the strong inversion mode are experimentally studied for MIS structures based on CdxHg1-xTe (x = 0.22-0.40) grown by molecular-beam epitaxy. The effect of various parameters of structures: the working layer composition, the type of a substrate, the type of insulator coating, and the presence of a near-surface graded-gap layer on the value of the product of differential resistance by the area is studied. It is shown that the values of the product RSCRA for MIS structures based on n-CdHgTe grown on a Si(013) substrate are smaller than those for structures based on the material grown on a GaAs(013) substrate. The values of the product RSCRA for MIS structures based on p-CdHgTe grown on a Si(013) substrate are comparable with the value of the analogous parameter for MIS structures based on p-CdHgTe grown on a GaAs(013) substrate.

  14. Irradiation of industrial enzyme preparations. II. Characterization of fungal pectinase by thin-layer isoelectric focusing and gel filtration

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Delincee, H.

    1978-01-01

    Industrial dry fungal pectinase from A. niger was irradiated with doses (up to 1 Mrad) of /sup 60/Co-..gamma..rays effective in reducing microbial contamination. The pectinase was characterized by thin-layer isoelectric focusing and gel filtration in order to detect possible radiation-induced structural alterations. Thin-layer isoelectric focusing revealed at least fifteen multiple forms with pectin-depolymerizing activity, with isoelectric points in the range pH 4.5 to 7. Heterogeneity of pectinesterase was also demonstrated, the main band occurring around pH 4. By thin-layer gel filtration the molecular weight of the pectin-depolymerase was estimated as being about 36,000, and that of pectinesterase as about 33,000.more » Radiation-induced changes of the charge properties or molecular size of the irradiated pectinase preparation were not observed. The feasibility of using ionizing radiation for the reduction of microbial contamination of industrial enzyme preparations looks promising.« less

  15. Expanded GAA repeats impair FXN gene expression and reposition the FXN locus to the nuclear lamina in single cells.

    PubMed

    Silva, Ana M; Brown, Jill M; Buckle, Veronica J; Wade-Martins, Richard; Lufino, Michele M P

    2015-06-15

    Abnormally expanded DNA repeats are associated with several neurodegenerative diseases. In Friedreich's ataxia (FRDA), expanded GAA repeats in intron 1 of the frataxin gene (FXN) reduce FXN mRNA levels in averaged cell samples through a poorly understood mechanism. By visualizing FXN expression and nuclear localization in single cells, we show that GAA-expanded repeats decrease the number of FXN mRNA molecules, slow transcription, and increase FXN localization at the nuclear lamina (NL). Restoring histone acetylation reverses NL positioning. Expanded GAA-FXN loci in FRDA patient cells show increased NL localization with increased silencing of alleles and reduced transcription from alleles positioned peripherally. We also demonstrate inefficiencies in transcription initiation and elongation from the expanded GAA-FXN locus at single-cell resolution. We suggest that repressive epigenetic modifications at the expanded GAA-FXN locus may lead to NL relocation, where further repression may occur. © The Author 2015. Published by Oxford University Press. All rights reserved. For Permissions, please email: journals.permissions@oup.com.

  16. The effect of finish line preparation and layer thickness on the failure load and fractography of ZrO2 copings.

    PubMed

    Reich, Sven; Petschelt, Anselm; Lohbauer, Ulrich

    2008-05-01

    To prevent tooth weakening or pulp irritation, there is a need for a minimally invasive method of preparing single anterior crowns. Restoration dimensions for reduced coping thicknesses or less invasive finish line preparations are required. The purposed of this in vitro was to study investigate the fracture performance of high-strength zirconia copings, compare knife-edge margins with chamfer finish lines, and examine the effect of reducing the layer thickness from 0.5 mm to 0.3 mm. Y-TZP zirconia copings were manufactured on brass dies of a maxillary central incisor. Forty copings, with 2 layer thicknesses (0.5 and 0.3 mm), and 2 finish line preparations (knife edge and chamfer; n=10) were cemented using a conventional glass ionomer cement and stored in distilled water at 37 degrees C for 24 hours. The copings were vertically loaded until fracture using a universal testing machine. Data were analyzed by 2-way ANOVA (alpha=.05). Fractographic examination was performed using scanning electron microscopy and confocal laser scanning microscopy. A significantly higher mean failure load was measured for knife-edge (0.5 mm, 1110 +/-175 N; 0.3 mm, 730 +/-160 N) versus chamfer (0.5 mm, 697 +/-126 N; 0.3 mm, 455 +/-79 N) preparations (P<.001), and for 0.5-mm versus 0.3-mm thickness layers (P<.001). Knife-edge preparations present a promising alternative to chamfer finish lines; the fracture load required for knife-edge preparations was 38% greater than that required for chamfer preparations, regardless of coping thickness. Reducing the thickness of a single crown coping from 0.5 to 0.3 mm resulted in a 35% reduction in fracture load required for either preparation type.

  17. Temperature dependent GaAs MMIC radiation effects

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Anderson, W.T.; Roussos, J.A.; Gerdes, J.

    1993-12-01

    The temperature dependence of pulsed neutron and flash x-ray radiation effects was studied in GaAs MMICs. Above room temperature the long term current transients are dominated by electron trapping in previously existing defects. At low temperature in the range 126 to 259 K neutron induced lattice damage appears to play an increasingly important role in producing long term current transients.

  18. Design and implementation of GaAs HBT circuits with ACME

    NASA Technical Reports Server (NTRS)

    Hutchings, Brad L.; Carter, Tony M.

    1993-01-01

    GaAs HBT circuits offer high performance (5-20 GHz) and radiation hardness (500 Mrad) that is attractive for space applications. ACME is a CAD tool specifically developed for HBT circuits. ACME implements a novel physical schematic-capture design technique where designers simultaneously view the structure and physical organization of a circuit. ACME's design interface is similar to schematic capture; however, unlike conventional schematic capture, designers can directly control the physical placement of both function and interconnect at the schematic level. In addition, ACME provides design-time parasitic extraction, complex wire models, and extensions to Multi-Chip Modules (MCM's). A GaAs HBT gate-array and semi-custom circuits have been developed with ACME; several circuits have been fabricated and found to be fully functional .

  19. Preparation and Evaluation of Multi-Layer Anodes of Solid Oxide Fuel Cell

    NASA Technical Reports Server (NTRS)

    Santiago, Diana; Farmer, Serene C.; Setlock, John A.

    2012-01-01

    The development of an energy device with abundant energy generation, ultra-high specific power density, high stability and long life is critical for enabling longer missions and for reducing mission costs. Of all different types of fuel cells, the solid oxide fuel cells (SOFC) is a promising high temperature device that can generate electricity as a byproduct of a chemical reaction in a clean way and produce high quality heat that can be used for other purposes. For aerospace applications, a power-to-weight of (is) greater than 1.0 kW/kg is required. NASA has a patented fuel cell technology under development, capable of achieving the 1.0 kW/kg figure of merit. The first step toward achieving these goals is increasing anode durability. The catalyst plays an important role in the fuel cells for power generation, stability, efficiency and long life. Not only the anode composition, but its preparation and reduction are key to achieving better cell performance. In this research, multi-layer anodes were prepared varying the chemistry of each layer to optimize the performance of the cells. Microstructure analyses were done to the new anodes before and after fuel cell operation. The cells' durability and performance were evaluated in 200 hrs life tests in hydrogen at 850 C. The chemistry of the standard nickel anode was modified successfully reducing the anode degradation from 40% to 8.4% in 1000 hrs and retaining its microstructure.

  20. E+ Transition in GaAs1-xNx and GaAs1-xBix Due to Isoelectronic-Impurity-Induced Perturbation of the Conduction Band

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fluegel, B.; Mascarenhas, A.; Ptak, A. J.

    2007-01-01

    An above-band-gap transition E{sub +} is experimentally observed in the dilute GaAs{sub 1-x}Bi{sub x} alloy. Precise measurements at very low dilutions are made of the above-band-gap transition E{sub +} that is observed in GaAs{sub 1-x}N{sub x}, making it possible to compare the behavior of the different isoelectronic traps Bi and N in the common host GaAs with respect to their perturbation to the host electronic structure. We suggest that the origin of the E{sub +} level observed in GaAs is not the isolated isoelectronic impurity level N{sub x}, as is presumed in the band-anticrossing model, but rather the isoelectronic-impurity-induced perturbationmore » of the conduction band L{sub 6}{sup c}.« less