Sample records for gaas nanowires grown

  1. n-Type Doping of Vapor-Liquid-Solid Grown GaAs Nanowires.

    PubMed

    Gutsche, Christoph; Lysov, Andrey; Regolin, Ingo; Blekker, Kai; Prost, Werner; Tegude, Franz-Josef

    2011-12-01

    In this letter, n-type doping of GaAs nanowires grown by metal-organic vapor phase epitaxy in the vapor-liquid-solid growth mode on (111)B GaAs substrates is reported. A low growth temperature of 400°C is adjusted in order to exclude shell growth. The impact of doping precursors on the morphology of GaAs nanowires was investigated. Tetraethyl tin as doping precursor enables heavily n-type doped GaAs nanowires in a relatively small process window while no doping effect could be found for ditertiarybutylsilane. Electrical measurements carried out on single nanowires reveal an axially non-uniform doping profile. Within a number of wires from the same run, the donor concentrations ND of GaAs nanowires are found to vary from 7 × 10(17) cm(-3) to 2 × 10(18) cm(-3). The n-type conductivity is proven by the transfer characteristics of fabricated nanowire metal-insulator-semiconductor field-effect transistor devices.

  2. GaAs core--shell nanowires for photovoltaic applications.

    PubMed

    Czaban, Josef A; Thompson, David A; LaPierre, Ray R

    2009-01-01

    We report the use of Te as an n-type dopant in GaAs core-shell p-n junction nanowires for use in photovoltaic devices. Te produced significant change in the morphology of GaAs nanowires grown by the vapor-liquid-solid process in a molecular beam epitaxy system. The increase in radial growth of nanowires due to the surfactant effect of Te had a significant impact on the operating characteristics of photovoltaic devices. A decrease in solar cell efficiency occurred when the Te-doped GaAs growth duration was increased.

  3. Electrodeposition of Metal on GaAs Nanowires

    NASA Astrophysics Data System (ADS)

    Liu, Chao; Einabad, Omid; Watkins, Simon; Kavanagh, Karen

    2010-10-01

    Copper (Cu) electrical contacts to freestanding gallium arsenide (GaAs) nanowires have been fabricated via electrodeposition. The nanowires are zincblende (111) oriented grown epitaxially on n-type Si-doped GaAs (111)B substrates by gold-catalyzed Vapor Liquid Solid (VLS) growth in a metal organic vapour phase epitaxy (MOVPE) reactor. The epitaxial electrodeposition process, based on previous work with bulk GaAs substrates, consists of a substrate oxide pre-etch in dilute ammonium-hydroxide carried out prior to galvanostatic electrodeposition in a pure Cu sulphate aqueous electrolyte at 20-60^oC. For GaAs nanowires, we find that Cu or Fe has a preference for growth on the gold catalyst avoiding the sidewalls. After removing gold, both metals still prefer to grow only on top of the nanowire, which has the largest potential field.

  4. High yield of self-catalyzed GaAs nanowire arrays grown on silicon via gallium droplet positioning.

    PubMed

    Plissard, S; Larrieu, G; Wallart, X; Caroff, P

    2011-07-08

    We report and detail a method to achieve growth of vertical self-catalyzed GaAs nanowires directly on Si(111) with a near-perfect vertical yield, using electron-beam-defined arrays of holes in a dielectric layer and molecular beam epitaxy. In our conditions, GaAs nanowires are grown along a vapor-liquid-solid mechanism, using in situ self-forming Ga droplets. The focus of this paper is to understand the role of the substrate preparation and of the pre-growth conditioning. Without changing temperature or the V/III ratio, the yield of vertical nanowires is increased incrementally up to 95%. The possibility to achieve very dense arrays, with center-to-center inter-wire distances less than 100 nm, is demonstrated.

  5. GaAs quantum dots in a GaP nanowire photodetector

    NASA Astrophysics Data System (ADS)

    Kuyanov, P.; McNamee, S. A.; LaPierre, R. R.

    2018-03-01

    We report the structural, optical and electrical properties of GaAs quantum dots (QDs) embedded along GaP nanowires. The GaP nanowires contained p-i-n junctions with 15 consecutively grown GaAs QDs within the intrinsic region. The nanowires were grown by molecular beam epitaxy using the self-assisted vapor-liquid-solid process. The crystal structure of the NWs alternated between twinned ZB and WZ as the composition along the NW alternated between the GaP barriers and the GaAs QDs, respectively, leading to a polytypic structure with a periodic modulation of the NW sidewall facets. Photodetector devices containing QDs showed absorption beyond the bandgap of GaP in comparison to nanowires without QDs. Voltage-dependent measurements suggested a field emission process of carriers from the QDs.

  6. Effect of carbon tetrabromide on the morphology of GaAs nanowires.

    PubMed

    Salehzadeh, O; Watkins, S P

    2011-04-22

    Carbon is a commonly used p-type dopant in planar III-V semiconductors, however its use in nanowire (NW) growth has been much less reported. In this work we show that the morphology of gold assisted GaAs NWs can be strongly modified by the presence of CBr(4) vapor during growth by metalorganic vapor phase epitaxy. GaAs NWs were grown under conditions which result in strong tapering and lateral growth at low growth temperatures by the use of triethylgallium (TEGa) instead of the more usual precursor, trimethylgallium (TMGa). Under these conditions, NWs grown in the presence of CBr(4) exhibit higher axial and lower radial growth rates, and negligible tapering compared with NWs grown in the absence of CBr(4) under the same conditions. We attribute this primarily to the suppression of the 2d growth rate by CBr(4), which enhances the axial growth rate of the nanowires. NWs grown with CBr(4) show stacking-fault-free zincblende structure, while the NWs grown without CBr(4) show a high density of stacking faults. This work underlines the striking effects which precursor chemistry can have on nanowire morphology.

  7. Observation of linear I-V curves on vertical GaAs nanowires with atomic force microscope

    NASA Astrophysics Data System (ADS)

    Geydt, P.; Alekseev, P. A.; Dunaevskiy, M.; Lähderanta, E.; Haggrén, T.; Kakko, J.-P.; Lipsanen, H.

    2015-12-01

    In this work we demonstrate the possibility of studying the current-voltage characteristics for single vertically standing semiconductor nanowires on standard AFM equipped by current measuring module in PeakForce Tapping mode. On the basis of research of eight different samples of p-doped GaAs nanowires grown on different GaAs substrates, peculiar electrical effects were revealed. It was found how covering of substrate surface by SiOx layer increases the current, as well as phosphorous passivation of the grown nanowires. Elimination of the Schottky barrier between golden cap and the top parts of nanowires was observed. It was additionally studied that charge accumulation on the shell of single nanowires affects its resistivity and causes the hysteresis loops on I-V curves.

  8. Effects of gold diffusion on n-type doping of GaAs nanowires.

    PubMed

    Tambe, Michael J; Ren, Shenqiang; Gradecak, Silvija

    2010-11-10

    The deposition of n-GaAs shells is explored as a method of n-type doping in GaAs nanowires grown by the Au-mediated metal-organic chemical vapor deposition. Core-shell GaAs/n-GaAs nanowires exhibit an unintended rectifying behavior that is attributed to the Au diffusion during the shell deposition based on studies using energy dispersive X-ray spectroscopy, current-voltage, capacitance-voltage, and Kelvin probe force measurements. Removing the gold prior to n-type shell deposition results in the realization of n-type GaAs nanowires without rectification. We directly correlate the presence of gold impurities to nanowire electrical properties and provide an insight into the role of seed particles on the properties of nanowires and nanowire heterostructures.

  9. Doping assessment in GaAs nanowires.

    PubMed

    Goktas, N Isik; Fiordaliso, E M; LaPierre, R R

    2018-06-08

    Semiconductor nanowires (NWs) are a candidate technology for future optoelectronic devices. One of the critical issues in NWs is the control of impurity doping for the formation of p-n junctions. In this study, beryllium (p-type dopant) and tellurium (n-type dopant) in self-assisted GaAs NWs was studied. The GaAs NWs were grown on (111) Si by molecular beam epitaxy using the self-assisted method. The dopant incorporation in the self-assisted GaAs NWs was investigated using Raman spectroscopy, photoluminescence, secondary ion mass spectrometry and electron holography. Be-doped NWs showed similar carrier concentration as compared to thin film (TF) standards. However, Te-doped NWs showed at least a one order of magnitude lower carrier concentration as compared to TF standards. Dopant incorporation mechanisms in NWs are discussed.

  10. Doping assessment in GaAs nanowires

    NASA Astrophysics Data System (ADS)

    Isik Goktas, N.; Fiordaliso, E. M.; LaPierre, R. R.

    2018-06-01

    Semiconductor nanowires (NWs) are a candidate technology for future optoelectronic devices. One of the critical issues in NWs is the control of impurity doping for the formation of p–n junctions. In this study, beryllium (p-type dopant) and tellurium (n-type dopant) in self-assisted GaAs NWs was studied. The GaAs NWs were grown on (111) Si by molecular beam epitaxy using the self-assisted method. The dopant incorporation in the self-assisted GaAs NWs was investigated using Raman spectroscopy, photoluminescence, secondary ion mass spectrometry and electron holography. Be-doped NWs showed similar carrier concentration as compared to thin film (TF) standards. However, Te-doped NWs showed at least a one order of magnitude lower carrier concentration as compared to TF standards. Dopant incorporation mechanisms in NWs are discussed.

  11. Tandem Solar Cells Using GaAs Nanowires on Si: Design, Fabrication, and Observation of Voltage Addition.

    PubMed

    Yao, Maoqing; Cong, Sen; Arab, Shermin; Huang, Ningfeng; Povinelli, Michelle L; Cronin, Stephen B; Dapkus, P Daniel; Zhou, Chongwu

    2015-11-11

    Multijunction solar cells provide us a viable approach to achieve efficiencies higher than the Shockley-Queisser limit. Due to their unique optical, electrical, and crystallographic features, semiconductor nanowires are good candidates to achieve monolithic integration of solar cell materials that are not lattice-matched. Here, we report the first realization of nanowire-on-Si tandem cells with the observation of voltage addition of the GaAs nanowire top cell and the Si bottom cell with an open circuit voltage of 0.956 V and an efficiency of 11.4%. Our simulation showed that the current-matching condition plays an important role in the overall efficiency. Furthermore, we characterized GaAs nanowire arrays grown on lattice-mismatched Si substrates and estimated the carrier density using photoluminescence. A low-resistance connecting junction was obtained using n(+)-GaAs/p(+)-Si heterojunction. Finally, we demonstrated tandem solar cells based on top GaAs nanowire array solar cells grown on bottom planar Si solar cells. The reported nanowire-on-Si tandem cell opens up great opportunities for high-efficiency, low-cost multijunction solar cells.

  12. Interface dynamics and crystal phase switching in GaAs nanowires

    NASA Astrophysics Data System (ADS)

    Jacobsson, Daniel; Panciera, Federico; Tersoff, Jerry; Reuter, Mark C.; Lehmann, Sebastian; Hofmann, Stephan; Dick, Kimberly A.; Ross, Frances M.

    2016-03-01

    Controlled formation of non-equilibrium crystal structures is one of the most important challenges in crystal growth. Catalytically grown nanowires are ideal systems for studying the fundamental physics of phase selection, and could lead to new electronic applications based on the engineering of crystal phases. Here we image gallium arsenide (GaAs) nanowires during growth as they switch between phases as a result of varying growth conditions. We find clear differences between the growth dynamics of the phases, including differences in interface morphology, step flow and catalyst geometry. We explain these differences, and the phase selection, using a model that relates the catalyst volume, the contact angle at the trijunction (the point at which solid, liquid and vapour meet) and the nucleation site of each new layer of GaAs. This model allows us to predict the conditions under which each phase should be observed, and use these predictions to design GaAs heterostructures. These results could apply to phase selection in other nanowire systems.

  13. Interface dynamics and crystal phase switching in GaAs nanowires.

    PubMed

    Jacobsson, Daniel; Panciera, Federico; Tersoff, Jerry; Reuter, Mark C; Lehmann, Sebastian; Hofmann, Stephan; Dick, Kimberly A; Ross, Frances M

    2016-03-17

    Controlled formation of non-equilibrium crystal structures is one of the most important challenges in crystal growth. Catalytically grown nanowires are ideal systems for studying the fundamental physics of phase selection, and could lead to new electronic applications based on the engineering of crystal phases. Here we image gallium arsenide (GaAs) nanowires during growth as they switch between phases as a result of varying growth conditions. We find clear differences between the growth dynamics of the phases, including differences in interface morphology, step flow and catalyst geometry. We explain these differences, and the phase selection, using a model that relates the catalyst volume, the contact angle at the trijunction (the point at which solid, liquid and vapour meet) and the nucleation site of each new layer of GaAs. This model allows us to predict the conditions under which each phase should be observed, and use these predictions to design GaAs heterostructures. These results could apply to phase selection in other nanowire systems.

  14. Structural, optical and electrical properties of well-ordered ZnO nanowires grown on (1 1 1) oriented Si, GaAs and InP substrates by electrochemical deposition method

    NASA Astrophysics Data System (ADS)

    Pham, Huyen T.; Nguyen, Tam D.; Tran, Dat Q.; Akabori, Masashi

    2017-05-01

    ZnO semiconductors, especially in form of nanomaterials, possess many excellent properties and have been employed in many applications. In this article, we reported the selective area growth of ZnO nanowires on different (1 1 1) oriented Si, GaAs, and first time on InP substrates by electrochemical deposition method without any seed layers, using zinc nitrate hexahydrate precursor in the presence of hexamethylenetetramine. The position, density and orientation of such ZnO nanowires were controlled by the substrate patterning technique using electron-beam lithography. As-synthesized ZnO nanowires grown on patterned substrates show smaller diameter, higher density and better orientation, compared to the one grown on unpatterned substrates. In particular, the ZnO nanowires grown on GaAs patterned substrate indicate the best morphological property, with the average diameter, length and density of about 100 nm, 2.4 µm and 35 µm-2, respectively. The x-ray diffraction and Raman scattering also demonstrate high crystalline quality of our ZnO nanowires. Moreover, as-reported ZnO nanowires are also conductive, which would allow their use in field-effect transistor and other potential nanoscale device applications.

  15. Manipulation of morphology and structure of the top of GaAs nanowires grown by molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Li, Lixia; Pan, Dong; Yu, Xuezhe; So, Hyok; Zhao, Jianhua

    2017-10-01

    Self-catalyzed GaAs nanowires (NWs) are grown on Si (111) substrates by molecular-beam epitaxy. The effect of different closing sequences of the Ga and As cell shutters on the morphology and structural phase of GaAs NWs is investigated. For the sequences of closing the Ga and As cell shutters simultaneously or closing the As cell shutter 1 min after closing the Ga cell shutter, the NWs grow vertically to the substrate surface. In contrast, when the As cell shutter is closed first, maintaining the Ga flux is found to be critical for the following growth of GaAs NWs, which can change the growth direction from [111] to < 11\\bar{1}> . The evolution of the morphology and structural phase transition at the tips of these GaAs NWs confirm that the triple-phase-line shift mode is at work even for the growth with different cell shutter closing sequences. Our work will provide new insights for better understanding of the growth mechanism and realizing of the morphology and structure control of the GaAs NWs. Project supported partly by the MOST of China (No. 2015CB921503), the National Natural Science Foundation of China (Nos. 61504133, 61334006, 61404127), and Youth Innovation Promotion Association, CAS (No. 2017156).

  16. Vertically aligned GaAs nanowires on graphite and few-layer graphene: generic model and epitaxial growth.

    PubMed

    Munshi, A Mazid; Dheeraj, Dasa L; Fauske, Vidar T; Kim, Dong-Chul; van Helvoort, Antonius T J; Fimland, Bjørn-Ove; Weman, Helge

    2012-09-12

    By utilizing the reduced contact area of nanowires, we show that epitaxial growth of a broad range of semiconductors on graphene can in principle be achieved. A generic atomic model is presented which describes the epitaxial growth configurations applicable to all conventional semiconductor materials. The model is experimentally verified by demonstrating the growth of vertically aligned GaAs nanowires on graphite and few-layer graphene by the self-catalyzed vapor-liquid-solid technique using molecular beam epitaxy. A two-temperature growth strategy was used to increase the nanowire density. Due to the self-catalyzed growth technique used, the nanowires were found to have a regular hexagonal cross-sectional shape, and are uniform in length and diameter. Electron microscopy studies reveal an epitaxial relationship of the grown nanowires with the underlying graphitic substrates. Two relative orientations of the nanowire side-facets were observed, which is well explained by the proposed atomic model. A prototype of a single GaAs nanowire photodetector demonstrates a high-quality material. With GaAs being a model system, as well as a very useful material for various optoelectronic applications, we anticipate this particular GaAs nanowire/graphene hybrid to be promising for flexible and low-cost solar cells.

  17. A Novel Growth Method To Improve the Quality of GaAs Nanowires Grown by Ga-Assisted Chemical Beam Epitaxy.

    PubMed

    García Núñez, Carlos; Braña, Alejandro F; López, Nair; García, Basilio J

    2018-06-13

    The successful synthesis of high crystalline quality and high aspect ratio GaAs nanowires (NWs) with a uniform diameter is needed to develop advanced applications beyond the limits established by thin film and bulk material properties. Vertically aligned GaAs NWs have been extensively grown by Ga-assisted vapor-liquid-solid (VLS) mechanism on Si(111) substrates, and they have been used as building blocks in photovoltaics, optoelectronics, electronics, and so forth. However, the nucleation of parasitic species such as traces and nanocrystals on the Si substrate surface during the NW growth could affect significantly the controlled nucleation of those NWs, and therefore the resulting performance of NW-based devices. Preventing the nucleation of parasitic species on the Si substrate is a matter of interest, because they could act as traps for gaseous precursors and/or chemical elements during VLS growth, drastically reducing the maximum length of grown NWs, affecting their morphology and structure, and reducing the NW density along the Si substrate surface. This work presents a novel and easy to develop growth method (i.e., without using advanced nanolithography techniques) to prevent the nucleation of parasitic species, while preserving the quality of GaAs NWs even for long duration growths. GaAs NWs are grown by Ga-assisted chemical beam epitaxy on oxidized Si(111) substrates using triethylgallium and tertiarybutylarsine precursors by a two-step-based growth method presented here; this method includes a growth interruption for an oxidation on air between both steps of growth, reducing the nucleation of parasitic crystals on the thicker SiO x capping layer during the second and longer growth step. VLS conditions are preserved overtime, resulting in a stable NW growth rate of around 6 μm/h for growth times up to 1 h. Resulting GaAs NWs have a high aspect ratio of 85 and average radius of 35 nm. We also report on the existence of characteristic reflection high

  18. Probability of twin formation on self-catalyzed GaAs nanowires on Si substrate

    PubMed Central

    2012-01-01

    We attempted to control the incorporation of twin boundaries in self-catalyzed GaAs nanowires (NWs). Self-catalyzed GaAs NWs were grown on a Si substrate under various arsenic pressures using molecular beam epitaxy and the vapor-liquid-solid method. When the arsenic flux is low, wurtzite structures are dominant in the GaAs NWs. On the other hand, zinc blende structures become dominant as the arsenic flux rises. We discussed this phenomenon on the basis of thermodynamics and examined the probability of twin-boundary formation in detail. PMID:23043754

  19. Heterojunction photovoltaics using GaAs nanowires and conjugated polymers.

    PubMed

    Ren, Shenqiang; Zhao, Ni; Crawford, Samuel C; Tambe, Michael; Bulović, Vladimir; Gradecak, Silvija

    2011-02-09

    We demonstrate an organic/inorganic solar cell architecture based on a blend of poly(3-hexylthiophene) (P3HT) and narrow bandgap GaAs nanowires. The measured increase of device photocurrent with increased nanowire loading is correlated with structural ordering within the active layer that enhances charge transport. Coating the GaAs nanowires with TiO(x) shells passivates nanowire surface states and further improves the photovoltaic performance. We find that the P3HT/nanowire cells yield power conversion efficiencies of 2.36% under white LED illumination for devices containing 50 wt % of TiO(x)-coated GaAs nanowires. Our results constitute important progress for the use of nanowires in large area solution processed hybrid photovoltaic cells and provide insight into the role of structural ordering in the device performance.

  20. n-type doping and morphology of GaAs nanowires in Aerotaxy

    DOE PAGES

    Metaferia, Wondwosen; Sivakumar, Sudhakar; Persson, Axel R.; ...

    2018-05-10

    Controlled doping in semiconductor nanowires modifies their electrical and optical properties, which are important for high efficiency optoelectronic devices. We have grown n-type (Sn) doped GaAs nanowires in Aerotaxy, a new continuous gas phase mass production technique. The morphology of Sn doped nanowires is found to be a strong function of dopant, tetraethyltin to trimethylgallium flow ratio, Au-Ga-Sn alloying, and nanowire growth temperatures. High temperature and high flow ratios result in low morphological quality nanowires and in parasitic growth on the wire base and surface. Alloying and growth temperatures of 400 and 530 degrees C, respectively, resulted in good morphologicalmore » quality nanowires for a flow ratio of TESn to TMGa up to 2.25 x 10 -3. The wires are pure Zinc-blende for all investigated growth conditions, whereas nanowires grown by MOVPE with the same growth conditions are usually mainly Wurtzite. The growth rate of the doped wires is found to be dependent more on the TESn flow fraction than on alloying and nanowire growth temperatures. Our photoluminescence measurements, supported by four-point probe resistivity measurements, reveal that the carrier concentration in the doped wires varies only slightly (1- 3) x 10 19 cm -3 with TESn flow fraction and both alloying and growth temperatures, indicating that good morphological quality wires with high carrier density can be grown with low TESn flow. Carrier concentrations lower than 1019 cm-3 can be grown by further reducing the flow fraction of TESn, which may give better morphology wires.« less

  1. n-type doping and morphology of GaAs nanowires in Aerotaxy

    NASA Astrophysics Data System (ADS)

    Metaferia, Wondwosen; Sivakumar, Sudhakar; Persson, Axel R.; Geijselaers, Irene; Reine Wallenberg, L.; Deppert, Knut; Samuelson, Lars; Magnusson, Martin H.

    2018-07-01

    Controlled doping in semiconductor nanowires modifies their electrical and optical properties, which are important for high efficiency optoelectronic devices. We have grown n-type (Sn) doped GaAs nanowires in Aerotaxy, a new continuous gas phase mass production technique. The morphology of Sn doped nanowires is found to be a strong function of dopant, tetraethyltin to trimethylgallium flow ratio, Au–Ga–Sn alloying, and nanowire growth temperatures. High temperature and high flow ratios result in low morphological quality nanowires and in parasitic growth on the wire base and surface. Alloying and growth temperatures of 400 °C and 530 °C, respectively, resulted in good morphological quality nanowires for a flow ratio of TESn to TMGa up to 2.25 × 10‑3. The wires are pure zinc-blende for all investigated growth conditions, whereas nanowires grown by metal-organic vapor phase epitaxy with the same growth conditions are usually mainly Wurtzite. The growth rate of the doped wires is found to be dependent more on the TESn flow fraction than on alloying and nanowire growth temperatures. Our photoluminescence measurements, supported by four-point probe resistivity measurements, reveal that the carrier concentration in the doped wires varies only slightly (1–3) × 1019 cm‑3 with TESn flow fraction and both alloying and growth temperatures, indicating that good morphological quality wires with high carrier density can be grown with low TESn flow. Carrier concentrations lower than 1019 cm‑3 can be grown by further reducing the flow fraction of TESn, which may give better morphology wires.

  2. n-type doping and morphology of GaAs nanowires in Aerotaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Metaferia, Wondwosen; sivakumar, sudhakar; R. Persson, Axel

    2018-04-17

    Controlled doping in semiconductor nanowires modifies their electrical and optical properties, which are important for high efficiency optoelectronic devices. We have grown n-type (Sn) doped GaAs nanowires in Aerotaxy, a new continuous gas phase mass production technique. The morphology of Sn doped nanowires is found to be a strong function of dopant, tetraethyltin to trimethylgallium flow ratio, Au-Ga-Sn alloying, and nanowire growth temperatures. High temperature and high flow ratios result in low morphological quality nanowires and in parasitic growth on the wire base and surface. Alloying and growth temperatures of 400 and 530 degrees C, respectively, resulted in good morphologicalmore » quality nanowires for a flow ratio of TESn to TMGa up to 2.25 x 10-3. The wires are pure Zinc-blende for all investigated growth conditions, whereas nanowires grown by MOVPE with the same growth conditions are usually mainly Wurtzite. The growth rate of the doped wires is found to be dependent more on the TESn flow fraction than on alloying and nanowire growth temperatures. Our photoluminescence measurements, supported by four-point probe resistivity measurements, reveal that the carrier concentration in the doped wires varies only slightly (1- 3) x 1019 cm-3 with TESn flow fraction and both alloying and growth temperatures, indicating that good morphological quality wires with high carrier density can be grown with low TESn flow. Carrier concentrations lower than 1019 cm-3 can be grown by further reducing the flow fraction of TESn, which may give better morphology wires.« less

  3. n-type doping and morphology of GaAs nanowires in Aerotaxy.

    PubMed

    Metaferia, Wondwosen; Sivakumar, Sudhakar; Persson, Axel R; Geijselaers, Irene; Wallenberg, L Reine; Deppert, Knut; Samuelson, Lars; Magnusson, Martin H

    2018-04-17

    Controlled doping in semiconductor nanowires modifies their electrical and optical properties, which are important for high efficiency optoelectronic devices. We have grown n-type (Sn) doped GaAs nanowires in Aerotaxy, a new continuous gas phase mass production technique. The morphology of Sn doped nanowires is found to be a strong function of dopant, tetraethyltin to trimethylgallium flow ratio, Au-Ga-Sn alloying, and nanowire growth temperatures. High temperature and high flow ratios result in low morphological quality nanowires and in parasitic growth on the wire base and surface. Alloying and growth temperatures of 400 °C and 530 °C, respectively, resulted in good morphological quality nanowires for a flow ratio of TESn to TMGa up to 2.25 × 10 -3 . The wires are pure zinc-blende for all investigated growth conditions, whereas nanowires grown by metal-organic vapor phase epitaxy with the same growth conditions are usually mainly Wurtzite. The growth rate of the doped wires is found to be dependent more on the TESn flow fraction than on alloying and nanowire growth temperatures. Our photoluminescence measurements, supported by four-point probe resistivity measurements, reveal that the carrier concentration in the doped wires varies only slightly (1-3) × 10 19 cm -3 with TESn flow fraction and both alloying and growth temperatures, indicating that good morphological quality wires with high carrier density can be grown with low TESn flow. Carrier concentrations lower than 10 19 cm -3 can be grown by further reducing the flow fraction of TESn, which may give better morphology wires.

  4. n-type doping and morphology of GaAs nanowires in Aerotaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Metaferia, Wondwosen; Sivakumar, Sudhakar; Persson, Axel R.

    Controlled doping in semiconductor nanowires modifies their electrical and optical properties, which are important for high efficiency optoelectronic devices. We have grown n-type (Sn) doped GaAs nanowires in Aerotaxy, a new continuous gas phase mass production technique. The morphology of Sn doped nanowires is found to be a strong function of dopant, tetraethyltin to trimethylgallium flow ratio, Au-Ga-Sn alloying, and nanowire growth temperatures. High temperature and high flow ratios result in low morphological quality nanowires and in parasitic growth on the wire base and surface. Alloying and growth temperatures of 400 and 530 degrees C, respectively, resulted in good morphologicalmore » quality nanowires for a flow ratio of TESn to TMGa up to 2.25 x 10 -3. The wires are pure Zinc-blende for all investigated growth conditions, whereas nanowires grown by MOVPE with the same growth conditions are usually mainly Wurtzite. The growth rate of the doped wires is found to be dependent more on the TESn flow fraction than on alloying and nanowire growth temperatures. Our photoluminescence measurements, supported by four-point probe resistivity measurements, reveal that the carrier concentration in the doped wires varies only slightly (1- 3) x 10 19 cm -3 with TESn flow fraction and both alloying and growth temperatures, indicating that good morphological quality wires with high carrier density can be grown with low TESn flow. Carrier concentrations lower than 1019 cm-3 can be grown by further reducing the flow fraction of TESn, which may give better morphology wires.« less

  5. Poole-Frenkel effect and phonon-assisted tunneling in GaAs nanowires.

    PubMed

    Katzenmeyer, Aaron M; Léonard, François; Talin, A Alec; Wong, Ping-Show; Huffaker, Diana L

    2010-12-08

    We present electronic transport measurements of GaAs nanowires grown by catalyst-free metal-organic chemical vapor deposition. Despite the nanowires being doped with a relatively high concentration of substitutional impurities, we find them inordinately resistive. By measuring sufficiently high aspect ratio nanowires individually in situ, we decouple the role of the contacts and show that this semi-insulating electrical behavior is the result of trap-mediated carrier transport. We observe Poole-Frenkel transport that crosses over to phonon-assisted tunneling at higher fields, with a tunneling time found to depend predominantly on fundamental physical constants as predicted by theory. By using in situ electron beam irradiation of individual nanowires, we probe the nanowire electronic transport when free carriers are made available, thus revealing the nature of the contacts.

  6. The effect of V/III ratio on the morphology and structure of GaAs nanowires by MOCVD

    NASA Astrophysics Data System (ADS)

    Liu, Yan; Peng, Yan; Guo, Jingwei; La, Dongsheng; Xu, Zhaopeng

    2018-05-01

    In this paper, GaAs nanowires with different V/III ratios (70, 140, 280 and 560) were vertically grown from bottom to top on GaAs substrates by using metal organic chemical vapor deposition based on gold assisted vapor-liquid-solid mechanism. It is found that the growth rate of nanowires is inversely proportional to their V/III ratio. And the V/III ratio can also change nanowire growth type. For the nanowire with small V/III ratios (≤280), the reactants are most from those atoms merged in the catalyst. But, for the nanowire with V/III ratio 560, the contribution mainly comes from the diffusions of atoms pyrolyzed on the surface of the nanowire and the substrate. A shrunken neck under the catalyst is observed in TEM characterizations. These results will provide a theoretical basis for potential practical applications of nanowire-based devices.

  7. Three-dimensional lattice rotation in GaAs nanowire growth on hydrogen-silsesquioxane covered GaAs (001) using molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Tran, Dat Q.; Pham, Huyen T.; Higashimine, Koichi; Oshima, Yoshifumi; Akabori, Masashi

    2018-05-01

    We report on crystallographic behaviors of inclined GaAs nanowires (NWs) self-crystallized on GaAs (001) substrate. The NWs were grown on hydrogen-silsesquioxane (HSQ) covered substrates using molecular beam epitaxy (MBE). Commonly, the epitaxial growth of GaAs < 111>B (B-polar) NWs is prominently observed on GaAs (001); however, we yielded a remarkable number of epitaxially grown GaAs < 111>A (A-polar) NWs in addition to the majorly obtained B-polar NWs. Such NW orientations are always accompanied by a typical inclined angle of 35° from (001) plane. NWs with another inclined angle of 74° were additionally observed and attributed to be < 111>-oriented, not in direct epitaxial relation with the substrate. Such 74° NWs' existence is related to first-order three-dimensional (3D) lattice rotation taking place at the very beginning of the growth. It turns out that spatially 60° lattice rotation around < 111> directions at GaAs seeds is essentially in charge of A- and B-polar 74° NWs. Transmission electron microscope observations reveal a high density of twinning in the B-polar NWs and twin-free characteristic in the A-polar NWs.

  8. Photoelectrochemical Water Oxidation by GaAs Nanowire Arrays Protected with Atomic Layer Deposited NiO x Electrocatalysts

    NASA Astrophysics Data System (ADS)

    Zeng, Joy; Xu, Xiaoqing; Parameshwaran, Vijay; Baker, Jon; Bent, Stacey; Wong, H.-S. Philip; Clemens, Bruce

    2018-02-01

    Photoelectrochemical (PEC) hydrogen production makes possible the direct conversion of solar energy into chemical fuel. In this work, PEC photoanodes consisting of GaAs nanowire (NW) arrays were fabricated, characterized, and then demonstrated for the oxygen evolution reaction (OER). Uniform and periodic GaAs nanowire arrays were grown on a heavily n-doped GaAs substrates by metal-organic chemical vapor deposition selective area growth. The nanowire arrays were characterized using cyclic voltammetry and impedance spectroscopy in a non-aqueous electrochemical system using ferrocene/ferrocenium (Fc/Fc+) as a redox couple, and a maximum oxidation photocurrent of 11.1 mA/cm2 was measured. GaAs NW arrays with a 36 nm layer of nickel oxide (NiO x ) synthesized by atomic layer deposition were then used as photoanodes to drive the OER. In addition to acting as an electrocatalyst, the NiO x layer served to protect the GaAs NWs from oxidative corrosion. Using this strategy, GaAs NW photoanodes were successfully used for the oxygen evolution reaction. This is the first demonstration of GaAs NW arrays for effective OER, and the fabrication and protection strategy developed in this work can be extended to study any other nanostructured semiconductor materials systems for electrochemical solar energy conversion.

  9. Morphology- and orientation-controlled gallium arsenide nanowires on silicon substrates.

    PubMed

    Ihn, Soo-Ghang; Song, Jong-In; Kim, Tae-Wook; Leem, Dong-Seok; Lee, Takhee; Lee, Sang-Geul; Koh, Eui Kwan; Song, Kyung

    2007-01-01

    GaAs nanowires were epitaxially grown on Si(001) and Si(111) substrates by using Au-catalyzed vapor-liquid-solid (VLS) growth in a solid source molecular beam epitaxy system. Scanning electron microscopy analysis revealed that almost all the GaAs nanowires were grown along <111> directions on both Si substrates for growth conditions investigated. The GaAs nanowires had a very uniform diameter along the growth direction. X-ray diffraction data and transmission electron microscopy analysis revealed that the GaAs<111> nanowires had a mixed crystal structure of the hexagonal wurtzite and the cubic zinc-blende. Current-voltage characteristics of junctions formed by the epitaxially grown GaAs nanowires and the Si substrate were investigated by using a current-sensing atomic force microscopy.

  10. Light-Emitting GaAs Nanowires on a Flexible Substrate.

    PubMed

    Valente, João; Godde, Tillmann; Zhang, Yunyan; Mowbray, David J; Liu, Huiyun

    2018-06-18

    Semiconductor nanowire-based devices are among the most promising structures used to meet the current challenges of electronics, optics and photonics. Due to their high surface-to-volume ratio and excellent optical and electrical properties, devices with low power, high efficiency and high density can be created. This is of major importance for environmental issues and economic impact. Semiconductor nanowires have been used to fabricate high performance devices, including detectors, solar cells and transistors. Here, we demonstrate a technique for transferring large-area nanowire arrays to flexible substrates while retaining their excellent quantum efficiency in emission. Starting with a defect-free self-catalyzed molecular beam epitaxy (MBE) sample grown on a Si substrate, GaAs core-shell nanowires are embedded in a dielectric, removed by reactive ion etching and transferred to a plastic substrate. The original structural and optical properties, including the vertical orientation, of the nanowires are retained in the final plastic substrate structure. Nanowire emission is observed for all stages of the fabrication process, with a higher emission intensity observed for the final transferred structure, consistent with a reduction in nonradiative recombination via the modification of surface states. This transfer process could form the first critical step in the development of flexible nanowire-based light-emitting devices.

  11. The effect of doping on low temperature growth of high quality GaAs nanowires on polycrystalline films

    PubMed Central

    DeJarld, Matt; Teran, Alan; Luengo-Kovac, Marta; Yan, Lifan; Moon, Eun Seong; Beck, Sara; Guillen, Cristina; Sih, Vanessa; Phillips, Jamie; Milunchick, Joanna Mirecki

    2016-01-01

    The increasing demand for miniature autonomous sensors requires low cost integration methods, but to date, material limitations have prevented the direct growth of optically active III-V materials on CMOS devices. We report on the deposition of GaAs nanowires on polycrystalline conductive films to allow for direct integration of optoelectronic devices on dissimilar materials. Undoped, Si-doped, and Be-doped nanowires were grown at Ts=400°C on oxide (indium tin oxide) and metallic (platinum and titanium) films. Be-doping is shown to significantly reduce the nanowire diameter and improve the nanowire aspect ratio to 50:1. Photoluminescence measurements of Be-doped nanowires are 1–2 orders of magnitude stronger than undoped and Si-doped nanowires and have a thermal activation energy of 14meV, which is comparable to nanowires grown on crystalline substrates. Electrical measurements confirm that the metal-semiconductor junction is Ohmic. These results demonstrate the feasibility of integrating nanowire-based optoelectronic devices directly on CMOS chips. PMID:27834310

  12. New method for MBE growth of GaAs nanowires on silicon using colloidal Au nanoparticles

    NASA Astrophysics Data System (ADS)

    Bouravleuv, A.; Ilkiv, I.; Reznik, R.; Kotlyar, K.; Soshnikov, I.; Cirlin, G.; Brunkov, P.; Kirilenko, D.; Bondarenko, L.; Nepomnyaschiy, A.; Gruznev, D.; Zotov, A.; Saranin, A.; Dhaka, V.; Lipsanen, H.

    2018-01-01

    We present a new method for the deposition of colloidal Au nanoparticles on the surface of silicon substrates based on short-time Ar plasma treatment without the use of any polymeric layers. The elaborated method is compatible with molecular beam epitaxy, which allowed us to carry out the detailed study of GaAs nanowire synthesis on Si(111) substrates using colloidal Au nanoparticles as seeds for their growth. The results obtained elucidated the causes of the difference between the initial nanoparticle sizes and the diameters of the grown nanowires.

  13. Photovoltaic Properties of p-Doped GaAs Nanowire Arrays Grown on n-Type GaAs(111)B Substrate

    PubMed Central

    2010-01-01

    We report on the molecular beam epitaxy growth of Au-assisted GaAs p-type-doped NW arrays on the n-type GaAs(111)B substrate and their photovoltaic properties. The samples are grown at different substrate temperature within the range from 520 to 580 °C. It is shown that the dependence of conversion efficiency on the substrate temperature has a maximum at the substrate temperature of 550 °C. For the best sample, the conversion efficiency of 1.65% and the fill factor of 25% are obtained. PMID:20672038

  14. Activation of an intense near band edge emission from ZnTe/ZnMgTe core/shell nanowires grown on silicon.

    PubMed

    Wojnar, P; Szymura, M; Zaleszczyk, W; Kłopotowski, L; Janik, E; Wiater, M; Baczewski, L T; Kret, S; Karczewski, G; Kossut, J; Wojtowicz, T

    2013-09-13

    The absence of luminescence in the near band edge energy region of Te-anion based semiconductor nanowires grown by gold catalyst assisted molecular beam epitaxy has strongly limited their applications in the field of photonics. In this paper, an enhancement of the near band edge emission intensity from ZnTe/ZnMgTe core/shell nanowires grown on Si substrates is reported. A special role of the use of Si substrates instead of GaAs substrates is emphasized, which results in an increase of the near band edge emission intensity by at least one order of magnitude accompanied by a simultaneous reduction of the defect related luminescence. A possible explanation of this effect relies on the presence of Ga-related deep level defects in structures grown on GaAs substrates, which are absent when Si substrates are used. Monochromatic mapping of the cathodoluminescence clearly confirms that the observed emission originates, indeed, from the ZnTe/ZnMgTe core/shell nanowires, whereas individual objects are studied by means of microphotoluminescence.

  15. Solar heating of GaAs nanowire solar cells.

    PubMed

    Wu, Shao-Hua; Povinelli, Michelle L

    2015-11-30

    We use a coupled thermal-optical approach to model the operating temperature rise in GaAs nanowire solar cells. We find that despite more highly concentrated light absorption and lower thermal conductivity, the overall temperature rise in a nanowire structure is no higher than in a planar structure. Moreover, coating the nanowires with a transparent polymer can increase the radiative cooling power by 2.2 times, lowering the operating temperature by nearly 7 K.

  16. Solar heating of GaAs nanowire solar cells

    DOE PAGES

    Wu, Shao-Hua; Povinelli, Michelle L.

    2015-09-25

    We use a coupled thermal-optical approach to model the operating temperature rise in GaAs nanowire solar cells. Our findings show that despite more highly concentrated light absorption and lower thermal conductivity, the overall temperature rise in a nanowire structure is no higher than in a planar structure. Moreover, coating the nanowires with a transparent polymer can increase the radiative cooling power by 2.2 times, lowering the operating temperature by nearly 7 K.

  17. GaAs nanowire array solar cells with axial p-i-n junctions.

    PubMed

    Yao, Maoqing; Huang, Ningfeng; Cong, Sen; Chi, Chun-Yung; Seyedi, M Ashkan; Lin, Yen-Ting; Cao, Yu; Povinelli, Michelle L; Dapkus, P Daniel; Zhou, Chongwu

    2014-06-11

    Because of unique structural, optical, and electrical properties, solar cells based on semiconductor nanowires are a rapidly evolving scientific enterprise. Various approaches employing III-V nanowires have emerged, among which GaAs, especially, is under intense research and development. Most reported GaAs nanowire solar cells form p-n junctions in the radial direction; however, nanowires using axial junction may enable the attainment of high open circuit voltage (Voc) and integration into multijunction solar cells. Here, we report GaAs nanowire solar cells with axial p-i-n junctions that achieve 7.58% efficiency. Simulations show that axial junctions are more tolerant to doping variation than radial junctions and lead to higher Voc under certain conditions. We further study the effect of wire diameter and junction depth using electrical characterization and cathodoluminescence. The results show that large diameter and shallow junctions are essential for a high extraction efficiency. Our approach opens up great opportunity for future low-cost, high-efficiency photovoltaics.

  18. Quantum-confinement effects on conduction band structure of rectangular cross-sectional GaAs nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tanaka, H., E-mail: tanaka@semicon.kuee.kyoto-u.ac.jp; Morioka, N.; Mori, S.

    2014-02-07

    The conduction band structure and electron effective mass of GaAs nanowires with various cross-sectional shapes and orientations were calculated by two methods, a tight-binding method and an effective mass equation taking the bulk full-band structure into account. The effective mass of nanowires increases as the cross-sectional size decreases, and this increase in effective mass depends on the orientations and substrate faces of nanowires. Among [001], [110], and [111]-oriented rectangular cross-sectional GaAs nanowires, [110]-oriented nanowires with wider width along the [001] direction showed the lightest effective mass. This dependence originates from the anisotropy of the Γ valley of bulk GaAs. Themore » relationship between effective mass and bulk band structure is discussed.« less

  19. Self-catalyzed GaAs nanowires on silicon by hydride vapor phase epitaxy.

    PubMed

    Dong, Zhenning; André, Yamina; Dubrovskii, Vladimir G; Bougerol, Catherine; Leroux, Christine; Ramdani, Mohammed R; Monier, Guillaume; Trassoudaine, Agnès; Castelluci, Dominique; Gil, Evelyne

    2017-03-24

    Gold-free GaAs nanowires on silicon substrates can pave the way for monolithic integration of photonic nanodevices with silicon electronic platforms. It is extensively documented that the self-catalyzed approach works well in molecular beam epitaxy but is much more difficult to implement in vapor phase epitaxies. Here, we report the first gallium-catalyzed hydride vapor phase epitaxy growth of long (more than 10 μm) GaAs nanowires on Si(111) substrates with a high integrated growth rate up to 60 μm h -1 and pure zincblende crystal structure. The growth is achieved by combining a low temperature of 600 °C with high gaseous GaCl/As flow ratios to enable dechlorination and formation of gallium droplets. GaAs nanowires exhibit an interesting bottle-like shape with strongly tapered bases, followed by straight tops with radii as small as 5 nm. We present a model that explains the peculiar growth mechanism in which the gallium droplets nucleate and rapidly swell on the silicon surface but then are gradually consumed to reach a stationary size. Our results unravel the necessary conditions for obtaining gallium-catalyzed GaAs nanowires by vapor phase epitaxy techniques.

  20. Understanding the true shape of Au-catalyzed GaAs nanowires.

    PubMed

    Jiang, Nian; Wong-Leung, Jennifer; Joyce, Hannah J; Gao, Qiang; Tan, Hark Hoe; Jagadish, Chennupati

    2014-10-08

    With increasing interest in nanowire-based devices, a thorough understanding of the nanowire shape is required to gain tight control of the quality of nanowire heterostructures and improve the performance of related devices. We present a systematic study of the sidewalls of Au-catalyzed GaAs nanowires by investigating the faceting process from the beginning with vapor-liquid-solid (VLS) nucleation, followed by the simultaneous radial growth on the sidewalls, and to the end with sidewall transformation during annealing. The VLS nucleation interface of our GaAs nanowires is revealed by examining cross sections of the nanowire, where the nanowire exhibits a Reuleaux triangular shape with three curved surfaces along {112}A. These curved surfaces are not thermodynamically stable and adopt {112}A facets during radial growth. We observe clear differences in radial growth rate between the ⟨112⟩A and ⟨112⟩B directions with {112}B facets forming due to the slower radial growth rate along ⟨112⟩B directions. These sidewalls transform to {110} facets after high temperature (>500 °C) annealing. A nucleation model is proposed to explain the origin of the Reuleaux triangular shape of the nanowires, and the sidewall evolution is explained by surface kinetic and thermodynamic limitations.

  1. Room Temperature Sensing Achieved by GaAs Nanowires and oCVD Polymer Coating.

    PubMed

    Wang, Xiaoxue; Ermez, Sema; Goktas, Hilal; Gradečak, Silvija; Gleason, Karen

    2017-06-01

    Novel structures comprised of GaAs nanowire arrays conformally coated with conducting polymers (poly(3,4-ethylenedioxythiophene) (PEDOT) or poly(3,4-ethylenedioxythiophene-co-3-thiophene acetic acid) display both sensitivity and selectivity to a variety of volatile organic chemicals. A key feature is room temperature operation, so that neither a heater nor the power it would consume, is required. It is a distinct difference from traditional metal oxide sensors, which typically require elevated operational temperature. The GaAs nanowires are prepared directly via self-seeded metal-organic chemical deposition, and conducting polymers are deposited on GaAs nanowires using oxidative chemical vapor deposition (oCVD). The range of thickness for the oCVD layer is between 100 and 200 nm, which is controlled by changing the deposition time. X-ray diffraction analysis indicates an edge-on alignment of the crystalline structure of the PEDOT coating layer on GaAs nanowires. In addition, the positive correlation between the improvement of sensitivity and the increasing nanowire density is demonstrated. Furthermore, the effect of different oCVD coating materials is studied. The sensing mechanism is also discussed with studies considering both nanowire density and polymer types. Overall, the novel structure exhibits good sensitivity and selectivity in gas sensing, and provides a promising platform for future sensor design. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Optical properties and carrier dynamics of GaAs/GaInAs multiple-quantum-well shell grown on GaAs nanowire by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Park, Kwangwook; Ravindran, Sooraj; Ju, Gun Wu

    GaAs/GaInAs multiple-quantum-well (MQW) shells having different GaInAs shell width formed on the surface of self-catalyzed GaAs core nanowires (NWs) are grown on (100) Si substrate using molecular beam epitaxy. The photoluminescence emission from GaAs/GaInAs MQW shells and the carrier lifetime could be varied by changing the width of GaInAs shell. Time-resolved photoluminescence measurements showed that the carrier lifetime had a fast and slow decay owing to the mixing of wurtzite and zinc-blende structures of the NWs. Furthermore, strain relaxation caused the carrier lifetime to decrease beyond a certain thickness of GaInAs quantum well shells.

  3. Phase diagrams for understanding gold-seeded growth of GaAs and InAs nanowires

    NASA Astrophysics Data System (ADS)

    Ghasemi, Masoomeh; Johansson, Jonas

    2017-04-01

    Phase diagrams are useful tools to study the phase equilibria of nanowire materials systems because the growth of nanowires is accompanied by phase formation and phase transition. We have modeled the phase equilibria of the As-Au-Ga ternary system by means of the CALPHAD method. This method is a well-established semi-empirical technique for thermodynamic modeling in which Gibbs energy functions with free parameters are defined for all phases in a system followed by adjusting these parameters to the experimental data. Using the resulting As-Au-Ga thermodynamic database, four vertical cuts of this ternary system are calculated and all show good agreement with experiments. This ternary system is particularly useful for predicting the state of the Au seed alloys when growing GaAs nanowires and we discuss such predictions. Similar calculations are performed for Au-seeded InAs nanowires. We show that the vapor-liquid-solid (VLS) growth fails for InAs nanowires, while GaAs nanowires can grow from a liquid particle. Our calculations are in agreement with experimental data on the growth of Au-seeded GaAs and InAs nanowires.

  4. Long exciton lifetimes in stacking-fault-free wurtzite GaAs nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Furthmeier, Stephan, E-mail: stephan.furthmeier@ur.de; Dirnberger, Florian; Hubmann, Joachim

    We present a combined photoluminescence and transmission electron microscopy study of single GaAs nanowires. Each wire was characterized both in microscopy and spectroscopy, allowing a direct correlation of the optical and the structural properties. By tuning the growth parameters, the nanowire crystal structure is optimized from a highly mixed zincblende–wurtzite structure to pure wurtzite. We find the latter one to be stacking-fault-free over nanowire lengths up to 4.1 μm. We observe the emission of purely wurtzite nanowires to occur only with polarization directions perpendicular to the wurtzite c{sup ^}-axis, as expected from the hexagonal unit cell symmetry. The free exciton recombinationmore » energy in the wurtzite structure is 1.518 eV at 5 K with a narrow linewidth of 4 meV. Most notably, these pure wurtzite nanowires display long carrier recombination lifetimes of up to 11.2 ns, exceeding reported lifetimes in bulk GaAs and state-of-the-art 2D GaAs/AlGaAs heterostructures.« less

  5. Vertical III-V nanowire device integration on Si(100).

    PubMed

    Borg, Mattias; Schmid, Heinz; Moselund, Kirsten E; Signorello, Giorgio; Gignac, Lynne; Bruley, John; Breslin, Chris; Das Kanungo, Pratyush; Werner, Peter; Riel, Heike

    2014-01-01

    We report complementary metal-oxide-semiconductor (CMOS)-compatible integration of compound semiconductors on Si substrates. InAs and GaAs nanowires are selectively grown in vertical SiO2 nanotube templates fabricated on Si substrates of varying crystallographic orientations, including nanocrystalline Si. The nanowires investigated are epitaxially grown, single-crystalline, free from threading dislocations, and with an orientation and dimension directly given by the shape of the template. GaAs nanowires exhibit stable photoluminescence at room temperature, with a higher measured intensity when still surrounded by the template. Si-InAs heterojunction nanowire tunnel diodes were fabricated on Si(100) and are electrically characterized. The results indicate a high uniformity and scalability in the fabrication process.

  6. The electronic and optical properties of Cs adsorbed GaAs nanowires via first-principles study

    NASA Astrophysics Data System (ADS)

    Diao, Yu; Liu, Lei; Xia, Sihao; Feng, Shu; Lu, Feifei

    2018-07-01

    In this study, we investigate the Cs adsorption mechanism on (110) surface of zinc-blende GaAs nanowire. The adsorption energy, work function, dipole moment, geometric structure, Mulliken charge distribution, charge transfer index, band structures, density of state and optical properties of Cs adsorption structures are calculated utilizing first-principles method based on density function theory. Total-energy calculations show that all the adsorption energies are negative, indicating that Cs adsorption process is exothermic and Cs covered GaAs nanowires are stable. The work function of nanowire surface has an obvious decrease after Cs adsorption. Besides, the ionization of nanowire surface is enhanced as well. More importantly, Cs adsorption contributes to a lower side shift of bands near Fermi level, and the corresponding band gap disappears. Additionally, the absorption peak and energy loss function after Cs adsorption are far higher than those before adsorption, implying better light absorption characteristic of nanowire surface after Cs adsorption. These theoretical calculations can directly guide the Cs activation experiment for negative electron affinity GaAs nanowire, and also lay a foundation for the further study of Cs/O co-adsorption on the nanowire surface.

  7. Nitride surface passivation of GaAs nanowires: impact on surface state density.

    PubMed

    Alekseev, Prokhor A; Dunaevskiy, Mikhail S; Ulin, Vladimir P; Lvova, Tatiana V; Filatov, Dmitriy O; Nezhdanov, Alexey V; Mashin, Aleksander I; Berkovits, Vladimir L

    2015-01-14

    Surface nitridation by hydrazine-sulfide solution, which is known to produce surface passivation of GaAs crystals, was applied to GaAs nanowires (NWs). We studied the effect of nitridation on conductivity and microphotoluminescence (μ-PL) of individual GaAs NWs using conductive atomic force microscopy (CAFM) and confocal luminescent microscopy (CLM), respectively. Nitridation is found to produce an essential increase in the NW conductivity and the μ-PL intensity as well evidence of surface passivation. Estimations show that the nitride passivation reduces the surface state density by a factor of 6, which is of the same order as that found for GaAs/AlGaAs nanowires. The effects of the nitride passivation are also stable under atmospheric ambient conditions for six months.

  8. A graphene/single GaAs nanowire Schottky junction photovoltaic device.

    PubMed

    Luo, Yanbin; Yan, Xin; Zhang, Jinnan; Li, Bang; Wu, Yao; Lu, Qichao; Jin, Chenxiaoshuai; Zhang, Xia; Ren, Xiaomin

    2018-05-17

    A graphene/nanowire Schottky junction is a promising structure for low-cost high-performance optoelectronic devices. Here we demonstrate a graphene/single GaAs nanowire Schottky junction photovoltaic device. The Schottky junction is fabricated by covering a single layer graphene onto an n-doped GaAs nanowire. Under 532 nm laser excitation, the device exhibits a high responsivity of 231 mA W-1 and a short response/recover time of 85/118 μs at zero bias. Under AM 1.5 G solar illumination, the device has an open-circuit voltage of 75.0 mV and a short-circuit current density of 425 mA cm-2, yielding a remarkable conversion efficiency of 8.8%. The excellent photovoltaic performance of the device is attributed to the strong built-in electric field in the Schottky junction as well as the transparent property of graphene. The device is promising for self-powered high-speed photodetectors and low-cost high-efficiency solar cells.

  9. The influence of surfaces on the transient terahertz conductivity and electron mobility of GaAs nanowires

    NASA Astrophysics Data System (ADS)

    Joyce, Hannah J.; Baig, Sarwat A.; Parkinson, Patrick; Davies, Christopher L.; Boland, Jessica L.; Tan, H. Hoe; Jagadish, Chennupati; Herz, Laura M.; Johnston, Michael B.

    2017-06-01

    Bare unpassivated GaAs nanowires feature relatively high electron mobilities (400-2100 cm2 V-1 s-1) and ultrashort charge carrier lifetimes (1-5 ps) at room temperature. These two properties are highly desirable for high speed optoelectronic devices, including photoreceivers, modulators and switches operating at microwave and terahertz frequencies. When engineering these GaAs nanowire-based devices, it is important to have a quantitative understanding of how the charge carrier mobility and lifetime can be tuned. Here we use optical-pump-terahertz-probe spectroscopy to quantify how mobility and lifetime depend on the nanowire surfaces and on carrier density in unpassivated GaAs nanowires. We also present two alternative frameworks for the analysis of nanowire photoconductivity: one based on plasmon resonance and the other based on Maxwell-Garnett effective medium theory with the nanowires modelled as prolate ellipsoids. We find the electron mobility decreases significantly with decreasing nanowire diameter, as charge carriers experience increased scattering at nanowire surfaces. Reducing the diameter from 50 nm to 30 nm degrades the electron mobility by up to 47%. Photoconductivity dynamics were dominated by trapping at saturable states existing at the nanowire surface, and the trapping rate was highest for the nanowires of narrowest diameter. The maximum surface recombination velocity, which occurs in the limit of all traps being empty, was calculated as 1.3  ×  106 cm s-1. We note that when selecting the optimum nanowire diameter for an ultrafast device, there is a trade-off between achieving a short lifetime and a high carrier mobility. To achieve high speed GaAs nanowire devices featuring the highest charge carrier mobilities and shortest lifetimes, we recommend operating the devices at low charge carrier densities.

  10. Optimization of GaAs Nanowire Pin Junction Array Solar Cells by Using AlGaAs/GaAs Heterojunctions

    NASA Astrophysics Data System (ADS)

    Wu, Yao; Yan, Xin; Wei, Wei; Zhang, Jinnan; Zhang, Xia; Ren, Xiaomin

    2018-04-01

    We optimized the performance of GaAs nanowire pin junction array solar cells by introducing AlGaAs/GaAs heterejunctions. AlGaAs is used for the p type top segment for axial junctions and the p type outer shell for radial junctions. The AlGaAs not only serves as passivation layers for GaAs nanowires but also confines the optical generation in the active regions, reducing the recombination loss in heavily doped regions and the minority carrier recombination at the top contact. The results show that the conversion efficiency of GaAs nanowires can be greatly enhanced by using AlGaAs for the p segment instead of GaAs. A maximum efficiency enhancement of 8.42% has been achieved in this study. And for axial nanowire, by using AlGaAs for the top p segment, a relatively long top segment can be employed without degenerating device performance, which could facilitate the fabrication and contacting of nanowire array solar cells. While for radial nanowires, AlGaAs/GaAs nanowires show better tolerance to p-shell thickness and surface condition.

  11. Optimization of GaAs Nanowire Pin Junction Array Solar Cells by Using AlGaAs/GaAs Heterojunctions.

    PubMed

    Wu, Yao; Yan, Xin; Wei, Wei; Zhang, Jinnan; Zhang, Xia; Ren, Xiaomin

    2018-04-25

    We optimized the performance of GaAs nanowire pin junction array solar cells by introducing AlGaAs/GaAs heterejunctions. AlGaAs is used for the p type top segment for axial junctions and the p type outer shell for radial junctions. The AlGaAs not only serves as passivation layers for GaAs nanowires but also confines the optical generation in the active regions, reducing the recombination loss in heavily doped regions and the minority carrier recombination at the top contact. The results show that the conversion efficiency of GaAs nanowires can be greatly enhanced by using AlGaAs for the p segment instead of GaAs. A maximum efficiency enhancement of 8.42% has been achieved in this study. And for axial nanowire, by using AlGaAs for the top p segment, a relatively long top segment can be employed without degenerating device performance, which could facilitate the fabrication and contacting of nanowire array solar cells. While for radial nanowires, AlGaAs/GaAs nanowires show better tolerance to p-shell thickness and surface condition.

  12. MBE growth of GaAs and InAs nanowires using colloidal Ag nanoparticles

    NASA Astrophysics Data System (ADS)

    Ilkiv, I. V.; Reznik, R. R.; Kotlyar, K. P.; Bouravleuv, A. D.; Cirlin, G. E.

    2017-11-01

    Ag colloidal nanoparticles were used as a catalyst for molecular beam epitaxy of GaAs and InAs nanowires on the Si(111) substrates. The scanning electron microscopy measurements revealed that nanowires obtained are uniform and have small size distribution.

  13. Stages in molecular beam epitaxy growth of GaAs nanowires studied by x-ray diffraction.

    PubMed

    Mariager, Simon O; Lauridsen, Søren L; Sørensen, Claus B; Dohn, Asmus; Willmott, Phillip R; Nygård, Jesper; Feidenhans'l, Robert

    2010-03-19

    GaAs nanowires were grown by molecular beam epitaxy and studied by glancing-angle x-ray diffraction during five different stages of the growth process. An entire forest of randomly positioned epitaxial nanowires was sampled simultaneously and a large variation in the Au-Ga catalyst was found. Au, AuGa, AuGa(2) and the hexagonal beta phase were all identified in several orientations and in similar amounts. The nanowires are shown to consist of regular zinc blende crystal, its twin and the hexagonal wurtzite. The evolution of the various Au-Ga catalysts and the development in the twin to the wurtzite abundance ratio indicate that the Au catalyst is saturated upon initiation of growth leading to an increased amount of wurtzite structure in the wires. A specular x-ray scan identifies the various Au-Ga alloys, three Au lattice constants and a rough interface between nanowires and catalyst. Reciprocal space maps were obtained around Au Bragg points and show the development of the Au catalyst from a distribution largely oriented with respect to the lattice to a non-uniform distribution with several well-defined lattice constants.

  14. Time-resolved photoluminescence characterization of GaAs nanowire arrays on native substrate

    NASA Astrophysics Data System (ADS)

    Dagytė, Vilgailė; Barrigón, Enrique; Zhang, Wei; Zeng, Xulu; Heurlin, Magnus; Otnes, Gaute; Anttu, Nicklas; Borgström, Magnus T.

    2017-12-01

    Time-resolved photoluminescence (TRPL) measurements of nanowires (NWs) are often carried out on broken-off NWs in order to avoid the ensemble effects as well as substrate contribution. However, the development of NW-array solar cells could benefit from non-destructive optical characterization to allow faster feedback and further device processing. With this work, we show that different NW array and substrate spectral behaviors with delay time and excitation power can be used to determine which part of the sample dominates the detected spectrum. Here, we evaluate TRPL characterization of dense periodic as-grown GaAs NW arrays on a p-type GaAs substrate, including a sample with uncapped GaAs NWs and several samples passivated with AlGaAs radial shell of varied composition and thickness. We observe a strong spectral overlap of substrate and NW signals and find that the NWs can absorb part of the substrate luminescence signal, thus resulting in a modified substrate signal. The level of absorption depends on the NW-array geometry, making a deconvolution of the NW signal very difficult. By studying TRPL of substrate-only and as-grown NWs at 770 and 400 nm excitation wavelengths, we find a difference in spectral behavior with delay time and excitation power that can be used to assess whether the signal is dominated by the NWs. We find that the NW signal dominates with 400 nm excitation wavelength, where we observe two different types of excitation power dependence for the NWs capped with high and low Al composition shells. Finally, from the excitation power dependence of the peak TRPL signal, we extract an estimate of background carrier concentration in the NWs.

  15. InAs nanowires grown by metal-organic vapor-phase epitaxy (MOVPE) employing PS/PMMA diblock copolymer nanopatterning.

    PubMed

    Huang, Yinggang; Kim, Tae Wan; Xiong, Shisheng; Mawst, Luke J; Kuech, Thomas F; Nealey, Paul F; Dai, Yushuai; Wang, Zihao; Guo, Wei; Forbes, David; Hubbard, Seth M; Nesnidal, Michael

    2013-01-01

    Dense arrays of indium arsenide (InAs) nanowire materials have been grown by selective-area metal-organic vapor-phase epitaxy (SA-MOVPE) using polystyrene-b-poly(methyl methacrylate) (PS/PMMA) diblock copolymer (DBC) nanopatterning technique, which is a catalyst-free approach. Nanoscale openings were defined in a thin (~10 nm) SiNx layer deposited on a (111)B-oriented GaAs substrate using the DBC process and CF4 reactive ion etching (RIE), which served as a hard mask for the nanowire growth. InAs nanowires with diameters down to ~ 20 nm and micrometer-scale lengths were achieved with a density of ~ 5 × 10(10) cm(2). The nanowire structures were characterized by scanning electron microscopy and transmission electron microscopy, which indicate twin defects in a primary zincblende crystal structure and the absence of threading dislocation within the imaged regions.

  16. Direct determination of minority carrier diffusion lengths at axial GaAs nanowire p-n junctions.

    PubMed

    Gutsche, Christoph; Niepelt, Raphael; Gnauck, Martin; Lysov, Andrey; Prost, Werner; Ronning, Carsten; Tegude, Franz-Josef

    2012-03-14

    Axial GaAs nanowire p-n diodes, possibly one of the core elements of future nanowire solar cells and light emitters, were grown via the Au-assisted vapor-liquid-solid mode, contacted by electron beam lithography, and investigated using electron beam induced current measurements. The minority carrier diffusion lengths and dynamics of both, electrons and holes, were determined directly at the vicinity of the p-n junction. The generated photocurrent shows an exponential decay on both sides of the junction and the extracted diffusion lengths are about 1 order of magnitude lower compared to bulk material due to surface recombination. Moreover, the observed strong diameter-dependence is well in line with the surface-to-volume ratio of semiconductor nanowires. Estimating the surface recombination velocities clearly indicates a nonabrupt p-n junction, which is in essential agreement with the model of delayed dopant incorporation in the Au-assisted vapor-liquid-solid mechanism. Surface passivation using ammonium sulfide effectively reduces the surface recombination and thus leads to higher minority carrier diffusion lengths. © 2012 American Chemical Society

  17. Growth and characteristics of p-type doped GaAs nanowire

    NASA Astrophysics Data System (ADS)

    Li, Bang; Yan, Xin; Zhang, Xia; Ren, Xiaomin

    2018-05-01

    The growth of p-type GaAs nanowires (NWs) on GaAs (111) B substrates by metal-organic chemical vapor deposition (MOCVD) has been systematically investigated as a function of diethyl zinc (DEZn) flow. The growth rate of GaAs NWs was slightly improved by Zn-doping and kink is observed under high DEZn flow. In addition, the I–V curves of GaAs NWs has been measured and the p-type dope concentration under the II/III ratio of 0.013 and 0.038 approximated to 1019–1020 cm‑3. Project supported by the National Natural Science Foundation of China (Nos. 61376019, 61504010, 61774021) and the Fund of State Key Laboratory of Information Photonics and Optical Communications (Beijing University of Posts and Telecommunications), China (Nos. IPOC2017ZT02, IPOC2017ZZ01).

  18. Time-resolved photoinduced thermoelectric and transport currents in GaAs nanowires.

    PubMed

    Prechtel, Leonhard; Padilla, Milan; Erhard, Nadine; Karl, Helmut; Abstreiter, Gerhard; Fontcuberta I Morral, Anna; Holleitner, Alexander W

    2012-05-09

    In order to clarify the temporal interplay of the different photocurrent mechanisms occurring in single GaAs nanowire based circuits, we introduce an on-chip photocurrent pump-probe spectroscopy with a picosecond time resolution. We identify photoinduced thermoelectric, displacement, and carrier lifetime limited currents as well as the transport of photogenerated holes to the electrodes. Moreover, we show that the time-resolved photocurrent spectroscopy can be used to investigate the drift velocity of photogenerated carriers in semiconducting nanowires. Hereby, our results are relevant for nanowire-based optoelectronic and photovoltaic applications.

  19. I-V curve hysteresis induced by gate-free charging of GaAs nanowires' surface oxide

    NASA Astrophysics Data System (ADS)

    Alekseev, P. A.; Geydt, P.; Dunaevskiy, M. S.; Lähderanta, E.; Haggrén, T.; Kakko, J.-P.; Lipsanen, H.

    2017-09-01

    The control of nanowire-based device performance requires knowledge about the transport of charge carriers and its limiting factors. We present the experimental and modeled results of a study of electrical properties of GaAs nanowires (NWs), considering their native oxide cover. Measurements of individual vertical NWs were performed by conductive atomic force microscopy (C-AFM). Experimental C-AFM observations with numerical simulations revealed the complex resistive behavior of NWs. A hysteresis of current-voltage characteristics of the p-doped NWs as-grown on substrates with different types of doping was registered. The emergence of hysteresis was explained by the trapping of majority carriers in the surface oxide layer near the reverse-biased barriers under the source-drain current. It was found that the accumulation of charge increases the current for highly doped p+-NWs on n+-substrates, while for moderately doped p-NWs on p+-substrates, charge accumulation decreases the current due to blocking of the conductive channel of NWs.

  20. Facile Five-Step Heteroepitaxial Growth of GaAs Nanowires on Silicon Substrates and the Twin Formation Mechanism.

    PubMed

    Yao, Maoqing; Sheng, Chunyang; Ge, Mingyuan; Chi, Chun-Yung; Cong, Sen; Nakano, Aiichiro; Dapkus, P Daniel; Zhou, Chongwu

    2016-02-23

    Monolithic integration of III-V semiconductors with Si has been pursued for some time in the semiconductor industry. However, the mismatch of lattice constants and thermal expansion coefficients represents a large technological challenge for the heteroepitaxial growth. Nanowires, due to their small lateral dimension, can relieve strain and mitigate dislocation formation to allow single-crystal III-V materials to be grown on Si. Here, we report a facile five-step heteroepitaxial growth of GaAs nanowires on Si using selective area growth (SAG) in metalorganic chemical vapor deposition, and we further report an in-depth study on the twin formation mechanism. Rotational twin defects were observed in the nanowire structures and showed strong dependence on the growth condition and nanowire size. We adopt a model of faceted growth to demonstrate the formation of twins during growth, which is well supported by both a transmission electron microscopy study and simulation based on nucleation energetics. Our study has led to twin-free segments in the length up to 80 nm, a significant improvement compared to previous work using SAG. The achievements may open up opportunities for future functional III-V-on-Si heterostructure devices.

  1. Hydride VPE: the unexpected process for the fast growth of GaAs and GaN nanowires with record aspect ratio and polytypism-free crystalline structure

    NASA Astrophysics Data System (ADS)

    André, Yamina; Trassoudaine, Agnès.; Avit, Geoffrey; Lekhal, Kaddour; Ramdani, Mohammed R.; Leroux, Christine; Monier, Guillaume; Varenne, Christelle; Hoggan, Philip; Castelluci, Dominique; Bougerol, Catherine; Réveret, François; Leymarie, Joël.; Petit, Elodie; Dubrovskii, Vladimir G.; Gil, Evelyne

    2013-12-01

    Hydride Vapor Phase Epitaxy (HVPE) makes use of chloride III-Cl and hydride V-H3 gaseous growth precursors. It is known as a near-equilibrium process, providing the widest range of growth rates from 1 to more than 100 μm/h. When it comes to metal catalyst-assisted VLS (vapor-liquid-solid) growth, the physics of HVPE growth is maintained: high dechlorination frequency, high axial growth rate of nanowires (NWs) up to 170 μm/h. The remarkable features of NWs grown by HVPE are the untapered morphology with constant diameter and the stacking fault-free crystalline phase. Record pure zinc blende cubic phase for 20 μm long GaAs NWs with radii of 10 and 5 nm is shown. The absence of wurtzite phase in GaAs NWs grown by HVPE whatever the diameter is discussed with respect to surface energetic grounds and kinetics. Ni assisted, Ni-Au assisted and catalyst-free HVPE growth of wurtzite GaN NWs is also addressed. Micro-photoluminescence spectroscopy analysis revealed GaN nanowires of great optical quality, with a FWHM of 1 meV at 10 K for the neutral donor bound exciton transition.

  2. GaAs buffer layer technique for vertical nanowire growth on Si substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xu, Xiaoqing, E-mail: steelxu@stanford.edu; Parizi, Kokab B.; Huo, Yijie

    2014-02-24

    Gold catalyzed vapor-liquid-solid method is widely applied to III–V nanowire (NW) growth on Si substrate. However, the easy oxidation of Si, possible Si contamination in the NWs, high defect density in the NWs, and high sensitivity of the NW morphology to growth conditions largely limit its controllability. In this work, we developed a buffer layer technique by introducing a GaAs thin film with predefined polarity as a template. It is found that samples grown on these buffer layers all have high vertical NW yields in general, due to the single-orientation of the buffer layers. Low temperature buffer with smoother surfacemore » leads to highest yield of vertical NWs, while high temperature (HT) buffer with better crystallinity results in perfect NW quality. The defect-free property we observed here is very promising for optoelectronic device applications based on GaAs NW. Moreover, the buffer layers can eliminate Si contamination by preventing Si-Au alloy formation and by increasing the thickness of the Si diffusion barrier, thus providing more flexibility to vertical NW growth. The buffer layer technique we demonstrated here could be easily extended to other III-V on Si system for electronic and photonic applications.« less

  3. Solid-phase diffusion mechanism for GaAs nanowire growth.

    PubMed

    Persson, Ann I; Larsson, Magnus W; Stenström, Stig; Ohlsson, B Jonas; Samuelson, Lars; Wallenberg, L Reine

    2004-10-01

    Controllable production of nanometre-sized structures is an important field of research, and synthesis of one-dimensional objects, such as nanowires, is a rapidly expanding area with numerous applications, for example, in electronics, photonics, biology and medicine. Nanoscale electronic devices created inside nanowires, such as p-n junctions, were reported ten years ago. More recently, hetero-structure devices with clear quantum-mechanical behaviour have been reported, for example the double-barrier resonant tunnelling diode and the single-electron transistor. The generally accepted theory of semiconductor nanowire growth is the vapour-liquid-solid (VLS) growth mechanism, based on growth from a liquid metal seed particle. In this letter we suggest the existence of a growth regime quite different from VLS. We show that this new growth regime is based on a solid-phase diffusion mechanism of a single component through a gold seed particle, as shown by in situ heating experiments of GaAs nanowires in a transmission electron microscope, and supported by highly resolved chemical analysis and finite element calculations of the mass transport and composition profiles.

  4. Characterization of individual stacking faults in a wurtzite GaAs nanowire by nanobeam X-ray diffraction.

    PubMed

    Davtyan, Arman; Lehmann, Sebastian; Kriegner, Dominik; Zamani, Reza R; Dick, Kimberly A; Bahrami, Danial; Al-Hassan, Ali; Leake, Steven J; Pietsch, Ullrich; Holý, Václav

    2017-09-01

    Coherent X-ray diffraction was used to measure the type, quantity and the relative distances between stacking faults along the growth direction of two individual wurtzite GaAs nanowires grown by metalorganic vapour epitaxy. The presented approach is based on the general property of the Patterson function, which is the autocorrelation of the electron density as well as the Fourier transformation of the diffracted intensity distribution of an object. Partial Patterson functions were extracted from the diffracted intensity measured along the [000\\bar{1}] direction in the vicinity of the wurtzite 00\\bar{1}\\bar{5} Bragg peak. The maxima of the Patterson function encode both the distances between the fault planes and the type of the fault planes with the sensitivity of a single atomic bilayer. The positions of the fault planes are deduced from the positions and shapes of the maxima of the Patterson function and they are in excellent agreement with the positions found with transmission electron microscopy of the same nanowire.

  5. Characterization of individual stacking faults in a wurtzite GaAs nanowire by nanobeam X-ray diffraction

    PubMed Central

    Davtyan, Arman; Lehmann, Sebastian; Zamani, Reza R.; Dick, Kimberly A.; Bahrami, Danial; Al-Hassan, Ali; Leake, Steven J.; Pietsch, Ullrich; Holý, Václav

    2017-01-01

    Coherent X-ray diffraction was used to measure the type, quantity and the relative distances between stacking faults along the growth direction of two individual wurtzite GaAs nanowires grown by metalorganic vapour epitaxy. The presented approach is based on the general property of the Patterson function, which is the autocorrelation of the electron density as well as the Fourier transformation of the diffracted intensity distribution of an object. Partial Patterson functions were extracted from the diffracted intensity measured along the direction in the vicinity of the wurtzite Bragg peak. The maxima of the Patterson function encode both the distances between the fault planes and the type of the fault planes with the sensitivity of a single atomic bilayer. The positions of the fault planes are deduced from the positions and shapes of the maxima of the Patterson function and they are in excellent agreement with the positions found with transmission electron microscopy of the same nanowire. PMID:28862620

  6. ZnxCd1-xSe alloy nanowires covering the entire compositional range grown by metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Shan, C. X.; Liu, Z.; Ng, C. M.; Hark, S. K.

    2005-07-01

    We show that preferentially oriented, single-crystalline ZnxCd1-xSe alloy nanowires can be grown on GaAs (100) surface using Au as a catalyst over the entire compositional range in a metalorganic chemical vapor deposition system. The composition of the alloy nanowires can be simply adjusted through the ratio of the flow rates of group-II precursors. Electron microscopy shows that the nanowires are smooth and uniform in shape; their diameters range from 20 to 80 nm and lengths exceed a few micrometers. Nanowires containing more than 13% Zn are zinc blende structured and grow along the ⟨110⟩ direction. Those containing less Zn are wurtzite structured and grow along the ⟨210⟩ direction. Compared with the bulk alloy, the change from zinc blende to wurtzite structure in nanowires occurs at far smaller x. The preferred orientation and the persistence of the zinc blende structure both reflect the influence of the substrate on the growth of the nanowires. Photoluminescence measurements identify a strong near-band-edge emission for all samples and show that its peak energy tracks the band gap of ZnxCd1-xSe epilayer for x>0.13. The growth of alloy nanowires at many compositions opens up the possibility of realizing quasi-one-dimensional heterojunctions.

  7. Nanoheteroepitaxy of gallium arsenide on strain-compliant silicon-germanium nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chin, Hock-Chun; Gong, Xiao; Yeo, Yee-Chia

    Heterogeneous integration of high-quality GaAs on Si-based substrates using a selective migration-enhanced epitaxy (MEE) of GaAs on strain-compliant SiGe nanowires was demonstrated for the first time. The physics of compliance in nanoscale heterostructures was captured and studied using finite-element simulation. It is shown that nanostructures can provide additional substrate compliance for strain relief and therefore contribute to the formation of defect-free GaAs on SiGe. Extensive characterization using scanning electron microscopy and cross-sectional transmission electron microscopy was performed to illustrate the successful growth of GaAs on SiGe nanowire. Raman and Auger electron spectroscopy measurements further confirmed the quality of the GaAsmore » grown and the high growth selectivity of the MEE process.« less

  8. Selective MBE growth of hexagonal networks of trapezoidal and triangular GaAs nanowires on patterned (1 1 1)B substrates

    NASA Astrophysics Data System (ADS)

    Tamai, Isao; Hasegawa, Hideki

    2007-04-01

    As a combination of novel hardware architecture and novel system architecture for future ultrahigh-density III-V nanodevice LSIs, the authors' group has recently proposed a hexagonal binary decision diagram (BDD) quantum circuit approach where gate-controlled path switching BDD node devices for a single or few electrons are laid out on a hexagonal nanowire network to realize a logic function. In this paper, attempts are made to establish a method to grow highly dense hexagonal nanowire networks for future BDD circuits by selective molecular beam epitaxy (MBE) on (1 1 1)B substrates. The (1 1 1)B orientation is suitable for BDD architecture because of the basic three-fold symmetry of the BDD node device. The growth experiments showed complex evolution of the cross-sectional structures, and it was explained in terms of kinetics determining facet boundaries. Straight arrays of triangular nanowires with 60 nm base width as well as hexagonal arrays of trapezoidal nanowires with a node density of 7.5×10 6 cm -2 were successfully grown with the aid of computer simulation. The result shows feasibility of growing high-density hexagonal networks of GaAs nanowires with precise control of the shape and size.

  9. Growth and Photovoltaic Properties of High-Quality GaAs Nanowires Prepared by the Two-Source CVD Method.

    PubMed

    Wang, Ying; Yang, Zaixing; Wu, Xiaofeng; Han, Ning; Liu, Hanyu; Wang, Shuobo; Li, Jun; Tse, WaiMan; Yip, SenPo; Chen, Yunfa; Ho, Johnny C

    2016-12-01

    Growing high-quality and low-cost GaAs nanowires (NWs) as well as fabricating high-performance NW solar cells by facile means is an important development towards the cost-effective next-generation photovoltaics. In this work, highly crystalline, dense, and long GaAs NWs are successfully synthesized using a two-source method on non-crystalline SiO2 substrates by a simple solid-source chemical vapor deposition method. The high V/III ratio and precursor concentration enabled by this two-source configuration can significantly benefit the NW growth and suppress the crystal defect formation as compared with the conventional one-source system. Since less NW crystal defects would contribute fewer electrons being trapped by the surface oxides, the p-type conductivity is then greatly enhanced as revealed by the electrical characterization of fabricated NW devices. Furthermore, the individual single NW and high-density NW parallel arrays achieved by contact printing can be effectively fabricated into Schottky barrier solar cells simply by employing asymmetric Ni-Al contacts, along with an open circuit voltage of ~0.3 V. All these results indicate the technological promise of these high-quality two-source grown GaAs NWs, especially for the realization of facile Schottky solar cells utilizing the asymmetric Ni-Al contact.

  10. Nondestructive Complete Mechanical Characterization of Zinc Blende and Wurtzite GaAs Nanowires Using Time-Resolved Pump-Probe Spectroscopy.

    PubMed

    Mante, Pierre-Adrien; Lehmann, Sebastian; Anttu, Nicklas; Dick, Kimberly A; Yartsev, Arkady

    2016-08-10

    We have developed and demonstrated an experimental method, based on the picosecond acoustics technique, to perform nondestructive complete mechanical characterization of nanowires, that is, the determination of the complete elasticity tensor. By means of femtosecond pump-probe spectroscopy, coherent acoustic phonons were generated in an ensemble of nanowires and their dynamics was resolved. Specific phonon modes were identified and the detection mechanism was addressed via wavelength dependent experiments. We calculated the exact phonon dispersion relation of the nanowires by fitting the experimentally observed frequencies, thus allowing the extraction of the complete elasticity tensor. The elasticity tensor and the nanowire diameter were determined for zinc blende GaAs nanowires and were found to be in a good agreement with literature data and independent measurements. Finally, we have applied this technique to characterize wurtzite GaAs nanowires, a metastable phase in bulk, for which no experimental values of elastic constants are currently available. Our results agree well with previous first principle calculations. The proposed approach to the complete and nondestructive mechanical characterization of nanowires will allow the efficient mechanical study of new crystal phases emerging in nanostructures, as well as size-dependent properties of nanostructured materials.

  11. Growth and Characterisation of GaAs/AlGaAs Core-shell Nanowires for Optoelectronic Device Applications

    NASA Astrophysics Data System (ADS)

    Jiang, Nian

    III-V semiconductor nanowires have been investigated as key components for future electronic and optoelectronic devices and systems due to their direct band gap and high electron mobility. Amongst the III-V semiconductors, the planar GaAs material system has been extensively studied and used in industries. Accordingly, GaAs nanowires are the prime candidates for nano-scale devices. However, the electronic performance of GaAs nanowires has yet to match that of state-of-the-art planar GaAs devices. The present deficiency of GaAs nanowires is typically attributed to the large surface-to- volume ratio and the tendency for non-radiative recombination centres to form at the surface. The favoured solution of this problem is by coating GaAs nanowires with AlGaAs shells, which replaces the GaAs surface with GaAs/AlGaAs interface. This thesis presents a systematic study of GaAs/AlGaAs core-shell nanowires grown by metal organic chemical vapour deposition (MOCVD), including understanding the growth, and characterisation of their structural and optical properties. The structures of the nanowires were mainly studied by scanning electron microscopy and transmis- sion electron microscopy (TEM). A procedure of microtomy was developed to prepare the cross-sectional samples for the TEM studies. The optical properties were charac- terised by photoluminescence (PL) spectroscopy. Carrier lifetimes were measured by time-resolved PL. The growth of AlGaAs shell was optimised to obtain the best optical properties, e.g. the strongest PL emission and the longest minority carrier lifetimes. (Abstract shortened by ProQuest.).

  12. Determination of n-Type Doping Level in Single GaAs Nanowires by Cathodoluminescence.

    PubMed

    Chen, Hung-Ling; Himwas, Chalermchai; Scaccabarozzi, Andrea; Rale, Pierre; Oehler, Fabrice; Lemaître, Aristide; Lombez, Laurent; Guillemoles, Jean-François; Tchernycheva, Maria; Harmand, Jean-Christophe; Cattoni, Andrea; Collin, Stéphane

    2017-11-08

    We present an effective method of determining the doping level in n-type III-V semiconductors at the nanoscale. Low-temperature and room-temperature cathodoluminescence (CL) measurements are carried out on single Si-doped GaAs nanowires. The spectral shift to higher energy (Burstein-Moss shift) and the broadening of luminescence spectra are signatures of increased electron densities. They are compared to the CL spectra of calibrated Si-doped GaAs layers, whose doping levels are determined by Hall measurements. We apply the generalized Planck's law to fit the whole spectra, taking into account the electron occupation in the conduction band, the bandgap narrowing, and band tails. The electron Fermi levels are used to determine the free electron concentrations, and we infer nanowire doping of 6 × 10 17 to 1 × 10 18  cm -3 . These results show that cathodoluminescence provides a robust way to probe carrier concentrations in semiconductors with the possibility of mapping spatial inhomogeneities at the nanoscale.

  13. III-V nanowire synthesis by use of electrodeposited gold particles.

    PubMed

    Jafari Jam, Reza; Heurlin, Magnus; Jain, Vishal; Kvennefors, Anders; Graczyk, Mariusz; Maximov, Ivan; Borgström, Magnus T; Pettersson, Håkan; Samuelson, Lars

    2015-01-14

    Semiconductor nanowires are great candidates for building novel electronic devices. Considering the cost of fabricating such devices, substrate reuse and gold consumption are the main concerns. Here we report on implementation of high throughput gold electrodeposition for selective deposition of metal seed particles in arrays defined by lithography for nanowire synthesis. By use of this method, a reduction in gold consumption by a factor of at least 300 was achieved, as compared to conventional thermal evaporation for the same pattern. Because this method also facilitates substrate reuse, a significantly reduced cost of the final device is expected. We investigate the morphology, crystallography, and optical properties of InP and GaAs nanowires grown from electrodeposited gold seed particles and compare them with the properties of nanowires grown from seed particles defined by thermal evaporation of gold. We find that nanowire synthesis, as well as the material properties of the grown nanowires are comparable and quite independent of the gold deposition technique. On the basis of these results, electrodeposition is proposed as a key technology for large-scale fabrication of nanowire-based devices.

  14. Electrical and optical characterization of surface passivation in GaAs nanowires.

    PubMed

    Chang, Chia-Chi; Chi, Chun-Yung; Yao, Maoqing; Huang, Ningfeng; Chen, Chun-Chung; Theiss, Jesse; Bushmaker, Adam W; Lalumondiere, Stephen; Yeh, Ting-Wei; Povinelli, Michelle L; Zhou, Chongwu; Dapkus, P Daniel; Cronin, Stephen B

    2012-09-12

    We report a systematic study of carrier dynamics in Al(x)Ga(1-x)As-passivated GaAs nanowires. With passivation, the minority carrier diffusion length (L(diff)) increases from 30 to 180 nm, as measured by electron beam induced current (EBIC) mapping, and the photoluminescence (PL) lifetime increases from sub-60 ps to 1.3 ns. A 48-fold enhancement in the continuous-wave PL intensity is observed on the same individual nanowire with and without the Al(x)Ga(1-x)As passivation layer, indicating a significant reduction in surface recombination. These results indicate that, in passivated nanowires, the minority carrier lifetime is not limited by twin stacking faults. From the PL lifetime and minority carrier diffusion length, we estimate the surface recombination velocity (SRV) to range from 1.7 × 10(3) to 1.1 × 10(4) cm·s(-1), and the minority carrier mobility μ is estimated to lie in the range from 10.3 to 67.5 cm(2) V(-1) s(-1) for the passivated nanowires.

  15. Tailoring the vapor-liquid-solid growth toward the self-assembly of GaAs nanowire junctions.

    PubMed

    Dai, Xing; Dayeh, Shadi A; Veeramuthu, Vaithianathan; Larrue, Alexandre; Wang, Jian; Su, Haibin; Soci, Cesare

    2011-11-09

    New insights into understanding and controlling the intriguing phenomena of spontaneous merging (kissing) and the self-assembly of monolithic Y- and T-junctions is demonstrated in the metal-organic chemical vapor deposition growth of GaAs nanowires. High-resolution transmission electron microscopy for determining polar facets was coupled to electrostatic-mechanical modeling and position-controlled synthesis to identify nanowire diameter, length, and pitch, leading to junction formation. When nanowire patterns are designed so that the electrostatic energy resulting from the interaction of polar surfaces exceeds the mechanical energy required to bend the nanowires to the point of contact, their fusion can lead to the self-assembly of monolithic junctions. Understanding and controlling this phenomenon is a great asset for the realization of dense arrays of vertical nanowire devices and opens up new ways toward the large scale integration of nanowire quantum junctions or nanowire intracellular probes.

  16. Linearly polarized emission from an embedded quantum dot using nanowire morphology control.

    PubMed

    Foster, Andrew P; Bradley, John P; Gardner, Kirsty; Krysa, Andrey B; Royall, Ben; Skolnick, Maurice S; Wilson, Luke R

    2015-03-11

    GaAs nanowires with elongated cross sections are formed using a catalyst-free growth technique. This is achieved by patterning elongated nanoscale openings within a silicon dioxide growth mask on a (111)B GaAs substrate. It is observed that MOVPE-grown vertical nanowires with cross section elongated in the [21̅1̅] and [1̅12] directions remain faithful to the geometry of the openings. An InGaAs quantum dot with weak radial confinement is realized within each nanowire by briefly introducing indium into the reactor during nanowire growth. Photoluminescence emission from an embedded nanowire quantum dot is strongly linearly polarized (typically >90%) with the polarization direction coincident with the axis of elongation. Linearly polarized PL emission is a result of embedding the quantum dot in an anisotropic nanowire structure that supports a single strongly confined, linearly polarized optical mode. This research provides a route to the bottom-up growth of linearly polarized single photon sources of interest for quantum information applications.

  17. Synchrotron studies of top-down grown silicon nanowires

    NASA Astrophysics Data System (ADS)

    Turishchev, S. Yu.; Parinova, E. V.; Nesterov, D. N.; Koyuda, D. A.; Sivakov, V.; Schleusener, A.; Terekhov, V. A.

    2018-06-01

    Morphology of the top-down grown silicon nanowires obtained by metal-assisted wet-chemical approach on silicon substrates with different resistance were studied by scanning electron microscopy. Obtained arrays of compact grown Si nanowires were a subject for the high resolution electronic structures studies by X-ray absorption near edge structure technique performed with the usage of high intensity synchrotron radiation of the SRC storage ring of the University of Wisconsin-Madison. The different oxidation rates were found by investigation of silicon atoms local surrounding specificity of the highly developed surface and near surface layer that is not exceeded 70 nm. Flexibility of the wires arrays surface morphology and its composition is demonstrated allowing smoothly form necessary surface oxidation rate and using Si nanowires as a useful matrixes for a wide range of further functionalization.

  18. All zinc-blende GaAs/(Ga,Mn)As core-shell nanowires with ferromagnetic ordering.

    PubMed

    Yu, Xuezhe; Wang, Hailong; Pan, Dong; Zhao, Jianhua; Misuraca, Jennifer; von Molnár, Stephan; Xiong, Peng

    2013-04-10

    Combining self-catalyzed vapor-liquid-solid growth of GaAs nanowires and low-temperature molecular-beam epitaxy of (Ga,Mn)As, we successfully synthesized all zinc-blende (ZB) GaAs/(Ga,Mn)As core-shell nanowires on Si(111) substrates. The ZB GaAs nanowire cores are first fabricated at high temperature by utilizing the Ga droplets as the catalyst and controlling the triple phase line nucleation, then the (Ga,Mn)As shells are epitaxially grown on the side facets of the GaAs core at low temperature. The growth window for the pure phase GaAs/(Ga,Mn)As core-shell nanowires is found to be very narrow. Both high-resolution transmission electron microscopy and scanning electron microscopy observations confirm that all-ZB GaAs/(Ga,Mn)As core-shell nanowires with smooth side surface are obtained when the Mn concentration is not more than 2% and the growth temperature is 245 °C or below. Magnetic measurements with different applied field directions provide strong evidence for ferromagnetic ordering in the all-ZB GaAs/(Ga,Mn)As nanowires. The hybrid nanowires offer an attractive platform to explore spin transport and device concepts in fully epitaxial all-semiconductor nanospintronic structures.

  19. Radial Growth of Self-Catalyzed GaAs Nanowires and the Evolution of the Liquid Ga-Droplet Studied by Time-Resolved in Situ X-ray Diffraction.

    PubMed

    Schroth, Philipp; Jakob, Julian; Feigl, Ludwig; Mostafavi Kashani, Seyed Mohammad; Vogel, Jonas; Strempfer, Jörg; Keller, Thomas F; Pietsch, Ullrich; Baumbach, Tilo

    2018-01-10

    We report on a growth study of self-catalyzed GaAs nanowires based on time-resolved in situ X-ray structure characterization during molecular-beam-epitaxy in combination with ex situ scanning-electron-microscopy. We reveal the evolution of nanowire radius and polytypism and distinguish radial growth processes responsible for tapering and side-wall growth. We interpret our results using a model for diameter self-stabilization processes during growth of self-catalyzed GaAs nanowires including the shape of the liquid Ga-droplet and its evolution during growth.

  20. Early stages of Cs adsorption mechanism for GaAs nanowire surface

    NASA Astrophysics Data System (ADS)

    Diao, Yu; Liu, Lei; Xia, Sihao; Feng, Shu

    2018-03-01

    In this study, the adsorption mechanism of Cs adatoms on the (100) surface of GaAs nanowire with [0001] growth direction is investigated utilizing first principles method based on density function theory. The adsorption energy, work function, atomic structure and electronic property of clean surface and Cs-covered surfaces with different coverage are discussed. Results show that when only one Cs is adsorbed on the surface, the most favorable adsorption site is BGa-As. With increasing Cs coverage, work function gradually decreases and gets its minimum at 0.75 ML, then rises slightly when Cs coverage comes to 1 ML, indicating the existence of 'Cs-kill' phenomenon. According to further analysis, Cs activation process can effectively reduce the work function due to the formation of a downward band bending region and surface dipole moment directing from Cs adatom to the surface. As Cs coverage increases, the conduction band minimum and valence band maximum both shift towards lower energy side, contributed by the orbital hybridization between Cs-5s, Cs-5p states and Ga-4p, As-4s, As-4p states near Fermi level. The theoretical calculations and analysis in this study can improve the Cs activation technology for negative electron affinity optoelectronic devices based on GaAs nanowires, and also provide a reference for the further Cs/O or Cs/NF3 activation process.

  1. GaSb thermophotovoltaic cells grown on GaAs by molecular beam epitaxy using interfacial misfit arrays

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Juang, Bor-Chau, E-mail: bcjuang@ucla.edu; Laghumavarapu, Ramesh B.; Foggo, Brandon J.

    There exists a long-term need for foreign substrates on which to grow GaSb-based optoelectronic devices. We address this need by using interfacial misfit arrays to grow GaSb-based thermophotovoltaic cells directly on GaAs (001) substrates and demonstrate promising performance. We compare these cells to control devices grown on GaSb substrates to assess device properties and material quality. The room temperature dark current densities show similar characteristics for both cells on GaAs and on GaSb. Under solar simulation the cells on GaAs exhibit an open-circuit voltage of 0.121 V and a short-circuit current density of 15.5 mA/cm{sup 2}. In addition, the cells on GaAsmore » substrates maintain 10% difference in spectral response to those of the control cells over a large range of wavelengths. While the cells on GaSb substrates in general offer better performance than the cells on GaAs substrates, the cost-savings and scalability offered by GaAs substrates could potentially outweigh the reduction in performance. By further optimizing GaSb buffer growth on GaAs substrates, Sb-based compound semiconductors grown on GaAs substrates with similar performance to devices grown directly on GaSb substrates could be realized.« less

  2. As-Grown Gallium Nitride Nanowire Electromechanical Resonators

    NASA Astrophysics Data System (ADS)

    Montague, Joshua R.

    Technological development in recent years has led to a ubiquity of micro- and nano-scale electromechanical devices. Sensors for monitoring temperature, pressure, mass, etc., are now found in nearly all electronic devices at both the industrial and consumer levels. As has been true for integrated circuit electronics, these electromechanical devices have continued to be scaled down in size. For many nanometer-scale structures with large surface-to-volume ratio, dissipation (energy loss) becomes prohibitively large causing a decreasing sensitivity with decreasing sensor size. In this work, gallium nitride (GaN) nanowires are investigated as singly-clamped (cantilever) mechanical resonators with typical mechanical quality factors, Q (equal to the ratio of resonance frequency to peak full-width-at-half-maximum-power) and resonance frequencies, respectively, at or above 30,000, and near 1 MHz. These Q values---in vacuum at room temperature---indicate very low levels of dissipation; they are essentially the same as those for bulk quartz crystal resonators that form the basis of simple clocks and mass sensors. The GaN nanowires have lengths and diameters, respectively, of approximately 15 micrometers and hundreds of nanometers. As-grown GaN nanowire Q values are larger than other similarly-sized, bottom-up, cantilever resonators and this property makes them very attractive for use as resonant sensors. We demonstrate the capability of detecting sub-monolayer levels of atomic layer deposited (ALD) films, and the robust nature of the GaN nanowires structure that allows for their 'reuse' after removal of such layers. In addition to electron microscope-based measurement techniques, we demonstrate the successful capacitive detection of a single nanowire using microwave homodyne reflectometry. This technique is then extended to allow for simultaneous measurements of large ensembles of GaN nanowires on a single sample, providing statistical information about the distribution of

  3. High-Performance GaAs Nanowire Solar Cells for Flexible and Transparent Photovoltaics.

    PubMed

    Han, Ning; Yang, Zai-xing; Wang, Fengyun; Dong, Guofa; Yip, SenPo; Liang, Xiaoguang; Hung, Tak Fu; Chen, Yunfa; Ho, Johnny C

    2015-09-16

    Among many available photovoltaic technologies at present, gallium arsenide (GaAs) is one of the recognized leaders for performance and reliability; however, it is still a great challenge to achieve cost-effective GaAs solar cells for smart systems such as transparent and flexible photovoltaics. In this study, highly crystalline long GaAs nanowires (NWs) with minimal crystal defects are synthesized economically by chemical vapor deposition and configured into novel Schottky photovoltaic structures by simply using asymmetric Au-Al contacts. Without any doping profiles such as p-n junction and complicated coaxial junction structures, the single NW Schottky device shows a record high apparent energy conversion efficiency of 16% under air mass 1.5 global illumination by normalizing to the projection area of the NW. The corresponding photovoltaic output can be further enhanced by connecting individual cells in series and in parallel as well as by fabricating NW array solar cells via contact printing showing an overall efficiency of 1.6%. Importantly, these Schottky cells can be easily integrated on the glass and plastic substrates for transparent and flexible photovoltaics, which explicitly demonstrate the outstanding versatility and promising perspective of these GaAs NW Schottky photovoltaics for next-generation smart solar energy harvesting devices.

  4. Mechanical Energy Harvesting Performance of Ferroelectric Polymer Nanowires Grown via Template‐Wetting

    PubMed Central

    Whiter, Richard A.; Boughey, Chess; Smith, Michael

    2018-01-01

    Abstract Nanowires of the ferroelectric co‐polymer poly(vinylidenefluoride‐co‐triufloroethylene) [P(VDF‐TrFE)] are fabricated from solution within nanoporous templates of both “hard” anodic aluminium oxide (AAO) and “soft” polyimide (PI) through a facile and scalable template‐wetting process. The confined geometry afforded by the pores of the templates leads directly to highly crystalline P(VDF‐TrFE) nanowires in a macroscopic “poled” state that precludes the need for external electrical poling procedure typically required for piezoelectric performance. The energy‐harvesting performance of nanogenerators based on these template‐grown nanowires are extensively studied and analyzed in combination with finite element modelling. Both experimental results and computational models probing the role of the templates in determining overall nanogenerator performance, including both materials and device efficiencies, are presented. It is found that although P(VDF‐TrFE) nanowires grown in PI templates exhibit a lower material efficiency due to lower crystallinity as compared to nanowires grown in AAO templates, the overall device efficiency was higher for the PI‐template‐based nanogenerator because of the lower stiffness of the PI template as compared to the AAO template. This work provides a clear framework to assess the energy conversion efficiency of template‐grown piezoelectric nanowires and paves the way towards optimization of template‐based nanogenerator devices.

  5. Demonstration of GaAsSb/InAs nanowire backward diodes grown using position-controlled vapor-liquid-solid method

    NASA Astrophysics Data System (ADS)

    Kawaguchi, Kenichi; Takahashi, Tsuyoshi; Okamoto, Naoya; Sato, Masaru

    2018-02-01

    p-GaAsSb/n-InAs type-II nanowire (NW) diodes were fabricated using the position-controlled vapor-liquid-solid growth method. InAs and GaAsSb NW segments were grown vertically on GaAs(111)B substrates with the assistance of Au catalysts. Transmission electron microscopy-energy-dispersive X-ray spectroscopy analysis revealed that the GaAsSb segments have an Sb content of 40%, which is sufficient to form a tunnel heterostructure. Scanning capacitance microscope images clearly indicated the formation of a p-n junction in the NWs. Backward diode characteristics, that is, current flow toward negative bias originating from a tunnel current and current suppression toward positive bias by a heterobarrier, were demonstrated.

  6. Directional and dynamic modulation of the optical emission of an individual GaAs nanowire using surface acoustic waves.

    PubMed

    Kinzel, Jörg B; Rudolph, Daniel; Bichler, Max; Abstreiter, Gerhard; Finley, Jonathan J; Koblmüller, Gregor; Wixforth, Achim; Krenner, Hubert J

    2011-04-13

    We report on optical experiments performed on individual GaAs nanowires and the manipulation of their temporal emission characteristics using a surface acoustic wave. We find a pronounced, characteristic suppression of the emission intensity for the surface acoustic wave propagation aligned with the axis of the nanowire. Furthermore, we demonstrate that this quenching is dynamical as it shows a pronounced modulation as the local phase of the surface acoustic wave is tuned. These effects are strongly reduced for a surface acoustic wave applied in the direction perpendicular to the axis of the nanowire due to their inherent one-dimensional geometry. We resolve a fully dynamic modulation of the nanowire emission up to 678 MHz not limited by the physical properties of the nanowires.

  7. Doping of germanium nanowires grown in presence of PH3

    NASA Astrophysics Data System (ADS)

    Tutuc, E.; Chu, J. O.; Ott, J. A.; Guha, S.

    2006-12-01

    The authors study the Au-catalyzed chemical vapor growth of germanium (Ge) nanowires in the presence of phosphine (PH3), used as a dopant precursor. The device characteristics of the ensuing nanowire field effect transistors (FETs) indicate n-type, highly doped nanowires. Using a combination of different nanowire growth sequences and their FET characteristics, the authors determine that phosphorus incorporates predominately via the conformal growth, which accompanies the acicular, nanowire growth. As such, the Ge nanowires grown in the presence of PH3 contain a phosphorus doped shell and an undoped core. The authors determine the doping level in the shell to be ≃(1-4)×1019cm-3.

  8. Structural and optical characteristics of GaAs films grown on Si/Ge substrates

    NASA Astrophysics Data System (ADS)

    Rykov, A. V.; Dorokhin, M. V.; Vergeles, P. S.; Baidus, N. V.; Kovalskiy, V. A.; Yakimov, E. B.; Soltanovich, O. A.

    2018-03-01

    A GaAs/AlAs heterostructure and a GaAs film grown on Si/Ge substrates have been fabricated and studied. A Ge buffer on a silicon substrate was fabricated using the MBE process. A3B5 films were grown by MOCVD at low pressures. Photoluminescence spectroscopy was used to define the optical quality of A3B5 films. Structural properties were investigated using the electron beam induced current method. It was established that despite a rather high density of dislocations on the epitaxial layers, the detected photoluminescence radiation of layers indicates the acceptable crystalline quality of the top GaAs layer.

  9. Resonant electronic Raman scattering of below-gap states in molecular-beam epitaxy grown and liquid-encapsulated Czochralski grown GaAs

    NASA Astrophysics Data System (ADS)

    Fluegel, B.; Rice, A. D.; Mascarenhas, A.

    2018-05-01

    Resonant electronic Raman (ER) scattering is used to compare the below-gap excitations in molecular-beam epitaxially grown GaAs and in undoped semi-insulating GaAs substrates. The measurement geometry was designed to eliminate common measurement artifacts caused by the high optical transmission below the fundamental absorption edge. In epitaxial GaAs, ER is a clear Raman signal from the two-electron transitions of donors, eliminating an ambiguity encountered in previous results. In semi-insulating GaAs, ER occurs in a much broader dispersive band well below the bound exciton energies. The difference in the two materials may be due to the occupation of the substrate acceptor states in the presence of the midgap state EL2.

  10. Dispersion of Cobalt Nanoparticles on Nanowires Grown on Silicon Carbide-Alumina Nanocomposites.

    PubMed

    Kim, Inho; Seo, Kyeong Won; Ahn, Byoung Sung; Moon, Dong Ju; Kim, Sang Woo

    2017-04-01

    Silicon carbide-alumina nanocomposite supports including a nanowire architecture for a high dispersion of cobalt nanocatalysts were fabricated using a modified sol–gel process and paste extrusion process to form cylindrical shape beads, followed by thermal treatment. Well-developed aluminosilicate nanowires were formed on a nanoporous support, which are grown from a catalytic metal seed at the nanowire growth tips during heat treatment at 1,100 °C for 1 h under nitrogen gas flow. Cobalt oxide precursors were highly dispersed on the nanowires grown on the surface of the nanoporous bodies through a supercritical carbon dioxide fluid-assisted wet-impregnation process. The highly-dispersed Co nanoparticles with size of less than 10 nm were finally obtained on the nanowires via phase transitions from Co₃O₄ to CoO and from CoO to Co during the thermal reduction.

  11. Stair-rod dislocation cores acting as one-dimensional charge channels in GaAs nanowires

    NASA Astrophysics Data System (ADS)

    Bologna, Nicolas; Agrawal, Piyush; Campanini, Marco; Knödler, Moritz; Rossell, Marta D.; Erni, Rolf; Passerone, Daniele

    2018-01-01

    Aberration-corrected scanning transmission electron microscopy and density-functional theory calculations have been used to investigate the atomic and electronic structure of stair-rod dislocations connected via stacking faults in GaAs nanowires. At the apexes, two distinct dislocation cores consisting of single-column pairs of either gallium or arsenic were identified. Ab initio calculations reveal an overall reduction in the energy gap with the development of two bands of filled and empty localized states at the edges of valence and conduction bands in the Ga core and in the As core, respectively. Our results suggest the behavior of stair-rod dislocations along the nanowire as one-dimensional charge channels, which could host free carriers upon appropriate doping.

  12. Resonant electronic Raman scattering of below-gap states in molecular-beam epitaxy grown and liquid-encapsulated Czochralski grown GaAs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fluegel, B.; Rice, A. D.; Mascarenhas, A.

    Resonant electronic Raman (ER) scattering is used to compare the below-gap excitations in molecular-beam epitaxially grown GaAs and in undoped semi-insulating GaAs substrates. The measurement geometry was designed to eliminate common measurement artifacts caused by the high optical transmission below the fundamental absorption edge. In epitaxial GaAs, ER is a clear Raman signal from the two-electron transitions of donors, eliminating an ambiguity encountered in previous results. In semi-insulating GaAs, ER occurs in a much broader dispersive band well below the bound exciton energies. Furthermore, the difference in the two materials may be due to the occupation of the substrate acceptormore » states in the presence of the midgap state EL2.« less

  13. Rectification and Photoconduction Mapping of Axial Metal-Semiconductor Interfaces Embedded in GaAs Nanowires

    NASA Astrophysics Data System (ADS)

    Orrù, Marta; Piazza, Vincenzo; Rubini, Silvia; Roddaro, Stefano

    2015-10-01

    Semiconductor nanowires have emerged as an important enabling technology and are today used in many advanced device architectures, with an impact both for what concerns fundamental science and in view of future applications. One of the key challenges in the development of nanowire-based devices is the fabrication of reliable nanoscale contacts. Recent developments in the creation of metal-semiconductor junctions by thermal annealing of metallic electrodes offer promising perspectives. Here, we analyze the optoelectronic properties of nano-Schottky barriers obtained thanks to the controlled formation of metallic AuGa regions in GaAs nanowire. The junctions display a rectifying behavior and their transport characteristics are analyzed to extract the average ideality factor and barrier height in the current architecture. The presence, location, and properties of the Schottky junctions are cross-correlated with spatially resolved photocurrent measurements. Broadband light emission is reported in the reverse breakdown regime; this observation, combined with the absence of electroluminescence at forward bias, is consistent with the device unipolar nature.

  14. Photoluminescence study of as-grown vertically standing wurtzite InP nanowire ensembles.

    PubMed

    Iqbal, Azhar; Beech, Jason P; Anttu, Nicklas; Pistol, Mats-Erik; Samuelson, Lars; Borgström, Magnus T; Yartsev, Arkady

    2013-03-22

    We demonstrate a method that enables the study of photoluminescence of as-grown nanowires on a native substrate by non-destructively suppressing the contribution of substrate photoluminescence. This is achieved by using polarized photo-excitation and photoluminescence and by making an appropriate choice of incident angle of both excitation beam and photoluminescence collection direction. Using TE-polarized excitation at a wavelength of 488 nm at an incident angle of ∼70° we suppress the InP substrate photoluminescence relative to that of the InP nanowires by about 80 times. Consequently, the photoluminescence originating from the nanowires becomes comparable to and easily distinguishable from the substrate photoluminescence. The measured photoluminescence, which peaks at photon energies of ∼1.35 eV and ∼1.49 eV, corresponds to the InP substrate with zinc-blende crystal structure and to the InP nanowires with wurtzite crystal structure, respectively. The photoluminescence quantum yield of the nanowires was found to be ∼20 times lower than that of the InP substrate. The nanowires, grown vertically in a random ensemble, neither exhibit substantial emission polarization selectivity to the axis of the nanowires nor follow excitation polarization preferences observed previously for a single nanowire.

  15. In-plane InSb nanowires grown by selective area molecular beam epitaxy on semi-insulating substrate.

    PubMed

    Desplanque, L; Bucamp, A; Troadec, D; Patriarche, G; Wallart, X

    2018-07-27

    In-plane InSb nanostructures are grown on a semi-insulating GaAs substrate using an AlGaSb buffer layer covered with a patterned SiO 2 mask and selective area molecular beam epitaxy. The shape of these nanostructures is defined by the aperture in the silicon dioxide layer used as a selective mask thanks to the use of an atomic hydrogen flux during the growth. Transmission electron microscopy reveals that the mismatch accommodation between InSb and GaAs is obtained in two steps via the formation of an array of misfit dislocations both at the AlGaSb buffer layer/GaAs and at the InSb nanostructures/AlGaSb interfaces. Several micron long in-plane nanowires (NWs) can be achieved as well as more complex nanostructures such as branched NWs. The electrical properties of the material are investigated by the characterization of an InSb NW MOSFET down to 77 K. The resulting room temperature field effect mobility values are comparable with those reported on back-gated MOSFETs based on InSb NWs obtained by vapor liquid solid growth or electrodeposition. This growth method paves the way to the fabrication of complex InSb-based nanostructures.

  16. Heavily Sn-doped GaAs with abrupt doping profiles grown by migration-enhanced epitaxy at low temperatures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chavanapranee, Tosaporn; Horikoshi, Yoshiji

    The characteristics of heavily Sn-doped GaAs samples grown at 300 deg. C by a migration-enhanced epitaxy (MEE) technique are investigated in comparison with those of the samples grown by a conventional molecular-beam epitaxy (MBE) at 580 deg. C. While no discernible difference is observed in the low doping regime, the difference in doping characteristics between the MBE- and MEE-grown samples becomes apparent when the doping concentration exceeds 1x10{sup 19} cm{sup -3}. Sn atoms as high as 4x10{sup 21} cm{sup -3} can be incorporated into MEE-grown GaAs films, unlike the MBE-grown samples that have a maximum doping level limited around 1x10{supmore » 19} cm{sup -3}. Due to an effective suppression of Sn segregation in the MEE growth case, high quality GaAs films with abrupt high-concentration Sn-doping profiles are achieved with the doping concentrations of up to 2x10{sup 21} cm{sup -3}. It has been shown that even though a high concentration of Sn atoms is incorporated into the GaAs film, the electron concentration saturates at 6x10{sup 19} cm{sup -3} and then gradually decreases with Sn concentration. The uniform doping limitation, as well as the electron concentration saturation, is discussed by means of Hall-effect measurement, x-ray diffraction, and Raman scattering spectroscopy.« less

  17. Threefold rotational symmetry in hexagonally shaped core-shell (In,Ga)As/GaAs nanowires revealed by coherent X-ray diffraction imaging.

    PubMed

    Davtyan, Arman; Krause, Thilo; Kriegner, Dominik; Al-Hassan, Ali; Bahrami, Danial; Mostafavi Kashani, Seyed Mohammad; Lewis, Ryan B; Küpers, Hanno; Tahraoui, Abbes; Geelhaar, Lutz; Hanke, Michael; Leake, Steven John; Loffeld, Otmar; Pietsch, Ullrich

    2017-06-01

    Coherent X-ray diffraction imaging at symmetric hhh Bragg reflections was used to resolve the structure of GaAs/In 0.15 Ga 0.85 As/GaAs core-shell-shell nanowires grown on a silicon (111) substrate. Diffraction amplitudes in the vicinity of GaAs 111 and GaAs 333 reflections were used to reconstruct the lost phase information. It is demonstrated that the structure of the core-shell-shell nanowire can be identified by means of phase contrast. Interestingly, it is found that both scattered intensity in the (111) plane and the reconstructed scattering phase show an additional threefold symmetry superimposed with the shape function of the investigated hexagonal nanowires. In order to find the origin of this threefold symmetry, elasticity calculations were performed using the finite element method and subsequent kinematic diffraction simulations. These suggest that a non-hexagonal (In,Ga)As shell covering the hexagonal GaAs core might be responsible for the observation.

  18. Type I band alignment in GaAs{sub 81}Sb{sub 19}/GaAs core-shell nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xu, T.; Key Laboratory of Advanced Display and System Application, Shanghai University, 149 Yanchang Road, Shanghai 200072; Wei, M. J.

    2015-09-14

    The composition and band gap of the shell that formed during the growth of axial GaAs/GaAs{sub 81}Sb{sub 19}/ GaAs heterostructure nanowires have been investigated by transmission electron microscopy combined with energy dispersion spectroscopy, scanning tunneling spectroscopy, and density functional theory calculations. On the GaAs{sub 81}Sb{sub 19} intermediate segment, the shell is found to be free of Sb (pure GaAs shell) and transparent to the tunneling electrons, despite the (110) biaxial strain that affects its band gap. As a result, a direct measurement of the core band gap allows the quantitative determination of the band offset between the GaAs{sub 81}Sb{sub 19}more » core and the GaAs shell and identifies it as a type I band alignment.« less

  19. Three-dimensional morphology of GaP-GaAs nanowires revealed by transmission electron microscopy tomography.

    PubMed

    Verheijen, Marcel A; Algra, Rienk E; Borgström, Magnus T; Immink, George; Sourty, Erwan; Enckevort, Willem J P van; Vlieg, Elias; Bakkers, Erik P A M

    2007-10-01

    We have investigated the morphology of heterostructured GaP-GaAs nanowires grown by metal-organic vapor-phase epitaxy as a function of growth temperature and V/III precursor ratio. The study of heterostructured nanowires with transmission electron microscopy tomography allowed the three-dimensional morphology to be resolved, and discrimination between the effect of axial (core) and radial (shell) growth on the morphology. A temperature- and precursor-dependent structure diagram for the GaP nanowire core morphology and the evolution of the different types of side facets during GaAs and GaP shell growth were constituted.

  20. Measurement of minority carrier diffusion lengths in GaAs nanowires by a nanoprobe technique

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Darbandi, A.; Watkins, S. P., E-mail: simonw@sfu.ca

    Minority carrier diffusion lengths in both p-type and n-type GaAs nanowires were studied using electron beam induced current by means of a nanoprobe technique without lithographic processing. The diffusion lengths were determined for Au/GaAs rectifying junctions as well as axial p-n junctions. By incorporating a thin lattice-matched InGaP passivating shell, a 2-fold enhancement in the minority carrier diffusion lengths and one order of magnitude reduction in the surface recombination velocity were achieved.

  1. Self catalytic growth of indium oxide (In2O3) nanowires by resistive thermal evaporation.

    PubMed

    Kumar, R Rakesh; Rao, K Narasimha; Rajanna, K; Phani, A R

    2014-07-01

    Self catalytic growth of Indium Oxide (In2O3) nanowires (NWs) have been grown by resistive thermal evaporation of Indium (In) in the presence of oxygen without use of any additional metal catalyst. Nanowires growth took place at low substrate temperature of 370-420 degrees C at an applied current of 180-200 A to the evaporation boat. Morphology, microstructures, and compositional studies of the grown nanowires were performed by employing field emission scanning electron microscopy (FESEM), X-Ray diffraction (XRD), transmission electron microscopy (TEM), energy dispersive X-ray spectroscopy (EDS) and X-ray photoelectron spectroscopy (XPS) respectively. Nanowires were uniformly grown over the entire Si substrate and each of the nanowire is capped with a catalyst particle at their end. X-ray diffraction study reveals the crystalline nature of the grown nanowires. Transmission electron microscopy study on the nanowires further confirmed the single crystalline nature of the nanowires. Energy dispersive X-ray analysis on the nanowires and capped nanoparticle confirmed that Indium act as catalyst for In2O3 nanowires growth. A self catalytic Vapor-Liquid-Solid (VLS) growth mechanism was responsible for the growth of In2O3 nanowires. Effect of oxygen partial pressure variation and variation of applied currents to the evaporation boat on the nanowires growth was systematically studied. These studies concluded that at oxygen partial pressure in the range of 4 x 10(-4), 6 x 10(-4) mbar at applied currents to the evaporation boat of 180-200 A were the best conditions for good nanowires growth. Finally, we observed another mode of VLS growth along with the standard VLS growth mode for In2O3 nanowires similar to the growth mechanism reported for GaAs nanowires.

  2. Multilayer-Grown Ultrathin Nanostructured GaAs Solar Cells as a Cost-Competitive Materials Platform for III-V Photovoltaics.

    PubMed

    Gai, Boju; Sun, Yukun; Lim, Haneol; Chen, Huandong; Faucher, Joseph; Lee, Minjoo L; Yoon, Jongseung

    2017-01-24

    Large-scale deployment of GaAs solar cells in terrestrial photovoltaics demands significant cost reduction for preparing device-quality epitaxial materials. Although multilayer epitaxial growth in conjunction with printing-based materials assemblies has been proposed as a promising route to achieve this goal, their practical implementation remains challenging owing to the degradation of materials properties and resulting nonuniform device performance between solar cells grown in different sequences. Here we report an alternative approach to circumvent these limitations and enable multilayer-grown GaAs solar cells with uniform photovoltaic performance. Ultrathin single-junction GaAs solar cells having a 300-nm-thick absorber (i.e., emitter and base) are epitaxially grown in triple-stack releasable multilayer assemblies by molecular beam epitaxy using beryllium as a p-type impurity. Microscale (∼500 × 500 μm 2 ) GaAs solar cells fabricated from respective device layers exhibit excellent uniformity (<3% relative) of photovoltaic performance and contact properties owing to the suppressed diffusion of p-type dopant as well as substantially reduced time of epitaxial growth associated with ultrathin device configuration. Bifacial photon management employing hexagonally periodic TiO 2 nanoposts and a vertical p-type metal contact serving as a metallic back-surface reflector together with specialized epitaxial design to minimize parasitic optical losses for efficient light trapping synergistically enable significantly enhanced photovoltaic performance of such ultrathin absorbers, where ∼17.2% solar-to-electric power conversion efficiency under simulated AM1.5G illumination is demonstrated from 420-nm-thick single-junction GaAs solar cells grown in triple-stack epitaxial assemblies.

  3. Vertically grown nanowire crystals of dibenzotetrathienocoronene (DBTTC) on large-area graphene

    DOE PAGES

    Kim, B.; Chiu, C. -Y.; Kang, S. J.; ...

    2016-06-01

    Here we demonstrate controlled growth of vertical organic crystal nanowires on single layer graphene. Using Scanning Electron Microscopy (SEM), high-resolution transition electron microscopy (TEM), and Grazing Incidence X-ray Diffraction (GIXD), we probe the microstructure and morphology of dibenzotetrathienocoronene (DBTTC) nanowires epitaxially grown on graphene. The investigation is performed at both the ensemble and single nanowire level, and as function of growth parameters, providing insight of and control over the formation mechanism. Finally, the size, density and height of the nanowires can be tuned via growth conditions, opening new avenues for tailoring three-dimensional (3-D) nanostructured architectures for organic electronics with improvedmore » functional performance.« less

  4. Structural and optical characterization of ZnO nanowires grown on alumina by thermal evaporation method.

    PubMed

    Mute, A; Peres, M; Peiris, T C; Lourenço, A C; Jensen, Lars R; Monteiro, T

    2010-04-01

    Zinc oxide nanowires have been grown on alumina substrate by thermal evaporation of zinc nanopowder in the presence of oxygen flow. The growth was performed under ambient pressure and without the use of foreign catalyst. Scanning electron microscopy (SEM) observation showed that the as-grown sample consists of bulk ZnO crystal on the substrate surface with nanowires growing from this base. Growth mechanism of the observed morphology is suggested to be governed by the change of zinc vapour supersaturation during the growth process. X-ray diffraction (XRD) measurement was used to identify the crystalline phase of the nanowires. Optical properties of the nanowires were investigated using Raman scattering and photoluminescence (PL). The appearance of dominant, Raman active E2 (high) phonon mode in the Raman spectrum has confirmed the wurtzite hexagonal phase of the nanowires. With above bandgap excitation the low temperature PL recombination is dominated by donor bound exciton luminescence at -3.37 eV with a narrow full width at half maximum. Free exciton emission is also seen at low temperature and can be observed up to room temperature. The optical data indicates that the grown nanowires have high optical quality.

  5. Optical properties of single ZnTe nanowires grown at low temperature

    NASA Astrophysics Data System (ADS)

    Artioli, A.; Rueda-Fonseca, P.; Stepanov, P.; Bellet-Amalric, E.; Den Hertog, M.; Bougerol, C.; Genuist, Y.; Donatini, F.; André, R.; Nogues, G.; Kheng, K.; Tatarenko, S.; Ferrand, D.; Cibert, J.

    2013-11-01

    Optically active gold-catalyzed ZnTe nanowires have been grown by molecular beam epitaxy, on a ZnTe(111) buffer layer, at low temperature (350 °C) under Te rich conditions, and at ultra-low density (from 1 to 5 nanowires per μm2). The crystalline structure is zinc blende as identified by transmission electron microscopy. All nanowires are tapered and the majority of them are ⟨111⟩ oriented. Low temperature micro-photoluminescence and cathodoluminescence experiments have been performed on single nanowires. We observe a narrow emission line with a blue-shift of 2 or 3 meV with respect to the exciton energy in bulk ZnTe. This shift is attributed to the strain induced by a 5 nm-thick oxide layer covering the nanowires, and this assumption is supported by a quantitative estimation of the strain in the nanowires.

  6. Periodic Two-Dimensional GaAs and InGaAs Quantum Rings Grown on GaAs (001) by Droplet Epitaxy.

    PubMed

    Tung, Kar Hoo Patrick; Huang, Jian; Danner, Aaron

    2016-06-01

    Growth of ordered GaAs and InGaAs quantum rings (QRs) in a patterned SiO2 nanohole template by molecular beam epitaxy (MBE) using droplet epitaxy (DE) process is demonstrated. DE is an MBE growth technique used to fabricate quantum nanostructures of high crystal quality by supplying group III and group V elements in separate phases. In this work, ordered QRs grown on an ordered nanohole template are compared to self-assembled QRs grown with the same DE technique without the nanohole template. This study allows us to understand and compare the surface kinetics of Ga and InGa droplets when a template is present. It is found that template-grown GaAs QRs form clustered rings which can be attributed to low mobility of Ga droplets resulting in multiple nucleation sites for QR formation when As is supplied. However, the case of template-grown InGaAs QRs only one ring is formed per nanohole; no clustering is observed. The outer QR diameter is a close match to the nanohole template diameter. This can be attributed to more mobile InGa droplets, which coalesce from an Ostwald ripening to form a single large droplet before As is supplied. Thus, well-patterned InGaAs QRs are demonstrated and the kinetics of their growth are better understood which could potentially lead to improvements in the future devices that require the unique properties of patterned QRs.

  7. Deep levels in H-irradiated GaAs1-xNx (x < 0.01) grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Shafi, M.; Mari, R. H.; Khatab, A.; Henini, M.; Polimeni, A.; Capizzi, M.; Hopkinson, M.

    2011-12-01

    Dilute nitride GaAs1-xNx layers have been grown by molecular beam epitaxy with nitrogen concentration ranging from 0.2% to 0.8%. These samples have been studied before and after hydrogen irradiation by using standard deep level transient spectroscopy (DLTS) and high resolution Laplace DLTS techniques. The activation energy, capture cross section and density of the electron traps have been estimated and compared with results obtained in N-free as-grown and H-irradiated bulk GaAs.

  8. Monolithic barrier-all-around high electron mobility transistor with planar GaAs nanowire channel.

    PubMed

    Miao, Xin; Zhang, Chen; Li, Xiuling

    2013-06-12

    High-quality growth of planar GaAs nanowires (NWs) with widths as small as 35 nm is realized by comprehensively mapping the parameter space of group III flow, V/III ratio, and temperature as the size of the NWs scales down. Using a growth mode modulation scheme for the NW and thin film barrier layers, monolithically integrated AlGaAs barrier-all-around planar GaAs NW high electron mobility transistors (NW-HEMTs) are achieved. The peak extrinsic transconductance, drive current, and effective electron velocity are 550 μS/μm, 435 μA/μm, and ~2.9 × 10(7) cm/s, respectively, at 2 V supply voltage with a gate length of 120 nm. The excellent DC performance demonstrated here shows the potential of this bottom-up planar NW technology for low-power high-speed very-large-scale-integration (VLSI) circuits.

  9. MBE growth of nanowires using colloidal Ag nanoparticles

    NASA Astrophysics Data System (ADS)

    Bouravleuv, A. D.; Ilkiv, I. V.; Reznik, R. R.; Shtrom, I. V.; Khrebtov, A. I.; Samsonenko, Yu B.; Soshnikov, I. P.; Cirlin, G. E.; Lipsanen, H.

    2017-06-01

    Ag colloidal nanoparticles are used as a catalyst for growth of GaAs nanowires by the molecular beam epitaxy on the Si(111) and GaAs(111)B substrate surfaces. The scanning electron microscopy measurements revealed that the nanowire formation occurs in different ways on different substrates, but the parameters of the synthesized nanowires open great prospects for their further use.

  10. Effects of surface passivation on twin-free GaAs nanosheets.

    PubMed

    Arab, Shermin; Chi, Chun-Yung; Shi, Teng; Wang, Yuda; Dapkus, Daniel P; Jackson, Howard E; Smith, Leigh M; Cronin, Stephen B

    2015-02-24

    Unlike nanowires, GaAs nanosheets exhibit no twin defects, stacking faults, or dislocations even when grown on lattice mismatched substrates. As such, they are excellent candidates for optoelectronic applications, including LEDs and solar cells. We report substantial enhancements in the photoluminescence efficiency and the lifetime of passivated GaAs nanosheets produced using the selected area growth (SAG) method with metal organic chemical vapor deposition (MOCVD). Measurements are performed on individual GaAs nanosheets with and without an AlGaAs passivation layer. Both steady-state photoluminescence and time-resolved photoluminescence spectroscopy are performed to study the optoelectronic performance of these nanostructures. Our results show that AlGaAs passivation of GaAs nanosheets leads to a 30- to 40-fold enhancement in the photoluminescence intensity. The photoluminescence lifetime increases from less than 30 to 300 ps with passivation, indicating an order of magnitude improvement in the minority carrier lifetime. We attribute these enhancements to the reduction of nonradiative recombination due to the compensation of surface states after passivation. The surface recombination velocity decreases from an initial value of 2.5 × 10(5) to 2.7 × 10(4) cm/s with passivation.

  11. Hole-dominated transport in InSb nanowires grown on high-quality InSb films

    NASA Astrophysics Data System (ADS)

    Algarni, Zaina; George, David; Singh, Abhay; Lin, Yuankun; Philipose, U.

    2016-12-01

    We have developed an effective strategy for synthesizing p-type indium antimonide (InSb) nanowires on a thin film of InSb grown on glass substrate. The InSb films were grown by a chemical reaction between S b 2 S 3 and I n and were characterized by structural, compositional, and optical studies. Scanning electron microscopy (SEM) and atomic force microscopy (AFM) studies reveal that the surface of the substrate is covered with a polycrystalline InSb film comprised of sub-micron sized InSb islands. Energy dispersive X-ray (EDX) results show that the film is stoichiometric InSb. The optical constants of the InSb film, characterized using a variable-angle spectroscopic ellipsometer (VASE) shows a maximum value for refractive index at 3.7 near 1.8 eV, and the extinction coefficient (k) shows a maximum value 3.3 near 4.1 eV. InSb nanowires were subsequently grown on the InSb film with 20 nm sized Au nanoparticles functioning as the metal catalyst initiating nanowire growth. The InSb nanowires with diameters in the range of 40-60 nm exhibit good crystallinity and were found to be rich in Sb. High concentrations of anions in binary semiconductors are known to introduce acceptor levels within the band gap. This un-intentional doping of the InSb nanowire resulting in hole-dominated transport in the nanowires is demonstrated by the fabrication of a p-channel nanowire field effect transistor. The hole concentration and field effect mobility are estimated to be ≈1.3 × 1017 cm-3 and 1000 cm2 V-1 s-1, respectively, at room temperature, values that are particularly attractive for the technological implications of utilizing p-InSb nanowires in CMOS electronics.

  12. Self-equilibration of the radius distribution in self-catalyzed GaAs nanowires

    NASA Astrophysics Data System (ADS)

    Leshchenko, E. D.; Turchina, M. A.; Dubrovskii, V. G.

    2016-08-01

    This work addresses the evolution of radius distribution function in self-catalyzed vapor-liquid-solid growth of GaAs nanowires from Ga droplets. Different growth regimes are analyzed depending on the V/III flux ratio. In particular, we find a very unusual selfequilibration regime in which the radius distribution narrows up to a certain stationary radius regardless of the initial size distribution of Ga droplets. This requires that the arsenic vapor flux is larger than the gallium one and that the V/III influx imbalance is compensated by a diffusion flux of gallium adatoms. Approximate analytical solution is compared to the numerical radius distribution obtained by solving the corresponding Fokker-Planck equation by the implicit difference scheme.

  13. Crystal Orientation Controlled Photovoltaic Properties of Multilayer GaAs Nanowire Arrays.

    PubMed

    Han, Ning; Yang, Zai-Xing; Wang, Fengyun; Yip, SenPo; Li, Dapan; Hung, Tak Fu; Chen, Yunfa; Ho, Johnny C

    2016-06-28

    In recent years, despite significant progress in the synthesis, characterization, and integration of various nanowire (NW) material systems, crystal orientation controlled NW growth as well as real-time assessment of their growth-structure-property relationships still presents one of the major challenges in deploying NWs for practical large-scale applications. In this study, we propose, design, and develop a multilayer NW printing scheme for the determination of crystal orientation controlled photovoltaic properties of parallel GaAs NW arrays. By tuning the catalyst thickness and nucleation and growth temperatures in the two-step chemical vapor deposition, crystalline GaAs NWs with uniform, pure ⟨110⟩ and ⟨111⟩ orientations and other mixture ratios can be successfully prepared. Employing lift-off resists, three-layer NW parallel arrays can be easily attained for X-ray diffraction in order to evaluate their growth orientation along with the fabrication of NW parallel array based Schottky photovoltaic devices for the subsequent performance assessment. Notably, the open-circuit voltage of purely ⟨111⟩-oriented NW arrayed cells is far higher than that of ⟨110⟩-oriented NW arrayed counterparts, which can be interpreted by the different surface Fermi level pinning that exists on various NW crystal surface planes due to the different As dangling bond densities. All this indicates the profound effect of NW crystal orientation on physical and chemical properties of GaAs NWs, suggesting the careful NW design considerations for achieving optimal photovoltaic performances. The approach presented here could also serve as a versatile and powerful platform for in situ characterization of other NW materials.

  14. InGaAs quantum dots grown on B-type high index GaAs substrates: surface morphologies and optical properties

    NASA Astrophysics Data System (ADS)

    Liang, B. L.; Wang, Zh M.; Mazur, Yu I.; Strelchuck, V. V.; Holmes, K.; Lee, J. H.; Salamo, G. J.

    2006-06-01

    We systematically investigated the correlation between morphological and optical properties of InGaAs self-assembled quantum dots (QDs) grown by solid-source molecular beam epitaxy on GaAs (n 11)B (n = 9, 8, 7, 5, 3, 2) substrates. Remarkably, all InGaAs QDs on GaAs(n 11)B under investigation show optical properties superior to those for ones on GaAs(100) as regards the photoluminescence (PL) linewidth and intensity. The morphology for growth of InGaAs QDs on GaAs (n 11)B, where n = 9, 8, 7, 5, is observed to have a rounded shape with a higher degree of lateral ordering than that on GaAs(100). The optical property and the lateral ordering are best for QDs grown on a (511)B substrate surface, giving a strong correlation between lateral ordering and PL optical quality. Our results demonstrate the potential for high quality InGaAs QDs on GaAs(n 11)B for optoelectronic applications.

  15. In situ electronic probing of semiconducting nanowires in an electron microscope.

    PubMed

    Fauske, V T; Erlbeck, M B; Huh, J; Kim, D C; Munshi, A M; Dheeraj, D L; Weman, H; Fimland, B O; Van Helvoort, A T J

    2016-05-01

    For the development of electronic nanoscale structures, feedback on its electronic properties is crucial, but challenging. Here, we present a comparison of various in situ methods for electronically probing single, p-doped GaAs nanowires inside a scanning electron microscope. The methods used include (i) directly probing individual as-grown nanowires with a sharp nano-manipulator, (ii) contacting dispersed nanowires with two metal contacts and (iii) contacting dispersed nanowires with four metal contacts. For the last two cases, we compare the results obtained using conventional ex situ litho-graphy contacting techniques and by in situ, direct-write electron beam induced deposition of a metal (Pt). The comparison shows that 2-probe measurements gives consistent results also with contacts made by electron beam induced deposition, but that for 4-probe, stray deposition can be a problem for shorter nanowires. This comparative study demonstrates that the preferred in situ method depends on the required throughput and reliability. © 2015 The Authors Journal of Microscopy © 2015 Royal Microscopical Society.

  16. Isolating GaSb membranes grown metamorphically on GaAs substrates using highly selective substrate removal etch processes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lavrova, Olga; Balakrishnan, Ganesh

    2017-02-24

    The etch rates of NH 4OH:H 2O 2 and C 6H 8O 7:H 2O 2 for GaAs and GaSb have been investigated to develop a selective etch for GaAs substrates and to isolate GaSb epilayers grown on GaAs. The NH 4OH:H 2O 2 solution has a greater etch rate differential for the GaSb/GaAs material system than C 6H 8O 7:H 2O 2 solution. The selectivity of NH 4OH:H 2O 2 for GaAs/GaSb under optimized etch conditions has been observed to be as high as 11471 ± 1691 whereas that of C 6H 8O 7:H 2O 2 has been measured upmore » to 143 ± 2. The etch contrast has been verified by isolating 2 μm thick GaSb epi-layers that were grown on GaAs substrates. GaSb membranes were tested and characterized with high-resolution X-Ray diffraction (HR-XRD) and atomic force microscopy (AFM).« less

  17. High-efficiency GaAs and GaInP solar cells grown by all solid-state molecular-beam-epitaxy

    PubMed Central

    2011-01-01

    We report the initial results of GaAs and GaInP solar cells grown by all solid-state molecular-beam-epitaxy (MBE) technique. For GaAs single-junction solar cell, with the application of AlInP as the window layer and GaInP as the back surface field layer, the photovoltaic conversion efficiency of 26% at one sun concentration and air mass 1.5 global (AM1.5G) is realized. The efficiency of 16.4% is also reached for GaInP solar cell. Our results demonstrate that the MBE-grown phosphide-contained III-V compound semiconductor solar cell can be quite comparable to the metal-organic-chemical-vapor-deposition-grown high-efficiency solar cell. PMID:22040124

  18. Current–Voltage Characterization of Individual As-Grown Nanowires Using a Scanning Tunneling Microscope

    PubMed Central

    2013-01-01

    Utilizing semiconductor nanowires for (opto)electronics requires exact knowledge of their current–voltage properties. We report accurate on-top imaging and I–V characterization of individual as-grown nanowires, using a subnanometer resolution scanning tunneling microscope with no need for additional microscopy tools, thus allowing versatile application. We form Ohmic contacts to InP and InAs nanowires without any sample processing, followed by quantitative measurements of diameter dependent I–V properties with a very small spread in measured values compared to standard techniques. PMID:24059470

  19. Current-voltage characterization of individual as-grown nanowires using a scanning tunneling microscope.

    PubMed

    Timm, Rainer; Persson, Olof; Engberg, David L J; Fian, Alexander; Webb, James L; Wallentin, Jesper; Jönsson, Andreas; Borgström, Magnus T; Samuelson, Lars; Mikkelsen, Anders

    2013-11-13

    Utilizing semiconductor nanowires for (opto)electronics requires exact knowledge of their current-voltage properties. We report accurate on-top imaging and I-V characterization of individual as-grown nanowires, using a subnanometer resolution scanning tunneling microscope with no need for additional microscopy tools, thus allowing versatile application. We form Ohmic contacts to InP and InAs nanowires without any sample processing, followed by quantitative measurements of diameter dependent I-V properties with a very small spread in measured values compared to standard techniques.

  20. Confocal Raman studies in determining crystalline nature of PECVD grown Si nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ahmed, Nafis; Bhargav, P. Balaji; Ramasamy, P.

    2015-06-24

    Silicon nanowires of diameter ∼200 nm and length of 2-4 µm are grown in the plasma enhanced chemical vapour deposition technique using nanoclustered Au catalyst assisted vapour-liquid-solid process. The crystallinity in the as-grown and annealed samples is studied using confocal Raman spectroscopic studies. Amorphous phase is formed in the as-grown samples. Structural studies using high resolution transmission electron microscopy confirm the polycrystalline nature in the annealed sample.

  1. Influence of arsenic flow on the crystal structure of epitaxial GaAs grown at low temperatures on GaAs (100) and (111)A substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Galiev, G. B.; Klimov, E. A.; Vasiliev, A. L.

    The influence of arsenic flow in a growth chamber on the crystal structure of GaAs grown by molecular-beam epitaxy at a temperature of 240°C on GaAs (100) and (111)A substrates has been investigated. The flow ratio γ of arsenic As4 and gallium was varied in the range from 16 to 50. GaAs films were either undoped, or homogeneously doped with silicon, or contained three equidistantly spaced silicon δ-layers. The structural quality of the annealed samples has been investigated by transmission electron microscopy. It is established for the first time that silicon δ-layers in “low-temperature” GaAs serve as formation centers ofmore » arsenic precipitates. Their average size, concentration, and spatial distribution are estimated. The dependence of the film structural quality on γ is analyzed. Regions 100–150 nm in size have been revealed in some samples and identified (by X-ray microanalysis) as pores. It is found that, in the entire range of γ under consideration, GaAs films on (111)A substrates have a poorer structural quality and become polycrystalline beginning with a thickness of 150–200 nm.« less

  2. Mechanisms Determining the Structure of Gold-Catalyzed GaAs Nanowires Studied by in Situ X-ray Diffraction

    DOE PAGES

    Takahasi, Masamitu; Kozu, Miwa; Sasaki, Takuo; ...

    2015-09-02

    The evolution of polytypism during GaAs nanowire growth was investigated with in situ X-ray diffraction. The growth of nanowires was found to start with the formation of zincblende structure, followed by the growth of wurtzite structure. The growth process was well reproduced by a simulation based on a layer-by-layer nucleation model. The good agreement between the measured and simulated results confirms that nucleation costs higher energy for the stackings changing the crystal structure than for those conserving the preceding structure. The transition in prevalent structure can be accounted for by the change of local growth conditions related to the shapemore » of triple phase line rather than by the change in supersaturation level, which quickly reaches steady state after starting growth.« less

  3. Doping-enhanced radiative efficiency enables lasing in unpassivated GaAs nanowires

    PubMed Central

    Burgess, Tim; Saxena, Dhruv; Mokkapati, Sudha; Li, Zhe; Hall, Christopher R.; Davis, Jeffrey A.; Wang, Yuda; Smith, Leigh M.; Fu, Lan; Caroff, Philippe; Tan, Hark Hoe; Jagadish, Chennupati

    2016-01-01

    Nanolasers hold promise for applications including integrated photonics, on-chip optical interconnects and optical sensing. Key to the realization of current cavity designs is the use of nanomaterials combining high gain with high radiative efficiency. Until now, efforts to enhance the performance of semiconductor nanomaterials have focused on reducing the rate of non-radiative recombination through improvements to material quality and complex passivation schemes. Here we employ controlled impurity doping to increase the rate of radiative recombination. This unique approach enables us to improve the radiative efficiency of unpassivated GaAs nanowires by a factor of several hundred times while also increasing differential gain and reducing the transparency carrier density. In this way, we demonstrate lasing from a nanomaterial that combines high radiative efficiency with a picosecond carrier lifetime ready for high speed applications. PMID:27311597

  4. Homojunction GaAs solar cells grown by close space vapor transport

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Boucher, Jason W.; Ritenour, Andrew J.; Greenaway, Ann L.

    2014-06-08

    We report on the first pn junction solar cells grown by homoepitaxy of GaAs using close space vapor transport (CSVT). Cells were grown both on commercial wafer substrates and on a CSVT absorber film, and had efficiencies reaching 8.1%, open circuit voltages reaching 909 mV, and internal quantum efficiency of 90%. The performance of these cells is partly limited by the electron diffusion lengths in the wafer substrates, as evidenced by the improved peak internal quantum efficiency in devices fabricated on a CSVT absorber film. Unoptimized highly-doped n-type emitters also limit the photocurrent, indicating that thinner emitters with reduced doping,more » and ultimately wider band gap window or surface passivation layers, are required to increase the efficiency.« less

  5. Properties of CsI, CsBr and GaAs thin films grown by pulsed laser deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Brendel, V M; Garnov, S V; Yagafarov, T F

    2014-09-30

    CsI, CsBr and GaAs thin films have been grown by pulsed laser deposition on glass substrates. The morphology and structure of the films have been studied using X-ray diffraction and scanning electron microscopy. The CsI and CsBr films were identical in stoichiometry to the respective targets and had a polycrystalline structure. Increasing the substrate temperature led to an increase in the density of the films. All the GaAs films differed in stoichiometry from the target. An explanation was proposed for this fact. The present results demonstrate that, when the congruent transport condition is not fulfilled, films identical in stoichiometry tomore » targets can be grown by pulsed laser deposition in the case of materials with a low melting point and thermal conductivity. (interaction of laser radiation with matter)« less

  6. Room temperature lasing of GaAs quantum wire vertical-cavity surface-emitting lasers grown on (7 7 5) B GaAs substrates by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Higuchi, Y.; Osaki, S.; Kitada, T.; Shimomura, S.; Takasuka, Y.; Ogura, M.; Hiyamizu, S.

    2006-06-01

    Self-organized GaAs/(GaAs) 4(AlAs) 2 quantum wires (QWRs) grown on (7 7 5) B-oriented GaAs substrates by molecular beam epitaxy have been applied to an active region of vertical-cavity surface-emitting lasers (VCSELs). The (7 7 5) B GaAs QWR-VCSEL with an aperture diameter of 3 μm lased at a wavelength of 765 nm with a threshold current of 0.38 mA at room temperature. This is the first demonstration of laser operation of the QWR-VCSEL by current injection. The light output was linearly polarized in the direction parallel to the QWRs due to the optical anisotropy of the self-organized (7 7 5) B GaAs QWRs.

  7. Protective capping and surface passivation of III-V nanowires by atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dhaka, Veer, E-mail: veer.dhaka@aalto.fi; Perros, Alexander; Kakko, Joona-Pekko

    2016-01-15

    Low temperature (∼200 °C) grown atomic layer deposition (ALD) films of AlN, TiN, Al{sub 2}O{sub 3}, GaN, and TiO{sub 2} were tested for protective capping and surface passivation of bottom-up grown III-V (GaAs and InP) nanowires (NWs), and top-down fabricated InP nanopillars. For as-grown GaAs NWs, only the AlN material passivated the GaAs surface as measured by photoluminescence (PL) at low temperatures (15K), and the best passivation was achieved with a few monolayer thick (2Å) film. For InP NWs, the best passivation (∼2x enhancement in room-temperature PL) was achieved with a capping of 2nm thick Al{sub 2}O{sub 3}. All othermore » ALD capping layers resulted in a de-passivation effect and possible damage to the InP surface. Top-down fabricated InP nanopillars show similar passivation effects as InP NWs. In particular, capping with a 2 nm thick Al{sub 2}O{sub 3} layer increased the carrier decay time from 251 ps (as-etched nanopillars) to about 525 ps. Tests after six months ageing reveal that the capped nanostructures retain their optical properties. Overall, capping of GaAs and InP NWs with high-k dielectrics AlN and Al{sub 2}O{sub 3} provides moderate surface passivation as well as long term protection from oxidation and environmental attack.« less

  8. GaAs Solar Cells Grown on Unpolished, Spalled Ge Substrates: Preprint

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cavalli, Alessandro; Johnston, Steven; Sulas, Dana

    Decreasing the cost of single-crystal substrates by wafer reuse techniques has long been sought for III-V solar cells. Controlled spalling of III-V devices is a possible pathway for epitaxial liftoff, which would help reduce costs, but chemo- mechanical polishing after liftoff tends to limit the potential cost savings. Growth on an unpolished spalled surface would be an additional step toward lower costs, but it is crucial to show high efficiency solar cell devices on these unprocessed substrates. In this study, we spalled 2-inch Ge wafers using a Ni stressor layer, and then grew GaAs solar cells by HVPE on themore » spalled Ge surface without any other surface treatment. We show a 12.8% efficient single-junction device, without anti-reflection coating, with quantum efficiency very close to identical devices grown by HVPE on non-spalled GaAs substrates. Demonstrating a high carrier collection on unpolished spalled wafers is a step toward reducing substrate-related liftoff and reuse costs.« less

  9. Micro-Photoluminescence (micro-PL) Study of Core-Shell GaAs/GaAsSb Nanowires Grown by Self-Assisted Molecular Beam Epitaxy

    DTIC Science & Technology

    2015-06-18

    public release; distribution is unlimited. Micro-Photoluminescence (micro-PL) Study of Core-Shell GaAs/GaAsSb Nanowires grown by Self-Assisted Molecular...U.S. Army Research Office P.O. Box 12211 Research Triangle Park, NC 27709-2211 GaAsSb, Core Shell Nanowires , Micro Photoluminescence...University 1601 East Market Street Greensboro, NC 27411 -0001 ABSTRACT Micro-Photoluminescence (micro-PL) Study of Core-Shell GaAs/GaAsSb Nanowires grown by

  10. Effect of a low-temperature-grown GaAs layer on InAs quantum-dot photoluminescence

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kosarev, A. N.; Chaldyshev, V. V., E-mail: chald.gvg@mail.ioffe.ru; Preobrazhenskii, V. V.

    2016-11-15

    The photoluminescence of InAs semiconductor quantum dots overgrown by GaAs in the low-temperature mode (LT-GaAs) using various spacer layers or without them is studied. Spacer layers are thin GaAs or AlAs layers grown at temperatures normal for molecular-beam epitaxy (MBE). Direct overgrowth leads to photoluminescence disappearance. When using a thin GaAs spacer layer, the photoluminescence from InAs quantum dots is partially recovered; however, its intensity appears lower by two orders of magnitude than in the reference sample in which the quantum-dot array is overgrown at normal temperature. The use of wider-gap AlAs as a spacer-layer material leads to the enhancementmore » of photoluminescence from InAs quantum dots, but it is still more than ten times lower than that of reference-sample emission. A model taking into account carrier generation by light, diffusion and tunneling from quantum dots to the LT-GaAs layer is constructed.« less

  11. Upright and Inverted Single-Junction GaAs Solar Cells Grown by Hydride Vapor Phase Epitaxy

    DOE PAGES

    Simon, John; Schulte, Kevin L.; Jain, Nikhil; ...

    2016-10-19

    Hydride vapor phase epitaxy (HVPE) is a low-cost alternative to conventional metal-organic vapor phase epitaxy (MOVPE) growth of III-V solar cells. In this work, we show continued improvement of the performance of HVPE-grown single-junction GaAs solar cells. We show over an order of magnitude improvement in the interface recombination velocity between GaAs and GaInP layers through the elimination of growth interrupts, leading to increased short-circuit current density and open-circuit voltage compared with cells with interrupts. One-sun conversion efficiencies as high as 20.6% were achieved with this improved growth process. Solar cells grown in an inverted configuration that were removed frommore » the substrate showed nearly identical performance to on-wafer cells, demonstrating the viability of HVPE to be used together with conventional wafer reuse techniques for further cost reduction. As a result, these devices utilized multiple heterointerfaces, showing the potential of HVPE for the growth of complex and high-quality III-V devices.« less

  12. Structural and optical characterization of GaAs nano-crystals selectively grown on Si nano-tips by MOVPE.

    PubMed

    Skibitzki, Oliver; Prieto, Ivan; Kozak, Roksolana; Capellini, Giovanni; Zaumseil, Peter; Arroyo Rojas Dasilva, Yadira; Rossell, Marta D; Erni, Rolf; von Känel, Hans; Schroeder, Thomas

    2017-03-01

    We present the nanoheteroepitaxial growth of gallium arsenide (GaAs) on nano-patterned silicon (Si) (001) substrates fabricated using a CMOS technology compatible process. The selective growth of GaAs nano-crystals (NCs) was achieved at 570 °C by MOVPE. A detailed structure and defect characterization study of the grown nano-heterostructures was performed using scanning transmission electron microscopy, x-ray diffraction, micro-Raman, and micro-photoluminescence (μ-PL) spectroscopy. The results show single-crystalline, nearly relaxed GaAs NCs on top of slightly, by the SiO 2 -mask compressively strained Si nano-tips (NTs). Given the limited contact area, GaAs/Si nanostructures benefit from limited intermixing in contrast to planar GaAs films on Si. Even though a few growth defects (e.g. stacking faults, micro/nano-twins, etc) especially located at the GaAs/Si interface region were detected, the nanoheterostructures show intensive light emission, as investigated by μ-PL spectroscopy. Achieving well-ordered high quality GaAs NCs on Si NTs may provide opportunities for superior electronic, photonic, or photovoltaic device performances integrated on the silicon technology platform.

  13. Straight single-crystalline germanium nanowires and their patterns grown on sol gel prepared gold/silica substrates

    NASA Astrophysics Data System (ADS)

    Pan, Zheng Wei; Dai, Sheng; Lowndes, Douglas H.

    2005-04-01

    Straight single-crystalline Ge nanowires with a uniform diameter distribution of 50-80 nm and lengths up to tens of micrometers were grown in a high yield on sol-gel prepared gold/silica substrates by using Ge powder as the Ge source. Detailed electron microscopy analyses show that the nanowires grow through a vapor-liquid-solid growth mechanism with gold nanoparticles located at the nanowire tips. By using transmission electron microscope grids as the shadow mask, the sol-gel technique can be readily adapted to prepare patterned film-like gold/silica substrates, so that regular micropatterns of Ge nanowires were obtained, which could facilitate the integration of Ge nanowires for characterization and devices.

  14. Strain relaxation and ambipolar electrical transport in GaAs/InSb core-shell nanowires.

    PubMed

    Rieger, Torsten; Zellekens, Patrick; Demarina, Natalia; Hassan, Ali Al; Hackemüller, Franz Josef; Lüth, Hans; Pietsch, Ullrich; Schäpers, Thomas; Grützmacher, Detlev; Lepsa, Mihail Ion

    2017-11-30

    The growth, crystal structure, strain relaxation and room temperature transport characteristics of GaAs/InSb core-shell nanowires grown using molecular beam epitaxy are investigated. Due to the large lattice mismatch between GaAs and InSb of 14%, a transition from island-based to layer-like growth occurs during the formation of the shell. High resolution transmission electron microscopy in combination with geometric phase analyses as well as X-ray diffraction with synchrotron radiation are used to investigate the strain relaxation and prove the existence of different dislocations relaxing the strain on zinc blende and wurtzite core-shell nanowire segments. While on the wurtzite phase only Frank partial dislocations are found, the strain on the zinc blende phase is relaxed by dislocations with perfect, Shockley partial and Frank partial dislocations. Even for ultrathin shells of about 2 nm thickness, the strain caused by the high lattice mismatch between GaAs and InSb is relaxed almost completely. Transfer characteristics of the core-shell nanowires show an ambipolar conductance behavior whose strength strongly depends on the dimensions of the nanowires. The interpretation is given based on an electronic band profile which is calculated for completely relaxed core/shell structures. The peculiarities of the band alignment in this situation implies simultaneously occupied electron and hole channels in the InSb shell. The ambipolar behavior is then explained by the change of carrier concentration in both channels by the gate voltage.

  15. Characterization of GaN nanowires grown on PSi, PZnO and PGaN on Si (111) substrates by thermal evaporation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shekari, Leila; Hassan, Haslan Abu; Thahab, Sabah M.

    2012-06-20

    In this research, we used an easy and inexpensive method to synthesize highly crystalline GaN nanowires (NWs); on different substrates such as porous silicon (PSi), porous zinc oxide (PZnO) and porous gallium nitride (PGaN) on Si (111) wafer by thermal evaporation using commercial GaN powder without any catalyst. Micro structural studies by scanning electron microscopy and transmission electron microscope measurements reveal the role of different substrates in the morphology, nucleation and alignment of the GaN nanowires. The degree of alignment of the synthesized nanowires does not depend on the lattice mismatch between wires and their substrates. Further structural and opticalmore » characterizations were performed using high resolution X-ray diffraction and energy-dispersive X-ray spectroscopy. Results indicate that the nanowires are of single-crystal hexagonal GaN. The quality and density of grown GaN nanowires for different substrates are highly dependent on the lattice mismatch between the nanowires and their substrates and also on the size of the porosity of the substrates. Nanowires grown on PGaN have the best quality and highest density as compared to nanowires on other substrates. By using three kinds of porous substrates, we are able to study the increase in the alignment and density of the nanowires.« less

  16. Toward optimized light utilization in nanowire arrays using scalable nanosphere lithography and selected area growth.

    PubMed

    Madaria, Anuj R; Yao, Maoqing; Chi, Chunyung; Huang, Ningfeng; Lin, Chenxi; Li, Ruijuan; Povinelli, Michelle L; Dapkus, P Daniel; Zhou, Chongwu

    2012-06-13

    Vertically aligned, catalyst-free semiconducting nanowires hold great potential for photovoltaic applications, in which achieving scalable synthesis and optimized optical absorption simultaneously is critical. Here, we report combining nanosphere lithography (NSL) and selected area metal-organic chemical vapor deposition (SA-MOCVD) for the first time for scalable synthesis of vertically aligned gallium arsenide nanowire arrays, and surprisingly, we show that such nanowire arrays with patterning defects due to NSL can be as good as highly ordered nanowire arrays in terms of optical absorption and reflection. Wafer-scale patterning for nanowire synthesis was done using a polystyrene nanosphere template as a mask. Nanowires grown from substrates patterned by NSL show similar structural features to those patterned using electron beam lithography (EBL). Reflection of photons from the NSL-patterned nanowire array was used as a measure of the effect of defects present in the structure. Experimentally, we show that GaAs nanowires as short as 130 nm show reflection of <10% over the visible range of the solar spectrum. Our results indicate that a highly ordered nanowire structure is not necessary: despite the "defects" present in NSL-patterned nanowire arrays, their optical performance is similar to "defect-free" structures patterned by more costly, time-consuming EBL methods. Our scalable approach for synthesis of vertical semiconducting nanowires can have application in high-throughput and low-cost optoelectronic devices, including solar cells.

  17. Photovoltaic Performance of a Nanowire/Quantum Dot Hybrid Nanostructure Array Solar Cell.

    PubMed

    Wu, Yao; Yan, Xin; Zhang, Xia; Ren, Xiaomin

    2018-02-23

    An innovative solar cell based on a nanowire/quantum dot hybrid nanostructure array is designed and analyzed. By growing multilayer InAs quantum dots on the sidewalls of GaAs nanowires, not only the absorption spectrum of GaAs nanowires is extended by quantum dots but also the light absorption of quantum dots is dramatically enhanced due to the light-trapping effect of the nanowire array. By incorporating five layers of InAs quantum dots into a 500-nm high-GaAs nanowire array, the power conversion efficiency enhancement induced by the quantum dots is six times higher than the power conversion efficiency enhancement in thin-film solar cells which contain the same amount of quantum dots, indicating that the nanowire array structure can benefit the photovoltaic performance of quantum dot solar cells.

  18. Photovoltaic Performance of a Nanowire/Quantum Dot Hybrid Nanostructure Array Solar Cell

    NASA Astrophysics Data System (ADS)

    Wu, Yao; Yan, Xin; Zhang, Xia; Ren, Xiaomin

    2018-02-01

    An innovative solar cell based on a nanowire/quantum dot hybrid nanostructure array is designed and analyzed. By growing multilayer InAs quantum dots on the sidewalls of GaAs nanowires, not only the absorption spectrum of GaAs nanowires is extended by quantum dots but also the light absorption of quantum dots is dramatically enhanced due to the light-trapping effect of the nanowire array. By incorporating five layers of InAs quantum dots into a 500-nm high-GaAs nanowire array, the power conversion efficiency enhancement induced by the quantum dots is six times higher than the power conversion efficiency enhancement in thin-film solar cells which contain the same amount of quantum dots, indicating that the nanowire array structure can benefit the photovoltaic performance of quantum dot solar cells.

  19. Structural modulation of nanowire interfaces grown over selectively disrupted single crystal surfaces

    NASA Astrophysics Data System (ADS)

    Garratt, E.; Nikoobakht, B.

    2015-08-01

    Recent breakthroughs in deterministic approaches to the fabrication of nanowire arrays have demonstrated the possibility of fabricating such networks using low-cost scalable methods. In this regard, we have developed a scalable growth platform for lateral fabrication of nanocrystals with high precision utilizing lattice match and symmetry. Using this planar architecture, a number of homo- and heterostructures have been demonstrated including ZnO nanowires grown over GaN. The latter combination produces horizontal, epitaxially formed crystals aligned in the plane of the substrate containing a very low number of intrinsic defects. We use such ordered structures as model systems in the interests of gauging the interfacial structural dynamics in relation to external stimuli. Nanosecond pulses of focused ion beams are used to slightly modify the substrate surface and selectively form lattice disorders in the path of nanowire growth to examine the nanocrystal, namely: its directionality and lattice defects. High resolution electron microscopies are used to reveal some interesting structural effects; for instance, a minimum threshold of surface defects that can divert nanowires. We also discuss data indicating formation of surface strains and show their mitigation during the growth process.

  20. Structural properties of GaAsN grown on (001) GaAs by metalorganic molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Ok, Young-Woo; Choi, Chel-Jong; Seong, Tae-Yeon; Uesugi, K.; Suemune, I.

    2001-07-01

    Detailed transmission electron microscopy (TEM) and transmission electron diffraction (TED) examination has been made of metalorganic molecular beam epitaxial GaAsN layers grown on (001) GaAs substrates. TEM results show that lateral composition modulation occurs in the GaAs1-xNx layer (x 6.75%). It is shown that increasing N composition and Se (dopant) concentration leads to poor crystallinity. It is also shown that the addition of Se increases N composition. Atomic force microscopy (AFM) results show that the surfaces of the samples experience a morphological change from faceting to islanding, as the N composition and Se concentration increase. Based on the TEM and AFM results, a simple model is given to explain the formation of the lateral composition modulation.

  1. The dependence of the wavelength on MBE growth parameters of GaAs quantum dot in AlGaAs NWs on Si (111) substrate

    NASA Astrophysics Data System (ADS)

    Reznik, R. R.; Shtrom, I. V.; Samsonenko, Yu B.; Khrebtov, A. I.; Soshnikov, I. P.; Cirlin, G. E.

    2017-11-01

    The data on the growth peculiarities and physical properties of GaAs insertions embedded in AlGaAs nanowires grown on Si (111) substrates by Au-assisted molecular beam epitaxy are presented. It is shown that by varying of the growth parameters it is possible to form structures like quantum dots emitting in a wide wavelengths range for both active and barrier parts. The technology proposed opens new possibilities for the integration of direct-band AIIIBV materials on silicon platform.

  2. Carbon acceptor incorporation in GaAs grown by metalorganic chemical vapor deposition: Arsine versus tertiarybutylarsine

    NASA Astrophysics Data System (ADS)

    Watkins, S. P.; Haacke, G.

    1991-10-01

    Undoped p-type GaAs epilayers were grown by low-pressure metalorganic chemical vapor deposition (MOCVD) at 650 °C and 76 Torr using either arsine or tertiarybutylarsine (TBA), and trimethylgallium (TMG). Extremely high-purity precursors were used in order to eliminate extrinsic doping effects. Carbon acceptors from the TMG were the dominant residual electrical impurities under all growth conditions. Temperature-dependent Hall measurements were used to make a quantitative comparison of the carbon acceptor concentrations for arsine- and TBA-grown epilayers over a range of As partial pressures. For a given group V partial pressure, we report a significant reduction in carbon acceptor incorporation using TBA compared with arsine under identical growth conditions.

  3. Secondary ion mass spectrometry study of ex situ annealing of epitaxial GaAs grown on Si substrates

    NASA Technical Reports Server (NTRS)

    Radhakrishnan, G.; Mccullough, O.; Cser, J.; Katz, J.

    1988-01-01

    Samples of epitaxial GaAs grown on (100) Si substrates using molecular beam epitaxy were annealed at four different temperatures, from 800 to 950 C. Following annealing, the samples were analyzed using secondary ion mass spectrometry. Depth profiles of Ga, As, and Si reveal optimum conditions for annealing, and place a lower limit on a damage threshold for GaAs/Si substrates.

  4. Catalyst-free, III-V nanowire photovoltaics

    NASA Astrophysics Data System (ADS)

    Davies, D. G.; Lambert, N.; Fry, P. W.; Foster, A.; Krysa, A. B.; Wilson, L. R.

    2014-05-01

    We report on room temperature, photovoltaic operation of catalyst-free GaAs p-i-n junction nanowire arrays. Growth studies were first performed to determine the optimum conditions for controlling the vertical and lateral growth of the nanowires. Following this, devices consisting of axial p-i-n junctions were fabricated by planarising the nanowire arrays with a hard baked polymer. We discuss the photovoltaic properties of this proof-of-concept device, and significant improvements to be made during the growth.

  5. HfO2 Gate Dielectric on (NH4)2S Passivated (100) GaAs Grown by Atomic Layer Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, P.T.; /Stanford U., Materials Sci. Dept.; Sun, Y.

    2007-09-28

    The interface between hafnium oxide grown by atomic layer deposition and (100) GaAs treated with HCl cleaning and (NH{sub 4}){sub 2}S passivation has been characterized. Synchrotron radiation photoemission core level spectra indicated successful removal of the native oxides and formation of passivating sulfides on the GaAs surface. Layer-by-layer removal of the hafnia film revealed a small amount of As{sub 2}O{sub 3} formed at the interface during the dielectric deposition. Traces of arsenic and sulfur out-diffusion into the hafnia film were observed after a 450 C post-deposition anneal, and may be the origins for the electrically active defects. Transmission electron microscopymore » cross section images showed thicker HfO{sub 2} films for a given precursor exposure on S-treated GaAs versus the non-treated sample. In addition, the valence-band and the conduction-band offsets at the HfO{sub 2}/GaAs interface were deduced to be 3.18 eV and a range of 0.87-0.97 eV, respectively. It appears that HCl+(NH{sub 4})2{sub S} treatments provide a superior chemical passivation for GaAs and initial surface for ALD deposition.« less

  6. Surface passivation and self-regulated shell growth in selective area-grown GaN-(Al,Ga)N core-shell nanowires.

    PubMed

    Hetzl, Martin; Winnerl, Julia; Francaviglia, Luca; Kraut, Max; Döblinger, Markus; Matich, Sonja; Fontcuberta I Morral, Anna; Stutzmann, Martin

    2017-06-01

    The large surface-to-volume ratio of GaN nanowires implicates sensitivity of the optical and electrical properties of the nanowires to their surroundings. The implementation of an (Al,Ga)N shell with a larger band gap around the GaN nanowire core is a promising geometry to seal the GaN surface. We investigate the luminescence and structural properties of selective area-grown GaN-(Al,Ga)N core-shell nanowires grown on Si and diamond substrates. While the (Al,Ga)N shell allows a suppression of yellow defect luminescence from the GaN core, an overall intensity loss due to Si-related defects at the GaN/(Al,Ga)N interface has been observed in the case of Si substrates. Scanning transmission electron microscopy measurements indicate a superior crystal quality of the (Al,Ga)N shell along the nanowire side facets compared to the (Al,Ga)N cap at the top facet. A nucleation study of the (Al,Ga)N shell reveals a pronounced bowing of the nanowires along the c-direction after a short deposition time which disappears for longer growth times. This is assigned to an initially inhomogeneous shell nucleation. A detailed study of the proceeding shell growth allows the formulation of a strain-driven self-regulating (Al,Ga)N shell nucleation model.

  7. Alignment nature of ZnO nanowires grown on polished and nanoscale etched lithium niobate surface through self-seeding thermal evaporation method

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mohanan, Ajay Achath; Parthiban, R.; Ramakrishnan, N., E-mail: ramakrishnan@monash.edu

    Highlights: • ZnO nanowires were grown directly on LiNbO{sub 3} surface for the first time by thermal evaporation. • Self-alignment of the nanowires due to step bunching of LiNbO{sub 3} surface is observed. • Increased roughness in surface defects promoted well-aligned growth of nanowires. • Well-aligned growth was then replicated in 50 nm deep trenches on the surface. • Study opens novel pathway for patterned growth of ZnO nanowires on LiNbO{sub 3} surface. - Abstract: High aspect ratio catalyst-free ZnO nanowires were directly synthesized on lithium niobate substrate for the first time through thermal evaporation method without the use ofmore » a buffer layer or the conventional pre-deposited ZnO seed layer. As-grown ZnO nanowires exhibited a crisscross aligned growth pattern due to step bunching of the polished lithium niobate surface during the nanowire growth process. On the contrary, scratches on the surface and edges of the substrate produced well-aligned ZnO nanowires in these defect regions due to high surface roughness. Thus, the crisscross aligned nature of high aspect ratio nanowire growth on the lithium niobate surface can be changed to well-aligned growth through controlled etching of the surface, which is further verified through reactive-ion etching of lithium niobate. The investigations and discussion in the present work will provide novel pathway for self-seeded patterned growth of well-aligned ZnO nanowires on lithium niobate based micro devices.« less

  8. Bottom-up and top-down fabrication of nanowire-based electronic devices: In situ doping of vapor liquid solid grown silicon nanowires and etch-dependent leakage current in InGaAs tunnel junctions

    NASA Astrophysics Data System (ADS)

    Kuo, Meng-Wei

    Semiconductor nanowires are important components in future nanoelectronic and optoelectronic device applications. These nanowires can be fabricated using either bottom-up or top-down methods. While bottom-up techniques can achieve higher aspect ratio at reduced dimension without having surface and sub-surface damage, uniform doping distributions with abrupt junction profiles are less challenging for top-down methods. In this dissertation, nanowires fabricated by both methods were systematically investigated to understand: (1) the in situ incorporation of boron (B) dopants in Si nanowires grown by the bottom-up vapor-liquid-solid (VLS) technique, and (2) the impact of plasma-induced etch damage on InGaAs p +-i-n+ nanowire junctions for tunnel field-effect transistors (TFETs) applications. In Chapter 2 and 3, the in situ incorporation of B in Si nanowires grown using silane (SiH4) or silicon tetrachloride (SiCl4) as the Si precursor and trimethylboron (TMB) as the p-type dopant source is investigated by I-V measurements of individual nanowires. The results from measurements using a global-back-gated test structure reveal nonuniform B doping profiles on nanowires grown from SiH4, which is due to simultaneous incorporation of B from nanowire surface and the catalyst during VLS growth. In contrast, a uniform B doping profile in both the axial and radial directions is achieved for TMBdoped Si nanowires grown using SiCl4 at high substrate temperatures. In Chapter 4, the I-V characteristics of wet- and dry-etched InGaAs p+-i-n+ junctions with different mesa geometries, orientations, and perimeter-to-area ratios are compared to evaluate the impact of the dry etch process on the junction leakage current properties. Different post-dry etch treatments, including wet etching and thermal annealing, are performed and the effectiveness of each is assessed by temperaturedependent I-V measurements. As compared to wet-etched control devices, dry-etched junctions have a significantly

  9. Additional compound semiconductor nanowires for photonics

    NASA Astrophysics Data System (ADS)

    Ishikawa, F.

    2016-02-01

    GaAs related compound semiconductor heterostructures are one of the most developed materials for photonics. Those have realized various photonic devices with high efficiency, e. g., lasers, electro-optical modulators, and solar cells. To extend the functions of the materials system, diluted nitride and bismide has been paid attention over the past decade. They can largely decrease the band gap of the alloys, providing the greater tunability of band gap and strain status, eventually suppressing the non-radiative Auger recombinations. On the other hand, selective oxidation for AlGaAs is a vital technique for vertical surface emitting lasers. That enables precisely controlled oxides in the system, enabling the optical and electrical confinement, heat transfer, and mechanical robustness. We introduce the above functions into GaAs nanowires. GaAs/GaAsN core-shell nanowires showed clear redshift of the emitting wavelength toward infrared regime. Further, the introduction of N elongated the carrier lifetime at room temperature indicating the passivation of non-radiative surface recombinations. GaAs/GaAsBi nanowire shows the redshift with metamorphic surface morphology. Selective and whole oxidations of GaAs/AlGaAs core-shell nanowires produce semiconductor/oxide composite GaAs/AlGaOx and oxide GaOx/AlGaOx core-shell nanowires, respectively. Possibly sourced from nano-particle species, the oxide shell shows white luminescence. Those property should extend the functions of the nanowires for their application to photonics.

  10. Enhanced photovoltaic performance of an inclined nanowire array solar cell.

    PubMed

    Wu, Yao; Yan, Xin; Zhang, Xia; Ren, Xiaomin

    2015-11-30

    An innovative solar cell based on inclined p-i-n nanowire array is designed and analyzed. The results show that the inclined geometry can sufficiently increase the conversion efficiency of solar cells by enhancing the absorption of light in the active region. By tuning the nanowire array density, nanowire diameter, nanowire length, as well as the proportion of intrinsic region of the inclined nanowire solar cell, a remarkable efficiency in excess of 16% can be obtained in GaAs. Similar results have been obtained in InP and Si nanowire solar cells, demonstrating the universality of the performance enhancement of inclined nanowire arrays.

  11. Determination of the stacking fault density in highly defective single GaAs nanowires by means of coherent diffraction imaging

    NASA Astrophysics Data System (ADS)

    Davtyan, Arman; Biermanns, Andreas; Loffeld, Otmar; Pietsch, Ullrich

    2016-06-01

    Coherent x-ray diffraction imaging is used to measure diffraction patterns from individual highly defective nanowires, showing a complex speckle pattern instead of well-defined Bragg peaks. The approach is tested for nanowires of 500 nm diameter and 500 nm height predominately composed by zinc-blende (ZB) and twinned zinc-blende (TZB) phase domains. Phase retrieval is used to reconstruct the measured 2-dimensional intensity patterns recorded from single nanowires with 3.48 nm and 0.98 nm spatial resolution. Whereas the speckle amplitudes and distribution are perfectly reconstructed, no unique solution could be obtained for the phase structure. The number of phase switches is found to be proportional to the number of measured speckles and follows a narrow number distribution. Using data with 0.98 nm spatial resolution the mean number of phase switches is in reasonable agreement with estimates taken from TEM. However, since the resolved phase domain still is 3-4 times larger than a single GaAs bilayer we explain the non-ambiguous phase reconstruction by the fact that depending on starting phase and sequence of subroutines used during the phase retrieval the retrieved phase domain host a different sequence of randomly stacked bilayers. Modelling possible arrangements of bilayer sequences within a phase domain demonstrate that the complex speckle patterns measured can indeed be explained by the random arrangement of the ZB and TZB phase domains.

  12. ZnSe Window Layers for GaAs and GaInP2 Solar Cells

    NASA Technical Reports Server (NTRS)

    Olsen, Larry C.

    1997-01-01

    This report concerns studies of the use of n-type ZnSe as a window layer for n/p GaAs and GaInP2 solar cells. Emphasis was placed in this phase of the project on characterizing the interface between n-type ZnSe films grown on epi-GaAs films grown onto single crystal GaAs. Epi-GaAs and heteroepitaxial ZnSe films were grown by MOCVD with a Spire 50OXT Reactor. After growing epitaxial GaAs films on single crystal GaAs wafers, well-oriented crystalline ZnSe films were grown by MOCVD. ZnSe films were grown with substrate temperatures ranging from 250 C to 450 C. Photoluminescence studies carried out by researchers at NASA Lewis determined that the surface recombination velocity at a GaAs surface was significantly reduced after the deposition of a heteroepitaxial layer of ZnSe. The optimum temperature for ZnSe deposition appears to be on the order of 350 C.

  13. Near-thermal limit gating in heavily doped III-V semiconductor nanowires using polymer electrolytes

    NASA Astrophysics Data System (ADS)

    Ullah, A. R.; Carrad, D. J.; Krogstrup, P.; Nygârd, J.; Micolich, A. P.

    2018-02-01

    Doping is a common route to reducing nanowire transistor on-resistance but it has limits. A high doping level gives significant loss in gate performance and ultimately complete gate failure. We show that electrolyte gating remains effective even when the Be doping in our GaAs nanowires is so high that traditional metal-oxide gates fail. In this regime we obtain a combination of subthreshold swing and contact resistance that surpasses the best existing p -type nanowire metal-oxide semiconductor field-effect transistors (MOSFETs). Our subthreshold swing of 75 mV/dec is within 25 % of the room-temperature thermal limit and comparable with n -InP and n -GaAs nanowire MOSFETs. Our results open a new path to extending the performance and application of nanowire transistors, and motivate further work on improved solid electrolytes for nanoscale device applications.

  14. Investigation of ZnSe-coated silicon substrates for GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Huber, Daniel A.; Olsen, Larry C.; Dunham, Glen; Addis, F. William

    1993-01-01

    Studies are being carried out to determine the feasibility of using ZnSe as a buffer layer for GaAs solar cells grown on silicon. This study was motivated by reports in the literature indicating ZnSe films had been grown by metallorganic chemical vapor deposition (MOCVD) onto silicon with EPD values of 2 x 10(exp 5) cm(sup -2), even though the lattice mismatch between silicon and ZnSe is 4.16 percent. These results combined with the fact that ZnSe and GaAs are lattice matched to within 0.24 percent suggest that the prospects for growing high efficiency GaAs solar cells onto ZnSe-coated silicon are very good. Work to date has emphasized development of procedures for MOCVD growth of (100) ZnSe onto (100) silicon wafers, and subsequent growth of GaAs films on ZnSe/Si substrates. In order to grow high quality single crystal GaAs with a (100) orientation, which is desirable for solar cells, one must grow single crystal (100) ZnSe onto silicon substrates. A process for growth of (100) ZnSe was developed involving a two-step growth procedure at 450 C. Single crystal, (100) GaAs films were grown onto the (100) ZnSe/Si substrates at 610 C that are adherent and specular. Minority carrier diffusion lengths for the GaAs films grown on ZnSe/Si substrates were determined from photoresponse properties of Al/GaAs Schottky barriers. Diffusion lengths for n-type GaAs films are currently on the order of 0.3 microns compared to 2.0 microns for films grown simultaneously by homoepitaxy.

  15. High-efficiency thin-film GaAs solar cells, phase2

    NASA Technical Reports Server (NTRS)

    Yeh, Y. C. M.

    1981-01-01

    Thin GaAs epi-layers with good crystallographic quality were grown using a (100) Si-substrate on which a thin Ge epi-interlayer was grown by CVD from germane. Both antireflection-coated metal oxide semiconductor (AMOS) and n(+)/p homojunction structures were studied. The AMOS cells were fabricated on undoped-GaAs epi-layers deposited on bulk poly-Ge substrates using organo-metallic CVD film-growth, with the best achieved AM1 conversion efficiency being 9.1%. Both p-type and n(+)-type GaAs growth were optimized using 50 ppm dimethyl zinc and 1% hydrogen sulfide, respectively. A direct GaAs deposition method in fabricating ultra-thin top layer, epitaxial n(+)/p shallow homojunction solar cells on (100) GaAs substrates (without anodic thinning) was developed to produce large area (1 sq/cm) cells, with 19.4% AM1 conversion efficiency achieved. Additionally, an AM1 conversion efficiency of 18.4% (17.5% with 5% grid coverage) was achieved for a single crystal GaAs n(+)/p cell grown by OM-CVD on a Ge wafer.

  16. Ni3Si2 nanowires grown in situ on Ni foam for high-performance supercapacitors

    NASA Astrophysics Data System (ADS)

    Jiang, Yizhe; Li, Zhihui; Li, Beibei; Zhang, Jinying; Niu, Chunming

    2016-07-01

    Ni3Si2 nanowires and nanoawls have grown in situ on the surface of Ni foams by a controlled low pressure chemical vapor deposition process. Structural characterization shows that the individual Ni3Si2 nanowire is single crystal covered with a thin layer (1-2 nm) of SiO2 with a diameter of ∼20-30 nm and length of ten's micrometers. Individual nanoawl with a circular cone shape is polycrystalline. Both Ni3Si2 nanowire and nanoawl samples are evaluated as potential electrode materials for supercapacitors. The nanowire electrode delivers a very high specific capacitance and excellent rate capability. A specific capacitance of 760 F g-1 is measured at current density of 0.5 A g-1, which decreases to 518 F g-1 when the current density increases to 10 A g-1. The capacitance is dominated by pseudocapacitance with a mechanism similar to that of NiO or Ni(OH)2 widely studied in the literature. An asymmetric supercapacitor fabricated by pairing Ni3Si2 nanowire electrode with an activated carbon electrode exhibits energy densities of 17.5 Wh kg-1 and 8.8 Wh kg-1 at power densites of 301 W kg-1 and 3000 W kg-1.

  17. Short wavelength (visible) GaAs quantum well lasers grown by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Woodbridge, K.; Blood, P.; Fletcher, E.D.

    1984-07-01

    GaAs-AlGaAs multiple quantum well (MQW) injection lasers with well widths from 55 to 13 A have been grown by molecular beam epitaxy and operated at room temperature, showing emission at wavelengths down to 704 nm, the shortest reported for a MQW injection laser with GaAs wells. In a device with 25-A wells some evidence of coupling was apparent when barrier widths were reduced to 40 A. For devices with 80-A barriers there is a difference of about 20 nm between the calculated n = 1 (e--hh) transition wavelength and the lasing wavelength, whereas the calculation agrees with photovoltage absorption measurementsmore » on the same structures.« less

  18. Epitaxial nanowire formation in metamorphic GaAs/GaPAs short-period superlattices

    NASA Astrophysics Data System (ADS)

    Zheng, Nan; Ahrenkiel, S. Phillip

    2017-07-01

    Metamorphic growth presents routes to novel nanomaterials with unique properties that may be suitable for a range of applications. We discuss self-assembled, epitaxial nanowires formed during metalorganic chemical vapor deposition of metamorphic GaAs/GaPAs short-period superlattices. The heterostructures incorporate strain-engineered GaPAs compositional grades on 6°-<111>B miscut GaAs substrates. Lateral diffusion within the SPS into vertically aligned, three-dimensional columns results in nanowires extending along <110>A directions with a lateral period of 70-90 nm. The microstructure is probed by transmission electron microscopy to confirm the presence of coherent GaAs nanowires within GaPAs barriers. The compositional profile is inferred from analysis of {200} dark-field image contrast and <210> lattice images.

  19. Some optical and electron microscope comparative studies of excimer laser-assisted and nonassisted molecular-beam epitaxically grown thin GaAs films on Si

    NASA Technical Reports Server (NTRS)

    Lao, Pudong; Tang, Wade C.; Rajkumar, K. C.; Guha, S.; Madhukar, A.; Liu, J. K.; Grunthaner, F. J.

    1990-01-01

    The quality of GaAs thin films grown via MBE under pulsed excimer laser irradiation on Si substrates is examined in both laser-irradiated and nonirradiated areas using Raman scattering, Rayleigh scattering, and by photoluminescence (PL), as a function of temperature, and by TEM. The temperature dependence of the PL and Raman peak positions indicates the presence of compressive stress in the thin GaAs films in both laser-irradiated and nonirradiated areas. This indicates incomplete homogeneous strain relaxation by dislocations at the growth temperature. The residual compressive strain at the growth temperature is large enough such that even with the introduction of tensile strain arising from the difference in thermal expansion coefficients of GaAs and Si, a compressive strain is still present at room temperature for these thin GaAs/Si films.

  20. Terahertz radiation in In{sub 0.38}Ga{sub 0.62}As grown on a GaAs wafer with a metamorphic buffer layer under femtosecond laser excitation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ponomarev, D. S., E-mail: ponomarev-dmitr@mail.ru; Khabibullin, R. A.; Yachmenev, A. E.

    The results of time-domain spectroscopy of the terahertz (THz) generation in a structure with an In{sub 0.38}Ga{sub 0.62}As photoconductive layer are presented. This structure grown by molecular-beam epitaxy on a GaAs substrate using a metamorphic buffer layer allows THz generation with a wide frequency spectrum (to 6 THz). This is due to the additional contribution of the photo-Dember effect to THz generation. The measured optical-to-terahertz conversion efficiency in this structure is 10{sup –5} at a rather low optical fluence of ~40 μJ/cm{sup 2}, which is higher than that in low-temperature grown GaAs by almost two orders of magnitude.

  1. Scanning tunneling microscope study of GaAs(001) surfaces grown by migration enhanced epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, J.; Gallagher, M.C.; Willis, R.F.

    We report an investigation of the morphology of p-type GaAs(001) surfaces using scanning tunneling microscopy (STM). The substrates were prepared using two methods: migration enhanced epitaxy (MEE) and standard molecular-beam epitaxy (MBE). The STM measurements were performed ex situ using As decapping. Analysis indicates that the overall step density of the MEE samples decreases as the growth temperature is increased. Nominally flat samples grown at 300{degrees}C exhibited step densities of 10.5 steps/1000 {Angstrom} along [ 110] dropping to 2.5 steps at 580{degrees}C. MEE samples exhibited a lower step density than MBE samples. However as-grown surfaces exhibited a larger distribution ofmore » step heights. Annealing the samples reduced the step height distribution exposing fewer atomic layers. Samples grown by MEE at 580{degrees}C and annealed for 2 min displayed the lowest step density and the narrowest step height distribution. All samples displayed an anisotropic step density. We found a ratio of A-type to B-type steps of between 2 and 3 which directly reflects the difference in the incorporation energy at steps. The aspect ratio increased slightly with growth temperature. We found a similar aspect ratio on samples grown by MBE. This indicates that anisotropic growth during MEE, like MBE, is dominated by incorporation kinetics. MEE samples grown at 580{degrees}C and capped immediately following growth exhibited a number of {open_quotes}holes{close_quotes} in the surface. The holes could be eliminated by annealing the surface prior to quenching. 20 refs., 3 figs., 1 tab.« less

  2. Template-Assisted Scalable Nanowire Networks.

    PubMed

    Friedl, Martin; Cerveny, Kris; Weigele, Pirmin; Tütüncüoglu, Gozde; Martí-Sánchez, Sara; Huang, Chunyi; Patlatiuk, Taras; Potts, Heidi; Sun, Zhiyuan; Hill, Megan O; Güniat, Lucas; Kim, Wonjong; Zamani, Mahdi; Dubrovskii, Vladimir G; Arbiol, Jordi; Lauhon, Lincoln J; Zumbühl, Dominik M; Fontcuberta I Morral, Anna

    2018-04-11

    Topological qubits based on Majorana Fermions have the potential to revolutionize the emerging field of quantum computing by making information processing significantly more robust to decoherence. Nanowires are a promising medium for hosting these kinds of qubits, though branched nanowires are needed to perform qubit manipulations. Here we report a gold-free templated growth of III-V nanowires by molecular beam epitaxy using an approach that enables patternable and highly regular branched nanowire arrays on a far greater scale than what has been reported thus far. Our approach relies on the lattice-mismatched growth of InAs on top of defect-free GaAs nanomembranes yielding laterally oriented, low-defect InAs and InGaAs nanowires whose shapes are determined by surface and strain energy minimization. By controlling nanomembrane width and growth time, we demonstrate the formation of compositionally graded nanowires with cross-sections less than 50 nm. Scaling the nanowires below 20 nm leads to the formation of homogeneous InGaAs nanowires, which exhibit phase-coherent, quasi-1D quantum transport as shown by magnetoconductance measurements. These results are an important advance toward scalable topological quantum computing.

  3. Characterization of structural defects in SnSe2 thin films grown by molecular beam epitaxy on GaAs (111)B substrates

    NASA Astrophysics Data System (ADS)

    Tracy, Brian D.; Li, Xiang; Liu, Xinyu; Furdyna, Jacek; Dobrowolska, Margaret; Smith, David J.

    2016-11-01

    Tin selenide thin films have been grown by molecular beam epitaxy on GaAs (111)B substrates at a growth temperature of 150 °C, and a microstructural study has been carried out, primarily using the technique of transmission electron microscopy. The Se:Sn flux ratio during growth was systematically varied and found to have a strong impact on the resultant crystal structure and quality. Low flux ratios (Se:Sn=3:1) led to defective films consisting primarily of SnSe, whereas high flux ratios (Se:Sn>10:1) gave higher quality, single-phase SnSe2. The structure of the monoselenide films was found to be consistent with the Space Group Pnma with the epitaxial growth relationship of [011]SnSe// [ 1 1 bar 0 ] GaAs, while the diselenide films were consistent with the Space Group P 3 bar m1 , and had the epitaxial growth relationship [ 2 1 bar 1 bar 0 ]SnSe2// [ 1 1 bar 0 ] GaAs.

  4. Growth and characterization of molecular beam epitaxial GaAs layers on porous silicon

    NASA Technical Reports Server (NTRS)

    Lin, T. L.; Liu, J. K.; Sadwick, L.; Wang, K. L.; Kao, Y. C.

    1987-01-01

    GaAs layers have been grown on porous silicon (PS) substrates with good crystallinity by molecular beam epitaxy. In spite of the surface irregularity of PS substrates, no surface morphology deterioration was observed on epitaxial GaAs overlayers. A 10-percent Rutherford backscattering spectroscopy minimum channeling yield for GaAs-on-PS layers as compared to 16 percent for GaAs-on-Si layers grown under the same condition indicates a possible improvement of crystallinity when GaAs is grown on PS. Transmission electron microscopy reveals that the dominant defects in the GaAs-on-PS layers are microtwins and stacking faults, which originate from the GaAs/PS interface. GaAs is found to penetrate into the PS layers. n-type GaAs/p-type PS heterojunction diodes were fabricated with good rectifying characteristics.

  5. Ultrathin platinum nanowires grown on single-layered nickel hydroxide with high hydrogen evolution activity.

    PubMed

    Yin, Huajie; Zhao, Shenlong; Zhao, Kun; Muqsit, Abdul; Tang, Hongjie; Chang, Lin; Zhao, Huijun; Gao, Yan; Tang, Zhiyong

    2015-03-02

    Design and synthesis of effective electrocatalysts for hydrogen evolution reaction in alkaline environments is critical to reduce energy losses in alkaline water electrolysis. Here we report a hybrid nanomaterial comprising of one-dimensional ultrathin platinum nanowires grown on two-dimensional single-layered nickel hydroxide. Judicious surface chemistry to generate the fully exfoliated nickel hydroxide single layers is explored to be the key for controllable growth of ultrathin platinum nanowires with diameters of about 1.8 nm. Impressively, this hybrid nanomaterial exhibits superior electrocatalytic activity for hydrogen evolution reaction in alkaline solution, which outperforms currently reported catalysts, and the obviously improved catalytic stability. We believe that this work may lead towards the development of single-layered metal hydroxide-based hybrid materials for applications in catalysis and energy conversion.

  6. Insights into semiconductor nanowire conductivity using electrodeposition

    NASA Astrophysics Data System (ADS)

    Liu, C.; Salehzadeh, O.; Poole, P. J.; Watkins, S. P.; Kavanagh, K. L.

    2012-10-01

    Copper (Cu) and iron (Fe) electrical contacts to gallium arsenide (GaAs) and indium arsenide (InAs) nanowires (NWs) have been fabricated via electrodeposition. For undoped or low carbon-doped (1017/cm-3), p-type GaAs NWs, Cu or Fe nucleate and grow only on the gold catalyst at the NW tip, avoiding the sidewalls. Metal growth is limited by the Au contact resistance due to thick sidewall depletion layers. For InAs NWs and heavier-doped, core-shell (undoped core-C-doped shell) GaAs NWs, metal nucleation and growth occurs on the sidewalls as well as on the gold catalyst limited now by the ion electrolyte diffusivity.

  7. Resonant features of the terahertz generation in semiconductor nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Trukhin, V. N., E-mail: valera.truchin@mail.ioffe.ru; Bouravleuv, A. D.; Mustafin, I. A.

    2016-12-15

    The paper presents the results of experimental studies of the generation of terahertz radiation in periodic arrays of GaAs nanowires via excitation by ultrashort optical pulses. It is found that the generation of THz radiation exhibits resonant behavior due to the resonant excitation of cylindrical modes in the nanowires. At the optimal geometric parameters of the nanowire array, the generation efficiency is found to be higher than that for bulk p-InAs, which is one of the most effective coherent terahertz emitters.

  8. Interface demarcation in GaAs by current pulsing

    NASA Technical Reports Server (NTRS)

    Matthiesen, D. H.; Kafalas, J. A.; Duchene, G. A.; Bellows, A. H.

    1990-01-01

    GTE Laboratories is currently conducting a program to investigate the effect of convection in the melt on the properties of bulk grown gallium arsenide (GaAs). In addition to extensive ground based experimentation, a Get Away Special growth system has been developed to grow two GaAs crystals aboard the Space Shuttle, each with a one inch diameter. In order to perform a complete segregation analysis of the crystals grown in space, it is necessary to measure the interface shape and growth rate as well as the spatial distribution of the selenium dopant. The techniques for interface demarcation in selenium doped GaAs by current pulsing have been developed at GTE Laboratories and successful interface demarcation has been achieved for current pulses ranging from 20 to 90 amps, in both single crystal and polycrystalline regions.

  9. Exploring time-resolved photoluminescence for nanowires using a three-dimensional computational transient model.

    PubMed

    Ren, Dingkun; Scofield, Adam C; Farrell, Alan C; Rong, Zixuan; Haddad, Michael A; Laghumavarapu, Ramesh B; Liang, Baolai; Huffaker, Diana L

    2018-04-26

    Time-resolved photoluminescence (TRPL) has been implemented experimentally to measure the carrier lifetime of semiconductors for decades. For the characterization of nanowires, the rich information embedded in TRPL curves has not been fully interpreted and meaningfully mapped to the respective material properties. This is because their three-dimensional (3-D) geometries result in more complicated mechanisms of carrier recombination than those in thin films and analytical solutions cannot be found for those nanostructures. In this work, we extend the intrinsic power of TRPL by developing a full 3-D transient model, which accounts for different material properties and drift-diffusion, to simulate TRPL curves for nanowires. To show the capability of the model, we perform TRPL measurements on a set of GaAs nanowire arrays grown on silicon substrates and then fit the measured data by tuning various material properties, including carrier mobility, Shockley-Read-Hall recombination lifetime, and surface recombination velocity at the GaAs-Si heterointerface. From the resultant TRPL simulations, we numerically identify the lifetime characteristics of those material properties. In addition, we computationally map the spatial and temporal electron distributions in nanowire segments and reveal the underlying carrier dynamics. We believe this study provides a theoretical foundation for interpretation of TRPL measurements to unveil the complex carrier recombination mechanisms in 3-D nanostructured materials.

  10. Electrical characterisation of deep level defects in Be-doped AlGaAs grown on (100) and (311)A GaAs substrates by MBE

    PubMed Central

    2011-01-01

    The growth of high mobility two-dimensional hole gases (2DHGs) using GaAs-GaAlAs heterostructures has been the subject of many investigations. However, despite many efforts hole mobilities in Be-doped structures grown on (100) GaAs substrate remained considerably lower than those obtained by growing on (311)A oriented surface using silicon as p-type dopant. In this study we will report on the properties of hole traps in a set of p-type Be-doped Al0.29Ga0.71As samples grown by molecular beam epitaxy on (100) and (311)A GaAs substrates using deep level transient spectroscopy (DLTS) technique. In addition, the effect of the level of Be-doping concentration on the hole deep traps is investigated. It was observed that with increasing the Be-doping concentration from 1 × 1016 to 1 × 1017 cm-3 the number of detected electrically active defects decreases for samples grown on (311)A substrate, whereas, it increases for (100) orientated samples. The DLTS measurements also reveal that the activation energies of traps detected in (311)A are lower than those in (100). From these findings it is expected that mobilities of 2DHGs in Be-doped GaAs-GaAlAs devices grown on (311)A should be higher than those on (100). PMID:21711687

  11. Tolerance of GaAs as an original substrate for HVPE growth of free standing GaN

    NASA Astrophysics Data System (ADS)

    Suzuki, Mio; Sato, T.; Suemasu, T.; Hasegawa, F.

    2004-09-01

    In order to investigate possibility of thick GaN growth on a GaAs substrate by halide vapar phase epitaxy (HVPE), GaN was grown on GaAs(111)/Ti wafer with Ti deposited by E-gun. It was found that surface treatment of the GaAs substrate by HF solution deteriorated greatly the tolerence of GaAs and that Ti can protected GaAs from erosion by NH3. By depositing Ti on GaAs(111)A surface, a millor-like GaN layer could be grown at 1000 °C for 1 hour without serious deterioration of the original GaAs substrate. By increasing the growth rate, a thick free standing GaN will be obtained with GaAs as an original substrate in near future.

  12. Characterization of dilute species within CVD-grown silicon nanowires doped using trimethylboron: protected lift-out specimen preparation for atom probe tomography.

    PubMed

    Prosa, T J; Alvis, R; Tsakalakos, L; Smentkowski, V S

    2010-08-01

    Three-dimensional quantitative compositional analysis of nanowires is a challenge for standard techniques such as secondary ion mass spectrometry because of specimen size and geometry considerations; however, it is precisely the size and geometry of nanowires that makes them attractive candidates for analysis via atom probe tomography. The resulting boron composition of various trimethylboron vapour-liquid-solid grown silicon nanowires were measured both with time-of-flight secondary ion mass spectrometry and pulsed-laser atom probe tomography. Both characterization techniques yielded similar results for relative composition. Specialized specimen preparation for pulsed-laser atom probe tomography was utilized and is described in detail whereby individual silicon nanowires are first protected, then lifted out, trimmed, and finally wet etched to remove the protective layer for subsequent three-dimensional analysis.

  13. Performance characteristics of supercapacitor electrodes made of silicon carbide nanowires grown on carbon fabric

    NASA Astrophysics Data System (ADS)

    Gu, Lin; Wang, Yewu; Fang, Yanjun; Lu, Ren; Sha, Jian

    2013-12-01

    In this paper, we report the supercapacitor electrodes with excellent cycle stability, which are made of silicon carbide nanowires (SiC NWs) grown on flexible carbon fabric. A high areal capacitance of 23 mF cm-2 is achieved at a scan rate of 50 mV s-1 at room temperature and capacitances increase with the rise of the working temperature. Owing to the excellent thermal stability of SiC NWs and carbon fabric, no observable decrease of capacitance occurs at room temperature (20 °C) after 105 cycles, which satisfies the demands of the commercial applications. Further increasing the measurement temperature to 60 °C, 90% of the initial capacitance is still retained after 105 cycles. This study shows that silicon carbide nanowires on carbon fabric are a promising electrode material for high temperature and stable micro-supercapacitors.

  14. Adsorbed Molecules and Surface Treatment Effect on Optical Properties of ZnO Nanowires Grown by MOCVD

    NASA Astrophysics Data System (ADS)

    Jabri, S.; Souissi, H.; Sallet, V.; Lusson, A.; Meftah, A.; Galtier, P.; Oueslati, M.

    2017-07-01

    We have investigated the optical properties of ZnO nanowires grown by metalorganic chemical vapor deposition (MOCVD) with nitrous oxide (N2O) as oxygen precursor. Photoluminescence (PL) and Raman measurements showed the influence of adsorbed molecules on the optical properties. Low-temperature (4 K) PL studies on the surface exciton (SX) at 3.3660 eV elucidated the nature and origin of this emission. In particular, surface treatment by annealing at high temperature under inert gas reduced the emission intensity of SX. Raman vibrational spectra proved that presence of a considerable amount of adsorbed molecules on the surface of ZnO nanowires plays a key role in the occurrence of surface excitons.

  15. Self-assembly of single "square" quantum rings in gold-free GaAs nanowires.

    PubMed

    Zha, Guowei; Shang, Xiangjun; Su, Dan; Yu, Ying; Wei, Bin; Wang, Li; Li, Mifeng; Wang, Lijuan; Xu, Jianxing; Ni, Haiqiao; Ji, Yuan; Sun, Baoquan; Niu, Zhichuan

    2014-03-21

    Single nanostructures embedded within nanowires (NWs) represent one of the most promising technologies for applications in quantum photonics. However, fabrication imperfections and etching-induced defects are inevitable for top-down fabrications, whereas self-assembly bottom-up approaches cannot avoid the difficulties of its stochastic nature and are limited to restricted heterogeneous material systems. Here we demonstrate the versatile self-assembly of single "square" quantum rings (QR) on the sidewalls of gold-free GaAs NWs for the first time. By tuning the deposition temperature, As overpressure and amount of gallium-droplets, we were able to control the density and morphology of the structure, yielding novel single quantum dots, QR, coupled QRs, and nano-antidots. A proposed model based on a strain-driven, transport-dependent nucleation of gallium droplets at high temperature accounts for the formation mechanism of these structures. We achieved a single-QR-in-NW structure, of which the optical properties were analyzed using micro-photoluminescence at 10 K and a spatially resolved cathodoluminescence technique at 77 K. The spectra show sharp discrete peaks; of these peaks, the narrowest linewidth (separation) was 578 μeV (1-3 meV), reflecting the quantized nature of the ring-type electronic states.

  16. Studies of molecular-beam epitaxy growth of GaAs on porous Si substrates

    NASA Technical Reports Server (NTRS)

    Mii, Y. J.; Kao, Y. C.; Wu, B. J.; Wang, K. L.; Lin, T. L.; Liu, J. K.

    1988-01-01

    GaAs has been grown on porous Si directly and on Si buffer layer-porous Si substrates by molecular-beam epitaxy. In the case of GaAs growth on porous Si, transmission electron microscopy (TEM) reveals that the dominant defects in GaAs layers grown on porous Si are microtwins and stacking faults, which originate from the GaAs/porous Si interface. GaAs is found to penetrate into the porous Si layers. By using a thin Si buffer layer (50 nm), GaAs penetration diminishes and the density of microtwins and stacking faults is largely reduced and localized at the GaAs/Si buffer interface. However, there is a high density of threading dislocations remaining. Both Si (100) aligned and four degree tilted substrates have been examined in this study. TEM results show no observable effect of the tilted substrates on the quality of the GaAs epitaxial layer.

  17. Vertical Silicon Nanowire Field Effect Transistors with Nanoscale Gate-All-Around

    NASA Astrophysics Data System (ADS)

    Guerfi, Youssouf; Larrieu, Guilhem

    2016-04-01

    Nanowires are considered building blocks for the ultimate scaling of MOS transistors, capable of pushing devices until the most extreme boundaries of miniaturization thanks to their physical and geometrical properties. In particular, nanowires' suitability for forming a gate-all-around (GAA) configuration confers to the device an optimum electrostatic control of the gate over the conduction channel and then a better immunity against the short channel effects (SCE). In this letter, a large-scale process of GAA vertical silicon nanowire (VNW) MOSFETs is presented. A top-down approach is adopted for the realization of VNWs with an optimum reproducibility followed by thin layer engineering at nanoscale. Good overall electrical performances were obtained, with excellent electrostatic behavior (a subthreshold slope (SS) of 95 mV/dec and a drain induced barrier lowering (DIBL) of 25 mV/V) for a 15-nm gate length. Finally, a first demonstration of dual integration of n-type and p-type VNW transistors for the realization of CMOS inverter is proposed.

  18. Structure and Thermoelectric Properties of Bi2−xSbxTe3 Nanowires Grown in Flexible Nanoporous Polycarbonate Templates

    PubMed Central

    Datta, Anuja; Sangle, Abhijeet; Hardingham, Nick; Cooper, Charles; Kraan, Max; Ritchie, David; Narayan, Vijay; Kar-Narayan, Sohini

    2017-01-01

    We report the room-temperature growth of vertically aligned ternary Bi2−xSbxTe3 nanowires of diameter ~200 nm and length ~12 µm, within flexible track-etched nanoporous polycarbonate (PC) templates via a one-step electrodeposition process. Bi2−xSbxTe3 nanowires with compositions spanning the entire range from pure Bi2Te3 (x = 0) to pure Sb2Te3 (x = 2) were systematically grown within the nanoporous channels of PC templates from a tartaric–nitric acid based electrolyte, at the end of which highly crystalline nanowires of uniform composition were obtained. Compositional analysis showed that the Sb concentration could be tuned by simply varying the electrolyte composition without any need for further annealing of the samples. Thermoelectric properties of the Bi2−xSbxTe3 nanowires were measured using a standardized bespoke setup while they were still embedded within the flexible PC templates. PMID:28772915

  19. Effects of doping impurity and growth orientation on dislocation generation in GaAs crystals grown from the melt: A qualitative finite-element study

    NASA Astrophysics Data System (ADS)

    Zhu, X. A.; Tsai, C. T.

    2000-09-01

    Dislocations in gallium arsenide (GaAs) crystals are generated by excessive thermal stresses induced during the crystal growth process. The presence of dislocations has adverse effects on the performance and reliability of the GaAs-based devices. It is well known that dislocation density can be significantly reduced by doping impurity atoms into a GaAs crystal during its growth process. A viscoplastic constitutive equation that couples the microscopic dislocation density with the macroscopic plastic deformation is employed in a crystallographic finite element model for calculating the dislocation density generated in the GaAs crystal during its growth process. The dislocation density is considered as an internal state variable and the drag stress caused by doping impurity is included in this constitutive equation. A GaAs crystal grown by the vertical Bridgman process is adopted as an example to study the influences of doping impurity and growth orientation on dislocation generation. The calculated results show that doping impurity can significantly reduce the dislocation density generated in the crystal. The level of reduction is also influenced by the growth orientation during the crystal growth process.

  20. Giant dielectric permittivity in interrupted silver nanowires grown within mesoporous silica

    NASA Astrophysics Data System (ADS)

    Maity, Anupam; Samanta, Subha; Chatterjee, Soumi; Maiti, Ramaprasad; Biswas, Debasish; Saha, Shyamal K.; Chakravorty, Dipankar

    2018-06-01

    Nanoglasses in the system Ag2O–SiO2 were formed within the pores of mesoporous silica SBA-15 (Santa Barbara Amorphous). Silver nanowires of diameter 5 nm were grown within SBA-15 by the process of electrodeposition. The nanowires were disrupted by applying a suitable voltage pulse. Detailed transmission and scanning electron microscopy studies were carried out. The disrupted silver strands were found to have an average length of 90 nm. The density of interrupted strands was estimated from the electron micrographs and found to have values in the range (10–20)  ×  1010 cm‑2. Dielectric constant and dielectric loss factors of the nanocomposites of disrupted silver strand—containing Ag2O–SiO2 glass and SBA-15 were found to have values in the range 200–300 and 0.014–0.008 respectively at frequencies in the range 10 kHz–2 MHz. These values were found to be in satisfactory agreement with the theoretical model of Rice and Bernasconi emanating from the theory of Gorkhov and Eliashberg. These nanocomposites are expected to be useful in the fabrication of supercapacitors, after developing suitable electrode system for the material.

  1. Role of many-body effects in the coherent dynamics of excitons in low-temperature-grown GaAs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Webber, D.; Hacquebard, L.; Hall, K. C.

    2015-10-05

    Femtosecond four-wave mixing experiments on low-temperature-grown (LT-) GaAs indicate a polarization-dependent nonlinear optical response at the exciton, which we attribute to Coulomb-mediated coupling between excitons and electron-hole pairs simultaneously excited by the broad-bandwidth laser pulses. Strong suppression of the exciton response through screening by carriers injected by a third pump pulse was observed, an effect that is transient due to rapid carrier trapping. Our findings highlight the need to account for the complex interplay of disorder and many-body effects in the design of ultrafast optoelectronic devices using this material.

  2. Quality-enhanced In{sub 0.3}Ga{sub 0.7}As film grown on GaAs substrate with an ultrathin amorphous In{sub 0.6}Ga{sub 0.4}As buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gao, Fangliang; Li, Guoqiang, E-mail: msgli@scut.edu.cn

    2014-01-27

    Using low-temperature molecular beam epitaxy, amorphous In{sub 0.6}Ga{sub 0.4}As layers have been grown on GaAs substrates to act as buffer layers for the subsequent epitaxial growth of In{sub 0.3}Ga{sub 0.7}As films. It is revealed that the crystallinity of as-grown In{sub 0.3}Ga{sub 0.7}As films is strongly affected by the thickness of the large-mismatched amorphous In{sub 0.6}Ga{sub 0.4}As buffer layer. Given an optimized thickness of 2 nm, this amorphous In{sub 0.6}Ga{sub 0.4}As buffer layer can efficiently release the misfit strain between the In{sub 0.3}Ga{sub 0.7}As epi-layer and the GaAs substrate, trap the threading and misfit dislocations from propagating to the following In{sub 0.3}Ga{submore » 0.7}As epi-layer, and reduce the surface fluctuation of the as-grown In{sub 0.3}Ga{sub 0.7}As, leading to a high-quality In{sub 0.3}Ga{sub 0.7}As film with competitive crystallinity to that grown on GaAs substrate using compositionally graded In{sub x}Ga{sub 1-x}As metamorphic buffer layers. Considering the complexity of the application of the conventional In{sub x}Ga{sub 1-x}As graded buffer layers, this work demonstrates a much simpler approach to achieve high-quality In{sub 0.3}Ga{sub 0.7}As film on GaAs substrate and, therefore, is of huge potential for the InGaAs-based high-efficiency photovoltaic industry.« less

  3. Performance Enhancement of a GaAs Detector with a Vertical Field and an Embedded Thin Low-Temperature Grown Layer

    PubMed Central

    Currie, Marc; Dianat, Pouya; Persano, Anna; Martucci, Maria Concetta; Quaranta, Fabio; Cola, Adriano; Nabet, Bahram

    2013-01-01

    Low temperature growth of GaAs (LT-GaAs) near 200 °C results in a recombination lifetime of nearly 1 ps, compared with approximately 1 ns for regular temperature ∼600 °C grown GaAs (RT-GaAs), making it suitable for ultra high speed detection applications. However, LT-GaAs detectors usually suffer from low responsivity due to low carrier mobility. Here we report electro-optic sampling time response measurements of a detector that employs an AlGaAs heterojunction, a thin layer of LT-GaAs, a channel of RT-GaAs, and a vertical electric field that together facilitate collection of optically generated electrons while suppressing collection of lower mobility holes. Consequently, these devices have detection efficiency near that of RT-GaAs yet provide pulse widths nearly an order of magnitude faster—∼6 ps for a cathode-anode separation of 1.3 μm and ∼12 ps for distances more than 3 μm. PMID:23429510

  4. Temperature dependent growth of GaN nanowires using CVD technique

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, Mukesh, E-mail: mukeshjihrnp@gmail.com; Singh, R.; Kumar, Vikram

    2016-05-23

    Growth of GaN nanowires have been carried out on sapphire substrates with Au as a catalyst using chemical vapour deposition technique. GaN nanowires growth have been studied with the experimental parameter as growth temperature. Diameter of grown GaN nanowires are in the range of 50 nm to 100 nm while the nanowire length depends on growth temperature. Morphology of the GaN nanowires have been studied by scanning electron microscopy. Crystalline nature has been observed by XRD patterns. Optical properties of grown GaN nanowires have been investigated by photoluminescence spectra.

  5. A metal-semiconductor-metal detector based on ZnO nanowires grown on a graphene layer.

    PubMed

    Xu, Qiang; Cheng, Qijin; Zhong, Jinxiang; Cai, Weiwei; Zhang, Zifeng; Wu, Zhengyun; Zhang, Fengyan

    2014-02-07

    High quality ZnO nanowires (NWs) were grown on a graphene layer by a hydrothermal method. The ZnO NWs revealed higher uniform surface morphology and better structural properties than ZnO NWs grown on SiO2/Si substrate. A low dark current metal-semiconductor-metal photodetector based on ZnO NWs with Au Schottky contact has also been fabricated. The photodetector displays a low dark current of 1.53 nA at 1 V bias and a large UV-to-visible rejection ratio (up to four orders), which are significantly improved compared to conventional ZnO NW photodetectors. The improvement in UV detection performance is attributed to the existence of a surface plasmon at the interface of the ZnO and the graphene.

  6. Growth of Gallium Nitride Nanowires: A Study Using In Situ Transmission Electron Microscopy

    NASA Astrophysics Data System (ADS)

    Diaz Rivas, Rosa Estela

    Owing to their special characteristics, group III-Nitride semiconductors have attracted special attention for their application in a wide range of optoelectronic devices. Of particular interest are their direct and wide band gaps that span from ultraviolet to the infrared wavelengths. In addition, their stronger bonds relative to the other compound semiconductors makes them thermally more stable, which provides devices with longer life time. However, the lattice mismatch between these semiconductors and their substrates cause the as-grown films to have high dislocation densities, reducing the life time of devices that contain these materials. One possible solution for this problem is to substitute single crystal semiconductor nanowires for epitaxial films. Due to their dimensionality, semiconductor nanowires typically have stress-free surfaces and better physical properties. In order to employ semiconductor nanowires as building blocks for nanoscale devices, a precise control of the nanowires' crystallinity, morphology, and chemistry is necessary. This control can be achieved by first developing a deeper understanding of the processes involved in the synthesis of nanowires, and then by determining the effects of temperature and pressure on their growth. This dissertation focuses on understanding of the growth processes involved in the formation of GaN nanowires. Nucleation and growth events were observed in situ and controlled in real-time using an environmental transmission electron microscope. These observations provide a satisfactory elucidation of the underlying growth mechanism during the formation of GaN nanowires. Nucleation of these nanowires appears to follow the vapor-liquid-solid mechanism. However, nanowire growth is found to follow both the vapor-liquid-solid and vapor-solid-solid mechanisms. Direct evidence of the effects of III/V ratio on nanowire growth is also reported, which provides important information for tailoring the synthesis of Ga

  7. Quantitative and simultaneous analysis of the polarity of polycrystalline ZnO seed layers and related nanowires grown by wet chemical deposition.

    PubMed

    Guillemin, Sophie; Parize, Romain; Carabetta, Joseph; Cantelli, Valentina; Albertini, David; Gautier, Brice; Brémond, Georges; Fong, Dillon D; Renevier, Hubert; Consonni, Vincent

    2017-03-03

    The polarity in ZnO nanowires is an important issue since it strongly affects surface configuration and reactivity, nucleation and growth, electro-optical properties, and nanoscale-engineering device performances. However, measuring statistically the polarity of ZnO nanowire arrays grown by chemical bath deposition and elucidating its correlation with the polarity of the underneath polycrystalline ZnO seed layer grown by the sol-gel process represents a major difficulty. To address that issue, we combine resonant x-ray diffraction (XRD) at Zn K-edge using synchrotron radiation with piezoelectric force microscopy and polarity-sensitive chemical etching to statistically investigate the polarity of more than 10 7 nano-objects both on the macroscopic and local microscopic scales, respectively. By using high temperature annealing under an argon atmosphere, it is shown that the compact, highly c-axis oriented ZnO seed layer is more than 92% Zn-polar and that only a few small O-polar ZnO grains with an amount less than 8% are formed. Correlatively, the resulting ZnO nanowires are also found to be Zn-polar, indicating that their polarity is transferred from the c-axis oriented ZnO grains acting as nucleation sites in the seed layer. These findings pave the way for the development of new strategies to form unipolar ZnO nanowire arrays as a requirement for a number of nanoscale-engineering devices like piezoelectric nanogenerators. They also highlight the great advantage of resonant XRD as a macroscopic, non-destructive method to simultaneously and statistically measure the polarity of ZnO nanowire arrays and of the underneath ZnO seed layer.

  8. Quantitative and simultaneous analysis of the polarity of polycrystalline ZnO seed layers and related nanowires grown by wet chemical deposition

    NASA Astrophysics Data System (ADS)

    Guillemin, Sophie; Parize, Romain; Carabetta, Joseph; Cantelli, Valentina; Albertini, David; Gautier, Brice; Brémond, Georges; Fong, Dillon D.; Renevier, Hubert; Consonni, Vincent

    2017-03-01

    The polarity in ZnO nanowires is an important issue since it strongly affects surface configuration and reactivity, nucleation and growth, electro-optical properties, and nanoscale-engineering device performances. However, measuring statistically the polarity of ZnO nanowire arrays grown by chemical bath deposition and elucidating its correlation with the polarity of the underneath polycrystalline ZnO seed layer grown by the sol-gel process represents a major difficulty. To address that issue, we combine resonant x-ray diffraction (XRD) at Zn K-edge using synchrotron radiation with piezoelectric force microscopy and polarity-sensitive chemical etching to statistically investigate the polarity of more than 107 nano-objects both on the macroscopic and local microscopic scales, respectively. By using high temperature annealing under an argon atmosphere, it is shown that the compact, highly c-axis oriented ZnO seed layer is more than 92% Zn-polar and that only a few small O-polar ZnO grains with an amount less than 8% are formed. Correlatively, the resulting ZnO nanowires are also found to be Zn-polar, indicating that their polarity is transferred from the c-axis oriented ZnO grains acting as nucleation sites in the seed layer. These findings pave the way for the development of new strategies to form unipolar ZnO nanowire arrays as a requirement for a number of nanoscale-engineering devices like piezoelectric nanogenerators. They also highlight the great advantage of resonant XRD as a macroscopic, non-destructive method to simultaneously and statistically measure the polarity of ZnO nanowire arrays and of the underneath ZnO seed layer.

  9. Quantitative and simultaneous analysis of the polarity of polycrystalline ZnO seed layers and related nanowires grown by wet chemical deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Guillemin, Sophie; Parize, Romain; Carabetta, Joseph

    The polarity in ZnO nanowires is an important issue since it strongly affects surface configuration and reactivity, nucleation and growth, electro-optical properties, and nanoscaleengineering device performances. However, measuring statistically the polarity of ZnO nanowire arrays grown by chemical bath deposition and elucidating its correlation with the polarity of the underneath polycrystalline ZnO seed layer grown by the sol–gel process represents a major difficulty. To address that issue, we combine resonant x-ray diffraction (XRD) at Zn K-edge using synchrotron radiation with piezoelectric force microscopy and polarity-sensitive chemical etching to statistically investigate the polarity of more than 107 nano-objects both on themore » macroscopic and local microscopic scales, respectively. By using high temperature annealing under an argon atmosphere, it is shown that the compact, highly c-axis oriented ZnO seed layer is more than 92% Zn-polar and that only a few small O-polar ZnO grains with an amount less than 8% are formed. Correlatively, the resulting ZnO nanowires are also found to be Zn-polar, indicating that their polarity is transferred from the c-axis oriented ZnO grains acting as nucleation sites in the seed layer. These findings pave the way for the development of new strategies to form unipolar ZnO nanowire arrays as a requirement for a number of nanoscaleengineering devices like piezoelectric nanogenerators. They also highlight the great advantage of resonant XRD as a macroscopic, non-destructive method to simultaneously and statistically measure the polarity of ZnO nanowire arrays and of the underneath ZnO seed layer.« less

  10. Terahertz-radiation generation and detection in low-temperature-grown GaAs epitaxial films on GaAs (100) and (111)A substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Galiev, G. B.; Pushkarev, S. S., E-mail: s-s-e-r-p@mail.ru; Buriakov, A. M.

    The efficiency of the generation and detection of terahertz radiation in the range up to 3 THz by LT-GaAs films containing equidistant Si doping δ layers and grown by molecular beam epitaxy on GaAs (100) and (111)Ga substrates is studied by terahertz spectroscopy. Microstrip photoconductive antennas are fabricated on the film surface. Terahertz radiation is generated by exposure of the antenna gap to femtosecond optical laser pulses. It is shown that the intensity of terahertz radiation from the photoconductive antenna on LT-GaAs/GaAs (111)Ga is twice as large as the intensity of a similar antenna on LT-GaAs/GaAs(100) and the sensitivity ofmore » the antenna on LT-GaAs/GaAs (111)Ga as a terahertz-radiation detector exceeds that of the antenna on LT-GaAs/GaAs(100) by a factor of 1.4.« less

  11. Carrier thermalization dynamics in single zincblende and wurtzite InP Nanowires.

    PubMed

    Wang, Yuda; Jackson, Howard E; Smith, Leigh M; Burgess, Tim; Paiman, Suriati; Gao, Qiang; Tan, Hark Hoe; Jagadish, Chennupati

    2014-12-10

    Using transient Rayleigh scattering (TRS) measurements, we obtain photoexcited carrier thermalization dynamics for both zincblende (ZB) and wurtzite (WZ) InP single nanowires (NW) with picosecond resolution. A phenomenological fitting model based on direct band-to-band transition theory is developed to extract the electron-hole-plasma density and temperature as a function of time from TRS measurements of single nanowires, which have complex valence band structures. We find that the thermalization dynamics of hot carriers depends strongly on material (GaAs NW vs InP NW) and less strongly on crystal structure (ZB vs WZ). The thermalization dynamics of ZB and WZ InP NWs are similar. But a comparison of the thermalization dynamics in ZB and WZ InP NWs with ZB GaAs NWs reveals more than an order of magnitude slower relaxation for the InP NWs. We interpret these results as reflecting their distinctive phonon band structures that lead to different hot phonon effects. Knowledge of hot carrier thermalization dynamics is an essential component for effective incorporation of nanowire materials into electronic devices.

  12. Homoepitaxial n-core: p-shell gallium nitride nanowires: HVPE overgrowth on MBE nanowires.

    PubMed

    Sanders, Aric; Blanchard, Paul; Bertness, Kris; Brubaker, Matthew; Dodson, Christopher; Harvey, Todd; Herrero, Andrew; Rourke, Devin; Schlager, John; Sanford, Norman; Chiaramonti, Ann N; Davydov, Albert; Motayed, Abhishek; Tsvetkov, Denis

    2011-11-18

    We present the homoepitaxial growth of p-type, magnesium doped gallium nitride shells by use of halide vapor phase epitaxy (HVPE) on n-type gallium nitride nanowires grown by plasma-assisted molecular beam epitaxy (MBE). Scanning electron microscopy shows clear dopant contrast between the core and shell of the nanowire. The growth of magnesium doped nanowire shells shows little or no effect on the lattice parameters of the underlying nanowires, as measured by x-ray diffraction (XRD). Photoluminescence measurements of the nanowires show the appearance of sub-bandgap features in the blue and the ultraviolet, indicating the presence of acceptors. Finally, electrical measurements confirm the presence of electrically active holes in the nanowires.

  13. Understanding InP Nanowire Array Solar Cell Performance by Nanoprobe-Enabled Single Nanowire Measurements.

    PubMed

    Otnes, Gaute; Barrigón, Enrique; Sundvall, Christian; Svensson, K Erik; Heurlin, Magnus; Siefer, Gerald; Samuelson, Lars; Åberg, Ingvar; Borgström, Magnus T

    2018-05-09

    III-V solar cells in the nanowire geometry might hold significant synthesis-cost and device-design advantages as compared to thin films and have shown impressive performance improvements in recent years. To continue this development there is a need for characterization techniques giving quick and reliable feedback for growth development. Further, characterization techniques which can improve understanding of the link between nanowire growth conditions, subsequent processing, and solar cell performance are desired. Here, we present the use of a nanoprobe system inside a scanning electron microscope to efficiently contact single nanowires and characterize them in terms of key parameters for solar cell performance. Specifically, we study single as-grown InP nanowires and use electron beam induced current characterization to understand the charge carrier collection properties, and dark current-voltage characteristics to understand the diode recombination characteristics. By correlating the single nanowire measurements to performance of fully processed nanowire array solar cells, we identify how the performance limiting parameters are related to growth and/or processing conditions. We use this understanding to achieve a more than 7-fold improvement in efficiency of our InP nanowire solar cells, grown from a different seed particle pattern than previously reported from our group. The best cell shows a certified efficiency of 15.0%; the highest reported value for a bottom-up synthesized InP nanowire solar cell. We believe the presented approach have significant potential to speed-up the development of nanowire solar cells, as well as other nanowire-based electronic/optoelectronic devices.

  14. Nanowire–quantum-dot lasers on flexible membranes

    NASA Astrophysics Data System (ADS)

    Tatebayashi, Jun; Ota, Yasutomo; Ishida, Satomi; Nishioka, Masao; Iwamoto, Satoshi; Arakawa, Yasuhiko

    2018-06-01

    We demonstrate lasing in a single nanowire with quantum dots as an active medium embedded on poly(dimethylsiloxane) membranes towards application in nanowire-based flexible nanophotonic devices. Nanowire laser structures with 50 quantum dots are grown on patterned GaAs(111)B substrates and then transferred from the as-grown substrates on poly(dimethylsiloxane) transparent flexible organosilicon membranes, by means of spin-casting and curing processes. We observe lasing oscillation in the transferred single nanowire cavity with quantum dots at 1.425 eV with a threshold pump pulse fluence of ∼876 µJ/cm2, which enables the realization of high-performance multifunctional NW-based flexible photonic devices.

  15. Catalyst patterning for nanowire devices

    NASA Technical Reports Server (NTRS)

    Li, Jun (Inventor); Cassell, Alan M. (Inventor); Han, Jie (Inventor)

    2004-01-01

    Nanowire devices may be provided that are based on carbon nanotubes or single-crystal semiconductor nanowires. The nanowire devices may be formed on a substrate. Catalyst sites may be formed on the substrate. The catalyst sites may be formed using lithography, thin metal layers that form individual catalyst sites when heated, collapsible porous catalyst-filled microscopic spheres, microscopic spheres that serve as masks for catalyst deposition, electrochemical deposition techniques, and catalyst inks. Nanowires may be grown from the catalyst sites.

  16. Temperature Dependences of the Product of the Differential Resistance by the Area in MIS-Structures Based on Cd x Hg1- x Te Grown by Molecularbeam Epitaxy on Alternative Si and GaAs Substrates

    NASA Astrophysics Data System (ADS)

    Voitsekhovskii, A. V.; Nesmelov, S. N.; Dzyadukh, S. M.; Varavin, V. S.; Vasil'ev, V. V.; Dvoretskii, S. A.; Mikhailov, N. N.; Yakushev, M. V.; Sidorov, G. Yu.

    2017-06-01

    In a temperature range of 9-200 K, temperature dependences of the differential resistance of space-charge region in the strong inversion mode are experimentally studied for MIS structures based on CdxHg1-xTe (x = 0.22-0.40) grown by molecular-beam epitaxy. The effect of various parameters of structures: the working layer composition, the type of a substrate, the type of insulator coating, and the presence of a near-surface graded-gap layer on the value of the product of differential resistance by the area is studied. It is shown that the values of the product RSCRA for MIS structures based on n-CdHgTe grown on a Si(013) substrate are smaller than those for structures based on the material grown on a GaAs(013) substrate. The values of the product RSCRA for MIS structures based on p-CdHgTe grown on a Si(013) substrate are comparable with the value of the analogous parameter for MIS structures based on p-CdHgTe grown on a GaAs(013) substrate.

  17. Core-shell silicon nanowire solar cells

    PubMed Central

    Adachi, M. M.; Anantram, M. P.; Karim, K. S.

    2013-01-01

    Silicon nanowires can enhance broadband optical absorption and reduce radial carrier collection distances in solar cell devices. Arrays of disordered nanowires grown by vapor-liquid-solid method are attractive because they can be grown on low-cost substrates such as glass, and are large area compatible. Here, we experimentally demonstrate that an array of disordered silicon nanowires surrounded by a thin transparent conductive oxide has both low diffuse and specular reflection with total values as low as < 4% over a broad wavelength range of 400 nm < λ < 650 nm. These anti-reflective properties together with enhanced infrared absorption in the core-shell nanowire facilitates enhancement in external quantum efficiency using two different active shell materials: amorphous silicon and nanocrystalline silicon. As a result, the core-shell nanowire device exhibits a short-circuit current enhancement of 15% with an amorphous Si shell and 26% with a nanocrystalline Si shell compared to their corresponding planar devices. PMID:23529071

  18. Optical properties of Mg doped p-type GaN nanowires

    NASA Astrophysics Data System (ADS)

    Patsha, Avinash; Pandian, Ramanathaswamy; Dhara, S.; Tyagi, A. K.

    2015-06-01

    Mg doped p-type GaN nanowires are grown using chemical vapor deposition technique in vapor-liquid-solid (VLS) process. Morphological and structural studies confirm the VLS growth process of nanowires and wurtzite phase of GaN. We report the optical properties of Mg doped p-type GaN nanowires. Low temperature photoluminescence studies on as-grown and post-growth annealed samples reveal the successful incorporation of Mg dopants. The as-grwon and annealed samples show passivation and activation of Mg dopants, respectively, in GaN nanowires.

  19. The nature of catalyst particles and growth mechanisms of GaN nanowires grown by Ni-assisted metal-organic chemical vapor deposition.

    PubMed

    Weng, Xiaojun; Burke, Robert A; Redwing, Joan M

    2009-02-25

    The structure and chemistry of the catalyst particles that terminate GaN nanowires grown by Ni-assisted metal-organic chemical vapor deposition were investigated using a combination of electron diffraction, high-resolution transmission electron microscopy, and x-ray energy dispersive spectrometry. The crystal symmetry, lattice parameter, and chemical composition obtained reveal that the catalyst particles are Ni(3)Ga with an ordered L 1(2) structure. The results suggest that the catalyst is a solid particle during growth and therefore favor a vapor-solid-solid mechanism for the growth of GaN nanowires under these conditions.

  20. Fermi energy control of vacancy coalescence and dislocation density in melt-grown GaAs

    NASA Technical Reports Server (NTRS)

    Lagowski, J.; Gatos, H. C.; Lin, D. G.; Aoyama, T.

    1984-01-01

    A striking effect of the Fermi energy on the dislocation density in melt-grown GaAs has been discovered. Thus, a shift of the Fermi energy from 0.1 eV above to 0.2 eV below its intrinsic value (at high temperature, i.e., near 1100 K) increases the dislocation density by as much as five orders of magnitude. The Fermi energy shift was brought about by n-type and p-type doping at a level of about 10 to the 17th per cu cm (under conditions of optimum partial pressure of As, i.e., under optimum melt stoichiometry). This effect must be associated with the fact that the Fermi energy controls the charge state of vacancies (i.e., the occupancy of the associated electronic states) which in turn must control their tendency to coalesce and thus the dislocation density. It appears most likely that gallium vacancies are the critical species.

  1. Modelling of electron beam induced nanowire attraction

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bitzer, Lucas A.; Benson, Niels, E-mail: niels.benson@uni-due.de; Schmechel, Roland

    2016-04-14

    Scanning electron microscope (SEM) induced nanowire (NW) attraction or bundling is a well known effect, which is mainly ascribed to structural or material dependent properties. However, there have also been recent reports of electron beam induced nanowire bending by SEM imaging, which is not fully explained by the current models, especially when considering the electro-dynamic interaction between NWs. In this article, we contribute to the understanding of this phenomenon, by introducing an electro-dynamic model based on capacitor and Lorentz force interaction, where the active NW bending is stimulated by an electromagnetic force between individual wires. The model includes geometrical, electrical,more » and mechanical NW parameters, as well as the influence of the electron beam source parameters and is validated using in-situ observations of electron beam induced GaAs nanowire (NW) bending by SEM imaging.« less

  2. Design and fabrication of GaAs OMIST photodetector

    NASA Astrophysics Data System (ADS)

    Kang, Xuejun; Lin, ShiMing; Liao, Qiwei; Gao, Junhua; Liu, Shi'an; Cheng, Peng; Wang, Hongjie; Zhang, Chunhui; Wang, Qiming

    1998-08-01

    We designed and fabricated GaAs OMIST (Optical-controlled Metal-Insulator-Semiconductor Thyristor) device. Using oxidation of AlAs layer that is grown by MBE forms the Ultra- Thin semi-Insulating layer (UTI) of the GAAS OMIST. The accurate control and formation of high quality semi-insulating layer (AlxOy) are the key processes for fabricating GaAs OMIST. The device exhibits a current-controlled negative resistance region in its I-V characteristics. When illuminated, the major effect of optical excitation is the reduction of the switching voltage. If the GaAs OMIST device is biased at a voltage below its dark switching voltage Vs, sufficient incident light can switch OMIST from high impedance low current 'off' state to low impedance high current 'on' state. The absorbing material of OMIST is GaAS, so if the wavelength of incident light within 600 to approximately 850 nm can be detected effectively. It is suitable to be used as photodetector for digital optical data process. The other attractive features of GaAs OMIST device include suitable conducted current, switching voltage and power levels for OEIC, high switch speed and high sensitivity to light or current injection.

  3. Patterned radial GaAs nanopillar solar cells.

    PubMed

    Mariani, Giacomo; Wong, Ping-Show; Katzenmeyer, Aaron M; Léonard, Francois; Shapiro, Joshua; Huffaker, Diana L

    2011-06-08

    Photovoltaic devices using GaAs nanopillar radial p-n junctions are demonstrated by means of catalyst-free selective-area metal-organic chemical vapor deposition. Dense, large-area, lithographically defined vertical arrays of nanowires with uniform spacing and dimensions allow for power conversion efficiencies for this material system of 2.54% (AM 1.5 G) and high rectification ratio of 213 (at ±1 V). The absence of metal catalyst contamination results in leakage currents of ∼236 nA at -1 V. High-resolution scanning photocurrent microscopy measurements reveal the independent functioning of each nanowire in the array with an individual peak photocurrent of ∼1 nA at 544 nm. External quantum efficiency shows that the photocarrier extraction highly depends on the degenerately doped transparent contact oxide. Two different top electrode schemes are adopted and characterized in terms of Hall, sheet resistance, and optical transmittance measurements.

  4. Lateral epitaxial overgowth of GaAs by organometallic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Gale, R. P.; Mcclelland, R. W.; Fan, J. C. C.; Bozler, C. O.

    1982-01-01

    Lateral epitaxial overgrowth of GaAs by organometallic chemical vapor deposition has been demonstrated. Pyrolytic decomposition of trimethylgallium and arsine, without the use of HCl, was used to deposit GaAs on substrates prepared by coating (110) GaAs wafers with SiO2, then using photolithography to open narrow stripes in the oxide. Lateral overgrowth was seeded by epitaxial deposits formed on the GaAs surfaces exposed by the stripe openings. The extent of lateral overgrowth was investigated as a function of stripe orientation and growth temperature. Ratios of lateral to vertical growth rates greater than five have been obtained. The lateral growth is due to surface-kinetic control for the two-dimensional growth geometry studied. A continuous epitaxial GaAs layer 3 microns thick has been grown over a patterned mask on a GaAs substrate and then cleaved from the substrate.

  5. Vapor-solid-solid grown Ge nanowires at integrated circuit compatible temperature by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Zhu, Zhongyunshen; Song, Yuxin; Zhang, Zhenpu; Sun, Hao; Han, Yi; Li, Yaoyao; Zhang, Liyao; Xue, Zhongying; Di, Zengfeng; Wang, Shumin

    2017-09-01

    We demonstrate Au-assisted vapor-solid-solid (VSS) growth of Ge nanowires (NWs) by molecular beam epitaxy at the substrate temperature of ˜180 °C, which is compatible with the temperature window for Si-based integrated circuit. Low temperature grown Ge NWs hold a smaller size, similar uniformity, and better fit with Au tips in diameter, in contrast to Ge NWs grown at around or above the eutectic temperature of Au-Ge alloy in the vapor-liquid-solid (VLS) growth. Six ⟨110⟩ growth orientations were observed on Ge (110) by the VSS growth at ˜180 °C, differing from only one vertical growth direction of Ge NWs by the VLS growth at a high temperature. The evolution of NWs dimension and morphology from the VLS growth to the VSS growth is qualitatively explained by analyzing the mechanism of the two growth modes.

  6. Wafer-scale layer transfer of GaAs and Ge onto Si wafers using patterned epitaxial lift-off

    NASA Astrophysics Data System (ADS)

    Mieda, Eiko; Maeda, Tatsuro; Miyata, Noriyuki; Yasuda, Tetsuji; Kurashima, Yuichi; Maeda, Atsuhiko; Takagi, Hideki; Aoki, Takeshi; Yamamoto, Taketsugu; Ichikawa, Osamu; Osada, Takenori; Hata, Masahiko; Ogawa, Arito; Kikuchi, Toshiyuki; Kunii, Yasuo

    2015-03-01

    We have developed a wafer-scale layer-transfer technique for transferring GaAs and Ge onto Si wafers of up to 300 mm in diameter. Lattice-matched GaAs or Ge layers were epitaxially grown on GaAs wafers using an AlAs release layer, which can subsequently be transferred onto a Si handle wafer via direct wafer bonding and patterned epitaxial lift-off (ELO). The crystal properties of the transferred GaAs layers were characterized by X-ray diffraction (XRD), photoluminescence, and the quality of the transferred Ge layers was characterized using Raman spectroscopy. We find that, after bonding and the wet ELO processes, the quality of the transferred GaAs and Ge layers remained the same compared to that of the as-grown epitaxial layers. Furthermore, we realized Ge-on-insulator and GaAs-on-insulator wafers by wafer-scale pattern ELO technique.

  7. Nanowire dopant measurement using secondary ion mass spectrometry

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chia, A. C. E.; Boulanger, J. P.; Wood, B. A.

    2015-09-21

    A method is presented to improve the quantitative determination of dopant concentration in semiconductor nanowire (NW) arrays using secondary ion mass spectrometry (SIMS). SIMS measurements were used to determine Be dopant concentrations in a Be-doped GaAs thin film and NW arrays of various pitches that were dry-etched from the same film. A comparison of these measurements revealed a factor of 3 to 12 difference, depending on the NW array pitch, between the secondary Be ion yields of the film and the NW arrays, despite being identically doped. This was due to matrix effects and ion beam mixing of Be frommore » the NWs into the surrounding benzocyclobutene that was used to fill the space between the NWs. This indicates the need for etched NWs to be used as doping standards instead of 2D films when evaluating NWs of unknown doping by SIMS. Using the etched NWs as doping standards, NW arrays of various pitches grown by the vapour-liquid-solid mechanism were characterized by SIMS to yield valuable insights into doping mechanisms.« less

  8. Defect interactions in GaAs single crystals

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.; Lagowski, J.

    1984-01-01

    The two-sublattice structural configuration of GaAs and deviations from stoichiometry render the generation and interaction of electrically active point defects (and point defect complexes) critically important for device applications and very complex. Of the defect-induced energy levels, those lying deep into the energy band are very effective lifetime ""killers". The level 0.82 eV below the condition band, commonly referred to as EL2, is a major deep level, particularly in melt-grown GaAs. This level is associated with an antisite defect complex (AsGa - VAS). Possible mechanisms of its formation and its annihilation were further developed.

  9. Luminescence and Electroluminescence of Nd, Tm and Yb Doped GaAs and some II-Vi Compounds

    DTIC Science & Technology

    1994-02-28

    from the bandgap discontinuity (as was proposed in my publications [1,2]). Also, by using superlattice structure A1GaAs / GaAs: Er / AlGaAs, we could...n ipact ightemiting evic 10 3. The AlGaAs/GaAs: Er/A1GaAs superlattice structure. For the first time we designed the unipolar n’ - superlattice - n...structure as shown in Figure 5. The GaAs: Er/Alo.45Gao.55As superlattice was grown by MBE on an n’ GaAs: Si substrate. It consisted of 60 periods of

  10. LEED and AES characterization of the GaAs(110)-ZnSe interface

    NASA Technical Reports Server (NTRS)

    Tu, D.-W.; Kahn, A.

    1984-01-01

    In this paper, a study is conducted of the composition and structure of epitaxial ZnSe films grown by congruent evaporation on GaAs(110) at a rate of 2 A/min. It is found that the films grown on 300 C GaAs are nearly stoichiometric and form an abrupt interface with the substrate. Films grown at higher temperature (T greater than 350-400 C) are Se rich. The crystallinity of films grown at 300 C is good and their surface atomic geometry is identical to that of a ZnSe crystal. The GaAs-ZnSe interface geometry seems to be dominated by the Se-substrate bonds. The adsorption of Se, during the formation of very thin ZnSe films (2-3 A), produces a (1 x 2) LEED pattern and modifications of the LEED I-V profiles, which probably indicate a change in the substrate atomic relaxation.

  11. Length measurement and spatial orientation reconstruction of single nanowires.

    PubMed

    Prestopino, Giuseppe; Orsini, Andrea; Falconi, Christian; Bietti, Sergio; Verona-Rinati, Gianluca; Caselli, Federica; Bisegna, Paolo

    2018-06-27

    The accurate determination of the geometrical features of quasi one-dimensional nanostructures is mandatory for reducing errors and improving repeatability in the estimation of a number of geometry-dependent properties in nanotechnology. In this paper a method for the reconstruction of length and spatial orientation of single nanowires is presented. Those quantities are calculated from a sequence of scanning electron microscope images taken at different tilt angles using a simple 3D geometric model. The proposed method is evaluated on a collection of scanning electron microscope images of single GaAs nanowires. It is validated through the reconstruction of known geometric features of a standard reference calibration pattern. An overall uncertainty of about 1% in the estimated length of the nanowires is achieved. © 2018 IOP Publishing Ltd.

  12. Oxidation of GaAs substrates to enable β-Ga2O3 films for sensors and optoelectronic devices

    NASA Astrophysics Data System (ADS)

    Mao, Howard; Alhalaili, Badriyah; Kaya, Ahmet; Dryden, Daniel M.; Woodall, Jerry M.; Islam, M. Saif

    2017-08-01

    A very simple and inexpensive method for growing β-Ga2O3 films by heating GaAs wafers at high temperature in a furnace was found to contribute to large-area, high-quality β-Ga2O3 nanoscale thin films as well as nanowires depending on the growth conditions. We present the material characterization results including the optical band gap, Schottky barrier height with metal (gold), field ionization and photoconductance of β-Ga2O3 film and nanowires.

  13. Paper-based piezoelectric touch pads with hydrothermally grown zinc oxide nanowires.

    PubMed

    Li, Xiao; Wang, Yu-Hsuan; Zhao, Chen; Liu, Xinyu

    2014-12-24

    This paper describes a new type of paper-based piezoelectric touch pad integrating zinc oxide nanowires (ZnO NWs), which can serve as user interfaces in paper-based electronics. The sensing functionality of these touch pads is enabled by the piezoelectric property of ZnO NWs grown on paper using a simple, cost-efficient hydrothermal method. A piece of ZnO-NW paper with two screen-printed silver electrodes forms a touch button, and touch-induced electric charges from the button are converted into a voltage output using a charge amplifier circuit. A touch pad consisting of an array of buttons can be readily integrated into paper-based electronic devices, allowing user input of information for various purposes such as programming, identification checking, and gaming. This novel design features ease of fabrication, low cost, ultrathin structure, and good compatibility with techniques in printed electronics, and further enriches the available technologies of paper-based electronics.

  14. Germanium- and tellurium-doped GaAs for non-alloyed p-type and n-type ohmic contacts

    NASA Astrophysics Data System (ADS)

    Park, Joongseo; Barnes, Peter A.; Lovejoy, Michael L.

    1995-08-01

    Epitaxial ohmic contacts to GaAs were grown by liquid phase epitaxy. Heavily Ge-doped GaAs was grown to prepare ohmic contacts to p-GaAs while Te was used for the n-type contacts. Hall measurements were carried out for the samples grown from melts in which the mole fraction of Ge was varied between 1.55 atomic % and 52.2 atomic %, while the Te mole fractions varied between 0.03% and 0.5%. Specific contact resistance, rc, as low as rcp=2.9×10-6 ohm-cm 2 for Ge doping of p=(Na-Nd)=6.0×1019 holes/cm3 was measured for p-contacts and rcn=9.6×10-5 ohm-cm2 was measured for Te doping of n=(Nd-Na)=8.9×1018 electrons/cm3 for GaAs metallized with non-alloyed contacts of Ti/Al.

  15. High Quality GaAs Growth by MBE on Si Using GeSi Buffers and Prospects for Space Photovoltaics

    NASA Technical Reports Server (NTRS)

    Carlin, J. A.; Ringel, S. A.; Fitzgerald, E. A.; Bulsara, M.

    2005-01-01

    III-V solar cells on Si substrates are of interest for space photovoltaics since this would combine high performance space cells with a strong, lightweight and inexpensive substrate. However, the primary obstacles blocking III-V/Si cells from achieving high performance to date have been fundamental materials incompatabilities, namely the 4% lattice mismatch between GaAs and Si, and the large mismatch in thermal expansion coefficient. In this paper, we report on the molecular beam epitaxial (MBE) growth and properties of GaAs layers and single junction GaAs cells on Si wafers which utilize compositionally graded GeSi Intermediate buffers grown by ultra-high vacuum chemical vapor deposition (UHVCVD) to mitigate the large lattice mismatch between GaAs and Si. Ga As cell structures were found to incorporate a threading dislocation density of 0.9-1.5 x 10 (exp 6) per square centimeter, identical to the underlying relaxed Ge cap of the graded buffer, via a combination of transmission electron microscopy, electron beam induced current, and etch pit density measurements. AlGaAs/GaAs double heterostructures wre grown on the GeSi/Si substrates for time-resolved photoluminescence measurements, which revealed a bulk GaAs minority carrier lifetime in excess of 10 ns, the highest lifetime ever reported for GaAs on Si. A series of growth were performed to ass3ss the impact of a GaAs buffer to a thickness of only 0.1 micrometer. Secondary ion mass spectroscopy studies revealed that there is negligible cross diffusion of Ga, As and Ge at he III-V/Ge interface, identical to our earlier findings for GaAs grown on Ge wafers using MBE. This indicates that there is no need for a buffer to "bury" regions of high autodopjing,a nd that either pn or np configuration cells are easily accomodated by these substrates. Preliminary diodes and single junction Al Ga As heteroface cells were grown and fabricated on the Ge/GeSi/Si substrates for the first time. Diodes fabricated on GaAs, Ge and Ge

  16. An Analytic Approach for Optimal Geometrical Design of GaAs Nanowires for Maximal Light Harvesting in Photovoltaic Cells

    PubMed Central

    Wu, Dan; Tang, Xiaohong; Wang, Kai; Li, Xianqiang

    2017-01-01

    Semiconductor nanowires(NWs) with subwavelength scale diameters have demonstrated superior light trapping features, which unravel a new pathway for low cost and high efficiency future generation solar cells. Unlike other published work, a fully analytic design is for the first time proposed for optimal geometrical parameters of vertically-aligned GaAs NW arrays for maximal energy harvesting. Using photocurrent density as the light absorbing evaluation standard, 2 μm length NW arrays whose multiple diameters and periodicity are quantitatively identified achieving the maximal value of 29.88 mA/cm2 under solar illumination. It also turns out that our method has wide suitability for single, double and four different diameters of NW arrays for highest photon energy harvesting. To validate this analytical method, intensive numerical three-dimensional finite-difference time-domain simulations of the NWs’ light harvesting are also carried out. Compared with the simulation results, the predicted maximal photocurrent densities lie within 1.5% tolerance for all cases. Along with the high accuracy, through directly disclosing the exact geometrical dimensions of NW arrays, this method provides an effective and efficient route for high performance photovoltaic design. PMID:28425488

  17. Magnesium doping of efficient GaAs and Ga(0.75)In(0.25)As solar cells grown by metalorganic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Lewis, C. R.; Ford, C. W.; Werthen, J. G.

    1984-01-01

    Magnesium has been substituted for zinc in GaAs and Ga(0.75)In(0.25)As solar cells grown by metalorganic chemical vapor deposition (MOCVD). Bis(cyclopentadienyl)magnesium (Cp2Mg) is used as the MOCVD transport agent for Mg. Full retention of excellent material quality and efficient cell performance results. The substitution of Mg for Zn would enhance the abruptness and reproducibility of doping profiles, and facilitate high temperature processing and operation, due to the much lower diffusion coefficient of Mg, relative to Zn, in these materials.

  18. The electronic structure and effective excitonic g factors of GaAs/GaMnAs core-shell nanowires

    NASA Astrophysics Data System (ADS)

    Li, Dong-Xiao; Xiong, Wen

    2017-12-01

    We calculate the electronic structures of cylindrical GaAs/GaMnAs core-shell nanowires in the magnetic field based on the eight-band effective-mass kṡp theory, and it is found that the hole states can present strong band-crossings. The probability densities of several lowest electron states and highest hole states at the Γ point are analyzed, and strangely, the distribution of the electron states are more complex than that of the hole states. Furthermore, the components of the electron states will change substantially as the increase of the radius R, which are almost unchanged for the hole states. A very interesting phenomenon is that the effective excitonic g factors gex can be tuned from a large positive value for GaMnAs nanowires to a small negative value for GaAs nanowires, and gex of GaAs nanowires and GaMnAs nanowires will vary slightly and greatly, respectively as the increase of the magnetic field. Meanwhile, we can obtain large gex in cylindrical GaAs/GaMnAs core-shell nanowires when the small magnetic field, the large concentration of manganese ions, the small core radius and the small radius are chosen. Another important result is also found that the radiative intensities of two σ polarized lights can be separated gradually by decreasing the core radius Rc , which can be used to detect two σ polarized lights in the experiment.

  19. Green, yellow and bright red (In,Ga,Al)P-GaP diode lasers grown on high-index GaAs substrates

    NASA Astrophysics Data System (ADS)

    Ledentsov, N. N.; Shchukin, V. A.; Shernyakov, Yu. M.; Kulagina, M. M.; Payusov, A. S.; Gordeev, N. Yu.; Maximov, M. V.; Cherkashin, N. A.

    2017-02-01

    Low threshold current density (<400 A/cm2) injection lasing in (AlxGa1-x)0.5In0.5P-GaAs-based diodes down to the green spectral range (<570 nm) is obtained. The epitaxial structures are grown on high-index (611)A and (211)A GaAs substrates by metal-organic vapor phase epitaxy and contain tensile-strained GaP-enriched insertions aimed at preventing escape of the injected nonequilibrium electrons from the active region. Extended waveguide concept results in a vertical beam divergence with a full width at half maximum of 15o for (611)A substrates. The lasing at 569 nm is realized at 85 K. In the orange-red laser diode structure low threshold current density (200 A/cm2) in the orange spectral range (598 nm) is realized at 85 K. The latter devices demonstrate room temperature lasing at 628 nm at 2 kA/cm2 and a total power above 3W. The red laser diodes grown on (211)A substrates demonstrate vertically multimode lasing far field pattern indicating a lower optical confinement factor for the fundamental mode as compared to the devices grown on (611)A. However the temperature stability of the threshold current and the wavelength stability are significantly higher for (211)A-grown structures in agreement with the conduction band modeling data.

  20. Synthesis and characterization of silicon nanowire arrays for photovoltaic applications

    NASA Astrophysics Data System (ADS)

    Eichfeld, Sarah M.

    The overall objective of this thesis was the development of processes for the fabrication of radial p-n silicon nanowires (SiNWs) using bottom-up nanowire growth techniques on silicon and glass substrates. Vapor-liquid-solid (VLS) growth was carried out on Si(111) substrates using SiCl4 as the silicon precursor. Growth conditions including temperature, PSiCl4, PH2, and position were investigated to determine the optimum growth conditions for epitaxially oriented silicon nanowire arrays. The experiments revealed that the growth rate of the silicon nanowires exhibits a maximum as a function of PSiCl4 and P H2. Gas phase equilibrium calculations were used in conjunction with a mass transport model to explain the experimental data. The modeling results demonstrate a similar maximum in the mass of solid silicon predicted to form as a function of PSiCl4 and PH2, which results from a change in the gas phase concentration of SiHxCly and SiClx species. This results in a shift in the process from growth to etching with increasing PSiCl4. In general, for the atmospheric pressure conditions employed in this study, growth at higher temperatures >1000°C and higher SiCl4 concentrations gave the best results. The growth of silicon nanowire arrays on anodized alumina (AAO)-coated glass substrates was also investigated. Glass will not hold up to the high temperatures required for Si nanowire growth with SiCl4 so SiH 4 was used as the Si precursor instead. Initial studies were carried out to measure the resistivity of p-type and n-type silicon nanowires grown in freestanding AAO membranes. A series of nanowire samples were grown in which the doping and the nanowire length inside the membrane were varied. Circular metal contacts were deposited on the top surface of the membranes and the resistance of the nanowire arrays was measured. The measured resistance versus nanowire length was plotted and the nanowire resistivity was extracted from the slope. The resistivity of the silicon

  1. Photoluminescence spectroscopy and the effective mass theory of strained (In,Ga)As/GaAs heterostructures grown on (112)B GaAs substrates

    NASA Technical Reports Server (NTRS)

    Henderson, R. H.; Sun, D.; Towe, E.

    1995-01-01

    The photoluminescence characteristics of pseudomorphic In(0.19)Ga(0.81)As/GaAs quantum well structures grown on both the conventional (001) and the unconventional (112)B GaAs substrate are investigated. It is found that the emission spectra of the structures grown on the (112)B surface exhibit some spectral characteristics not observed on similar structures grown on the (001) surface. A spectral blue shift of the e yields hh1 transition with increasing optical pump intensity is observed for the quantum wells on the (112) surface. This shift is interpreted to be evidence of a strain-induced piezoelectric field. A second spectral feature located within the band gap of the In(0.19)Ga(0.81)As layer is also observed for the (112) structure; this feature is thought to be an impurity-related emission. The expected transition energies of the quantum well structures are calculated using the effective mass theory based on the 4 x 4 Luttinger valence band Hamiltonian, and related strain Hamiltonian.

  2. Single-crystalline chromium silicide nanowires and their physical properties.

    PubMed

    Hsu, Han-Fu; Tsai, Ping-Chen; Lu, Kuo-Chang

    2015-01-01

    In this work, chromium disilicide nanowires were synthesized by chemical vapor deposition (CVD) processes on Si (100) substrates with hydrous chromium chloride (CrCl3 · 6H2O) as precursors. Processing parameters, including the temperature of Si (100) substrates and precursors, the gas flow rate, the heating time, and the different flow gas of reactions were varied and studied; additionally, the physical properties of the chromium disilicide nanowires were measured. It was found that single-crystal CrSi2 nanowires with a unique morphology were grown at 700°C, while single-crystal Cr5Si3 nanowires were grown at 750°C in reducing gas atmosphere. The crystal structure and growth direction were identified, and the growth mechanism was proposed as well. This study with magnetism, photoluminescence, and field emission measurements demonstrates that CrSi2 nanowires are attractive choices for future applications in magnetic storage, photovoltaic, and field emitters.

  3. Gettering of donor impurities by V in GaAs and the growth of semi-insulating crystals

    NASA Technical Reports Server (NTRS)

    Ko, K. Y.; Lagowski, J.; Gatos, H. C.

    1989-01-01

    Vanadium added to the GaAs melt getters shallow donor impurities (Si and S) and decreases their concentration in the grown crystals. This gettering is driven by chemical reactions in the melt rather than in the solid. Employing V gettering, reproducibly semi-insulating GaAs were grown by horizontal Bridgman and liquid-encapsulated Czochralski techniques, although V did not introduce any midgap energy levels. The compensation mechanism in these crystals was controlled by the balance between the native midgap donor EL2 and residual shallow acceptors. Vanadium gettering contributed to the reduction of the concentration of shallow donors below the concentration of acceptors. The present findings clarify the long-standing controversy on the role of V in achieving semi-insulating GaAs.

  4. Wafer-Fused Orientation-Patterned GaAs

    DTIC Science & Technology

    2008-02-13

    frequencies utilizing existing industrial foundries. 15. SUBJECT TERMS Orientation-patterned Gallium Arsenide, hydride vapor phase epitaxy, quasi-phase... Gallium Arsenide, hydride vapor phase epitaxy, quasi-phase-matching, nonlinear frequency conversion 1. INTRODUCTION Quasi-phase-matching (QPM)1...and E. Lallier, “Second harmonic generation of CO2 laser using thick quasi-phase-matched GaAs layer grown by hydride vapour phase epitaxy

  5. GaAs Photovoltaics on Polycrystalline Ge Substrates

    NASA Technical Reports Server (NTRS)

    Wilt, David M.; Pal, AnnaMaria T.; McNatt, Jeremiah S.; Wolford, David S.; Landis, Geoffrey A.; Smith, Mark A.; Scheiman, David; Jenkins, Phillip P.; McElroy Bruce

    2007-01-01

    High efficiency III-V multijunction solar cells deposited on metal foil or even polymer substrates can provide tremendous advantages in mass and stowage, particularly for planetary missions. As a first step towards that goal, poly-crystalline p/i/n GaAs solar cells are under development on polycrystalline Ge substrates. Organo Metallic Vapor Phase Epitaxy (OMVPE) parameters for pre-growth bake, nucleation and deposition have been examined. Single junction p/i/n GaAs photovoltaic devices, incorporating InGaP front and back window layers, have been grown and processed. Device performance has shown a dependence upon the thickness of a GaAs buffer layer deposited between the Ge substrate and the active device structure. A thick (2 m) GaAs buffer provides for both increased average device performance as well as reduced sensitivity to variations in grain size and orientation. Illumination under IR light (lambda > 1 micron), the cells showed a Voc, demonstrating the presence of an unintended photoactive junction at the GaAs/Ge interface. The presence of this junction limited the efficiency to approx.13% (estimated with an anti-refection coating) due to the current mismatch and lack of tunnel junction interconnect.

  6. Substrate temperature dependence of ZnTe epilayers grown on GaAs(0 0 1) by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Zhao, Jie; Zeng, Yiping; Liu, Chao; Li, Yanbo

    2010-04-01

    ZnTe thin films have been grown on GaAs(0 0 1) substrates at different temperatures with constant Zn and Te beam equivalent pressures (BEPs) by molecular beam epitaxy (MBE). In situ reflection high-energy electron diffraction (RHEED) observation indicates that two-dimensional (2D) growth mode can be established after around one-minute three-dimensional (3D) nucleation by increasing the substrate temperature to 340 °C. We found that Zn desorption from the ZnTe surface is much greater than that of Te at higher temperatures, and estimated the Zn sticking coefficient by the evolution of growth rate. The Zn sticking coefficient decreases from 0.93 to 0.58 as the temperature is elevated from 320 to 400 °C. The ZnTe epilayer grown at 360 °C displays the narrowest full-width at half-maximum (FWHM) of 660 arcsec from (0 0 4) reflection in double-crystal X-ray rocking curve (DCXRC) measurements. The surface morphology of ZnTe epilayers is strongly dependent on the substrate temperature, and the root-mean-square (RMS) roughness diminishes drastically with the increase in temperature.

  7. Defects in GaAs films grown by MOMBE

    NASA Astrophysics Data System (ADS)

    Werner, K.; Heinecke, H.; Weyers, M.; Lüth, H.; Balk, P.

    1987-02-01

    The nature and densities of the defects obtained in MOMBE GaAs films have been studied. In addition to particulate matter deposited on the surface, imperfections in the substrate will lead to defect generation. Furthermore, the rate of generation is strongly affected by the ratio of the pressures of the group III alkyl and the group V hydride in the molecular beams and by the growth temperature, also on defect-free substrates. Doping has no effect on the defect structure of the surface. By proper choice of experimental conditions defect densities below 100 cm -2 may be consistently obtained.

  8. Topological insulator nanowires and nanowire hetero-junctions

    NASA Astrophysics Data System (ADS)

    Deng, Haiming; Zhao, Lukas; Wade, Travis; Konczykowski, Marcin; Krusin-Elbaum, Lia

    2014-03-01

    The existing topological insulator materials (TIs) continue to present a number of challenges to complete understanding of the physics of topological spin-helical Dirac surface conduction channels, owing to a relatively large charge conduction in the bulk. One way to reduce the bulk contribution and to increase surface-to-volume ratio is by nanostructuring. Here we report on the synthesis and characterization of Sb2Te3, Bi2Te3 nanowires and nanotubes and Sb2Te3/Bi2Te3 heterojunctions electrochemically grown in porous anodic aluminum oxide (AAO) membranes with varied (from 50 to 150 nm) pore diameters. Stoichiometric rigid polycrystalline nanowires with controllable cross-sections were obtained using cell voltages in the 30 - 150 mV range. Transport measurements in up to 14 T magnetic fields applied along the nanowires show Aharonov-Bohm (A-B) quantum oscillations with periods corresponding to the nanowire diameters. All nanowires were found to exhibit sharp weak anti-localization (WAL) cusps, a characteristic signature of TIs. In addition to A-B oscillations, new quantization plateaus in magnetoresistance (MR) at low fields (< 0 . 7T) were observed. The analysis of MR as well as I - V characteristics of heterojunctions will be presented. Supported in part by NSF-DMR-1122594, NSF-DMR-1312483-MWN, and DOD-W911NF-13-1-0159.

  9. Low voltage operation of GaN vertical nanowire MOSFET

    NASA Astrophysics Data System (ADS)

    Son, Dong-Hyeok; Jo, Young-Woo; Seo, Jae Hwa; Won, Chul-Ho; Im, Ki-Sik; Lee, Yong Soo; Jang, Hwan Soo; Kim, Dae-Hyun; Kang, In Man; Lee, Jung-Hee

    2018-07-01

    GaN gate-all-around (GAA) vertical nanowire MOSFET (VNWMOSFET) with channel length of 300 nm and diameter of 120 nm, the narrowest GaN-based vertical nanowire transistor ever achieved from the top-down approach, was fabricated by utilizing anisotropic side-wall wet etching in TMAH solution and photoresist etch-back process. The VNWMOSFET exhibited output characteristics with very low saturation drain voltage of less than 0.5 V, which is hardly observed from the wide bandgap-based devices. Simulation results indicated that the narrow diameter of the VNWMOSFET with relatively short channel length is responsible for the low voltage operation. The VNWMOSFET also demonstrated normally-off mode with threshold voltage (VTH) of 0.7 V, extremely low leakage current of ∼10-14 A, low drain-induced barrier lowering (DIBL) of 125 mV/V, and subthreshold swing (SS) of 66-122 mV/decade. The GaN GAA VNWMOSFET with narrow channel diameter investigated in this work would be promising for new low voltage logic application. He has been a Professor with the School of Electrical Engineering and Computer Science, Kyungpook National University, Daegu, Korea, since 1993

  10. Electrically Conductive and Optically Active Porous Silicon Nanowires

    PubMed Central

    Qu, Yongquan; Liao, Lei; Li, Yujing; Zhang, Hua; Huang, Yu; Duan, Xiangfeng

    2009-01-01

    We report the synthesis of vertical silicon nanowire array through a two-step metal-assisted chemical etching of highly doped n-type silicon (100) wafers in a solution of hydrofluoric acid and hydrogen peroxide. The morphology of the as-grown silicon nanowires is tunable from solid nonporous nanowires, nonporous/nanoporous core/shell nanowires, and entirely nanoporous nanowires by controlling the hydrogen peroxide concentration in the etching solution. The porous silicon nanowires retain the single crystalline structure and crystallographic orientation of the starting silicon wafer, and are electrically conductive and optically active with visible photoluminescence. The combination of electronic and optical properties in the porous silicon nanowires may provide a platform for the novel optoelectronic devices for energy harvesting, conversion and biosensing. PMID:19807130

  11. Strain relaxation induced surface morphology of heterogeneous GaInNAs layers grown on GaAs substrate

    NASA Astrophysics Data System (ADS)

    Gelczuk, Ł.; Jóźwiak, G.; Moczała, M.; Dłużewski, P.; Dąbrowska-Szata, M.; Gotszalk, T. P.

    2017-07-01

    The partially-relaxed heterogeneous GaInNAs layers grown on GaAs substrate by atmospheric pressure vapor phase epitaxy (AP-MOVPE) were investigated by transmission electron microscopy (TEM) and atomic force microscopy (AFM). The planar-view TEM image shows a regular 2D network of misfit dislocations oriented in two orthogonal 〈1 1 0〉 crystallographic directions at the (0 0 1) layer interface. Moreover, the cross-sectional view TEM image reveals InAs-rich and V-shaped precipitates in the near surface region of the GaInNAs epitaxial layer. The resultant undulating surface morphology, known as a cross-hatch pattern, is formed as observed by AFM. The numerical analysis of the AFM image of the GaInNAs layer surface with the well-defined cross-hatch morphology enabled us to determine a lower bound of actual density of misfit dislocations. However, a close correspondence between the asymmetric distribution of interfacial misfit dislocations and undulating surface morphology is observed.

  12. Thermodynamic assessment and binary nucleation modeling of Sn-seeded InGaAs nanowires

    NASA Astrophysics Data System (ADS)

    Ghasemi, Masoomeh; Selleby, Malin; Johansson, Jonas

    2017-11-01

    We have performed a thermodynamic assessment of the As-Ga-In-Sn system based on the CALculation of PHAse Diagram (CALPHAD) method. This system is part of a comprehensive thermodynamic database that we are developing for nanowire materials. Specifically, the As-Ga-In-Sn can be used in modeling the growth of GaAs, InAs, and InxGa1-xAs nanowires assisted by Sn liquid seeds. In this work, the As-Sn binary, the As-Ga-Sn, As-In-Sn, and Ga-In-Sn ternary systems have been thermodynamically assessed using the CALPHAD method. We show the relevant phase diagrams and property diagrams. They all show good agreement with experimental data. Using our optimized description we have modeled the nucleation of InxGa1-xAs in the zinc blende phase from a Sn-based quaternary liquid alloy using binary nucleation modeling. We have linked the composition of the solid nucleus to the composition of the liquid phase. Eventually, we have predicted the critical size of the nucleus that forms from InAs and GaAs pairs under various conditions. We believe that our modeling can guide future experimental realization of Sn-seeded InxGa1-xAs nanowires.

  13. Coherent Charge Transport in Ballistic InSb Nanowire Josephson Junctions

    PubMed Central

    Li, S.; Kang, N.; Fan, D. X.; Wang, L. B.; Huang, Y. Q.; Caroff, P.; Xu, H. Q.

    2016-01-01

    Hybrid InSb nanowire-superconductor devices are promising for investigating Majorana modes and topological quantum computation in solid-state devices. An experimental realisation of ballistic, phase-coherent superconductor-nanowire hybrid devices is a necessary step towards engineering topological superconducting electronics. Here, we report on a low-temperature transport study of Josephson junction devices fabricated from InSb nanowires grown by molecular-beam epitaxy and provide a clear evidence for phase-coherent, ballistic charge transport through the nanowires in the junctions. We demonstrate that our devices show gate-tunable proximity-induced supercurrent and clear signatures of multiple Andreev reflections in the differential conductance, indicating phase-coherent transport within the junctions. We also observe periodic modulations of the critical current that can be associated with the Fabry-Pérot interference in the nanowires in the ballistic transport regime. Our work shows that the InSb nanowires grown by molecular-beam epitaxy are of excellent material quality and hybrid superconducting devices made from these nanowires are highly desirable for investigation of the novel physics in topological states of matter and for applications in topological quantum electronics. PMID:27102689

  14. Nanowires precisely grown on the ends of microwire electrodes permit the recording of intracellular action potentials within deeper neural structures

    PubMed Central

    Ferguson, John E; Boldt, Christopher; Puhl, Joshua G; Stigen, Tyler W; Jackson, Jadin C; Crisp, Kevin M; Mesce, Karen A; Netoff, Theoden I; Redish, A David

    2012-01-01

    Aims Nanoelectrodes are an emerging biomedical technology that can be used to record intracellular membrane potentials from neurons while causing minimal damage during membrane penetration. Current nanoelectrode designs, however, have low aspect ratios or large substrates and thus are not suitable for recording from neurons deep within complex natural structures, such as brain slices. Materials & methods We describe a novel nanoelectrode design that uses nanowires grown on the ends of microwire recording electrodes similar to those frequently used in vivo. Results & discussion We demonstrate that these nanowires can record intracellular action potentials in a rat brain slice preparation and in isolated leech ganglia. Conclusion Nanoelectrodes have the potential to revolutionize intracellular recording methods in complex neural tissues, to enable new multielectrode array technologies and, ultimately, to be used to record intracellular signals in vivo. PMID:22475650

  15. Solution-grown silicon nanowires for lithium-ion battery anodes.

    PubMed

    Chan, Candace K; Patel, Reken N; O'Connell, Michael J; Korgel, Brian A; Cui, Yi

    2010-03-23

    Composite electrodes composed of silicon nanowires synthesized using the supercritical fluid-liquid-solid (SFLS) method mixed with amorphous carbon or carbon nanotubes were evaluated as Li-ion battery anodes. Carbon coating of the silicon nanowires using the pyrolysis of sugar was found to be crucial for making good electronic contact to the material. Using multiwalled carbon nanotubes as the conducting additive was found to be more effective for obtaining good cycling behavior than using amorphous carbon. Reversible capacities of 1500 mAh/g were observed for 30 cycles.

  16. Oxygen in GaAs - Direct and indirect effects

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.; Skowronski, M.; Pawlowicz, L.; Lagowski, J.

    1984-01-01

    Oxygen has profound effects on the key electronic properties and point defects of GaAs crystals. Thus, when added in the growth system, it decreases the free electron concentration and enhances the concentration of deep donors in the resulting crystals. Both of these effects are highly beneficial for achieving semi-insulating material and have been utilized for that purpose. They have been attributed to the tendency of oxygen to getter silicon impurities during crystal growth. Only recently, it has been found that oxygen in GaAs introduces also a midgap level, ELO, with essentially the same activation energy as EL2 but with four times greater electron capture cross section. The present report reassesses the electrical and optical properties of the midgap levels in GaAs crystals grown by the horizontal Bridgman (HB) and the Czochralski-LEC techniques. Emphasis is placed on the identification of the specific effects of ELO.

  17. Oriented epitaxial TiO2 nanowires for water splitting

    NASA Astrophysics Data System (ADS)

    Hou, Wenting; Cortez, Pablo; Wuhrer, Richard; Macartney, Sam; Bozhilov, Krassimir N.; Liu, Rong; Sheppard, Leigh R.; Kisailus, David

    2017-06-01

    Highly oriented epitaxial rutile titanium dioxide (TiO2) nanowire arrays have been hydrothermally grown on polycrystalline TiO2 templates with their orientation dependent on the underlying TiO2 grain. Both the diameter and areal density of the nanowires were tuned by controlling the precursor concentration, and the template surface energy and roughness. Nanowire tip sharpness was influenced by precursor solubility and diffusivity. A new secondary ion mass spectrometer technique has been developed to install additional nucleation sites in single crystal TiO2 templates and the effect on nanowire growth was probed. Using the acquired TiO2 nanowire synthesis knowhow, an assortment of nanowire arrays were installed upon the surface of undoped TiO2 photo-electrodes and assessed for their photo-electrochemical water splitting performance. The key result obtained was that the presence of short and dispersed nanowire arrays significantly improved the photocurrent when the illumination intensity was increased from 100 to 200 mW cm-2. This is attributed to the alignment of the homoepitaxially grown nanowires to the [001] direction, which provides the fastest charge transport in TiO2 and an improved pathway for photo-holes to find water molecules and undertake oxidation. This result lays a foundation for achieving efficient water splitting under conditions of concentrated solar illumination.

  18. Growth of semimetallic ErAs films epitaxially embedded in GaAs

    NASA Astrophysics Data System (ADS)

    Crook, Adam M.; Nair, Hari P.; Lee, Jong H.; Ferrer, Domingo A.; Akinwande, Deji; Bank, Seth R.

    2011-10-01

    We present models for the growth and electrical conductivity of ErAs films grown with the nanoparticle-seeded film growth technique. This growth mode overcomes the mismatch in rotational symmetry between the rocksalt ErAs crystal structure and the zincblende GaAs crystal structure. This results in films of ErAs grown through a thin film of GaAs that preserves the symmetry of the substrate. The conductivity of the films, as a function of film thickness, are investigated and a surface roughness model is used to explain observed trends. Transmission electron micrographs confirm the suppression of anti-phase domains. A simple diffusion model is developed to describe the diffusion and incorporation of surface erbium into subsurface ErAs layers and predict potential failure mechanisms of the growth method.

  19. Electrodeposited highly-ordered manganese oxide nanowire arrays for supercapacitors

    NASA Astrophysics Data System (ADS)

    Liu, Haifeng; Lu, Bingqiang; Wei, Shuiqiang; Bao, Mi; Wen, Yanxuan; Wang, Fan

    2012-07-01

    Large arrays of well-aligned Mn oxide nanowires were prepared by electrodeposition using anodic aluminum oxide templates. The sizes of nanowires were tuned by varying the electrotype solution involved and the MnO2 nanowires with 10 μm in length were obtained in a neutral KMnO4 bath for 1 h. MnO2 nanowire arrays grown on conductor substance save the tedious electrode-making process, and electrochemical characterization demonstrates that the MnO2 nanowire arrays electrode has good capacitive behavior. Due to the limited mass transportation in narrow spacing, the spacing effects between the neighbor nanowires have show great influence to the electrochemical performance.

  20. Electron Transport Properties of Ge nanowires

    NASA Astrophysics Data System (ADS)

    Hanrath, Tobias; Khondaker, Saiful I.; Yao, Zhen; Korgel, Brian A.

    2003-03-01

    Electron Transport Properties of Ge nanowires Tobias Hanrath*, Saiful I. Khondaker, Zhen Yao, Brian A. Korgel* *Dept. of Chemical Engineering, Dept. of Physics, Texas Materials Institute, and Center for Nano- and Molecular Science and Technology University of Texas at Austin, Austin, Texas 78712-1062 e-mail: korgel@mail.che.utexas.edu Germanium (Ge) nanowires with diameters ranging from 6 to 50 nm and several micrometer in length were grown via a supercritical fluid-liquid-solid synthesis. Parallel electron energy loss spectroscopy (PEELS) was employed to study the band structure and electron density in the Ge nanowires. The observed increase in plasmon peak energy and peak width with decreasing nanowire diameter is attributed to quantum confinement effects. For electrical characterization, Ge nanowires were deposited onto a patterned Si/SiO2 substrate. E-beam lithography was then used to form electrode contacts to individual nanowires. The influence of nanowire diameter, surface chemistry and crystallographic defects on electron transport properties were investigated and the comparison of Ge nanowire conductivity with respect to bulk, intrinsic Ge will be presented.

  1. Formation of Ordered and Disordered Dielectric/metal Nanowire Arrays and their Plasmonic Behavior

    DTIC Science & Technology

    2007-01-01

    sheath geometry. 2. EXPERIMENTAL PROCEDURES Several different nanowire systems have been grown, including random Ga2O3 nanowires, InAs...nanowires, ZnO nanowires, as well as Au lines produced by e-beam lithography. The growth of the Ga2O3 nanowires was achieved by the controlled oxidation...CLOSELY-SPACED PARALLEL ZnO NANOWIRES AND CROSSED Ga2O3 NANOWIRES. As discussed above, due to the far separation of the gold colloid catalyst in the

  2. Heteroepitaxial growth of GaAs on (100) Ge/Si using migration enhanced epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tanoto, H.; Loke, W. K.; Yoon, S. F.

    In this paper, heteroepitaxial growth of GaAs on nominal (100) Ge/Si substrate was investigated. The root-mean square surface roughness of the sample where the first few monolayers of the GaAs were nucleated by migration enhanced epitaxy (MEE) is four times smaller compared to the sample without such a process, indicating better surface planarity. From the (004) x-ray diffraction rocking curve measurement, the full width at half maximum of the GaAs layer nucleated by MEE is 40% lower compared to that of the GaAs layer without such a process, indicating better crystal quality. Furthermore, it was found that the sample wheremore » the GaAs layer was nucleated by MEE experienced early relaxation. As the MEE process promotes two-dimensional growth, the GaAs layer where nucleation was initiated by such a process has fewer islandlike formations. This leads to a pseudomorphically grown GaAs layer, which experiences higher strain compared to the GaAs layer with more islandlike formations, where most relaxation occurs on the free surface of the islands. Therefore, for the same layer thickness, the GaAs layer on (100) Ge/Si substrate where nucleation was initiated by MEE relaxed first.« less

  3. Conversion between hexagonal GaN and beta-Ga(2)O(3) nanowires and their electrical transport properties.

    PubMed

    Li, Jianye; An, Lei; Lu, Chenguang; Liu, Jie

    2006-02-01

    We have observed that the hexagonal GaN nanowires grown from a simple chemical vapor deposition method using gallium metal and ammonia gas are usually gallium-doped. By annealing in air, the gallium-doped hexagonal GaN nanowires could be completely converted to beta-Ga(2)O(3) nanowires. Annealing the beta-Ga(2)O(3) nanowires in ammonia could convert them back to undoped hexagonal GaN nanowires. Field effect transistors based on these three kinds of nanowires were fabricated, and their performances were studied. Because of gallium doping, the as-grown GaN nanowires show a weak gating effect. Through the conversion process of GaN nanowires (gallium-doped) --> Ga(2)O(3) nanowires --> GaN nanowires (undoped) via annealing, the final undoped GaN nanowires display different electrical properties than the initial gallium-doped GaN nanowires, show a pronounced n-type gating effect, and can be completely turned off.

  4. Lasing in a single nanowire with quantum dots

    NASA Astrophysics Data System (ADS)

    Tatebayashi, Jun; Arakawa, Yasuhiko

    2017-02-01

    Nanowire (NW) lasers have recently attracted increasing attention as ultra-small, highly-efficient coherent light emitters in the fields of nanophotonics, nano-optics and nanobiotechnology. Although there have been several demonstrations of single NW lasers utilizing bulk materials, it is crucial to incorporate lower-dimensional quantum nanostructures into the NW in order to achieve superior device performance with respect to threshold current, differential gain, modulation bandwidth and temperature sensitivity. The quantum dot (QD) is a useful and essential nanostructure that can meet these requirements. In this presentation, we will talk about our recent research activity regarding room temperature lasing of a single GaAs NW containing 50-stacked In0.2Ga0.8As/GaAs QDs. The NW cavities consist of multiple In0.2Ga0.8As/GaAs heterostructures acting as a QD active material, which are grown on shallow (<45 nm) GaAs core NWs and followed by GaAs/Al0.1Ga0.9As/GaAs core/shell/cap structures. Lasing oscillation is achieved at the emission wavelength of 900 nm by properly designing the NW cavity and tailoring the emission energy of each QD to enhance the optical gain. Obtained threshold pump pulse fluence is 179 μJ/cm2 at room temperature and the characteristics temperature is 133K which is higher than that of conventional bulk NW lasers. Our demonstration paves the way toward ultra-small lasers with extremely low-power consumption for integrated photonic systems. Furthermore, we will discuss our recent results on the demonstration of several types of NWQD lasers in order to improve the device performance of the NWQD lasers.

  5. Growth of single-crystalline cobalt silicide nanowires and their field emission property.

    PubMed

    Lu, Chi-Ming; Hsu, Han-Fu; Lu, Kuo-Chang

    2013-07-03

    In this work, cobalt silicide nanowires were synthesized by chemical vapor deposition processes on Si (100) substrates with anhydrous cobalt chloride (CoCl2) as precursors. Processing parameters, including the temperature of Si (100) substrates, the gas flow rate, and the pressure of reactions were varied and studied; additionally, the physical properties of the cobalt silicide nanowires were measured. It was found that single-crystal CoSi nanowires were grown at 850°C ~ 880°C and at a lower gas flow rate, while single-crystal Co2Si nanowires were grown at 880°C ~ 900°C. The crystal structure and growth direction were identified, and the growth mechanism was proposed as well. This study with field emission measurements demonstrates that CoSi nanowires are attractive choices for future applications in field emitters.

  6. Growth of single-crystalline cobalt silicide nanowires and their field emission property

    PubMed Central

    2013-01-01

    In this work, cobalt silicide nanowires were synthesized by chemical vapor deposition processes on Si (100) substrates with anhydrous cobalt chloride (CoCl2) as precursors. Processing parameters, including the temperature of Si (100) substrates, the gas flow rate, and the pressure of reactions were varied and studied; additionally, the physical properties of the cobalt silicide nanowires were measured. It was found that single-crystal CoSi nanowires were grown at 850°C ~ 880°C and at a lower gas flow rate, while single-crystal Co2Si nanowires were grown at 880°C ~ 900°C. The crystal structure and growth direction were identified, and the growth mechanism was proposed as well. This study with field emission measurements demonstrates that CoSi nanowires are attractive choices for future applications in field emitters. PMID:23819795

  7. Growth of lattice-matched GaInAsP grown on vicinal GaAs(001) substrates within the miscibility gap for solar cells

    DOE PAGES

    Oshima, Ryuji; France, Ryan M.; Geisz, John F.; ...

    2016-10-13

    The growth of quaternary Ga 0.68In 0.32As 0.35P 0.65 by metal-organic vapor phase epitaxy is very sensitive to growth conditions because the composition is within a miscibility gap. In this investigation, we fabricated 1 um-thick lattice-matched GaInAsP films grown on GaAs(001) for application to solar cells. In order to characterize the effect of the surface diffusion of adatoms on the material quality of alloys, the growth temperature and substrate miscut are varied. Transmission electron microscopy and two-dimensional in-situ multi-beam optical stress determine that growth temperatures of 650 degrees C and below enhance the formation of the CuPtB atomic ordering andmore » suppress material decomposition, which is found to occur at the growth surface. The root-mean-square (RMS) roughness is reduced from 33.6 nm for 750 degrees C to 1.62 nm for 650 degrees C, determined by atomic force microscopy. Our initial investigations show that the RMS roughness can be further reduced using increased miscut angle, and substrates miscut toward (111)A, leading to an RMS roughness of 0.56 nm for the sample grown at 600 degrees C on GaAs miscut 6 degrees toward (111)A. Using these conditions, we fabricate an inverted hetero-junction 1.62 eV Ga 0.68In 0.32As 0.35P 0.65 solar cell without an anti-reflection coating with a short-circuit current density, open-circuit voltage, fill factor, and efficiency of 12.23 mA/cm2, 1.12 V, 86.18%, and 11.80%, respectively.« less

  8. Shubnikov-de Haas measurements of the 2-D electron gas in pseudomorphic In(0.1)Ga(0.9)As grown on GaAs

    NASA Technical Reports Server (NTRS)

    Szydlic, P. P.; Alterovitz, S. A.; Haugland, E. J.; Segall, B.; Henderson, T. S.

    1988-01-01

    Shubnikov-de Hass (SdH) measurements performed on a 200 A layer of pseudomorphic In(0.10)Ga(0.90)As grown by MBE on undoped GaAs with an overlayer of Al(0.15)Ga(0.85)As are presented. These measurements were performed in magnetic fields up to 1.4 tesla at T in the range of 1.4-10 K. It was found that only one subband was populated with a density of 5.8 x 10 to the 11/cm-squared and an effective mass at the Fermi level m(asterisk) = (0.060 + or - 0.001)m(0).

  9. Visual Understanding of Light Absorption and Waveguiding in Standing Nanowires with 3D Fluorescence Confocal Microscopy

    PubMed Central

    2017-01-01

    Semiconductor nanowires are promising building blocks for next-generation photonics. Indirect proofs of large absorption cross sections have been reported in nanostructures with subwavelength diameters, an effect that is even more prominent in vertically standing nanowires. In this work we provide a three-dimensional map of the light around vertical GaAs nanowires standing on a substrate by using fluorescence confocal microscopy, where the strong long-range disruption of the light path along the nanowire is illustrated. We find that the actual long-distance perturbation is much larger in size than calculated extinction cross sections. While the size of the perturbation remains similar, the intensity of the interaction changes dramatically over the visible spectrum. Numerical simulations allow us to distinguish the effects of scattering and absorption in the nanowire leading to these phenomena. This work provides a visual understanding of light absorption in semiconductor nanowire structures, which is of high interest for solar energy conversion applications. PMID:28966933

  10. Visual Understanding of Light Absorption and Waveguiding in Standing Nanowires with 3D Fluorescence Confocal Microscopy.

    PubMed

    Frederiksen, Rune; Tutuncuoglu, Gozde; Matteini, Federico; Martinez, Karen L; Fontcuberta I Morral, Anna; Alarcon-Llado, Esther

    2017-09-20

    Semiconductor nanowires are promising building blocks for next-generation photonics. Indirect proofs of large absorption cross sections have been reported in nanostructures with subwavelength diameters, an effect that is even more prominent in vertically standing nanowires. In this work we provide a three-dimensional map of the light around vertical GaAs nanowires standing on a substrate by using fluorescence confocal microscopy, where the strong long-range disruption of the light path along the nanowire is illustrated. We find that the actual long-distance perturbation is much larger in size than calculated extinction cross sections. While the size of the perturbation remains similar, the intensity of the interaction changes dramatically over the visible spectrum. Numerical simulations allow us to distinguish the effects of scattering and absorption in the nanowire leading to these phenomena. This work provides a visual understanding of light absorption in semiconductor nanowire structures, which is of high interest for solar energy conversion applications.

  11. Photoluminescence and Band Alignment of Strained GaAsSb/GaAs QW Structures Grown by MBE on GaAs

    PubMed Central

    Sadofyev, Yuri G.; Samal, Nigamananda

    2010-01-01

    An in-depth optimization of growth conditions and investigation of optical properties including discussions on band alignment of GaAsSb/GaAs quantum well (QW) on GaAs by molecular beam epitaxy (MBE) are reported. Optimal MBE growth temperature of GaAsSb QW is found to be 470 ± 10 °C. GaAsSb/GaAs QW with Sb content ~0.36 has a weak type-II band alignment with valence band offset ratio QV ~1.06. A full width at half maximum (FWHM) of ~60 meV in room temperature (RT) photoluminescence (PL) indicates fluctuation in electrostatic potential to be less than 20 meV. Samples grown under optimal conditions do not exhibit any blue shift of peak in RT PL spectra under varying excitation.

  12. Hydrothermal Growth of ZnO Nanowires on UV-Nanoimprinted Polymer Structures.

    PubMed

    Park, Sooyeon; Moore, Sean A; Lee, Jaejong; Song, In-Hyouk; Farshchian, Bahador; Kim, Namwon

    2018-05-01

    Integration of zinc oxide (ZnO) nanowires on miniaturized polymer structures can broaden its application in multi-functional polymer devices by taking advantages of unique physical properties of ZnO nanowires and recent development of polymer microstructures in analytical systems. In this paper, we demonstrate the hydrothermal growth of ZnO nanowires on polymer microstructures fabricated by UV nanoimprinting lithography (NIL) using a polyurethane acrylate (PUA). Since PUA is a siloxane-urethane-acrylate compound containing the alpha-hydroxyl ketone, UV-cured PUA include carboxyl groups, which inhibit and suppress the nucleation and growth of ZnO nanowires on polymer structures. The presence of carboxyl groups in UV-cured PUA was substantiated by Fourier transform infrared spectroscopy (FTIR), and a Ag thin film was deposited on the nanoimprinted polymer structures to limit their inhibitive influence on the growth of ZnO nanowires. Furthermore, the naturally oxidized Ag layer (Ag2O) reduced crystalline lattice mismatches at the interface between ZnO-Ag during the seed annealing process. The ZnO nanowires grown on the Ag-deposited PUA microstructures were found to have comparable morphological characteristics with ZnO nanowires grown on a Si wafer.

  13. Three-Dimensional Bi₂Te₃ Networks of Interconnected Nanowires: Synthesis and Optimization.

    PubMed

    Ruiz-Clavijo, Alejandra; Caballero-Calero, Olga; Martín-González, Marisol

    2018-05-18

    Self-standing Bi₂Te₃ networks of interconnected nanowires were fabricated in three-dimensional porous anodic alumina templates (3D⁻AAO) with a porous structure spreading in all three spatial dimensions. Pulsed electrodeposition parameters were optimized to grow highly oriented Bi₂Te₃ interconnected nanowires with stoichiometric composition inside those 3D⁻AAO templates. The nanowire networks were analyzed by X-ray diffraction (XRD), scanning electron microscopy (SEM), energy dispersive X-ray analysis (EDX), and Raman spectroscopy. The results are compared to those obtained in films and 1D nanowires grown under similar conditions. The crystalline structure and composition of the 3D Bi⁻Te nanowire network are finely tuned by controlling the applied voltage and the relaxation time off at zero current density during the deposition. With this fabrication method, and controlling the electrodeposition parameters, stoichiometric Bi₂Te₃ networks of interconnected nanowires have been obtained, with a preferential orientation along [1 1 0], which makes them optimal candidates for out-of-plane thermoelectric applications. Moreover, the templates in which they are grown can be dissolved and the network of interconnected nanowires is self-standing without affecting its composition and orientation properties.

  14. SnO 2 nanowires decorated with forsythia-like TiO 2 for photoenergy conversion

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Park, Ik Jae; Park, Sangbaek; Kim, Dong Hoe

    Here, we report forsythia-like TiO 2-decorated SnO 2 nanowires on fluorine-doped SnO 2 electrode as a photoelectrode of dye-sensitized solar cells. When SnO 2 nanowires grown via vapor-liquid-solid reaction were soaked in TiCl 4 solution, leaf-shaped rutile TiO 2 was grown onto the surface of the nanowires. The TiO 2 decoration increases the short circuit current (J sc), open circuit voltage (V oc) and fill factor (FF) of dye-sensitized solar cells. Further, electron lifetime increased by employing an atomic-layer-deposited TiO 2 nanoshell between the TiO 2 leaves and the SnO 2 nanowire, due to preventing charge recombination at the nanowire/electrolytemore » interface.« less

  15. SnO 2 nanowires decorated with forsythia-like TiO 2 for photoenergy conversion

    DOE PAGES

    Park, Ik Jae; Park, Sangbaek; Kim, Dong Hoe; ...

    2017-05-17

    Here, we report forsythia-like TiO 2-decorated SnO 2 nanowires on fluorine-doped SnO 2 electrode as a photoelectrode of dye-sensitized solar cells. When SnO 2 nanowires grown via vapor-liquid-solid reaction were soaked in TiCl 4 solution, leaf-shaped rutile TiO 2 was grown onto the surface of the nanowires. The TiO 2 decoration increases the short circuit current (J sc), open circuit voltage (V oc) and fill factor (FF) of dye-sensitized solar cells. Further, electron lifetime increased by employing an atomic-layer-deposited TiO 2 nanoshell between the TiO 2 leaves and the SnO 2 nanowire, due to preventing charge recombination at the nanowire/electrolytemore » interface.« less

  16. Annealing studies of heteroepitaxial InSbN on GaAs grown by molecular beam epitaxy for long-wavelength infrared detectors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Patra, Nimai C.; Bharatan, Sudhakar; Li Jia

    2012-10-15

    We report the effect of annealing on the structural, vibrational, electrical, and optical properties of heteropepitaxially grown InSbN epilayers on GaAs substrate by molecular beam epitaxy for long-wavelength infrared detector applications. As-grown epilayers exhibited high N incorporation in the both substitutional and interstitial sites, with N induced defects as evidenced from high resolution x-ray diffraction, secondary ion mass spectroscopy, and room temperature (RT) micro-Raman studies. The as-grown optical band gap was observed at 0.132 eV ({approx}9.4 {mu}m) and the epilayer exhibited high background carrier concentration at {approx}10{sup 18} cm{sup -3} range with corresponding mobility of {approx}10{sup 3} cm{sup 2}/Vs. Exmore » situ and in situ annealing at 430 Degree-Sign C though led to the loss of N but improved InSb quality due to effective annihilation of N related defects and other lattice defects attested to enhanced InSb LO phonon modes in the corresponding Raman spectra. Further, annealing resulted in the optical absorption edge red shifting to 0.12 eV ({approx}10.3 {mu}m) and the layers were characterized by reduced background carrier concentration in the {approx}10{sup 16} cm{sup -3} range with enhanced mobility in {approx}10{sup 4} cm{sup 2}/Vs range.« less

  17. Scaling behavior of GaAs and GaMnAs quantum rings grown by droplet epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Placidi, E.; Dipartimento di Fisica, Universita di Roma 'Tor Vergata,' via della Ricerca Scientifica 1, 00133 Roma Italy; Arciprete, F.

    2012-10-01

    The transition from the liquid phase of Ga droplets to the formation of GaAs and GaMnAs quantum rings has been studied as a function of temperature. We show that different aggregation processes involve the GaAs (GaMnAs) island and the droplet formation. Furthermore, the aspect ratio of the islands exhibits an anomalous scaling law related to a tendency to aggregate in the vertical direction.

  18. Growing Oxide Nanowires and Nanowire Networks by Solid State Contact Diffusion into Solution-Processed Thin Films.

    PubMed

    Glynn, Colm; McNulty, David; Geaney, Hugh; O'Dwyer, Colm

    2016-11-01

    New techniques to directly grow metal oxide nanowire networks without the need for initial nanoparticle seed deposition or postsynthesis nanowire casting will bridge the gap between bottom-up formation and top-down processing for many electronic, photonic, energy storage, and conversion technologies. Whether etched top-down, or grown from catalyst nanoparticles bottom-up, nanowire growth relies on heterogeneous material seeds. Converting surface oxide films, ubiquitous in the microelectronics industry, to nanowires and nanowire networks by the incorporation of extra species through interdiffusion can provide an alternative deposition method. It is shown that solution-processed thin films of oxides can be converted and recrystallized into nanowires and networks of nanowires by solid-state interdiffusion of ionic species from a mechanically contacted donor substrate. NaVO 3 nanowire networks on smooth Si/SiO 2 and granular fluorine-doped tin oxide surfaces can be formed by low-temperature annealing of a Na diffusion species-containing donor glass to a solution-processed V 2 O 5 thin film, where recrystallization drives nanowire growth according to the crystal habit of the new oxide phase. This technique illustrates a new method for the direct formation of complex metal oxide nanowires on technologically relevant substrates, from smooth semiconductors, to transparent conducting materials and interdigitated device structures. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Optical properties of beryllium-doped GaSb epilayers grown on GaAs substrate

    NASA Astrophysics Data System (ADS)

    Deng, Zhuo; Chen, Baile; Chen, Xiren; Shao, Jun; Gong, Qian; Liu, Huiyun; Wu, Jiang

    2018-05-01

    In this work, the effects of p-type beryllium (Be) doping on the optical properties of GaSb epilayers grown on GaAs substrate by Molecular Beam Epitaxy (MBE) have been studied. Temperature- and excitation power-dependent photoluminescence (PL) measurements were performed on both nominally undoped and intentionally Be-doped GaSb layers. Clear PL emissions are observable even at the temperature of 270 K from both layers, indicating the high material quality. In the Be-doped GaSb layer, the transition energies of main PL features exhibit red-shift up to ∼7 meV, and the peak widths characterized by Full-Width-at-Half-Maximum (FWHM) also decrease. In addition, analysis on the PL integrated intensity in the Be-doped sample reveals a gain of emission signal, as well as a larger carrier thermal activation energy. These distinctive PL behaviors identified in the Be-doped GaSb layer suggest that the residual compressive strain is effectively relaxed in the epilayer, due possibly to the reduction of dislocation density in the GaSb layer with the intentional incorporation of Be dopants. Our results confirm the role of Be as a promising dopant in the improvement of crystalline quality in GaSb, which is a crucial factor for growth and fabrication of high quality strain-free GaSb-based devices on foreign substrates.

  20. Photoluminescence characteristics of ZnTe bulk crystal and ZnTe epilayer grown on GaAs substrate by MOVPE

    NASA Astrophysics Data System (ADS)

    Lü, Hai-Yan; Mu, Qi; Zhang, Lei; Lü, Yuan-Jie; Ji, Zi-Wu; Feng, Zhi-Hong; Xu, Xian-Gang; Guo, Qi-Xin

    2015-12-01

    Excitation power and temperature-dependent photoluminescence (PL) spectra of the ZnTe epilayer grown on (100) GaAs substrate and ZnTe bulk crystal are investigated. The measurement results show that both the structures are of good structural quality due to their sharp bound excitonic emissions and absence of the deep level structural defect-related emissions. Furthermore, in contrast to the ZnTe bulk crystal, although excitonic emissions for the ZnTe epilayer are somewhat weak, perhaps due to As atoms diffusing from the GaAs substrate into the ZnTe epilayer and/or because of the strain-induced degradation of the crystalline quality of the ZnTe epilayer, neither the donor-acceptor pair (DAP) nor conduction band-acceptor (e-A) emissions are observed in the ZnTe epilayer. This indicates that by further optimizing the growth process it is possible to obtain a high-crystalline quality ZnTe heteroepitaxial layer that is comparable to the ZnTe bulk crystal. Project supported by the Specialized Research Fund for the Doctoral Program of Higher Education of China (Grant No. 20120131110006), the Key Science and Technology Program of Shandong Province, China (Grant No. 2013GGX10221), the Key Laboratory of Functional Crystal Materials and Device (Shandong University, Ministry of Education), China (Grant No. JG1401), the National Natural Science Foundation of China (Grant No. 61306113), the Major Research Plan of the National Natural Science Foundation of China (Grant No. 91433112), and the Partnership Project for Fundamental Technology Researches of the Ministry of Education, Culture, Sports, Science and Technology, Japan.

  1. Investigation of the fabrication mechanism of self-assembled GaAs quantum rings grown by droplet epitaxy.

    PubMed

    Tong, C Z; Yoon, S F

    2008-09-10

    We have directly imaged the formation of a GaAs quantum ring (QR) using droplet epitaxy followed by annealing in arsenic ambient. Based on the atomic force micrograph measurement and the analysis of surface energy, we determine that the formation of self-assembled GaAs QRs is due to the gallium atom's diffusion and crystallization driven by the gradient of surface energy. The phenomenon that GaAs is etched by the gallium droplets is reported and analyzed. It has been demonstrated that the epitaxy layers, such as AlAs and InGaP, can be used as the etching stop layer and hence can be used to control the shape and height of the QRs.

  2. The ZnSe(110) puzzle - Comparison with GaAs(110)

    NASA Technical Reports Server (NTRS)

    Duke, C. B.; Paton, A.; Kahn, A.; Tu, D.-W.

    1984-01-01

    The surface structure of monocrystalline ZnSe(110) and of 4-5-nm-thick ZnSe(110) layers epitaxially grown on GaAs(110) is investigated by means of elastic LEED and AES; the results are analyzed using the computer programs and R-factor methods of Duke et al. (1981 and 1983), presented in graphs and tables, and compared to those for GaAs(110). Significant differences are attributed to bond-length-conserving outward rotation of Se and inward rotation of Zn in the top layer, with an angle of 4 deg between the actual plane of the cation-anion chain and the truncated bulk surface. The R intensities measured for ZnSe(110) and GaAs(110) are given as Rx = 0.22 and RI = 0.21 and Rx = 0.24 and RI = 0.16, respectively.

  3. Dopant radial inhomogeneity in Mg-doped GaN nanowires.

    PubMed

    Siladie, Alexandra-Madalina; Amichi, Lynda; Mollard, Nicolas; Mouton, Isabelle; Bonef, Bastien; Bougerol, Catherine; Grenier, Adeline; Robin, Eric; Jouneau, Pierre-Henri; Garro, Nuria; Cros, Ana; Daudin, Bruno

    2018-06-22

    Using atom probe tomography, it is demonstrated that Mg doping of GaN nanowires grown by Molecular Beam Epitaxy results in a marked radial inhomogeneity, namely a higher Mg content in the periphery of the nanowires. This spatial inhomogeneity is attributed to a preferential incorporation of Mg through the m-plane sidewalls of nanowires and is related to the formation of a Mg-rich surface which is stabilized by hydrogen. This is further supported by Raman spectroscopy experiments which give evidence of Mg-H complexes in the doped nanowires. A Mg doping mechanism such as this, specific to nanowires, may lead to higher levels of Mg doping than in layers, boosting the potential interest of nanowires for light emitting diode applications.

  4. Capping of rare earth silicide nanowires on Si(001)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Appelfeller, Stephan; Franz, Martin; Kubicki, Milan

    The capping of Tb and Dy silicide nanowires grown on Si(001) was studied using scanning tunneling microscopy and cross-sectional high-resolution transmission electron microscopy. Several nanometers thick amorphous Si films deposited at room temperature allow an even capping, while the nanowires maintain their original structural properties. Subsequent recrystallization by thermal annealing leads to more compact nanowire structures and to troughs in the Si layer above the nanowires, which may even reach down to the nanowires in the case of thin Si films, as well as to V-shaped stacking faults forming along (111) lattice planes. This behavior is related to strain duemore » to the lattice mismatch between the Si overlayer and the nanowires.« less

  5. Dopant radial inhomogeneity in Mg-doped GaN nanowires

    NASA Astrophysics Data System (ADS)

    Siladie, Alexandra-Madalina; Amichi, Lynda; Mollard, Nicolas; Mouton, Isabelle; Bonef, Bastien; Bougerol, Catherine; Grenier, Adeline; Robin, Eric; Jouneau, Pierre-Henri; Garro, Nuria; Cros, Ana; Daudin, Bruno

    2018-06-01

    Using atom probe tomography, it is demonstrated that Mg doping of GaN nanowires grown by Molecular Beam Epitaxy results in a marked radial inhomogeneity, namely a higher Mg content in the periphery of the nanowires. This spatial inhomogeneity is attributed to a preferential incorporation of Mg through the m-plane sidewalls of nanowires and is related to the formation of a Mg-rich surface which is stabilized by hydrogen. This is further supported by Raman spectroscopy experiments which give evidence of Mg-H complexes in the doped nanowires. A Mg doping mechanism such as this, specific to nanowires, may lead to higher levels of Mg doping than in layers, boosting the potential interest of nanowires for light emitting diode applications.

  6. Effects of Light Exposure on Dopant Incorporation and Migration in MBE-Grown GaAs(001)

    NASA Astrophysics Data System (ADS)

    Sanders, Charlotte E.; Beaton, D. A.; Alberi, K.

    2015-03-01

    Light-stimulated epitaxy of II-VI semiconducting materials is known to reduce crystalline defect density and enhance substitutional dopant incorporation relative to traditional ``dark'' epitaxial growth. These effects have been speculated to arise from photon-adatom interactions at the growth front, and from involvement in bonding processes by photogenerated carriers; however, a conclusive explanation of the observed effects has yet to be found. We are revisiting this topic, attempting to clarify the mechanisms of light-stimulated epitaxy and to explore its effects on the class of III-V materials. Here we report an ongoing investigation into dopant incorporation and migration in MBE-grown GaAs(001) when the growth front is irradiated during deposition. On the basis of our preliminary findings, and by comparing our new results with results previously obtained for light-stimulated effects on doping of II-VI systems, we can begin to draw conclusions about the mechanisms underlying light-stimulated epitaxy and their potential utility to MBE growth of complex multilayer structures. This work was supported by the DOE Office of Science, Basic Energy Sciences, under contract DE-AC36-08G028308.

  7. Molecular beam epitaxial growth of high-quality InSb on InP and GaAs substrates

    NASA Technical Reports Server (NTRS)

    Oh, J. E.; Bhattacharya, P. K.; Chen, Y. C.; Tsukamoto, S.

    1989-01-01

    Epitaxial layers of InSb were grown on InP and GaAs substrates by molecular beam epitaxy. The dependence of the epilayer quality on flux ratio, J sub Sb4/J sub In, was studied. Deviation from an optimum value of J sub Sb4/J sub In (approx. 2) during growth led to deterioration in the surface morphology and the electrical and crystalline qualities of the films. Room temperature electron mobilities as high as 70,000 and 53,000 sq cm /V-s were measured in InSb layers grown on InP and GaAs substrates, respectively. Unlike the previous results, the conductivity in these films is n-type even at T = 13 K, and no degradation of the electron mobility due to the high density of dislocations was observed. The measured electron mobilities (and carrier concentrations) at 77 K in InSb layers grown on InP and GaAs substrates are 110,000 sq cm/V-s (3 x 10(15) cm(-3)) and 55,000 sq cm/V-s (4.95 x 10(15) cm(-3)), respectively, suggesting their application to electronic devices at cryogenic temperatures.

  8. Gallium phosphide nanowires as a substrate for cultured neurons.

    PubMed

    Hällström, Waldemar; Mårtensson, Thomas; Prinz, Christelle; Gustavsson, Per; Montelius, Lars; Samuelson, Lars; Kanje, Martin

    2007-10-01

    Dissociated sensory neurons were cultured on epitaxial gallium phosphide (GaP) nanowires grown vertically from a gallium phosphide surface. Substrates covered by 2.5 microm long, 50 nm wide nanowires supported cell adhesion and axonal outgrowth. Cell survival was better on nanowire substrates than on planar control substrates. The cells interacted closely with the nanostructures, and cells penetrated by hundreds of wires were observed as well as wire bending due to forces exerted by the cells.

  9. Angle-dependent photodegradation over ZnO nanowire arrays on flexible paper substrates

    PubMed Central

    2014-01-01

    In this study, we grew zinc oxide (ZnO) nanowire arrays on paper substrates using a two-step growth strategy. In the first step, we formed single-crystalline ZnO nanoparticles of uniform size distribution (ca. 4 nm) as seeds for the hydrothermal growth of the ZnO nanowire arrays. After spin-coating of these seeds onto paper, we grew ZnO nanowire arrays conformally on these substrates. The crystal structure of a ZnO nanowire revealed that the nanowires were single-crystalline and had grown along the c axis. Further visualization through annular bright field scanning transmission electron microscopy revealed that the hydrothermally grown ZnO nanowires possessed Zn polarity. From photocatalytic activity measurements of the ZnO nanowire (NW) arrays on paper substrate, we extracted rate constants of 0.415, 0.244, 0.195, and 0.08 s-1 for the degradation of methylene blue at incident angles of 0°, 30°, 60°, and 75°, respectively; that is, the photocatalytic activity of these ZnO nanowire arrays was related to the cosine of the incident angle of the UV light. Accordingly, these materials have promising applications in the design of sterilization systems and light-harvesting devices. PMID:25593556

  10. Impact of dislocation densities on n+/p and p+/n junction GaAs diodes and solar cells on SiGe virtual substrates

    NASA Astrophysics Data System (ADS)

    Andre, C. L.; Wilt, D. M.; Pitera, A. J.; Lee, M. L.; Fitzgerald, E. A.; Ringel, S. A.

    2005-07-01

    Recent experimental measurements have shown that in GaAs with elevated threading dislocation densities (TDDs) the electron lifetime is much lower than the hole lifetime [C. L. Andre, J. J. Boeckl, D. M. Wilt, A. J. Pitera, M. L. Lee, E. A. Fitzgerald, B. M. Keyes, and S. A. Ringel, Appl. Phys. Lett. 84, 3884 (2004)]. This lower electron lifetime suggests an increase in depletion region recombination and thus in the reverse saturation current (J0 for an n+/p diode compared with a p+/n diode at a given TDD. To confirm this, GaAs diodes of both polarities were grown on compositionally graded Ge /Si1-xGex/Si (SiGe) substrates with a TDD of 1×106cm-2. It is shown that the ratio of measured J0 values is consistent with the inverse ratio of the expected lifetimes. Using a TDD-dependent lifetime in solar cell current-voltage models we found that the Voc, for a given short-circuit current, also exhibits a poorer TDD tolerance for GaAs n+/p solar cells compared with GaAs p+/n solar cells. Experimentally, the open-circuit voltage (Voc) for the n+/p GaAs solar cell grown on a SiGe substrate with a TDD of ˜1×106cm-2 was ˜880mV which was significantly lower than the ˜980mV measured for a p+/n GaAs solar cell grown on SiGe at the same TDD and was consistent with the solar cell modeling results reported in this paper. We conclude that p+/n polarity GaAs junctions demonstrate superior dislocation tolerance than n+/p configured GaAs junctions, which is important for optimization of lattice-mismatched III-V devices.

  11. Excitation power dependence of photoluminescence spectra of GaSb type-II quantum dots in GaAs grown by droplet epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kawazu, T., E-mail: KAWAZU.Takuya@nims.go.jp; Noda, T.; Sakuma, Y.

    2016-04-15

    We investigated the excitation power P dependence of photoluminescence (PL) spectra of GaSb type-II quantum dots (QDs) in GaAs grown by droplet epitaxy. We prepared two QD samples annealed at slightly different temperatures (380 {sup o}C and 400 {sup o}C) and carried out PL measurements. The 20 {sup o}C increase of the annealing temperature leads to (1) about 140 and 60 times stronger wetting layer (WL) luminescence at low and high P, (2) about 45% large energy shift of QD luminescence with P, and (3) the different P dependence of the PL intensity ratio between the QD and the WL. These differences ofmore » the PL characteristics are explained by the effects of the WL.« less

  12. Study of subband electronic structure of Si δ-doped GaAs using magnetotransport measurements in tilted magnetic fields

    NASA Astrophysics Data System (ADS)

    Li, G.; Hauser, N.; Jagadish, C.; Antoszewski, J.; Xu, W.

    1996-06-01

    Si δ-doped GaAs grown by metal organic vapor phase epitaxy (MOVPE) is characterized using magnetotransport measurements in tilted magnetic fields. Angular dependence of the longitudinal magnetoresistance (Rxx) vs the magnetic field (B) traces in tilted magnetic fields is used to examine the existence of a quasi-two-dimensional electron gas. The subband electron densities (ni) are obtained applying fast Fourier transform (FFT) analysis to the Rxx vs B trace and using mobility spectrum (MS) analysis of the magnetic field dependent Hall data. Our results show that (1) the subband electron densities remain roughly constant when the tilted magnetic field with an angle <30° measured from the Si δ-doped plane normal is ramped up to 13 T; (2) FFT analysis of the Rxx vs B trace and MS analysis of the magnetic field dependent Hall data both give the comparable results on subband electron densities of Si δ-doped GaAs with low δ-doping concentration, however, for Si δ-doped GaAs with very high δ-doping concentration, the occupation of the lowest subbands cannot be well resolved in the MS analysis; (3) the highest subband electron mobility reported to date of 45 282 cm2/s V is observed in Si δ-doped GaAs at 77 K in the dark; and (4) the subband electron densities of Si δ-doped GaAs grown by MOVPE at 700 °C are comparable to those grown by MBE at temperatures below 600 °C. A detailed study of magnetotransport properties of Si δ-doped GaAs in the parallel magnetic fields is then carried out to further confirm the subband electronic structures revealed by FFT and MS analysis. Our results are compared to theoretical calculation previously reported in literature. In addition, influence of different cap layer structures on subband electronic structures of Si δ-doped GaAs is observed and also discussed.

  13. Morphology Controlled Fabrication of InN Nanowires on Brass Substrates

    PubMed Central

    Li, Huijie; Zhao, Guijuan; Wang, Lianshan; Chen, Zhen; Yang, Shaoyan

    2016-01-01

    Growth of semiconductor nanowires on cheap metal substrates could pave the way to the large-scale manufacture of low-cost nanowire-based devices. In this work, we demonstrated that high density InN nanowires can be directly grown on brass substrates by metal-organic chemical vapor deposition. It was found that Zn from the brass substrates is the key factor in the formation of nanowires by restricting the lateral growth of InN. The nanowire morphology is highly dependent on the growth temperature. While at a lower growth temperature, the nanowires and the In droplets have large diameters. At the elevated growth temperature, the lateral sizes of the nanowires and the In droplets are much smaller. Moreover, the nanowire diameter can be controlled in situ by varying the temperature in the growth process. This method is very instructive to the diameter-controlled growth of nanowires of other materials. PMID:28335323

  14. Transport properties of Sb doped Si nanowires

    NASA Astrophysics Data System (ADS)

    Nukala, Prathyusha; Sapkota, Gopal; Gali, Pradeep; Usha, Philipose

    2011-10-01

    n-type Si nanowires were synthesized at ambient pressure using SiCl4 as Si source and Sb source as the dopant. Sb doping of 3-4 wt % was achieved through a post growth diffusion technique. The nanowires were found to have an amorphous oxide shell that developed post-growth; the thickness of the shell is estimated to be about 3-4 nm. The composition of the amorphous shell covering the crystalline Si core was determined by Raman spectroscopy, with evidence that the shell was an amorphous oxide layer. Optical characterization of the as-grown nanowires showed green emission, attributed to the presence of the oxide shell covering the Si nanowire core. Etching of the oxide shell was found to decrease the intensity of this green emission. A single undoped Si nanowire contacted in an FET type configuration was found to be p-type with channel mobility of 20 cm^2V-1S-1. Sb doped Si nanowires exhibited n-type behavior, compensating for the holes in the undoped nanowire. The doped nanowires had carrier mobility and concentration of 160 cm^2V-1S-1 and 9.6 x 10^18cm-3 respectively.

  15. Molecular beam epitaxial growth and structural characterization of ZnS on (001) GaAs

    NASA Technical Reports Server (NTRS)

    Benz, R. G., II; Huang, P. C.; Stock, S. R.; Summers, C. J.

    1988-01-01

    The effect of surface nucleation processes on the quality of ZnS layers grown on (001) GaAs substrates by molecular beam epitaxy is reported. Reflection high energy electron diffraction indicated that nucleation at high temperatures produced more planar surfaces than nucleation at low temperatures, but the crystalline quality as assessed by X-ray double crystal diffractometry is relatively independent of nucleation temperature. A critical factor in layer quality was the initial roughness of the GaAs surfaces.

  16. Giant and reversible enhancement of the electrical resistance of GaAs1-xNx by hydrogen irradiation

    NASA Astrophysics Data System (ADS)

    Alvarez, J.; Kleider, J.-P.; Trotta, R.; Polimeni, A.; Capizzi, M.; Martelli, F.; Mariucci, L.; Rubini, S.

    2011-08-01

    The electrical properties of untreated and hydrogen-irradiated GaAs1-xNx are investigated by conductive-probe atomic force microscopy (CP-AFM). After hydrogen irradiation, the resistance R of GaAs1-xNx increases by more than three orders of magnitude while that of a N-free GaAs reference slightly decreases. Thermal annealing at 550 °C of H-irradiated GaAs1-xNx restores the pristine electrical properties of the as-grown sample thus demonstrating that this phenomenon is fully reversible. These effects are attributed to the nitrogen-hydrogen complexes that passivate N in GaAs1-xNx (thus restoring the energy gap of N-free GaAs) and, moreover, reduce the carrier scattering time by more than one order of magnitude. This opens up a route to the fabrication of planar conductive/resistive/conductive heterostructures with submicrometer spatial resolution, which is also reported here.

  17. Transport properties of Sb-doped Si nanowires

    NASA Astrophysics Data System (ADS)

    Nukala, Prathyusha; Sapkota, Gopal; Gali, Pradeep; Philipose, U.

    2012-08-01

    We present a safe and cost-effective approach for synthesis of n-type Sb-doped Si nanowires. The nanowires were synthesized at ambient pressure using SiCl4 as Si source and pure Sb as the dopant source. Structural and compositional characterization using electron microscopy and X-ray spectroscopy show crystalline nanowires with lengths of 30-40 μm and diameters of 40-100 nm. A 3-4 nm thick amorphous oxide shell covers the surface of the nanowire, post-growth. The composition of this shell was confirmed by Raman spectroscopy. Growth of Si nanowires, followed by low temperature annealing in Sb vapor, was shown to be an effective technique for synthesizing Sb-doped Si nanowires. The doping concentration of Sb was found to be dependent on temperature, with Sb re-evaporating from the Si nanowire at higher doping temperatures. Field effect transistors (FETs) were fabricated to investigate the electrical transport properties of these nanowires. The as-grown Si nanowires were found to be p-type with a channel mobility of 40 cm2 V-1 s-1. After doping with Sb, these nanowires exhibited n-type behavior. The channel mobility and carrier concentration of the Sb-doped Si nanowires were estimated to be 288 cm2 V-1 s-1 and 5.3×1018 cm-3 respectively.

  18. Microspheres for the growth of silicon nanowires via vapor-liquid-solid mechanism

    DOE PAGES

    Gomez-Martinez, Arancha; Marquez, Francisco; Elizalde, Eduardo; ...

    2014-01-01

    Silicon nanowires have been synthesized by a simple process using a suitable support containing silica and carbon microspheres. Nanowires were grown by thermal chemical vapor deposition via a vapor-liquid-solid mechanism with only the substrate as silicon source. The curved surface of the microsized spheres allows arranging the gold catalyst as nanoparticles with appropriate dimensions to catalyze the growth of nanowires. Here, the resulting material is composed of the microspheres with the silicon nanowires attached on their surface.

  19. W18O49 nanowires assembled on carbon felt for application to supercapacitors

    NASA Astrophysics Data System (ADS)

    Jung, Jinjoo; Kim, Do Hyung

    2018-03-01

    For supercapacitor applications, W18O49 nanowires have been extensively grown on graphitic carbon felt using a facile solvothermal method. The diameter and length of the nanowires are about 7 and 300 nm, respectively. The nanowires consist of monoclinic W18O49 grown along the [010] direction, as shown by TEM and XRD analyses. The W18O49 nanowires, assembled on carbon felt, exhibit a high capacity of 588.33 F/g at a current density of 1 A/g together with an excellent cycle performance, and a low internal resistance during the electrochemical tests. This outstanding performance may originate from the three-dimensional porous nanostructure of these W18O49 nanowires, which leads to a reduction in the resistance and fast reaction kinetics due to the high specific surface area and electrolyte accessibility. Furthermore, sufficient oxygen deficiencies of the substoichiometric tungsten oxide can also contribute to the electrochemical activity, which can be confirmed by comparison of CV and EIS data with WO3 nanowires.

  20. The preparation and cathodoluminescence of ZnS nanowires grown by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Huang, Meng-Wen; Cheng, Yin-Wei; Pan, Ko-Ying; Chang, Chen-Chuan; Shieu, F. S.; Shih, Han C.

    2012-11-01

    Single crystal ZnS nanowires were successfully synthesized in large quantities on Si (1 0 0) substrates by simple thermal chemical vapor deposition without using any catalyst. The morphology, composition, and crystal structure were characterized by field emission scanning electron microscopy (FESEM), X-ray diffraction (XRD), high-resolution transmission electron microscopy (HRTEM), energy-dispersive X-ray spectroscopy (EDX), X-ray photoelectron spectroscopy (XPS), and cathodoluminescence (CL) spectroscopy. SEM observations show that the nanowires have diameters about 20-50 nm and lengths up to several tens of micrometers. XRD and TEM results confirmed that the nanowires exhibited both wurtzite and zinc blende structures with growth directions aligned along [0 0 0 2] and [1 1 1], respectively. The CL spectrum revealed emission bands in the UV and blue regions. The blue emissions at 449 and ˜581 nm were attributed to surface states and impurity-related defects of the nanowires, respectively. The perfect crystal structure of the nanowires indicates their potential applications in nanotechnology and in the fabrication of nanodevices.

  1. Why self-catalyzed nanowires are most suitable for large-scale hierarchical integrated designs of nanowire nanoelectronics

    NASA Astrophysics Data System (ADS)

    Noor Mohammad, S.

    2011-10-01

    Nanowires are grown by a variety of mechanisms, including vapor-liquid-solid, vapor-quasiliquid-solid or vapor-quasisolid-solid, oxide-assisted growth, and self-catalytic growth (SCG) mechanisms. A critical analysis of the suitability of self-catalyzed nanowires, as compared to other nanowires, for next-generation technology development has been carried out. Basic causes of superiority of self-catalyzed (SCG) nanowires over other nanowires have been described. Polytypism in nanowires has been studied, and a model for polytypism has been proposed. The model predicts polytypism in good agreement with available experiments. This model, together with various evidences, demonstrates lower defects, dislocations, and stacking faults in SCG nanowires, as compared to those in other nanowires. Calculations of carrier mobility due to dislocation scattering, ionized impurity scattering, and acoustic phonon scattering explain the impact of defects, dislocations, and stacking faults on carrier transports in SCG and other nanowires. Analyses of growth mechanisms for nanowire growth directions indicate SCG nanowires to exhibit the most controlled growth directions. In-depth investigation uncovers the fundamental physics underlying the control of growth direction by the SCG mechanism. Self-organization of nanowires in large hierarchical arrays is crucial for ultra large-scale integration (ULSI). Unique features and advantages of self-organized SCG nanowires, unlike other nanowires, for this ULSI have been discussed. Investigations of nanowire dimension indicate self-catalyzed nanowires to have better control of dimension, higher stability, and higher probability, even for thinner structures. Theoretical calculations show that self-catalyzed nanowires, unlike catalyst-mediated nanowires, can have higher growth rate and lower growth temperature. Nanowire and nanotube characteristics have been found also to dictate the performance of nanoelectromechanical systems. Defects, such as

  2. Synthesis of nanostructures in nanowires using sequential catalyst reactions

    PubMed Central

    Panciera, F.; Chou, Y.-C.; Reuter, M.C.; Zakharov, D.; Stach, E.A.; Hofmann, S.; Ross, F.M.

    2016-01-01

    Nanowire growth by the vapor-liquid-solid process enables a high level of control over nanowire composition, diameter, growth direction, branching and kinking, periodic twinning, and crystal structure. The tremendous impact of VLS-grown nanowires is due to this structural versatility, generating applications ranging from solid state lighting and single photon sources to thermoelectric devices. Here we show that the morphology of these nanostructures can be further tailored by using the liquid droplets that catalyze nanowire growth as a “mixing bowl”, in which growth materials are sequentially supplied to nucleate new phases. Growing within the liquid, these phases adopt the shape of faceted nanocrystals that are then incorporated into the nanowires by further growth. We demonstrate this concept by epitaxially incorporating metal silicide nanocrystals into Si nanowires with defect-free interfaces, and discuss how this process can be generalized to create complex nanowire-based heterostructures. PMID:26168344

  3. Epitaxial growth of InGaN nanowire arrays for light emitting diodes.

    PubMed

    Hahn, Christopher; Zhang, Zhaoyu; Fu, Anthony; Wu, Cheng Hao; Hwang, Yun Jeong; Gargas, Daniel J; Yang, Peidong

    2011-05-24

    Significant synthetic challenges remain for the epitaxial growth of high-quality InGaN across the entire compositional range. One strategy to address these challenges has been to use the nanowire geometry because of its strain relieving properties. Here, we demonstrate the heteroepitaxial growth of In(x)Ga(1-x)N nanowire arrays (0.06 ≤ x ≤ 0.43) on c-plane sapphire (Al(2)O(3)(001)) using a halide chemical vapor deposition (HCVD) technique. Scanning electron microscopy and X-ray diffraction characterization confirmed the long-range order and epitaxy of vertically oriented nanowires. Structural characterization by transmission electron microscopy showed that single crystalline nanowires were grown in the ⟨002⟩ direction. Optical properties of InGaN nanowire arrays were investigated by absorption and photoluminescence measurements. These measurements show the tunable direct band gap properties of InGaN nanowires into the yellow-orange region of the visible spectrum. To demonstrate the utility of our HCVD method for implementation into devices, LEDs were fabricated from In(x)Ga(1-x)N nanowires epitaxially grown on p-GaN(001). Devices showed blue (x = 0.06), green (x = 0.28), and orange (x = 0.43) electroluminescence, demonstrating electrically driven color tunable emission from this p-n junction.

  4. Growth and characterization of manganese doped gallium nitride nanowires.

    PubMed

    Kumar, V Suresh; Kesavamoorthy, R; Kumar, J

    2008-08-01

    Manganese doped GaN nanowires have been grown by chemical vapour transport method on sapphire (0001) substrates in the temperature range of 800-1050 degrees C. The surface features of nanowires have been investigated using Scanning Electron Microscopy (SEM), Energy Dispersive X-ray analysis (EDAX), Raman scattering studies and Electron Paramagnetic Resonance (EPR). SEM images showed that the morphology of the one dimensional materials included straight nanorods and nanowires around 70-80 nm. Raman spectrum showed the GaMnN vibrational modes at 380, 432 and 445 cm(-1). EPR measurements were performed on Mn doped GaN nanowires in order to evaluate the magnetic behaviour.

  5. Near-Infrared Intersubband Photodetection in GaN/AlN Nanowires.

    PubMed

    Lähnemann, Jonas; Ajay, Akhil; Den Hertog, Martien I; Monroy, Eva

    2017-11-08

    Intersubband optoelectronic devices rely on transitions between quantum-confined electron levels in semiconductor heterostructures, which enables infrared (IR) photodetection in the 1-30 μm wavelength window with picosecond response times. Incorporating nanowires as active media could enable an independent control over the electrical cross-section of the device and the optical absorption cross-section. Furthermore, the three-dimensional carrier confinement in nanowire heterostructures opens new possibilities to tune the carrier relaxation time. However, the generation of structural defects and the surface sensitivity of GaAs nanowires have so far hindered the fabrication of nanowire intersubband devices. Here, we report the first demonstration of intersubband photodetection in a nanowire, using GaN nanowires containing a GaN/AlN superlattice absorbing at 1.55 μm. The combination of spectral photocurrent measurements with 8-band k·p calculations of the electronic structure supports the interpretation of the result as intersubband photodetection in these extremely short-period superlattices. We observe a linear dependence of the photocurrent with the incident illumination power, which confirms the insensitivity of the intersubband process to surface states and highlights how architectures featuring large surface-to-volume ratios are suitable as intersubband photodetectors. Our analysis of the photocurrent characteristics points out routes for an improvement of the device performance. This first nanowire based intersubband photodetector represents a technological breakthrough that paves the way to a powerful device platform with potential for ultrafast, ultrasensitive photodetectors and highly efficient quantum cascade emitters with improved thermal stability.

  6. In-plane dielectric properties of epitaxial Ba{sub 0.7}Sr{sub 0.3}TiO{sub 3} thin films grown on GaAs for tunable device application

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang Zhibin; Hao Jianhua

    2012-09-01

    We have epitaxially deposited ferroelectric Ba{sub 0.7}Sr{sub 0.3}TiO{sub 3} (BST) thin films grown on GaAs substrate via SrTiO{sub 3} buffer layer by laser molecular beam epitaxy. Structural characteristics of the heterostructure were measured by various techniques. The in-plane dielectric properties of the heteroepitaxial structure under different applying frequency were investigated from -190 to 90 Degree-Sign C, indicating Curie temperature of the BST film to be around 52 Degree-Sign C. At room temperature, the dielectric constant of the heterostructure under moderate dc bias field can be tuned by more than 30% and K factor used for frequency agile materials is foundmore » to be close to 8. Our results offer the possibility to combine frequency agile electronics of ferroelectric titanate with the high-performance microwave capabilities of GaAs for room temperature tunable device application.« less

  7. Gate-Sensing the Potential Landscape of a GaAs Two-Dimensional Electron Gas

    NASA Astrophysics Data System (ADS)

    Croot, Xanthe; Mahoney, Alice; Pauka, Sebastian; Colless, James; Reilly, David; Watson, John; Fallahi, Saeed; Gardner, Geoff; Manfra, Michael; Lu, Hong; Gossard, Arthur

    In situ dispersive gate sensors hold potential as a means of enabling the scalable readout of quantum dot arrays. Sensitive to quantum capacitance, dispersive sensors have been used to detect inter- and intra-dot transitions in GaAs double quantum dots, and can distinguish the spin states of singlet triplet qubits. In addition, the gate-sensing technique is likely of value in probing the physics of Majorana zero modes in nanowire devices. Beyond the readout signatures associated with charge and spin configurations of qubits, gate-sensing is sensitive to trapped charge in the potential landscape. Here, we report gate-sensing signals arising from tunnelling of electrons between puddles of trapped charge in a GaAs 2DEG. We examine these signals in a family of different devices with varying mobilities, and as a function of temperature and bias. Implications for qubit readout using the gate-sensing technique are discussed.

  8. Improving optical performance of GaN nanowires grown by selective area growth homoepitaxy: Influence of substrate and nanowire dimensions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aseev, P., E-mail: pavel.aseev@isom.upm.es, E-mail: gacevic@isom.upm.es; Gačević, Ž., E-mail: pavel.aseev@isom.upm.es, E-mail: gacevic@isom.upm.es; Calleja, E.

    2016-06-20

    Series of GaN nanowires (NW) with controlled diameters (160–500 nm) and heights (420–1100 nm) were homoepitaxially grown on three different templates: GaN/Si(111), GaN/AlN/Si(111), and GaN/sapphire(0001). Transmission electron microscopy reveals a strong influence of the NW diameter on dislocation filtering effect, whereas photoluminescence measurements further relate this effect to the GaN NWs near-bandgap emission efficiency. Although the templates' quality has some effects on the GaN NWs optical and structural properties, the NW diameter reduction drives the dislocation filtering effect to the point where a poor GaN template quality becomes negligible. Thus, by a proper optimization of the homoepitaxial GaN NWs growth, the propagationmore » of dislocations into the NWs can be greatly prevented, leading to an exceptional crystal quality and a total dominance of the near-bandgap emission over sub-bandgap, defect-related lines, such as basal stacking faults and so called unknown exciton (UX) emission. In addition, a correlation between the presence of polarity inversion domain boundaries and the UX emission lines around 3.45 eV is established.« less

  9. Reflection high energy electron diffraction and reflectance difference studies of surface anisotropy in InGaAs chemical beam epitaxy on flat and vicinal (001) GaAs

    NASA Astrophysics Data System (ADS)

    Junno, B.; Paulsson, G.; Miller, M.; Samuelson, L.

    1994-03-01

    InGaAs quantum wells (QWs) were grown in a chemical beam epitaxy (CBE) machine with trimethylindium (TMI), triethylgallium (TEG) and tertiarybutylarsine (TBA) as precursors. Growth was monitored in-situ by reflectance difference (RD) and reflection high energy electron diffraction (RHEED), on both flat and vicinal (2° off in the <111> A direction) (001)GaAs substrates. The RD was monitored at 632.8 nm. At this wavelength the RD signal from a GaAs surface is primarily related to the absorption by Ga dimers. When InGaAs had been grown, both the average RD signal and the amplitude of the RD oscillations for the subsequent growth of GaAs increased significantly, compared to GaAs growth on GaAs. This In influence was found to persist even after the growth of 20-30 ML of pure GaAs. As a result we were able to monitor growth oscillations with RD and RHEED simultaneously during growth of quantum wells of InGaAs in GaAs. As a conclusion to these observations we suggest that the group III dimer bond concentration, detected in the RD signal, increases.

  10. GaAs/AlGaAs core multishell nanowire-based light-emitting diodes on Si.

    PubMed

    Tomioka, Katsuhiro; Motohisa, Junichi; Hara, Shinjiroh; Hiruma, Kenji; Fukui, Takashi

    2010-05-12

    We report on integration of GaAs nanowire-based light-emitting-diodes (NW-LEDs) on Si substrate by selective-area metalorganic vapor phase epitaxy. The vertically aligned GaAs/AlGaAs core-multishell nanowires with radial p-n junction and NW-LED array were directly fabricated on Si. The threshold current for electroluminescence (EL) was 0.5 mA (current density was approximately 0.4 A/cm(2)), and the EL intensity superlinearly increased with increasing current injections indicating superluminescence behavior. The technology described in this letter could help open new possibilities for monolithic- and on-chip integration of III-V NWs on Si.

  11. Nanowire-templated lateral epitaxial growth of non-polar group III nitrides

    DOEpatents

    Wang, George T [Albuquerque, NM; Li, Qiming [Albuquerque, NM; Creighton, J Randall [Albuquerque, NM

    2010-03-02

    A method for growing high quality, nonpolar Group III nitrides using lateral growth from Group III nitride nanowires. The method of nanowire-templated lateral epitaxial growth (NTLEG) employs crystallographically aligned, substantially vertical Group III nitride nanowire arrays grown by metal-catalyzed metal-organic chemical vapor deposition (MOCVD) as templates for the lateral growth and coalescence of virtually crack-free Group III nitride films. This method requires no patterning or separate nitride growth step.

  12. Synthesis of nanostructures in nanowires using sequential catalyst reactions

    DOE PAGES

    Panciera, F.; Chou, Y. -C.; Reuter, M. C.; ...

    2015-07-13

    Nanowire growth by the vapour–liquid–solid (VLS) process enables a high level of control over nanowire composition, diameter, growth direction, branching and kinking, periodic twinning, and crystal structure. The tremendous impact of VLS-grown nanowires is due to this structural versatility, generating applications ranging from solid-state lighting and single-photon sources to thermoelectric devices. Here, we show that the morphology of these nanostructures can be further tailored by using the liquid droplets that catalyse nanowire growth as a ‘mixing bowl’, in which growth materials are sequentially supplied to nucleate new phases. Growing within the liquid, these phases adopt the shape of faceted nanocrystalsmore » that are then incorporated into the nanowires by further growth. Furthermore, we demonstrate this concept by epitaxially incorporating metal-silicide nanocrystals into Si nanowires with defect-free interfaces, and discuss how this process can be generalized to create complex nanowire-based heterostructures.« less

  13. Plasmonic Properties of Vertically Aligned Nanowire Arrays

    DTIC Science & Technology

    2012-01-01

    scattering (SERS) applications. In this investigation, two types of vertical NW arrays were studied; those of ZnO NWs grown on nanosphere lithography...plasmonic nanowires to investigate this SERS effect. Here we used two types of vertical NWs, ZnO NWs, and Si NWs, respectively, to investigate SERS...successfully grow vertically aligned ZnO nanowires by the well-known VLS process. In this way, the ZnO NWs can be arranged in a repeatable hexagonal pattern

  14. Template-grown NiFe/Cu/NiFe nanowires for spin transfer devices.

    PubMed

    Piraux, Luc; Renard, Krystel; Guillemet, Raphael; Matéfi-Tempfli, Stefan; Matéfi-Tempfli, Maria; Antohe, Vlad Andrei; Fusil, Stéphane; Bouzehouane, Karim; Cros, Vincent

    2007-09-01

    We have developed a new reliable method combining template synthesis and nanolithography-based contacting technique to elaborate current perpendicular-to-plane giant magnetoresistance spin valve nanowires, which are very promising for the exploration of electrical spin transfer phenomena. The method allows the electrical connection of one single nanowire in a large assembly of wires embedded in anodic porous alumina supported on Si substrate with diameters and periodicities to be controllable to a large extent. Both magnetic excitations and switching phenomena driven by a spin-polarized current were clearly demonstrated in our electrodeposited NiFe/Cu/ NiFe trilayer nanowires. This novel approach promises to be of strong interest for subsequent fabrication of phase-locked arrays of spin transfer nano-oscillators with increased output power for microwave applications.

  15. Electrical characterization of HgTe nanowires using conductive atomic force microscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gundersen, P.; Department of Physics, Norwegian University of Science and Technology, NO-7491 Trondheim; Kongshaug, K. O.

    Self-organized HgTe nanowires grown by molecular beam epitaxy (MBE) have been characterized using conductive atomic force microscopy. As HgTe will degrade or evaporate at normal baking temperatures for electron beam lithography (EBL) resists, an alternative method was developed. Using low temperature optical lithography processes, large Au contacts were deposited on a sample covered with randomly oriented, lateral HgTe nanowires. Nanowires partly covered by the large electrodes were identified with a scanning electron microscope and then localized in the atomic force microscope (AFM). The conductive tip of the AFM was then used as a movable electrode to measure current-voltage curves atmore » several locations on HgTe nanowires. The measurements revealed that polycrystalline nanowires had diffusive electron transport, with resistivities two orders of magnitude larger than that of an MBE-grown HgTe film. The difference can be explained by scattering at the rough surface walls and at the grain boundaries in the wires. The method can be a solution when EBL is not available or requires too high temperature, or when measurements at several positions along a wire are required.« less

  16. Tuning Rashba spin-orbit coupling in homogeneous semiconductor nanowires

    NASA Astrophysics Data System (ADS)

    Wójcik, Paweł; Bertoni, Andrea; Goldoni, Guido

    2018-04-01

    We use k .p theory to estimate the Rashba spin-orbit coupling (SOC) in large semiconductor nanowires. We specifically investigate GaAs- and InSb-based devices with different gate configurations to control symmetry and localization of the electron charge density. We explore gate-controlled SOC for wires of different size and doping, and we show that in high carrier density SOC has a nonlinear electric field susceptibility, due to large reshaping of the quantum states. We analyze recent experiments with InSb nanowires in light of our calculations. Good agreement is found with the SOC coefficients reported in Phys. Rev. B 91, 201413(R) (2015), 10.1103/PhysRevB.91.201413, but not with the much larger values reported in Nat. Commun. 8, 478 (2017), 10.1038/s41467-017-00315-y. We discuss possible origins of this discrepancy.

  17. Nanowire Thermoelectric Devices

    NASA Technical Reports Server (NTRS)

    Borshchevsky, Alexander; Fleurial, Jean-Pierre; Herman, Jennifer; Ryan, Margaret

    2005-01-01

    order of 10-microns wide. The fill factor for the cross-section of a typical bundle is about 1/2. Nanowires have been grown in alumina templates with pore diameters of 100 and 40 nm.

  18. High power cascaded mid-infrared InAs/GaSb light emitting diodes on mismatched GaAs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Provence, S. R., E-mail: sydney-provence@uiowa.edu; Ricker, R.; Aytac, Y.

    2015-09-28

    InAs/GaSb mid-wave, cascaded superlattice light emitting diodes are found to give higher radiance when epitaxially grown on mismatched GaAs substrates compared to lattice-matched GaSb substrates. Peak radiances of 0.69 W/cm{sup 2}-sr and 1.06 W/cm{sup 2}-sr for the 100 × 100 μm{sup 2} GaSb and GaAs-based devices, respectively, were measured at 77 K. Measurement of the recombination coefficients shows the shorter Shockley-Read-Hall recombination lifetime as misfit dislocations for growth on GaAs degrade the quantum efficiency only at low current injection. The improved performance on GaAs was found to be due to the higher transparency and improved thermal properties of the GaAs substrate.

  19. Nanowired three-dimensional cardiac patches

    NASA Astrophysics Data System (ADS)

    Dvir, Tal; Timko, Brian P.; Brigham, Mark D.; Naik, Shreesh R.; Karajanagi, Sandeep S.; Levy, Oren; Jin, Hongwei; Parker, Kevin K.; Langer, Robert; Kohane, Daniel S.

    2011-11-01

    Engineered cardiac patches for treating damaged heart tissues after a heart attack are normally produced by seeding heart cells within three-dimensional porous biomaterial scaffolds. These biomaterials, which are usually made of either biological polymers such as alginate or synthetic polymers such as poly(lactic acid) (PLA), help cells organize into functioning tissues, but poor conductivity of these materials limits the ability of the patch to contract strongly as a unit. Here, we show that incorporating gold nanowires within alginate scaffolds can bridge the electrically resistant pore walls of alginate and improve electrical communication between adjacent cardiac cells. Tissues grown on these composite matrices were thicker and better aligned than those grown on pristine alginate and when electrically stimulated, the cells in these tissues contracted synchronously. Furthermore, higher levels of the proteins involved in muscle contraction and electrical coupling are detected in the composite matrices. It is expected that the integration of conducting nanowires within three-dimensional scaffolds may improve the therapeutic value of current cardiac patches.

  20. Frequency-tunable continuous-wave terahertz sources based on GaAs plasmonic photomixers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Shang-Hua; Jarrahi, Mona; Electrical Engineering Department, University of California Los Angeles, Los Angeles, California 90095

    2015-09-28

    We present frequency-tunable, continuous-wave terahertz sources based on GaAs plasmonic photomixers, which offer high terahertz radiation power levels at 50% radiation duty cycle. The use of plasmonic contact electrodes enhances photomixer quantum efficiency while maintaining its ultrafast operation by concentrating a large number of photocarriers in close proximity to the device contact electrodes. Additionally, the relatively high thermal conductivity and high resistivity of GaAs allow operation under high optical pump power levels and long duty cycles without reaching the thermal breakdown limit of the photomixer. We experimentally demonstrate continuous-wave terahertz radiation with a radiation frequency tuning range of more thanmore » 2 THz and a record-high radiation power of 17 μW at 1 THz through plasmonic photomixers fabricated on a low temperature grown GaAs substrate at 50% radiation duty cycle.« less

  1. Theoretical and experimental study of highly textured GaAs on silicon using a graphene buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alaskar, Yazeed; Arafin, Shamsul; Lin, Qiyin

    2015-09-01

    A novel heteroepitaxial growth technique, quasi-van der Waals epitaxy, promises the ability to deposit three-dimensional GaAs materials on silicon using two-dimensional graphene as a buffer layer by overcoming the lattice and thermal expansion mismatch. In this study, density functional theory (DFT) simulations were performed to understand the interactions at the GaAs/graphene hetero-interface as well as the growth orientations of GaAs on graphene. To develop a better understanding of the molecular beam epitaxy-grown GaAs films on graphene, samples were characterized by x-ray diffraction (..theta..-2..theta.. scan, ..omega..-scan, grazing incidence XRD and pole figure measurement) and transmission electron microscopy. The realizations of smoothmore » GaAs films with a strong (111) oriented fiber-texture on graphene/silicon using this deposition technique are a milestone towards an eventual demonstration of the epitaxial growth of GaAs on silicon, which is necessary for integrated photonics application.« less

  2. Reflection high energy electron diffraction study of nitrogen plasma interactions with a GaAs (100) surface

    NASA Astrophysics Data System (ADS)

    Hauenstein, R. J.; Collins, D. A.; Cai, X. P.; O'Steen, M. L.; McGill, T. C.

    1995-05-01

    Effect of a nitrogen electron-cyclotron-resonance (ECR) microwave plasma on near-surface composition, crystal structure, and morphology of the As-stabilized GaAs (100) surface is investigated with the use of digitally image-processed in situ reflection high energy electron diffraction. Nitridation is performed on molecular beam epitaxially (MBE) grown GaAs surfaces near 600 °C under typical conditions for ECR microwave plasma-assisted MBE growth of GaN films on GaAs. Brief plasma exposures (≊3-5 s) are shown to result in a specular, coherently strained, relatively stable, GaN film approximately one monolayer in thickness, which can be commensurately overgrown with GaAs while longer exposures (up to 1 min) result in incommensurate zincblende epitaxial GaN island structures. Specular and nonspecular film formations are explained in terms of N-for-As surface and subsurface anion exchange reactions, respectively. Commensurate growth of ultrathin buried GaN layers in GaAs is achieved.

  3. Controlling the physical parameters of crystalline CIGS nanowires for use in superstrate configuration using vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Lee, Dongjin; Jeon, H. C.; Kang, T. W.; Kumar, Sunil

    2018-03-01

    Indium tin oxide (ITO) is a suitable candidate for smart windows and bifacial semi-transparent solar cell applications. In this study, highly crystalline CuInGaSe2 (CIGS) nanowires were successfully grown by horizontal-type vapor phase epitaxy on an ITO substrate. Length, diameter, and density of the nanowires were studied by varying the growth temperature (500, 520, and 560 °C), time (3.5, 6.5, and 9.5 h), and type of catalyst (In, Au, and Ga). Length, diameter, and density of the nanowires were found to be highly dependent on the growth conditions. At an optimized growth period and temperature of 3.5 h and 520 °C, respectively, the length and diameter of the nanowires were found to increase when grown in a catalyst-free environment. However, the density of the nanowires was found to be higher while using a catalyst during growth. Even in a catalyst-free environment, an Indium cluster formed at the bottom of the nanowires. The source of these nanowires is believed to be Indium from the ITO substrate which was observed in the EDS measurement. TEM-based EDS and line EDS indicated that the nanowires are made up of CIGS material with a very low Gallium content. XRD measurements also show the appearance of wurtzite CIS nanowires grown on ITO in addition to the chalcopyrite phase. PL spectroscopy was done to see the near-band-edge emission for finding band-to-band optical transition in this material. Optical response of the CIGS nanowire network was also studied to see the photovoltaic effect. This work creates opportunities for making real solar cell devices in superstrate configuration.

  4. Cathodoluminescence Studies of the Inhomogeneities in Sn-doped Ga2O3 Nanowires

    DTIC Science & Technology

    2009-01-01

    Cathodoluminescence Studies of the Inhomogeneities in Sn-doped Ga2O3 Nanowires S. I. Maximenko, L. Mazeina, Y. N. Picard, J. A. Freitas, Jr., V. M...color imaging and spectroscopy were employed to study the properties of Ga2O3 nanowires grown with different Sn/Ga ratios. The structures grown under...green to red emission correlates with a phase transition of β- Ga2O3 to polycrystalline SnO2. The origin of the green emission band is discussed based

  5. Simultaneous growth of pure hyperbranched Zn3As2 structures and long Ga2O3 nanowires.

    PubMed

    Li, Jianye; Wang, Lung-Shen; Buchholz, D Bruce; Chang, Robert P H

    2009-05-01

    Through a facile and highly repeatable chemical vapor method, pure three-dimensional hyperbranched Zn(3)As(2) structures and ultralong Ga(2)O(3) nanowires were simultaneously grown with controllable locations in the same experiment. The hyperbranched Zn(3)As(2) consists of cone-shaped submicro-/nanowires and has a single-crystalline tetragonal structure. This is the first report of nano Zn(3)As(2) and hyperbranched Zn(3)As(2) structures. The as-grown Ga(2)O(3) nanowires are monoclinic single crystals. A vapor-solid-solid mechanism is suggested for the growth of the Ga(2)O(3) nanowires, and a vapor-solid mechanism, for the Zn(3)As(2) structures.

  6. (In,Ga,Al)P-GaP laser diodes grown on high-index GaAs surfaces emitting in the green, yellow and bright red spectral range

    NASA Astrophysics Data System (ADS)

    Ledentsov, N. N.; Shchukin, V. A.; Shernyakov, Yu M.; Kulagina, M. M.; Payusov, A. S.; Gordeev, N. Yu; Maximov, M. V.; Cherkashin, N. A.

    2017-02-01

    We report on low threshold current density (<400 A cm-2) injection lasing in (Al x Ga1-x )0.5In0.5P-GaAs-based diodes down to the green spectral range (<570 nm). The epitaxial structures are grown on high-index (611)A and (211)A GaAs substrates by metal-organic vapor phase epitaxy and contain tensile-strained GaP-enriched insertions aimed at reflection of the injected nonequilibrium electrons preventing their escape from the active region. Extended waveguide concept results in a vertical beam divergence with a full width at half maximum of 15° for (611)A substrates. The lasing at the wavelength of 569 nm is realized at 85 K. In an orange-red laser diode structure low threshold current density (190 A cm-2) in the orange spectral range (598 nm) is realized at 85 K. The latter devices demonstrated room temperature lasing at 628 nm at ˜2 kA cm-2 and a total power above 3 W. The red laser diodes grown on (211)A substrates demonstrated a far field characteristic for vertically multimode lasing indicating a lower optical confinement factor for the fundamental mode as compared to the devices grown on (611)A. However, as expected from previous research, the temperature stability of the threshold current and the wavelength stability were significantly higher for (211)A-grown structures.

  7. Shape Evolution of Highly Lattice-Mismatched InN/InGaN Nanowire Heterostructures

    NASA Astrophysics Data System (ADS)

    Yan, Lifan; Hazari, Arnab; Bhattacharya, Pallab; Millunchick, Joanna M.

    2018-02-01

    We have investigated the structure and shape of GaN-based nanowires grown on (001) Si substrates for optoelectronic device applications. The nanowire heterostructures contained InN disks and In0.4Ga0.6N barrier layers in the active region. The resulting nanowire array comprised two differently shaped nanowires: shorter pencil-like nanowires and longer bead-like nanowires. The two different nanowire shapes evolve due to a variation in the In incorporation rate, which was faster for the bead-like nanowires. Both types of nanowires exhibited evidence of significant migration of both Ga and In during growth. Ga tended to diffuse away and down along the sidewalls, resulting in a Ga-rich shell for all nanowires. Despite the complex structure and great variability in the In composition, the optical properties of the nanowire arrays were very good, with strong luminescence peaking at ˜ 1.63 μm.

  8. Modified energetics and growth kinetics on H-terminated GaAs (110)

    NASA Astrophysics Data System (ADS)

    Galiana, B.; Benedicto, M.; Díez-Merino, L.; Lorbek, S.; Hlawacek, G.; Teichert, C.; Tejedor, P.

    2013-10-01

    Atomic hydrogen modification of the surface energy of GaAs (110) epilayers, grown at high temperatures from molecular beams of Ga and As4, has been investigated by friction force microscopy (FFM). The reduction of the friction force observed with longer exposures to the H beam has been correlated with the lowering of the surface energy originated by the progressive de-relaxation of the GaAs (110) surface occurring upon H chemisorption. Our results indicate that the H-terminated GaAs (110) epilayers are more stable than the As-stabilized ones, with the minimum surface energy value of 31 meV/Å2 measured for the fully hydrogenated surface. A significant reduction of the Ga diffusion length on the H-terminated surface irrespective of H coverage has been calculated from the FFM data, consistent with the layer-by-layer growth mode and the greater As incorporation coefficient determined from real-time reflection high-energy electron diffraction studies. Arsenic incorporation through direct dissociative chemisorption of single As4 molecules mediated by H on the GaAs (110) surface has been proposed as the most likely explanation for the changes in surface kinetics observed.

  9. Rare-earth gate oxides for GaAs MOSFET application

    NASA Astrophysics Data System (ADS)

    Kwon, Kwang-Ho; Yang, Jun-Kyu; Park, Hyung-Ho; Kim, Jongdae; Roh, Tae Moon

    2006-08-01

    Rare-earth oxide films for gate dielectric on n-GaAs have been investigated. The oxide films were e-beam evaporated on S-passivated GaAs, considering interfacial chemical bonding state and energy band structure. Rare-earth oxides such as Gd 2O 3, (Gd xLa 1- x) 2O 3, and Gd-silicate were employed due to high resistivity and no chemical reaction with GaAs. Structural and bonding properties were characterized by X-ray photoemission, absorption, and diffraction. The electrical characteristics of metal-oxide-semiconductor (MOS) diodes were correlated with material properties and energy band structures to guarantee the feasibility for MOS field effect transistor (FET) application. Gd 2O 3 films were grown epitaxially on S-passivated GaAs (0 0 1) at 400 °C. The passivation induced a lowering of crystallization temperature with an epitaxial relationship of Gd 2O 3 (4 4 0) and GaAs (0 0 1). A better lattice matching relation between Gd 2O 3 and GaAs substrate was accomplished by the substitution of Gd with La, which has larger ionic radius. The in-plane relationship of (Gd xLa 1- x) 2O 3 (4 4 0) with GaAs (0 0 1) was found and the epitaxial films showed an improved crystalline quality. Amorphous Gd-silicate film was synthesized by the incorporation of SiO 2 into Gd 2O 3. These amorphous Gd-silicate films excluded defect traps or current flow path due to grain boundaries and showed a relatively larger energy band gap dependent on the contents of SiO 2. Energy band parameters such as Δ EC, Δ EV, and Eg were effectively controlled by the film composition.

  10. GaAs on Si epitaxy by aspect ratio trapping: Analysis and reduction of defects propagating along the trench direction

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Orzali, Tommaso, E-mail: tommaso.orzali@sematech.org; Vert, Alexey; O'Brien, Brendan

    2015-09-14

    The Aspect Ratio Trapping technique has been extensively evaluated for improving the quality of III-V heteroepitaxial films grown on Si, due to the potential for terminating defects at the sidewalls of SiO{sub 2} patterned trenches that enclose the growth region. However, defects propagating along the trench direction cannot be effectively confined with this technique. We studied the effect of the trench bottom geometry on the density of defects of GaAs fins, grown by metal-organic chemical vapor deposition on 300 mm Si (001) wafers inside narrow (<90 nm wide) trenches. Plan view and cross sectional Scanning Electron Microscopy and Transmission Electron Microscopy, togethermore » with High Resolution X-Ray Diffraction, were used to evaluate the crystal quality of GaAs. The prevalent defects that reach the top surface of GaAs fins are (111) twin planes propagating along the trench direction. The lowest density of twin planes, ∼8 × 10{sup 8 }cm{sup −2}, was achieved on “V” shaped bottom trenches, where GaAs nucleation occurs only on (111) Si planes, minimizing the interfacial energy and preventing the formation of antiphase boundaries.« less

  11. Self-assembled quantum dot structures in a hexagonal nanowire for quantum photonics.

    PubMed

    Yu, Ying; Dou, Xiu-Ming; Wei, Bin; Zha, Guo-Wei; Shang, Xiang-Jun; Wang, Li; Su, Dan; Xu, Jian-Xing; Wang, Hai-Yan; Ni, Hai-Qiao; Sun, Bao-Quan; Ji, Yuan; Han, Xiao-Dong; Niu, Zhi-Chuan

    2014-05-01

    Two types of quantum nanostructures based on self-assembled GaAs quantumdots embedded into GaAs/AlGaAs hexagonal nanowire systems are reported, opening a new avenue to the fabrication of highly efficient single-photon sources, as well as the design of novel quantum optics experiments and robust quantum optoelectronic devices operating at higher temperature, which are required for practical quantum photonics applications. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Direct Electrical Probing of Periodic Modulation of Zinc-Dopant Distributions in Planar Gallium Arsenide Nanowires.

    PubMed

    Choi, Wonsik; Seabron, Eric; Mohseni, Parsian K; Kim, Jeong Dong; Gokus, Tobias; Cernescu, Adrian; Pochet, Pascal; Johnson, Harley T; Wilson, William L; Li, Xiuling

    2017-02-28

    Selective lateral epitaxial (SLE) semiconductor nanowires (NWs), with their perfect in-plane epitaxial alignment, ability to form lateral complex p-n junctions in situ, and compatibility with planar processing, are a distinctive platform for next-generation device development. However, the incorporation and distribution of impurity dopants in these planar NWs via the vapor-liquid-solid growth mechanism remain relatively unexplored. Here, we present a detailed study of SLE planar GaAs NWs containing multiple alternating axial segments doped with Si and Zn impurities by metalorganic chemical vapor deposition. The dopant profile of the lateral multi-p-n junction GaAs NWs was imaged simultaneously with nanowire topography using scanning microwave impedance microscopy and correlated with infrared scattering-type near-field optical microscopy. Our results provide unambiguous evidence that Zn dopants in the periodically twinned and topologically corrugated p-type segments are preferentially segregated at twin plane boundaries, while Si impurity atoms are uniformly distributed within the n-type segments of the NWs. These results are further supported by microwave impedance modulation microscopy. The density functional theory based modeling shows that the presence of Zn dopant atoms reduces the formation energy of these twin planes, and the effect becomes significantly stronger with a slight increase of Zn concentration. This implies that the twin formation is expected to appear when a threshold planar concentration of Zn is achieved, making the onset and twin periodicity dependent on both Zn concentration and nanowire diameter, in perfect agreement with our experimental observations.

  13. III-Nitride Nanowire Lasers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wright, Jeremy Benjamin

    2014-07-01

    In recent years there has been a tremendous interest in nanoscale optoelectronic devices. Among these devices are semiconductor nanowires whose diameters range from 10-100 nm. To date, nanowires have been grown using many semiconducting material systems and have been utilized as light emitting diodes, photodetectors, and solar cells. Nanowires possess a relatively large index contrast relative to their dielectric environment and can be used as lasers. A key gure of merit that allows for nanowire lasing is the relatively high optical con nement factor. In this work, I discuss the optical characterization of 3 types of III-nitride nanowire laser devices.more » Two devices were designed to reduce the number of lasing modes to achieve singlemode operation. The third device implements low-group velocity mode lasing with a photonic crystal constructed of an array of nanowires. Single-mode operation is necessary in any application where high beam quality and single frequency operation is required. III-Nitride nanowire lasers typically operate in a combined multi-longitudinal and multi-transverse mode state. Two schemes are introduced here for controlling the optical modes and achieving single-mode op eration. The rst method involves reducing the diameter of individual nanowires to the cut-o condition, where only one optical mode propagates in the wire. The second method employs distributed feedback (DFB) to achieve single-mode lasing by placing individual GaN nanowires onto substrates with etched gratings. The nanowire-grating substrate acted as a distributed feedback mirror producing single mode operation at 370 nm with a mode suppression ratio (MSR) of 17 dB. The usage of lasers for solid state lighting has the potential to further reduce U.S. lighting energy usage through an increase in emitter e ciency. Advances in nanowire fabrication, speci cally a two-step top-down approach, have allowed for the demonstration of a multi-color array of lasers on a single chip that

  14. Identification of oxygen-related midgap level in GaAs

    NASA Technical Reports Server (NTRS)

    Lagowski, J.; Lin, D. G.; Gatos, H. C.; Aoyama, T.

    1984-01-01

    An oxygen-related deep level ELO was identified in GaAs employing Bridgman-grown crystals with controlled oxygen doping. The activation energy of ELO is almost the same as that of the dominant midgap level: EL2. This fact impedes the identification of ELO by standard deep level transient spectroscopy. However, it was found that the electron capture cross section of ELO is about four times greater than that of EL2. This characteristic served as the basis for the separation and quantitative investigation of ELO employing detailed capacitance transient measurements in conjunction with reference measurements on crystals grown without oxygen doping and containing only EL2.

  15. A high-temperature single-photon source from nanowire quantum dots.

    PubMed

    Tribu, Adrien; Sallen, Gregory; Aichele, Thomas; André, Régis; Poizat, Jean-Philippe; Bougerol, Catherine; Tatarenko, Serge; Kheng, Kuntheak

    2008-12-01

    We present a high-temperature single-photon source based on a quantum dot inside a nanowire. The nanowires were grown by molecular beam epitaxy in the vapor-liquid-solid growth mode. We utilize a two-step process that allows a thin, defect-free ZnSe nanowire to grow on top of a broader, cone-shaped nanowire. Quantum dots are formed by incorporating a narrow zone of CdSe into the nanowire. We observe intense and highly polarized photoluminescence even from a single emitter. Efficient photon antibunching is observed up to 220 K, while conserving a normalized antibunching dip of at most 36%. This is the highest reported temperature for single-photon emission from a nonblinking quantum-dot source and principally allows compact and cheap operation by using Peltier cooling.

  16. Towards metal chalcogenide nanowire-based colour-sensitive photodetectors

    NASA Astrophysics Data System (ADS)

    Butanovs, Edgars; Butikova, Jelena; Zolotarjovs, Aleksejs; Polyakov, Boris

    2018-01-01

    In recent years, nanowires have been shown to exhibit high photosensitivities, and, therefore are of interest in a variety of optoelectronic applications, for example, colour-sensitive photodetectors. In this study, we fabricated two-terminal PbS, In2S3, CdS and ZnSe single-nanowire photoresistor devices and tested applicability of these materials under the same conditions for colour-sensitive (405 nm, 532 nm and 660 nm) light detection. Nanowires were grown via atmospheric pressure chemical vapour transport method, their structure and morphology were characterized by scanning and transmission electron microscopy (SEM and TEM), X-ray diffraction (XRD), and optical properties were investigated with photoluminescence (PL) measurements. Single-nanowire photoresistors were fabricated via in situ nanomanipulations inside SEM, using focused ion beam (FIB) cutting and electron-beam-assisted platinum welding; their current-voltage characteristics and photoresponse values were measured. Applicability of the tested nanowire materials for colour-sensitive light detection is discussed.

  17. Field emission from in situ-grown vertically aligned SnO2 nanowire arrays

    PubMed Central

    2012-01-01

    Vertically aligned SnO2 nanowire arrays have been in situ fabricated on a silicon substrate via thermal evaporation method in the presence of a Pt catalyst. The field emission properties of the SnO2 nanowire arrays have been investigated. Low turn-on fields of 1.6 to 2.8 V/μm were obtained at anode-cathode separations of 100 to 200 μm. The current density fluctuation was lower than 5% during a 120-min stability test measured at a fixed applied electric field of 5 V/μm. The favorable field-emission performance indicates that the fabricated SnO2 nanowire arrays are promising candidates as field emitters. PMID:22330800

  18. Direct observation of confined acoustic phonon polarization branches in free-standing semiconductor nanowires

    DOE PAGES

    Kargar, Fariborz; Debnath, Bishwajit; Kakko, Joona -Pekko; ...

    2016-11-10

    Similar to electron waves, the phonon states in semiconductors can undergo changes induced by external boundaries. However, despite strong scientific and practical importance, conclusive experimental evidence of confined acoustic phonon polarization branches in individual free-standing nanostructures is lacking. Here we report results of Brillouin-Mandelstam light scattering spectroscopy, which reveal multiple (up to ten) confined acoustic phonon polarization branches in GaAs nanowires with a diameter as large as 128 nm, at a length scale that exceeds the grey phonon mean-free path in this material by almost an order-of-magnitude. The dispersion modification and energy scaling with diameter in individual nanowires are inmore » excellent agreement with theory. The phonon confinement effects result in a decrease in the phonon group velocity along the nanowire axis and changes in the phonon density of states. Furthermore, the obtained results can lead to more efficient nanoscale control of acoustic phonons, with benefits for nanoelectronic, thermoelectric and spintronic devices.« less

  19. Effect of in situ Al doping on structure and optical properties of ZnO nanowires grown by MOCVD

    NASA Astrophysics Data System (ADS)

    Souissi, H.; Jabri, S.; Souissi, A.; Lusson, A.; Galtier, P.; Meftah, A.; Sallet, V.; Oueslati, M.

    2018-01-01

    Al-doped ZnO nanowires (NWs) were grown on C-axis oriented sapphire by metal organic chemical vapor deposition using dimethylzinc-triethylamine (DMZn-TEN), nitrogen dioxide (NO2) and TMAl as zinc, oxygen and aluminum doping sources respectively. The NWs morphology has been characterized by scanning electron microscopy and transmission electron microscopy. The photoluminescence (PL) spectra exhibit a strong excitonic transition bond that confirms the Al incorporation in the ZnO NWs. Raman results support PL conclusion by showing additional modes in Al-doped ZnO NWs at nearly 270, 510, 579 and 641 cm-1. The micro-Raman scattering analysis along a single Al-doped ZnO needle-like NW shows an increase of the Al concentration from the basis to the tip of the wire.

  20. Structures, Properties and Defects of SrTiO3/GaAs Hetero-interfaces

    NASA Astrophysics Data System (ADS)

    Hong, Liang; Bhatnagar, Kunal; Droopad, Ravi; Öğüt, Serdar; Klie, Robert

    SrTiO3 thin film can be epitaxially grown on GaAs substrate and used as a platform for growing other oxides to create functional metal-oxide-semiconductor devices, where a high-quality SrTiO3/GaAs interface is essential. We studied the structural and electronic properties of SrTiO3/GaAs hetero-interfaces at atomic level using scanning transmission electron microscopy and first-principles calculations. Our results suggest the preferred termination of GaAs (001) is significantly dependent on the oxygen concentration in the first oxide layer. The favorable interface structure is characterized as oxygen-deficient SrO in contact with arsenic and is observed in both experiment and simulation. The electronic properties are calculated and found to be tunable by interfacial defects such as oxygen, gallium and arsenic vacancies. This work was supported by the National Science Foundation (Grant No. DMR-1408427). This work made use of instruments in the Electron Microscopy Service and the High Performance Computing Clusters at University of Illinois at Chicago.

  1. Bulk nucleation and growth of inorganic nanowires and nanotubes

    NASA Astrophysics Data System (ADS)

    Sharma, Shashank

    The nanometer scale materials such as nanowires and nanotubes will be of particular interest as building blocks for designing novel sensors, catalysts, electronic, optical, and optoelectronic devices. However, in order to realize these applications, bulk amounts of nanowires and nanotubes need to be synthesized with precise control over the nanostructure characteristics. In addition, the structure-property relationships for one-dimensional structures are expected to be different than their bulk when their diameters are less than a characteristic Bohr exciton radius. This fundamental curiosity also necessitates bulk synthesis of nanostructures. The current bulk nanowire synthesis methods utilize either nanometer scale porous molds or nanometer scale transition metal clusters to template one-dimensional growth. All these techniques have inherent limitations in terms of control over the nanowire diameter distribution, composition, the growth direction, and the ability to generate abrupt interfaces within individual nanowires. In this dissertation, a new concept for bulk nucleation and growth of one-dimensional nanostructures is proposed and demonstrated for a variety of inorganic material systems. In this technique, multiple nanowires nucleate and grow from pools of low-melting metal melts when exposed to an activated gas phase containing the necessary precursors. This concept, hereby termed Low Melting Metals and Activated Gas phase (LMAG) mediated method, is specifically demonstrated for the synthesis of, (a) silicon nanowires grown using molten gallium and silane precursors; (b) silicon compound nanowires using solution of molten gallium and appropriate gas phase precursors, and (c) metal-oxide nanostructures grown using direct reaction of the respective metal melts and oxygen precursors. Nanowires resulted from the same molten gallium pool at high densities (>1011/cm2) and with narrow diameter distribution. The silicon nanowires synthesized using the LMAG

  2. Band bending at the heterointerface of GaAs/InAs core/shell nanowires monitored by synchrotron X-ray photoelectron spectroscopy

    NASA Astrophysics Data System (ADS)

    Khanbabaee, B.; Bussone, G.; Knutsson, J. V.; Geijselaers, I.; Pryor, C. E.; Rieger, T.; Demarina, N.; Grützmacher, D.; Lepsa, M. I.; Timm, R.; Pietsch, U.

    2016-10-01

    Unique electronic properties of semiconductor heterostructured nanowires make them useful for future nano-electronic devices. Here, we present a study of the band bending effect at the heterointerface of GaAs/InAs core/shell nanowires by means of synchrotron based X-ray photoelectron spectroscopy. Different Ga, In, and As core-levels of the nanowire constituents have been monitored prior to and after cleaning from native oxides. The cleaning process mainly affected the As-oxides and was accompanied by an energy shift of the core-level spectra towards lower binding energy, suggesting that the As-oxides turn the nanowire surfaces to n-type. After cleaning, both As and Ga core-levels revealed an energy shift of about -0.3 eV for core/shell compared to core reference nanowires. With respect to depth dependence and in agreement with calculated strain distribution and electron quantum confinement, the observed energy shift is interpreted by band bending of core-levels at the heterointerface between the GaAs nanowire core and the InAs shell.

  3. Characterization of reclaimed GaAs substrates and investigation of reuse for thin film InGaAlP LED epitaxial growth

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Englhard, M.; Klemp, C.; Behringer, M.

    This study reports a method to reuse GaAs substrates with a batch process for thin film light emitting diode (TF-LED) production. The method is based on an epitaxial lift-off technique. With the developed reclaim process, it is possible to get an epi-ready GaAs surface without additional time-consuming and expensive grinding/polishing processes. The reclaim and regrowth process was investigated with a one layer epitaxial test structure. The GaAs surface was characterized by an atomic force microscope directly after the reclaim process. The crystal structure of the regrown In{sub 0.5}(Ga{sub 0.45}Al{sub 0.55}){sub 0.5}P (Q{sub 55}) layer was investigated by high resolution x-raymore » diffraction and scanning transmission electron microscopy. In addition, a complete TF-LED grown on reclaimed GaAs substrates was electro-optically characterized on wafer level. The crystal structure of the epitaxial layers and the performance of the TF-LED grown on reclaimed substrates are not influenced by the developed reclaim process. This process would result in reducing costs for LEDs and reducing much arsenic waste for the benefit of a green semiconductor production.« less

  4. GIDL analysis of the process variation effect in gate-all-around nanowire FET

    NASA Astrophysics Data System (ADS)

    Kim, Shinkeun; Seo, Youngsoo; Lee, Jangkyu; Kang, Myounggon; Shin, Hyungcheol

    2018-02-01

    In this paper, the gate-induced drain leakage (GIDL) is analyzed on gate-all-around (GAA) Nanowire FET (NW FET) with ellipse-shaped channel induced by process variation effect (PVE). The fabrication process of nanowire can lead to change the shape of channel cross section from circle to ellipse. The effect of distorted channel shape is investigated and verified by technology computer-aided design (TCAD) simulation in terms of the GIDL current. The simulation results demonstrate that the components of GIDL current are two mechanisms of longitudinal band-to-band tunneling (L-BTBT) at body/drain junction and transverse band-to-band tunneling (T-BTBT) at gate/drain junction. These two mechanisms are investigated on channel radius (rnw) and aspect ratio of ellipse-shape respectively and together.

  5. Ultrahigh-Density Nanowire Arrays Grown in Self-Assembled Diblock Copolymer Templates

    NASA Astrophysics Data System (ADS)

    Thurn-Albrecht, T.; Schotter, J.; Kästle, G. A.; Emley, N.; Shibauchi, T.; Krusin-Elbaum, L.; Guarini, K.; Black, C. T.; Tuominen, M. T.; Russell, T. P.

    2000-12-01

    We show a simple, robust, chemical route to the fabrication of ultrahigh-density arrays of nanopores with high aspect ratios using the equilibrium self-assembled morphology of asymmetric diblock copolymers. The dimensions and lateral density of the array are determined by segmental interactions and the copolymer molecular weight. Through direct current electrodeposition, we fabricated vertical arrays of nanowires with densities in excess of 1.9 × 1011 wires per square centimeter. We found markedly enhanced coercivities with ferromagnetic cobalt nanowires that point toward a route to ultrahigh-density storage media. The copolymer approach described is practical, parallel, compatible with current lithographic processes, and amenable to multilayered device fabrication.

  6. Ultrahigh-density nanowire arrays grown in self-assembled diblock copolymer templates.

    PubMed

    Thurn-Albrecht, T; Schotter, J; Kästle, G A; Emley, N; Shibauchi, T; Krusin-Elbaum, L; Guarini, K; Black, C T; Tuominen, M T; Russell, T P

    2000-12-15

    We show a simple, robust, chemical route to the fabrication of ultrahigh-density arrays of nanopores with high aspect ratios using the equilibrium self-assembled morphology of asymmetric diblock copolymers. The dimensions and lateral density of the array are determined by segmental interactions and the copolymer molecular weight. Through direct current electrodeposition, we fabricated vertical arrays of nanowires with densities in excess of 1.9 x 10(11) wires per square centimeter. We found markedly enhanced coercivities with ferromagnetic cobalt nanowires that point toward a route to ultrahigh-density storage media. The copolymer approach described is practical, parallel, compatible with current lithographic processes, and amenable to multilayered device fabrication.

  7. Structure, growth kinetics, and ledge flow during vapor-solid-solid growth of copper-catalyzed silicon nanowires.

    PubMed

    Wen, C-Y; Reuter, M C; Tersoff, J; Stach, E A; Ross, F M

    2010-02-10

    We use real-time observations of the growth of copper-catalyzed silicon nanowires to determine the nanowire growth mechanism directly and to quantify the growth kinetics of individual wires. Nanowires were grown in a transmission electron microscope using chemical vapor deposition on a copper-coated Si substrate. We show that the initial reaction is the formation of a silicide, eta'-Cu(3)Si, and that this solid silicide remains on the wire tips during growth so that growth is by the vapor-solid-solid mechanism. Individual wire directions and growth rates are related to the details of orientation relation and catalyst shape, leading to a rich morphology compared to vapor-liquid-solid grown nanowires. Furthermore, growth occurs by ledge propagation at the silicide/silicon interface, and the ledge propagation kinetics suggest that the solubility of precursor atoms in the catalyst is small, which is relevant to the fabrication of abrupt heterojunctions in nanowires.

  8. Formation and reconstruction of Se nanoislands at the surface of thin epitaxial ZnSe layers grown on GaAs substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kozlovskiy, V. I.; Krivobok, V. S., E-mail: krivobok@lebedev.ru; Kuznetsov, P. I.

    2016-05-15

    Strained epitaxial ZnSe layers are grown on GaAs substrates by the method of vapor-phase epitaxy from metal-organic compounds. It is found that Se nanoislands with a density of 10{sup 8} to 10{sup 9} cm{sup –2} are formed at the surface of such layers. It is established that an increase in the size of Se islands and a decrease in their density take place after completion of growth. Annealing in a H{sub 2} atmosphere at a temperature higher than 260°C leads to the disappearance of Se islands and to a decrease in the surface roughness. It is shown that annealing doesmore » not lead to deterioration of the structural perfection of the epitaxial ZnSe films; rather, annealing gives rise to a decrease in the intensity of impurity–defect luminescence and to an increase in the intensity of intrinsic radiation near the bottom of the exciton band.« less

  9. Researching the electrical properties of single A3B5 nanowires

    NASA Astrophysics Data System (ADS)

    Vasiliev, A. A.; Mozharov, A. M.; Komissarenko, F. E.; Cirlin, G. E.; Bouravlev, D. A.; Mukhin, I. S.

    2017-11-01

    We investigate electrical characteristics of GaN, GaAs and GaP NWs which are grown with MOCVD and MBE. We developed measurement technique and it allows to determine the required properties of the structures.

  10. An optimal thermal evaporation synthesis of c-axis oriented ZnO nanowires with excellent UV sensing and emission characteristics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Saha, Tridib, E-mail: tridib.saha@monash.edu; Achath Mohanan, Ajay, E-mail: ajay.mohanan@monash.edu; Swamy, Varghese, E-mail: varghese.swamy@monash.edu

    Highlights: • c-Axis alignment of ZnO nanowires was optimized using self-seeding thermal evaporation method. • Influence of purified air on the morphology and optoelectronic properties were studied. • Nanowires grown under optimal conditions exhibit strong UV emission peak in PL spectrum. • Optimized growth condition establish nanowires of excellent UV sensing characteristics - Abstract: Well-aligned (c-axis oriented) ZnO nanowire arrays were successfully synthesized on Si (1 0 0) substrates through an optimized self-seeding thermal evaporation method. An open-ended chemical vapor deposition (CVD) setup was used in the experiment, with argon and purified air as reaction gases. Epitaxial growth of c-axismore » oriented ZnO nanowires was observed for 5 sccm flow rate of purified air, whereas Zn/Zn suboxide layers and multiple polycrystalline layers of ZnO were obtained for absence and excess of purified air, respectively. Ultraviolet (UV) sensing and emission properties of the as-grown ZnO nanostructures were investigated through the current–voltage (I–V) characteristics of the nanowires under UV (λ = 365 nm) illumination of 8 mW/cm{sup 2} and using photoluminescence spectra. Nanowires grown under optimum flow of air emitted four times higher intensity of 380 nm UV light as well as exhibited 34 times higher UV radiation sensitivity compared to that of other nanostructures synthesized in this study.« less

  11. Near-infrared cathodoluminescence imaging of defect distributions in In(0.2)Ga(0.8)As/GaAs multiple quantum wells grown on prepatterned GaAs

    NASA Technical Reports Server (NTRS)

    Rich, D. H.; Fajkumar, K. C.; Chen, LI; Madhukar, A.; Grunthaner, F. J.

    1992-01-01

    The defect distribution in a highly strained In(0.2)Ga(0.8)As/GaAs multiple-quantum-well (MQW) structure grown on a patterned GaAs substrate is examined with cathodoluminescence imaging and spectroscopy in the near IR. By spatially correlating the luminescence arising from the MQW exciton recombination (950 nm) with the longer wavelength (1000-1200 nm) luminescence arising from the defect-induced recombination, it is demonstrated that it is possible to determine the regions of highest film quality in both the mesa and valley regions. The present approach enables a judicious determination of the optimal regions to be used for active pixels in InGaAs/GaAs spatial light modulators.

  12. Theoretical utmost performance of the (1 0 0) long-wave HgCdTe Auger suppressed photodetectors grown on GaAs

    NASA Astrophysics Data System (ADS)

    Martyniuk, P.; Gawron, W.; Madejczyk, P.; Rogalski, A.

    2017-08-01

    The vast majority of HgCdTe detectors designed to detect long wavelength (8-14 μm) infrared radiation must be cooled to achieve the required performance. It must be stressed that cooling requirement is both expensive and bulky and the main objective is to reach higher operating temperature condition preserving near background limited performance and high speed response. In order to reach that goal the thermal generation rate needs to be reduced below the photon generation rate. Except Auger 7, p-type HgCdTe active layers are mostly limited by technology dependent Shockley-Read-Hall generation-recombination processes. One of the ways to reduce of the trap density is a growth of the (1 0 0) HgCdTe epilayers on GaAs substrates. In addition, that orientation allows reaching lower carrier concentration in comparison to the commonly used (1 1 1) orientation (5 × 1015-1016 cm-3). In this paper we report on theoretical utmost performance of (1 0 0) HgCdTe Auger suppressed photodetectors grown on GaAs substrates. (1 0 0) HgCdTe orientation allows to reduce p-type doping to the level of ∼5 × 1014 cm-3 in analyzed long wavelength range. In addition Shockley-Read-Hall traps could be reduced to the level of ∼4.4 × 108 cm-3 resulting in suppression of the dark current by nearly two orders of magnitude within the range ∼20 ÷ 0.31 A/cm2 and detectivity, ∼1010-1011 cmHz1/2/W at temperature 230 K, voltage 200 mV.

  13. Influence of surface pre-treatment on the electronic levels in silicon MaWCE nanowires.

    PubMed

    Venturi, Giulia; Castaldini, Antonio; Schleusener, Alexander; Sivakov, Vladimir; Cavallini, Anna

    2015-05-15

    Deep level transient spectroscopy (DLTS) was performed on n-doped silicon nanowires grown by metal-assisted wet chemical etching (MaWCE) with gold as the catalyst in order to investigate the energetic scheme inside the bandgap. To observe the possible dependence of the level scheme on the processing temperature, DLTS measurements were performed on the nanowires grown on a non-treated Au/Si surface and on a thermally pre-treated Au/Si surface. A noticeable modification of the configuration of the energy levels was observed, induced by the annealing process. Based on our results on these MaWCE nanowires and on literature data about deep levels in bulk silicon, some hypotheses were advanced regarding the identification of the defects responsible of the energy levels revealed.

  14. Efficient Ga(As)Sb quantum dot emission in AlGaAs by GaAs intermediate layer

    NASA Astrophysics Data System (ADS)

    Loeber, Thomas Henning; Richter, Johannes; Strassner, Johannes; Heisel, Carina; Kimmle, Christina; Fouckhardt, Henning

    2013-03-01

    Ga(As)Sb quantum dots (QDs) are epitaxially grown in AlGaAs/GaAs in the Stranski-Krastanov mode. In the recent past we achieved Ga(As)Sb QDs in GaAs with an extremely high dot density of 9.8•1010 cm-2 by optimization of growth temperature, Sb/Ga flux pressure ratio, and coverage. Additionally, the QD emission wavelength could be chosen precisely with these growth parameters in the range between 876 and 1035 nm. Here we report a photoluminescence (PL) intensity improvement for the case with AlGaAs barriers. Again growth parameters and layer composition are varied. The aluminium content is varied between 0 and 90%. Reflectance anisotropy spectroscopy (RAS) is used as insitu growth control to determine growth rate, layer thickness, and AlGaAs composition. Ga(As)Sb QDs, directly grown in AlxGa1-xAs emit no PL signal, even with a very low x ≈ 0.1. With additional around 10 nm thin GaAs intermediate layers between the Ga(As)Sb QDs and the AlGaAs barriers PL signals are detected. Samples with 4 QD layers and AlxGa1-xAs/GaAs barriers in between are grown. The thickness and composition of the barriers are changed. Depending on these values PL intensity is more than 4 times as high as in the case with simple GaAs barriers. With these results efficient Ga(As)Sb QD lasers are realized, so far only with pure GaAs barriers. Our index-guided broad area lasers operate continuous-wave (cw) @ 90 K, emit optical powers of more than 2•50 mW and show a differential quantum efficiency of 54% with a threshold current density of 528 A/cm2.

  15. Growth of High-Quality GaAs on Ge by Controlling the Thickness and Growth Temperature of Buffer Layer

    NASA Astrophysics Data System (ADS)

    Zhou, Xu-Liang; Pan, Jiao-Qing; Yu, Hong-Yan; Li, Shi-Yan; Wang, Bao-Jun; Bian, Jing; Wang, Wei

    2014-12-01

    High-quality GaAs thin films grown on miscut Ge substrates are crucial for GaAs-based devices on silicon. We investigate the effect of different thicknesses and temperatures of GaAs buffer layers on the crystal quality and surface morphology of GaAs on Ge by metal-organic chemical vapor deposition. Through high resolution x-ray diffraction measurements, it is demonstrated that the full width at half maximum for the GaAs epilayer (Ge substrate) peak could achieve 19.3 (11.0) arcsec. The value of etch pit density could be 4×104 cm-2. At the same time, GaAs surfaces with no pyramid-shaped pits are obtained when the buffer layer growth temperature is lower than 360°C, due to effective inhibition of initial nucleation at terraces of the Ge surface. In addition, it is shown that large island formation at the initial stage of epitaxial growth is a significant factor for the final rough surface and that this initial stage should be carefully controlled when a device quality GaAs surface is desired.

  16. In-situ ellipsometric studies of optical and surface properties of GaAs(100) at elevated temperatures

    NASA Technical Reports Server (NTRS)

    Yao, Huade; Snyder, Paul G.

    1991-01-01

    A rotating-polarizer ellipsometer was attached to an ultrahigh vacuum (UHV) chamber. A GaAs(100) sample was introduced into the UHV chamber and heated at anumber of fixed elevated temperatures, without arsenic overpressure. In-situ spectroscopic ellipsometric (SE) measurements were taken, through a pair of low-strain quartz windows, to monitor the surface changes and measure the pseudodielectric functions at elevated temperatures. Real-time data from GaAs surface covered with native oxide showed clearly the evolution of oxide desorption at approximately 580 C. In addition, surface degradation was found before and after the oxide desorption. An oxide free and smooth GaAs surface was obtained by depositing an arsenic protective coating onto a molecular beam epitaxy grown GaAs surface. The arsenic coating was evaporated immediately prior to SE measurements. A comparison showed that our room temperature data from this GaAs surface, measured in the UHV, are in good agreement with those in the literature obtained by wet-chemical etching. The surface also remained clean and smooth at higher temperatures, so that reliable temperature-dependent dielectric functions were obtained.

  17. Demonstrating antiphase domain boundary-free GaAs buffer layer on zero off-cut Si (0 0 1) substrate for interfacial misfit dislocation GaSb film by metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Ha, Minh Thien Huu; Hoang Huynh, Sa; Binh Do, Huy; Nguyen, Tuan Anh; Luc, Quang Ho; Chang, Edward Yi

    2017-08-01

    High quality 40 nm GaSb thin film was grown on the zero off-cut Si (0 0 1)-oriented substrate using metalorganic chemical vapor deposition with the temperature-graded GaAs buffer layer. The growth time of the GaAs nucleation layer, which was deposited at a low temperature of 490 °C, is systematically investigated in this paper. Cross-sections of the high resolution transmission electron microscopy images indicate that the GaAs compound formed 3D-islands first before to quasi-2D islands, and finally formed uniform GaAs layer. The optimum thickness of the 490 °C-GaAs layer was found to be 10 nm to suppress the formation of antiphase domain boundaries (APDs). The thin GaAs nucleation layer had a root-mean-square surface roughness of 0.483 nm. This allows the continued high temperature GaAs buffer layer to be achieved with low threading dislocation density of around 7.1  ×  106 cm-2 and almost invisible APDs. Finally, a fully relaxed GaSb film was grown on the top of the GaAs/Si heterostructure using interfacial misfit dislocation growth mode. These results indicate that the GaSb epitaxial layer can be grown on Si substrate with GaAs buffer layer for future p-channel metal-oxide-semiconductor field effect transistors (MOSFETs) applications.

  18. Comparison of GaP nanowires grown from Au and Sn vapor-liquid-solid catalysts as photoelectrode materials

    NASA Astrophysics Data System (ADS)

    Lee, Sudarat; Wen, Wen; Cheek, Quintin; Maldonado, Stephen

    2018-01-01

    Gallium phosphide (GaP) nanowire film electrodes have been prepared via solid sublimation of GaP powder using both gold (Au) and tin (Sn) nanoparticles as the vapor-liquid-solid (VLS) catalysts on Si(1 1 1) and GaP(1 1 1)B substrates. The resultant GaP nanowires are compared and contrasted in terms of structures and photoactivity in photoelectrochemical half cells. Raman spectra implicated a difference in the surface condition of the two types of nanowires. Complete wet etching removal of metallic VLS catalysts from the as-prepared GaP nanowires was possible with Sn catalysts but not with Au catalysts. The photoresponses of both Sn- and Au-seeded GaP nanowire films were collected and examined under 100 mW cm-2 white light illumination. Au-seeded nanowire films exhibited strong n-type characteristics when measured in nonaqueous electrolyte with ferrocene/ferricenium as the redox species while Sn-seeded nanowires showed behavior consistent with degenerate n-type doping.

  19. Spatial distribution of defect luminescence in GaN nanowires.

    PubMed

    Li, Qiming; Wang, George T

    2010-05-12

    The spatial distribution of defect-related and band-edge luminescence from GaN nanowires grown by metal-organic chemical vapor deposition was studied by spatially resolved cathodoluminescence imaging and spectroscopy. A surface layer exhibiting strong yellow luminescence (YL) near 566 nm in the nanowires was revealed, compared to weak YL in the bulk. In contrast, other defect-related luminescence near 428 nm (blue luminescence) and 734 nm (red luminescence), in addition to band-edge luminescence (BEL) at 366 nm, were observed in the bulk of the nanowires but were largely absent at the surface. As the nanowire width approaches a critical dimension, the surface YL layer completely quenches the BEL. The surface YL is attributed to the diffusion and piling up of mobile point defects, likely isolated gallium vacancies, at the surface during growth.

  20. GaAsP/InGaP HBTs grown epitaxially on Si substrates: Effect of dislocation density on DC current gain

    NASA Astrophysics Data System (ADS)

    Heidelberger, Christopher; Fitzgerald, Eugene A.

    2018-04-01

    Heterojunction bipolar transistors (HBTs) with GaAs0.825P0.175 bases and collectors and In0.40Ga0.60P emitters were integrated monolithically onto Si substrates. The HBT structures were grown epitaxially on Si via metalorganic chemical vapor deposition, using SiGe compositionally graded buffers to accommodate the lattice mismatch while maintaining threading dislocation density at an acceptable level (˜3 × 106 cm-2). GaAs0.825P0.175 is used as an active material instead of GaAs because of its higher bandgap (increased breakdown voltage) and closer lattice constant to Si. Misfit dislocation density in the active device layers, measured by electron-beam-induced current, was reduced by making iterative changes to the epitaxial structure. This optimized process culminated in a GaAs0.825P0.175/In0.40Ga0.60P HBT grown on Si with a DC current gain of 156. By considering the various GaAsP/InGaP HBTs grown on Si substrates alongside several control devices grown on GaAs substrates, a wide range of threading dislocation densities and misfit dislocation densities in the active layers could be correlated with HBT current gain. The effect of threading dislocations on current gain was moderated by the reduction in minority carrier lifetime in the base region, in agreement with existing models for GaAs light-emitting diodes and photovoltaic cells. Current gain was shown to be extremely sensitive to misfit dislocations in the active layers of the HBT—much more sensitive than to threading dislocations. We develop a model for this relationship where increased base current is mediated by Fermi level pinning near misfit dislocations.

  1. GaN nanowires with pentagon shape cross-section by ammonia-source molecular beam epitaxy

    DOE PAGES

    Lin, Yong; Leung, Benjamin; Li, Qiming; ...

    2015-07-14

    In this study, ammonia-based molecular beam epitaxy (NH 3-MBE) was used to grow catalyst-assisted GaN nanowires on (11¯02) r-plane sapphire substrates. Dislocation free [112¯0] oriented nanowires are formed with pentagon shape cross-section, instead of the usual triangular shape facet configuration. Specifically, the cross-section is the result of the additional two nonpolar {101¯0} side facets, which appear due to a decrease in relative growth rate of the {101¯0} facets to the {101¯1} and {101¯1} facets under the growth regime in NH 3-MBE. Compared to GaN nanowires grown by Ni-catalyzed metal–organic chemical vapor deposition, the NH 3-MBE grown GaN nanowires show moremore » than an order of magnitude increase in band-edge to yellow luminescence intensity ratio, as measured by cathodoluminescence, indicating improved microstructural and optical properties.« less

  2. A generic approach for vertical integration of nanowires.

    PubMed

    Latu-Romain, E; Gilet, P; Noel, P; Garcia, J; Ferret, P; Rosina, M; Feuillet, G; Lévy, F; Chelnokov, A

    2008-08-27

    We report on the collective integration technology of vertically aligned nanowires (NWs). Si and ZnO NWs have been used in order to develop a generic technological process. Both mineral and organic planarizations of the as-grown nanowires have been achieved. Chemical vapour deposition (CVD) oxides, spin on glass (SOG), and polymer have been investigated as filling materials. Polishing and/or etching of the composite structures have been set up so as to obtain a suitable morphology for the top and bottom electrical contacts. Electrical and optical characterizations of the integrated NWs have been performed. Contacts ohmicity has been demonstrated and specific contact resistances have been reported. The photoconducting properties of polymer-integrated ZnO NWs have also been investigated in the UV-visible range through collective electrical contacts. A small increase of the resistivity in the ZnO NWs under sub-bandgap illumination has been observed and discussed. A comparison of the photoluminescence (PL) spectra at 300 K of the as-grown and SOG-integrated ZnO nanowires has shown no significant impact of the integration process on the crystal quality of the NWs.

  3. Lithography-Free Fabrication of Core-Shell GaAs Nanowire Tunnel Diodes.

    PubMed

    Darbandi, A; Kavanagh, K L; Watkins, S P

    2015-08-12

    GaAs core-shell p-n junction tunnel diodes were demonstrated by combining vapor-liquid-solid growth with gallium oxide deposition by atomic layer deposition for electrical isolation. The characterization of an ensemble of core-shell structures was enabled by the use of a tungsten probe in a scanning electron microscope without the need for lithographic processing. Radial tunneling transport was observed, exhibiting negative differential resistance behavior with peak-to-valley current ratios of up to 3.1. Peak current densities of up to 2.1 kA/cm(2) point the way to applications in core-shell photovoltaics and tunnel field effect transistors.

  4. Evaluating focused ion beam patterning for position-controlled nanowire growth using computer vision

    NASA Astrophysics Data System (ADS)

    Mosberg, A. B.; Myklebost, S.; Ren, D.; Weman, H.; Fimland, B. O.; van Helvoort, A. T. J.

    2017-09-01

    To efficiently evaluate the novel approach of focused ion beam (FIB) direct patterning of substrates for nanowire growth, a reference matrix of hole arrays has been used to study the effect of ion fluence and hole diameter on nanowire growth. Self-catalyzed GaAsSb nanowires were grown using molecular beam epitaxy and studied by scanning electron microscopy (SEM). To ensure an objective analysis, SEM images were analyzed with computer vision to automatically identify nanowires and characterize each array. It is shown that FIB milling parameters can be used to control the nanowire growth. Lower ion fluence and smaller diameter holes result in a higher yield (up to 83%) of single vertical nanowires, while higher fluence and hole diameter exhibit a regime of multiple nanowires. The catalyst size distribution and placement uniformity of vertical nanowires is best for low-value parameter combinations, indicating how to improve the FIB parameters for positioned-controlled nanowire growth.

  5. Texture analysis of CoGe2 alloy films grown heteroepitaxially on GaAs(100) using partially ionized beam deposition

    NASA Astrophysics Data System (ADS)

    Mello, K. E.; Murarka, S. P.; Lu, T.-M.; Lee, S. L.

    1997-06-01

    Reflection x-ray pole figure analysis techniques were used to study the heteroepitaxial relationships of the cobalt germanide CoGe2 to GaAs(100). The alloy films were grown using the partially ionized beam deposition technique, in which low energy Ge+ ions are employed to alter the heteroepitaxial orientation of the CoGe2 deposits. The CoGe2[001](100)∥GaAs[100](001) orientation, which has the smallest lattice mismatch, was found to occur for depositions performed at a substrate temperature around 280 °C and with ˜1200 eV Ge+ ions. Lowering the substrate temperature or reducing the Ge+ ion energy leads to CoGe2(100) orientation domination with CoGe2[100](010)∥GaAs[100](001) and CoGe2[100](001)∥GaAs[100](001). Substrate temperature alone was seen to produce only the CoGe2(100) orientation. For CoGe2(001) films, additional energy was required from Ge+ ions in the evaporant stream.

  6. GaAs Solar Cells on V-Grooved Silicon via Selective Area Growth: Preprint

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Warren, Emily L; Jain, Nikhil; Tamboli, Adele C

    Interest in integrating III-Vs onto Si has recently resurged as a promising pathway towards high-efficiency, low-cost tandem photovoltaics. Here, we present a single junction GaAs solar cell grown monolithically on polished Si (001) substrates using V-grooves, selective area growth, and aspect ratio trapping to mitigate defect formation without the use of expensive, thick graded buffers. The GaAs is free of antiphase domains and maintains a relatively low TDD of 4x107 cm-2, despite the lack of a graded buffer. This 6.25 percent-efficient demonstration solar cell shows promise for further improvements to III-V/Si tandems to enable cost-competitive photovoltaics.

  7. Impurity and Defect Interactions in GaAs.

    DTIC Science & Technology

    1984-02-29

    3 VPE a X X ASW 3 vIE 33 34 35 36"M-cVO Wawwmba (CM - Z TS 32 -~ - .35T 2II i I MS . 34 35 3 , b Wovor%~~e (€cm -) X3 FiS.l Characteristic donor peaks ...2). Far infrared photoconductivity measurements on Si doped GaAs grown by molecular beam epitaxy (MBE) indicated that the impurity peak previously...difference is donor species dependent, each hydrogenic transition in a photothermal ionization spectrum contains several closely spaced peaks . Each peak cor

  8. Preparation and electrical properties of ultrafine Ga2O3 nanowires.

    PubMed

    Huang, Yang; Yue, Shuanglin; Wang, Zhongli; Wang, Qiang; Shi, Chengying; Xu, Z; Bai, X D; Tang, Chengcun; Gu, Changzhi

    2006-01-19

    Uniform and well-crystallized beta-Ga2O3 nanowires are prepared by reacting metal Ga with water vapor based on the vapor-liquid-solid (VLS) mechanism. Electron microscopy studies show that the nanowires have diameters ranging from 10 to 40 nm and lengths up to tens of micrometers. The contact properties of individual Ga2O3 nanowires with Pt or Au/Ti electrodes are studied, respectively, finding that Pt can form Schottky-barrier junctions and Au/Ti is advantageous to fabricate ohmic contacts with individual Ga2O3 nanowires. In ambient air, the conductivity of the Ga2O3 nanowires is about 1 (Omega.m)-1, while with adsorption of NH3 (or NO2) molecules, the conductivity can increase (or decrease) dramatically at room temperature. The as-grown Ga2O3 nanowires have the properties of an n-type semiconductor.

  9. Novel anti-reflection technology for GaAs single-junction solar cells using surface patterning and Au nanoparticles.

    PubMed

    Kim, Youngjo; Lam, Nguyen Dinh; Kim, Kangho; Kim, Sangin; Rotermund, Fabian; Lim, Hanjo; Lee, Jaejin

    2012-07-01

    Single-junction GaAs solar cell structures were grown by low-pressure MOCVD on GaAs (100) substrates. Micro-rod arrays with diameters of 2 microm, 5 microm, and 10 microm were fabricated on the surfaces of the GaAs solar cells via photolithography and wet chemical etching. The patterned surfaces were coated with Au nanoparticles using an Au colloidal solution. Characteristics of the GaAs solar cells with and without the micro-rod arrays and Au nanoparticles were investigated. The short-circuit current density of the GaAs solar cell with 2 microm rod arrays and Au nanoparticles increased up to 34.9% compared to that of the reference cell without micro-rod arrays and Au nanoparticles. The conversion efficiency of the GaAs solar cell that was coated with Au nanoparticles on the patterned surface with micro-rod arrays can be improved from 14.1% to 19.9% under 1 sun AM 1.5G illumination. These results show that micro-rod arrays and Au nanoparticle coating can be applied together in surface patterning to achieve a novel cost-effective anti-reflection technology.

  10. High density group IV semiconductor nanowire arrays fabricated in nanoporous alumina templates

    NASA Astrophysics Data System (ADS)

    Redwing, Joan M.; Dilts, Sarah M.; Lew, Kok-Keong; Cranmer, Alexana E.; Mohney, Suzanne E.

    2005-11-01

    The fabrication of high density arrays of semiconductor nanowires is of interest for nanoscale electronics, chemical and biological sensing and energy conversion applications. We have investigated the synthesis, intentional doping and electrical characterization of Si and Ge nanowires grown by the vapor-liquid-solid (VLS) method in nanoporous alumina membranes. Nanoporous membranes provide a convenient platform for nanowire growth and processing, enabling control of wire diameter via pore size and the integration of contact metals for electrical testing. For VLS growth in nanoporous materials, reduced pressures and temperatures are required in order to promote the diffusion of reactants into the pore without premature decomposition on the membrane surface or pore walls. The effect of growth conditions on the growth rate of Si and Ge nanowires from SiH 4 and GeH 4 sources, respectively, was investigated and compared. In both cases, the measured activation energies for nanowire growth were substantially lower than activation energies typically reported for Si and Ge thin film deposition under similar growth conditions, suggesting that gold plays a catalytic role in the VLS growth process. Intentionally doped SiNW arrays were also prepared using trimethylboron (TMB) and phosphine (PH 3) as p-type and n-type dopant sources, respectively. Nanowire resistivities were calculated from plots of the array resistance as a function of nanowire length. A decrease in resistivity was observed for both n-type and p-type doped SiNW arrays compared to those grown without the addition of a dopant source.

  11. Nanowire-Based Electrode for Acute In Vivo Neural Recordings in the Brain

    PubMed Central

    Suyatin, Dmitry B.; Wallman, Lars; Thelin, Jonas; Prinz, Christelle N.; Jörntell, Henrik; Samuelson, Lars; Montelius, Lars; Schouenborg, Jens

    2013-01-01

    We present an electrode, based on structurally controlled nanowires, as a first step towards developing a useful nanostructured device for neurophysiological measurements in vivo. The sensing part of the electrode is made of a metal film deposited on top of an array of epitaxially grown gallium phosphide nanowires. We achieved the first functional testing of the nanowire-based electrode by performing acute in vivo recordings in the rat cerebral cortex and withstanding multiple brain implantations. Due to the controllable geometry of the nanowires, this type of electrode can be used as a model system for further analysis of the functional properties of nanostructured neuronal interfaces in vivo. PMID:23431387

  12. Atomic structure and stoichiometry of In(Ga)As/GaAs quantum dots grown on an exact-oriented GaP/Si(001) substrate

    NASA Astrophysics Data System (ADS)

    Schulze, C. S.; Huang, X.; Prohl, C.; Füllert, V.; Rybank, S.; Maddox, S. J.; March, S. D.; Bank, S. R.; Lee, M. L.; Lenz, A.

    2016-04-01

    The atomic structure and stoichiometry of InAs/InGaAs quantum-dot-in-a-well structures grown on exactly oriented GaP/Si(001) are revealed by cross-sectional scanning tunneling microscopy. An averaged lateral size of 20 nm, heights up to 8 nm, and an In concentration of up to 100% are determined, being quite similar compared with the well-known quantum dots grown on GaAs substrates. Photoluminescence spectra taken from nanostructures of side-by-side grown samples on GaP/Si(001) and GaAs(001) show slightly blue shifted ground-state emission wavelength for growth on GaP/Si(001) with an even higher peak intensity compared with those on GaAs(001). This demonstrates the high potential of GaP/Si(001) templates for integration of III-V optoelectronic components into silicon-based technology.

  13. Quantum dot-like emitters formed due to alloy fluctuations in GaNAs-based nanowires.

    NASA Astrophysics Data System (ADS)

    Buyanova, Irina; Jansson, M.; Filippov, S.; Stehr, J.; Palisaitis, J.; Persson, P.; Ishikawa, F.; Chen, Weimin

    Group III-V semiconductor nanowires with embedded quantum dots (QDs) are currently attracting increasing attention as a highly attractive platform for a variety of advanced applications ranging from third generation photovoltaics to quantum information technologies. In this work, we show that local fluctuations in N composition inside coaxial GaAs/GaNAs nanowires induces three-dimensional confining potentials equivalent to that for QDs thus forming optically active and highly localized states inside the GaNAs shell. Principal quantization axis of these states is concluded to mainly coincide with the nanowire axis, based on the strong polarization of the detected emission orthogonal to the nanowire axis revealed from polarization-resolved micro-photoluminescence studies. This is partly attributed to a predominantly uniaxial tensile strain field in the GaNAs shell caused by lattice mismatch with the GaAs core. GaNAs alloys can, therefore, be used as an active material in hybrid QD-NW structures utilized for fabrication of nanoscale polarized-light sources that are efficient within the near-infrared spectral range. Financial support by the Swedish Energy Agency (Grant # P40119-1) and the Swedish Research Council (Grant # 2015-05532) is greatly appreciated.

  14. Single nanowire thermal conductivity measurements by Raman thermography.

    PubMed

    Doerk, Gregory S; Carraro, Carlo; Maboudian, Roya

    2010-08-24

    A facile, rapid, and nondestructive technique for determining the thermal conductivity of individual nanowires based on Raman temperature mapping has been demonstrated. Using calculated absorption efficiencies, the thermal conductivities of single cantilevered Si nanowires grown by the vapor-liquid-solid method are measured and the results agree well with values predicted by diffuse phonon boundary scattering. As a measurement performed on the wire, thermal contact effects are avoided and ambient air convection is found to be negligible for the range of diameters measured. The method's versatility is further exemplified in the reverse measurement of a single nanowire absorption efficiency assuming diffuse phonon boundary scattering. The results presented here outline the broad utility that Raman thermography may have for future thermoelectric and photovoltaic characterization of nanostructures.

  15. Diameter Tuning of β-Ga2O3 Nanowires Using Chemical Vapor Deposition Technique.

    PubMed

    Kumar, Mukesh; Kumar, Vikram; Singh, R

    2017-12-01

    Diameter tuning of [Formula: see text]-Ga 2 O 3 nanowires using chemical vapor deposition technique have been investigated under various experimental conditions. Diameter of root grown [Formula: see text]-Ga 2 O 3 nanowires having monoclinic crystal structure is tuned by varying separation distance between metal source and substrate. Effect of gas flow rate and mixer ratio on the morphology and diameter of nanowires has been studied. Nanowire diameter depends on growth temperature, and it is independent of catalyst nanoparticle size at higher growth temperature (850-900 °C) as compared to lower growth temperature (800 °C). These nanowires show changes in structural strain value with change in diameter. Band-gap of nanowires increases with decrease in the diameter.

  16. Room temperature photoluminescence in the visible range from silicon nanowires grown by a solid-state reaction

    NASA Astrophysics Data System (ADS)

    Anguita, J. V.; Sharma, P.; Henley, S. J.; Silva, S. R. P.

    2009-11-01

    The solid-liquid-solid method (also known as the solid-state method) is used to produce silicon nanowires at the core of silica nanowires with a support catalyst layer structure of nickel and titanium layers sputtered on oxide-coated silicon wafers. This silane-free process is low cost and large-area compatible. Using electron microscopy and Raman spectroscopy we deduce that the wires have crystalline silicon cores. The nanowires show photoluminescence in the visible range (orange), and we investigate the origin of this band. We further show that the nanowires form a random mesh that acts as an efficient optical trap, giving rise to an optically absorbing medium.

  17. Low temperature and self catalytic growth of ultrafine ITO nanowires by electron beam evaporation method and their optical and electrical properties

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, R. Rakesh, E-mail: rakesh.rajaboina@gmail.com; Department of Physics, Indian Institute of Science Education and Research, Bhopal 462066; Rao, K. Narasimha

    2014-04-01

    Highlights: • ITO nanowires were grown by e-beam evaporation method. • ITO nanowires growth done at low substrate temperature of 350 °C. • Nanowires growth was carried out without use of catalyst and reactive oxygen gas. • Nanowires growth proceeds via self catalytic VLS growth. • Grown nanowires have diameter 10–20 nm and length 1–4 μm long. • ITO nanowire films have shown good antireflection property. - Abstract: We report the self catalytic growth of Sn-doped indium oxide (ITO) nanowires (NWs) over a large area glass and silicon substrates by electron beam evaporation method at low substrate temperatures of 250–400more » °C. The ITO NWs growth was carried out without using an additional reactive oxygen gas and a metal catalyst particle. Ultrafine diameter (∼10–15 nm) and micron long ITO NWs growth was observed in a temperature window of 300–400 °C. Transmission electron microscope studies confirmed single crystalline nature of the NWs and energy dispersive spectroscopy studies on the NWs confirmed that the NWs growth proceeds via self catalytic vapor-liquid-solid (VLS) growth mechanism. ITO nanowire films grown on glass substrates at a substrate temperature of 300–400 °C have shown ∼2–6% reflection and ∼70–85% transmission in the visible region. Effect of deposition parameters was systematically investigated. The large area growth of ITO nanowire films would find potential applications in the optoelectronic devices.« less

  18. Bandgap Tuning of GaAs/GaAsSb Core-Shell Nanowires Grown by Molecular Beam Epitaxy

    DTIC Science & Technology

    2015-09-21

    SECURITY CLASSIFICATION OF: Semiconductor nanowires have been identified as a viable technology for next-generation infrared (IR) photodetectors with... nanowires , by varying the Sb content using Ga-assisted molecular beam epitaxy. An increase in Sb content leads to strain accumulation in shell...manifesting in rough surface morphology, multifaceted growths, curved nanowires , and deterioration in the 1. REPORT DATE (DD-MM-YYYY) 4. TITLE AND SUBTITLE

  19. InGaAs/GaAsP strain balanced multi-quantum wires grown on misoriented GaAs substrates for high efficiency solar cells

    NASA Astrophysics Data System (ADS)

    Alonso-Álvarez, D.; Thomas, T.; Führer, M.; Hylton, N. P.; Ekins-Daukes, N. J.; Lackner, D.; Philipps, S. P.; Bett, A. W.; Sodabanlu, H.; Fujii, H.; Watanabe, K.; Sugiyama, M.; Nasi, L.; Campanini, M.

    2014-08-01

    Quantum wires (QWRs) form naturally when growing strain balanced InGaAs/GaAsP multi-quantum wells (MQW) on GaAs [100] 6° misoriented substrates under the usual growth conditions. The presence of wires instead of wells could have several unexpected consequences for the performance of the MQW solar cells, both positive and negative, that need to be assessed to achieve high conversion efficiencies. In this letter, we study QWR properties from the point of view of their performance as solar cells by means of transmission electron microscopy, time resolved photoluminescence and external quantum efficiency (EQE) using polarised light. We find that these QWRs have longer lifetimes than nominally identical QWs grown on exact [100] GaAs substrates, of up to 1 μs, at any level of illumination. We attribute this effect to an asymmetric carrier escape from the nanostructures leading to a strong 1D-photo-charging, keeping electrons confined along the wire and holes in the barriers. In principle, these extended lifetimes could be exploited to enhance carrier collection and reduce dark current losses. Light absorption by these QWRs is 1.6 times weaker than QWs, as revealed by EQE measurements, which emphasises the need for more layers of nanostructures or the use light trapping techniques. Contrary to what we expected, QWR show very low absorption anisotropy, only 3.5%, which was the main drawback a priori of this nanostructure. We attribute this to a reduced lateral confinement inside the wires. These results encourage further study and optimization of QWRs for high efficiency solar cells.

  20. Water-vapor-enhanced growth of Ge GeOx core shell nanowires and Si1-xGexOy nanowires

    NASA Astrophysics Data System (ADS)

    Hsu, Ting-Jui; Ko, Chih-Yuan; Lin, Wen-Tai

    2007-09-01

    The effects of moist Ar on the growth of Ge-GeOx core-shell nanowires (Ge-GeOx NWs) and Si1-xGexOy nanowires (SiGeONWs) on Si substrates without adding a metal catalyst via the carbothermal reduction of GeO2 powders at 1100 °C were studied. No significant nanowires were grown in dry Ar at a flow rate of 100-300 sccm until a bit of water in the range of 0.5-2 ml was loaded into the furnace. More water suppressed the growth of nanowires because of the exhaustion of more graphite powder. The growth of Ge-GeOx NWs and SiGeONWs follows the vapor-solid and vapor-liquid-solid processes, respectively. The present study showed that the water vapor serves as an oxidizer as well as a reducer at 1100 °C in enhancing the growth of SiGeONWs and Ge-GeOx NWs, respectively. The growth mechanisms of Ge-GeOx NWs and SiGeONWs are also discussed.

  1. Image quality of a pixellated GaAs X-ray detector

    NASA Astrophysics Data System (ADS)

    Sun, G. C.; Makham, S.; Bourgoin, J. C.; Mauger, A.

    2007-02-01

    X-ray detection requires materials with large atomic numbers Z in order to absorb the radiation efficiently. In case of X-ray imaging, fluorescence is a limiting factor for the spatial resolution and contrast at energies above the kα threshold. Since both the energy and yield of the fluorescence of a given material increase with the atomic number, there is an optimum value of Z. GaAs, which can now be epitaxially grown as self-supported thick layers to fulfil the requirements for imaging (good homogeneity of the electronic properties) corresponds to this optimum. Image performances obtained with this material are evaluated in terms of line spread function and modulation transfer function, and a comparison with CsI is made. We evaluate the image contrast obtained for a given object contrast with GaAs and CsI detectors, in the photon energy range of medical applications. Finally, we discuss the minimum object size, which can be detected by these detectors in of mammography conditions. This demonstrates that an object of a given size can be detected using a GaAs detector with a dose at least 100 times lower than using a CsI detector.

  2. GaSb solar cells grown on GaAs via interfacial misfit arrays for use in the III-Sb multi-junction cell

    NASA Astrophysics Data System (ADS)

    Nelson, George T.; Juang, Bor-Chau; Slocum, Michael A.; Bittner, Zachary S.; Laghumavarapu, Ramesh B.; Huffaker, Diana L.; Hubbard, Seth M.

    2017-12-01

    Growth of GaSb with low threading dislocation density directly on GaAs may be possible with the strategic strain relaxation of interfacial misfit arrays. This creates an opportunity for a multi-junction solar cell with access to a wide range of well-developed direct bandgap materials. Multi-junction cells with a single layer of GaSb/GaAs interfacial misfit arrays could achieve higher efficiency than state-of-the-art inverted metamorphic multi-junction cells while forgoing the need for costly compositionally graded buffer layers. To develop this technology, GaSb single junction cells were grown via molecular beam epitaxy on both GaSb and GaAs substrates to compare homoepitaxial and heteroepitaxial GaSb device results. The GaSb-on-GaSb cell had an AM1.5g efficiency of 5.5% and a 44-sun AM1.5d efficiency of 8.9%. The GaSb-on-GaAs cell was 1.0% efficient under AM1.5g and 4.5% at 44 suns. The lower performance of the heteroepitaxial cell was due to low minority carrier Shockley-Read-Hall lifetimes and bulk shunting caused by defects related to the mismatched growth. A physics-based device simulator was used to create an inverted triple-junction GaInP/GaAs/GaSb model. The model predicted that, with current GaSb-on-GaAs material quality, the not-current-matched, proof-of-concept cell would provide 0.5% absolute efficiency gain over a tandem GaInP/GaAs cell at 1 sun and 2.5% gain at 44 suns, indicating that the effectiveness of the GaSb junction was a function of concentration.

  3. Structural and optical properties of axial silicon-germanium nanowire heterojunctions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, X.; Tsybeskov, L., E-mail: tsybesko@njit.edu; Kamins, T. I.

    2015-12-21

    Detailed studies of the structural and optical properties of axial silicon-germanium nanowire heterojunctions show that despite the 4.2% lattice mismatch between Si and Ge they can be grown without a significant density of structural defects. The lattice mismatch induced strain is partially relieved due to spontaneous SiGe intermixing at the heterointerface during growth and lateral expansion of the Ge segment of the nanowire. The mismatch in Ge and Si coefficients of thermal expansion and low thermal conductivity of Si/Ge nanowire heterojunctions are proposed to be responsible for the thermally induced stress detected under intense laser radiation in photoluminescence and Ramanmore » scattering measurements.« less

  4. Thermoelectric Properties and Thermal Tolerance of Indium Tin Oxide Nanowires.

    PubMed

    Hernandez, Jose A; Carpena Nunez, Jennifer; Fonseca, Luis F; Pettes, Michael Thompson; Yacaman, Miguel Jose; Benitez, Alfredo

    2018-06-14

    Single-crystalline indium tin oxide (ITO) nanowires were grown via a vapor-liquid-solid (VLS) method, with thermal tolerance up to ~1300°C. We report the electric and thermoelectric properties of the ITO nanowires before and after heat treatments and draw conclusions about their applicability as thermoelectric building blocks in nanodevices that can operate in high temperature conditions. The Seebeck coefficient and the thermal and electrical conductivities were measured in each individual nanowire by means of specialized micro-bridge thermometry devices. Measured data was analyzed and explained in terms of changes in charge carrier density, impurities and vacancies due to the thermal treatments. © 2018 IOP Publishing Ltd.

  5. Structural and electronic properties of isovalent boron atoms in GaAs

    NASA Astrophysics Data System (ADS)

    Krammel, C. M.; Nattermann, L.; Sterzer, E.; Volz, K.; Koenraad, P. M.

    2018-04-01

    Boron containing GaAs, which is grown by metal organic vapour phase epitaxy, is studied at the atomic level by cross-sectional scanning tunneling microscopy (X-STM) and spectroscopy (STS). In topographic X-STM images, three classes of B related features are identified, which are attributed to individual B atoms on substitutional Ga sites down to the second layer below the natural {110} cleavage planes. The X-STM contrast of B atoms below the surface reflects primarily the structural modification of the GaAs matrix by the small B atoms. However, B atoms in the cleavage plane have in contrast to conventional isovalent impurities, such as Al and In, a strong influence on the local electronic structure similar to donors or acceptors. STS measurements show that B in the GaAs {110} surfaces gives rise to a localized state short below the conduction band (CB) edge while in bulk GaAs, the B impurity state is resonant with the CB. The analysis of BxGa1-xAs/GaAs quantum wells reveals a good crystal quality and shows that the incorporation of B atoms in GaAs can be controlled along the [001] growth direction at the atomic level. Surprisingly, the formation of the first and fourth nearest neighbor B pairs, which are oriented along the <110 > directions, is strongly suppressed at a B concentration of 1% while the third nearest neighbor B pairs are found more than twice as often than expected for a completely spatially random pattern.

  6. CdS nanowires formed by chemical synthesis using conjugated single-stranded DNA molecules

    NASA Astrophysics Data System (ADS)

    Sarangi, S. N.; Sahu, S. N.; Nozaki, S.

    2018-03-01

    CdS nanowires were successfully grown by chemical synthesis using two conjugated single-stranded (ss) DNA molecules, poly G (30) and poly C (30), as templates. During the early stage of the synthesis with the DNA molecules, the Cd 2+ interacts with Poly G and Poly C and produces the (Cd 2+)-Poly GC complex. As the growth proceeds, it results in nanowires. The structural analysis by grazing angle x-ray diffraction and transmission electron microscopy confirmed the zinc-blende CdS nanowires with the growth direction of <220>. Although the nanowires are well surface-passivated with the DNA molecules, the photoluminescence quenching was caused by the electron transfer from the nanowires to the DNA molecules. The quenching can be used to detect and label the DNAs.

  7. Mono- and polynucleation, atomistic growth, and crystal phase of III-V nanowires under varying group V flow

    NASA Astrophysics Data System (ADS)

    Dubrovskii, V. G.

    2015-05-01

    We present a refined model for the vapor-liquid-solid growth and crystal structure of Au-catalyzed III-V nanowires, which revisits several assumptions used so far and is capable of describing the transition from mononuclear to polynuclear regime and ultimately to regular atomistic growth. We construct the crystal phase diagrams and calculate the wurtzite percentages, elongation rates, critical sizes, and polynucleation thresholds of Au-catalyzed GaAs nanowires depending on the As flow. We find a non-monotonic dependence of the crystal phase on the group V flow, with the zincblende structure being preferred at low and high group V flows and the wurtzite structure forming at intermediate group V flows. This correlates with most of the available experimental data. Finally, we discuss the atomistic growth picture which yields zincblende crystal structure and should be very advantageous for fabrication of ternary III-V nanowires with well-controlled composition and heterointerfaces.

  8. Molecular beam epitaxy of InN nanowires on Si

    NASA Astrophysics Data System (ADS)

    Golam Sarwar, A. T. M.; Carnevale, Santino D.; Kent, Thomas F.; Laskar, Masihhur R.; May, Brelon J.; Myers, Roberto C.

    2015-10-01

    We report on a systematic growth study of the nucleation process of InN nanowires on Si(1 1 1) substrates using plasma assisted molecular beam epitaxy (PAMBE). Samples are grown with various substrate temperatures and III/V ratios. Scanning electron microscopy, X-ray diffraction spectroscopy, energy dispersive X-ray spectroscopy, and photoluminescence are carried out to map out the variation in structural and optical properties versus growth conditions. Statistical averages of areal density, height, and radius are mapped as a function of substrate temperature and III/V ratio. Three different morphological phases are identified on the growth surface: InN, α-In and β-In. Based on SEM image analysis of samples grown at different conditions, the formation mechanism of these phases is proposed. Finally, the growth phase diagram of PAMBE grown InN on Si under N-rich condition is presented, and tapered versus non-tapered growth conditions are identified. It is found that high growth temperature and low III/V ratio plays a critical role in the growth of non-tapered InN nanowires.

  9. Studies of Silicon Nanowires with Different Parameters — By PECVD

    NASA Astrophysics Data System (ADS)

    Leela, S.; Abirami, T.; Bhattacharya, Sekhar; Ahmed, Nafis; Monika, S.; Priya, R. Nivedha

    2016-10-01

    One-dimensional nanostructures such as nanowires have a wide range of applications. Silicon is the best competitive material for the carbon nanotubes (CNTs). Carbon and silicon have some similar and peculiar properties. Silicon nanowires (SiNWs) were synthesized using plasma enhanced chemical vapor deposition (PECVD) on p-Si (111) wafer. Gold is used as a catalyst for the growth of the SiNWs. Based on our fundamental understanding of vapor-liquid-solid (VLS) nanowire growth mechanism, different levels of growth controls have been achieved. Gold catalyst deposited and annealed at different temperatures with different thicknesses (450∘C, 500∘C and 550∘C, 600∘C, 650∘C for 4min and 8min and 3nm, 5nm, 30nm Au thickness). SiNW grown by PECVD with different carrier gases varies with flow rate. We observed the different dimensions of Si nanowires by FESEM and optimized the growth parameters to get the vertical aligned and singular Si nanowires. Optical phonon of the Si nanowires and crystallinity nature were identified by Raman spectral studies.

  10. Atomic structure and stoichiometry of In(Ga)As/GaAs quantum dots grown on an exact-oriented GaP/Si(001) substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schulze, C. S.; Prohl, C.; Füllert, V.

    2016-04-04

    The atomic structure and stoichiometry of InAs/InGaAs quantum-dot-in-a-well structures grown on exactly oriented GaP/Si(001) are revealed by cross-sectional scanning tunneling microscopy. An averaged lateral size of 20 nm, heights up to 8 nm, and an In concentration of up to 100% are determined, being quite similar compared with the well-known quantum dots grown on GaAs substrates. Photoluminescence spectra taken from nanostructures of side-by-side grown samples on GaP/Si(001) and GaAs(001) show slightly blue shifted ground-state emission wavelength for growth on GaP/Si(001) with an even higher peak intensity compared with those on GaAs(001). This demonstrates the high potential of GaP/Si(001) templates for integration ofmore » III-V optoelectronic components into silicon-based technology.« less

  11. Accelerated GaAs growth through MOVPE for low-cost PV applications

    NASA Astrophysics Data System (ADS)

    Ubukata, Akinori; Sodabanlu, Hassanet; Watanabe, Kentaroh; Koseki, Shuichi; Yano, Yoshiki; Tabuchi, Toshiya; Sugaya, Takeyoshi; Matsumoto, Koh; Nakano, Yoshiaki; Sugiyama, Masakazu

    2018-05-01

    The high growth rate of epitaxial GaAs was investigated using a novel horizontal metalorganic vapor phase epitaxy (MOVPE) reactor, from the point of view of realizing low-cost photovoltaic (PV) solar cells. The GaAs growth rate exhibited an approximately linear relationship with the amount of trimethylgalium (TMGa) supplied, up to a rate of 90 μm/h. The distribution of growth rate was observed for a two-inch wafer, along the flow direction, and the normalized profile of the distribution was found to be independent of the precursor input, from 20 to 70 μm/h. These tendencies indicated that significant parasitic prereaction did not occur in the gaseous phase, for this range of growth rate. GaAs p-n single-junction solar cells were successfully fabricated at growth rates of 20, 60, and 80 μm/h. The conversion efficiency of the cell grown at 80 μm/h was comparable to that of the 20 μm/h cell, indicating the good quality and properties of GaAs. The epitaxial growth exhibited good uniformity, as evidenced by the uniformity of the cell performance across the wafer, from the center to the edge. The result indicated the potential of high-throughput MOVPE for low-cost production, not only for PV devices but also for other semiconductor applications.

  12. Deep levels in osmium doped p-type GaAs grown by metal organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Iqbal, M. Zafar; Majid, A.; Dadgar, A.; Bimberg, D.

    2005-06-01

    Results of a preliminary study on deep level transient spectroscopy (DLTS) investigations of osmium (Os) impurity in p-type GaAs, introduced in situ during MOCVD crystal growth, are reported for the first time. Os is clearly shown to introduce two prominent deep levels in the lower half-bandgap of GaAs at energy positions Ev + 0.42 eV (OsA) and Ev + 0.72 eV (OsB). A minority-carrier emitting defect feature observed in the upper half-bandgap is shown to consist of a band of Os-related deep levels with a concentration significantly higher than that of the majority carrier emitting deep levels. Detailed data on the emission rate signatures and related parameters of the Os-related deep levels are reported.

  13. Nanoepitaxy of GaAs on a Si(001) substrate using a round-hole nanopatterned SiO2 mask.

    PubMed

    Hsu, Chao-Wei; Chen, Yung-Feng; Su, Yan-Kuin

    2012-12-14

    GaAs is grown by metal-organic vapor-phase epitaxy on a 55 nm round-hole patterned Si substrate with SiO(2) as a mask. The threading dislocations, which are stacked on the lowest energy facet plane, move along the SiO(2) walls, reducing the number of dislocations. The etching pit density of GaAs on the 55 nm round-hole patterned Si substrate is about 3.3 × 10(5) cm(-2). Compared with the full width at half maximum measurement from x-ray diffraction and photoluminescence spectra of GaAs on a planar Si(001) substrate, those of GaAs on the 55 nm round-hole patterned Si substrate are reduced by 39.6 and 31.4%, respectively. The improvement in material quality is verified by transmission electron microscopy, field-emission scanning electron microscopy, Hall measurements, Raman spectroscopy, photoluminescence, and x-ray diffraction studies.

  14. Formation of two-dimensionally confined superparamagnetic (Mn, Ga)As nanocrystals in high-temperature annealed (Ga, Mn)As/GaAs superlattices.

    PubMed

    Sadowski, Janusz; Domagala, Jaroslaw Z; Mathieu, Roland; Kovacs, Andras; Dłużewski, Piotr

    2013-05-15

    The annealing-induced formation of (Mn, Ga)As nanocrystals in (Ga, Mn)As/GaAs superlattices was studied by x-ray diffraction, transmission electron microscopy and magnetometry. The superlattice structures with 50 Å thick (Ga, Mn)As layers separated by 25, 50 and 100 Å thick GaAs spacers were grown by molecular beam epitaxy at low temperature (250 °C), and then annealed at high temperatures of 400, 560 and 630 °C. The high-temperature annealing causes decomposition to a (Ga, Mn)As ternary alloy and the formation of (Mn, Ga)As nanocrystals inside the GaAs matrix. The nanocrystals are confined in the planes that were formerly occupied by (Ga, Mn)As layers for the up to 560 °C annealing and diffuse throughout the GaAs spacer layers at 630 °C annealing. The two-dimensionally confined nanocrystals exhibit a superparamagnetic behavior which becomes high-temperature ferromagnetism (~350 K) upon diffusion.

  15. Lasing in robust cesium lead halide perovskite nanowires

    PubMed Central

    Eaton, Samuel W.; Lai, Minliang; Gibson, Natalie A.; Wong, Andrew B.; Dou, Letian; Ma, Jie; Wang, Lin-Wang; Leone, Stephen R.; Yang, Peidong

    2016-01-01

    The rapidly growing field of nanoscale lasers can be advanced through the discovery of new, tunable light sources. The emission wavelength tunability demonstrated in perovskite materials is an attractive property for nanoscale lasers. Whereas organic–inorganic lead halide perovskite materials are known for their instability, cesium lead halides offer a robust alternative without sacrificing emission tunability or ease of synthesis. Here, we report the low-temperature, solution-phase growth of cesium lead halide nanowires exhibiting low-threshold lasing and high stability. The as-grown nanowires are single crystalline with well-formed facets, and act as high-quality laser cavities. The nanowires display excellent stability while stored and handled under ambient conditions over the course of weeks. Upon optical excitation, Fabry–Pérot lasing occurs in CsPbBr3 nanowires with an onset of 5 μJ cm−2 with the nanowire cavity displaying a maximum quality factor of 1,009 ± 5. Lasing under constant, pulsed excitation can be maintained for over 1 h, the equivalent of 109 excitation cycles, and lasing persists upon exposure to ambient atmosphere. Wavelength tunability in the green and blue regions of the spectrum in conjunction with excellent stability makes these nanowire lasers attractive for device fabrication. PMID:26862172

  16. Lasing in robust cesium lead halide perovskite nanowires

    DOE PAGES

    Eaton, Samuel W.; Lai, Minliang; Gibson, Natalie A.; ...

    2016-02-09

    The rapidly growing field of nanoscale lasers can be advanced through the discovery of new, tunable light sources. The emission wavelength tunability demonstrated in perovskite materials is an attractive property for nanoscale lasers. Whereas organic-inorganic lead halide perovskite materials are known for their instability, cesium lead halides offer a robust alternative without sacrificing emission tunability or ease of synthesis. Here, we report the low-temperature, solution-phase growth of cesium lead halide nanowires exhibiting low-threshold lasing and high stability. The as-grown nanowires are single crystalline with well-formed facets, and act as high-quality laser cavities. The nanowires display excellent stability while stored andmore » handled under ambient conditions over the course of weeks. Upon optical excitation, Fabry-Pérot lasing occurs in CsPbBr 3 nanowires with an onset of 5 μJ cm -2 with the nanowire cavity displaying a maximum quality factor of 1,009 ± 5. Lasing under constant, pulsed excitation can be maintained for over 1 h, the equivalent of 10 9 excitation cycles, and lasing persists upon exposure to ambient atmosphere. Wavelength tunability in the green and blue regions of the spectrum in conjunction with excellent stability makes these nanowire lasers attractive for device fabrication.« less

  17. GaAs1-xBix/GaNyAs1-y type-II quantum wells: novel strain-balanced heterostructures for GaAs-based near- and mid-infrared photonics.

    PubMed

    Broderick, Christopher A; Jin, Shirong; Marko, Igor P; Hild, Konstanze; Ludewig, Peter; Bushell, Zoe L; Stolz, Wolfgang; Rorison, Judy M; O'Reilly, Eoin P; Volz, Kerstin; Sweeney, Stephen J

    2017-04-19

    The potential to extend the emission wavelength of photonic devices further into the near- and mid-infrared via pseudomorphic growth on conventional GaAs substrates is appealing for a number of communications and sensing applications. We present a new class of GaAs-based quantum well (QW) heterostructure that exploits the unusual impact of Bi and N on the GaAs band structure to produce type-II QWs having long emission wavelengths with little or no net strain relative to GaAs, while also providing control over important laser loss processes. We theoretically and experimentally demonstrate the potential of GaAs 1-x Bi x /GaN y As 1-y type-II QWs on GaAs and show that this approach offers optical emission and absorption at wavelengths up to ~3 µm utilising strain-balanced structures, a first for GaAs-based QWs. Experimental measurements on a prototype GaAs 0.967 Bi 0.033 /GaN 0.062 As 0.938 structure, grown via metal-organic vapour phase epitaxy, indicate good structural quality and exhibit both photoluminescence and absorption at room temperature. The measured photoluminescence peak wavelength of 1.72 μm is in good agreement with theoretical calculations and is one of the longest emission wavelengths achieved on GaAs to date using a pseudomorphically grown heterostructure. These results demonstrate the significant potential of this new class of III-V heterostructure for long-wavelength applications.

  18. GaAs1-xBix/GaNyAs1-y type-II quantum wells: novel strain-balanced heterostructures for GaAs-based near- and mid-infrared photonics

    NASA Astrophysics Data System (ADS)

    Broderick, Christopher A.; Jin, Shirong; Marko, Igor P.; Hild, Konstanze; Ludewig, Peter; Bushell, Zoe L.; Stolz, Wolfgang; Rorison, Judy M.; O'Reilly, Eoin P.; Volz, Kerstin; Sweeney, Stephen J.

    2017-04-01

    The potential to extend the emission wavelength of photonic devices further into the near- and mid-infrared via pseudomorphic growth on conventional GaAs substrates is appealing for a number of communications and sensing applications. We present a new class of GaAs-based quantum well (QW) heterostructure that exploits the unusual impact of Bi and N on the GaAs band structure to produce type-II QWs having long emission wavelengths with little or no net strain relative to GaAs, while also providing control over important laser loss processes. We theoretically and experimentally demonstrate the potential of GaAs1-xBix/GaNyAs1-y type-II QWs on GaAs and show that this approach offers optical emission and absorption at wavelengths up to ~3 µm utilising strain-balanced structures, a first for GaAs-based QWs. Experimental measurements on a prototype GaAs0.967Bi0.033/GaN0.062As0.938 structure, grown via metal-organic vapour phase epitaxy, indicate good structural quality and exhibit both photoluminescence and absorption at room temperature. The measured photoluminescence peak wavelength of 1.72 μm is in good agreement with theoretical calculations and is one of the longest emission wavelengths achieved on GaAs to date using a pseudomorphically grown heterostructure. These results demonstrate the significant potential of this new class of III-V heterostructure for long-wavelength applications.

  19. Room temperature operation of mid-infrared InAs0.81Sb0.19 based photovoltaic detectors with an In0.2Al0.8Sb barrier layer grown on GaAs substrates.

    PubMed

    Geum, Dae-Myeong; Kim, SangHyeon; Kang, SooSeok; Kim, Hosung; Park, Hwanyeol; Rho, Il Pyo; Ahn, Seung Yeop; Song, Jindong; Choi, Won Jun; Yoon, Euijoon

    2018-03-05

    In this paper, InAs 0.81 Sb 0.19 -based hetero-junction photovoltaic detector (HJPD) with an In 0.2 Al 0.8 Sb barrier layer was grown on GaAs substrates. By using technology computer aided design (TCAD), a design of a barrier layer that can achieve nearly zero valance band offsets was accomplished. A high quality InAs 0.81 Sb 0.19 epitaxial layer was obtained with relatively low threading dislocation density (TDD), calculated from a high-resolution X-ray diffraction (XRD) measurement. This layer showed a Hall mobility of 15,000 cm 2 /V⋅s, which is the highest mobility among InAsSb layers with an Sb composition of around 20% grown on GaAs substrates. Temperature dependence of dark current, photocurrent response and responsivity were measured and analyzed for fabricated HJPD. HJPD showed the clear photocurrent response having a long cutoff wavelength of 5.35 μm at room temperature. It was observed that the dark current of HJPDs is dominated by the diffusion limited current at temperatures ranging from 200K to room temperature from the dark current analysis. Peak responsivity of HJPDs exhibited the 1.18 A/W and 15 mA/W for 83K and a room temperature under zero bias condition even without anti-reflection coating (ARC). From these results, we believe that HJPDs could be an appropriate PD device for future compact and low power dissipation mid-infrared on-chip sensors and imaging devices.

  20. 830-nm Polarization Controlled Lasing of InGaAs Quantum Wire Vertical-Cavity Surface-Emitting Lasers Grown on (775)B GaAs Substrates by Molecular Beam Epitaxy

    NASA Astrophysics Data System (ADS)

    Higuchi, Yu; Osaki, Shinji; Sasahata, Yoshifumi; Kitada, Takahiro; Shimomura, Satoshi; Ogura, Mutsuo; Hiyamizu, Satoshi

    2007-02-01

    We report the first demonstration of room temperature (RT) current injection lasing of vertical-cavity surface-emitting lasers (VCSELs), with self-organized InGaAs/(GaAs)6(AlAs)1 quantum wires (QWRs) in their active region, grown on (775)B-oriented GaAs substrates by molecular beam epitaxy. A (775)B InGaAs QWR-VCSEL with an aperture diameter of 4 μm lased at a wavelength of 829.7 nm and a threshold current of 0.7 mA at RT. The light output was linearly polarized in the direction parallel to the QWRs due to optical anisotropy of the self-organized (775)B InGaAs QWRs.

  1. The Growth of Expitaxial GaAs and GaAlAs on Silicon Substrates by OMVPE

    DTIC Science & Technology

    1988-08-01

    structures have been grown on semi-insulating gallium arsenide substrates, and on high-resistivity silicon substrates using a two stage growth technique...fully in Quarter 9. 2. MATERIALS GROWTH 2.1 DOPING OF GALLIUM ARSENIDE FOR FETs As reported in quarter 7, doping levels for GaAs/SI 4ere found to be a...FET structures on both GaAs and Si substrates. A number of FET layers have been grown to the GAT4 specification on semi-insulating gallium arsenide

  2. Doping and electronic properties of GaAs grown by close-spaced vapor transport from powder sources for scalable III–V photovoltaics

    DOE PAGES

    Ritenour, Andrew J.; Boucher, Jason W.; DeLancey, Robert; ...

    2014-09-01

    The high balance-of-system costs of photovoltaic (PV) installations indicate that reductions in cell $/W costs alone are likely insufficient for PV electricity to reach grid parity unless energy conversion efficiency is also increased. Technologies which yield both high-efficiency cells (>25%) and maintain low costs are needed. GaAs and related III-V semiconductors are used in the highest-efficiency single- and multi-junction photovoltaics, but the technology is too expensive for non-concentrated terrestrial applications. This is due in part to the difficulty of scaling the metal-organic chemical vapor deposition (MOCVD) process, which relies on expensive reactors and employs toxic and pyrophoric gas-phase precursors suchmore » as arsine and trimethyl gallium, respectively. In this study, we describe GaAs films made by an alternative close-spaced vapor transport (CSVT) technique which is carried out at atmospheric pressure and requires only bulk GaAs, water vapor, and a temperature gradient in order to deposit crystalline films with similar electronic properties to that of GaAs deposited by MOCVD. CSVT is similar to the vapor transport process used to deposit CdTe thin films and is thus a potentially scalable low-cost route to GaAs thin films.« less

  3. Growth Evolution and Characterization of PLD Zn(Mg)O Nanowire Arrays

    NASA Astrophysics Data System (ADS)

    Rahm, Andreas; Nobis, Thomas; Lorenz, Michael; Zimmermann, Gregor; Boukos, Nikos; Travlos, Anastasios; Grundmann, Marius

    ZnO and Zn0.98Mg0.02O nanowires have been grown by high-pressure pulsed laser deposition on sapphire substrates covered with gold colloidal particles as nucleation sites. We present a detailed study of the nanowire size and length distribution and of the growth evolution. We find that the aspect ratio varies linearly with deposition time. The linearity coefficient is independent of the catalytic gold particle size and lateral nanowire density. The superior structural quality of the whiskers is proven by X-ray diffraction and transmission electron microscopy. The defect-free ZnO nanowires exhibit a FWHM(2θ-ω) of the ZnO(0002) reflection of 22 arcsec. We show (0-11) step habit planes on the side faces of the nanowires that are a few atomic steps in height. The microscopic homogeneity of the optical properties is confirmed by temperature-dependent cathodoluminescence.

  4. Analysis of magic lengths in growth of supported metallic nanowires

    NASA Astrophysics Data System (ADS)

    Han, Yong

    2014-12-01

    Metallic nanowires can exhibit fascinating physical properties. These unique properties often originate primarily from the quantum confinement of free electrons in a potential well, while electron-electron interactions do not play a decisive role. A recent experimental study shows that self-assembled Ir nanowires grown on Ge(001) surface have a strong length preference: the nanowire lengths are an integer multiple of 4.8 nm. In this paper, a free-electron-gas model for geometries corresponding to the nanowires is used to analyze the selection of these preferred or magic lengths. The model shows that the inclusion of even numbers of free electrons in an Ir nanowire produces these magic lengths once an electron spillage effect is taken into account. The model also shows that the stability of the nanowire diminishes with its increasing length, and consequently suggests why no long nanowires are observed in experiments. It is also shown that applying generic results for quantum size effects in a nanofilm geometry is not adequate to accurately describe the length selection in the rather different nanowire geometry, where the transverse dimensions are smaller than the electron Fermi wavelength. Finally, monatomic Au chain growth on Ge(001) surface is also analyzed. In contrast to Ir nanowires, the model shows that the stability of an Au chain depends strongly on the extent of electron spillage.

  5. Surface diffusion effects on growth of nanowires by chemical beam epitaxy

    NASA Astrophysics Data System (ADS)

    Persson, A. I.; Fröberg, L. E.; Jeppesen, S.; Björk, M. T.; Samuelson, L.

    2007-02-01

    Surface processes play a large role in the growth of semiconductor nanowires by chemical beam epitaxy. In particular, for III-V nanowires the surface diffusion of group-III species is important to understand in order to control the nanowire growth. In this paper, we have grown InAs-based nanowires positioned by electron beam lithography and have investigated the dependence of the diffusion of In species on temperature, group-III and -V source pressure and group-V source combinations by measuring nanowire growth rate for different nanowire spacings. We present a model which relates the nanowire growth rate to the migration length of In species. The model is fitted to the experimental data for different growth conditions, using the migration length as fitting parameter. The results show that the migration length increases with decreasing temperature and increasing group-V/group-III source pressure ratio. This will most often lead to an increase in growth rate, but deviations will occur due to incomplete decomposition and changes in sticking coefficient for group-III species. The results also show that the introduction of phosphorous precursor for growth of InAs1-xPx nanowires decreases the migration length of the In species followed by a decrease in nanowire growth rate.

  6. Defect-free fabrication of nano-disk and nano-wire by fusion of bio-template and neutral beam etching

    NASA Astrophysics Data System (ADS)

    Samukawa, S.; Noda, Shuichi; Higo, Akio; Yasuda, Manabu; Wada, Kazumi

    2016-11-01

    We have developed an innovated fabrication technology of Si, GaAs, and Ge nano-structures, i.e., we called defect-free neutral beam etching. The technology has been successfully applied to prototype the quantum nano-disks and nano-wires with ferritin based bio-templates. SEM observation verifies that the designed structures are prototyped. Photoluminescence measurements demonstrates high optical quality of nano-structures based on the technology.

  7. Effect of low and staggered gap quantum wells inserted in GaAs tunnel junctions

    NASA Astrophysics Data System (ADS)

    Louarn, K.; Claveau, Y.; Marigo-Lombart, L.; Fontaine, C.; Arnoult, A.; Piquemal, F.; Bounouh, A.; Cavassilas, N.; Almuneau, G.

    2018-04-01

    In this article, we investigate the impact of the insertion of either a type I InGaAs or a type II InGaAs/GaAsSb quantum well on the performances of MBE-grown GaAs tunnel junctions (TJs). The devices are designed and simulated using a quantum transport model based on the non-equilibrium Green’s function formalism and a 6-band k.p Hamiltonian. We experimentally observe significant improvements of the peak tunneling current density on both heterostructures with a 460-fold increase for a moderately doped GaAs TJ when the InGaAs QW is inserted at the junction interface, and a 3-fold improvement on a highly doped GaAs TJ integrating a type II InGaAs/GaAsSb QW. Thus, the simple insertion of staggered band lineup heterostructures enables us to reach a tunneling current well above the kA cm‑2 range, equivalent to the best achieved results for Si-doped GaAs TJs, implying very interesting potential for TJ-based components, such as multi-junction solar cells, vertical cavity surface emitting lasers and tunnel-field effect transistors.

  8. Amorphous Silicon Nanowires Grown on Silicon Oxide Film by Annealing

    NASA Astrophysics Data System (ADS)

    Yuan, Zhishan; Wang, Chengyong; Chen, Ke; Ni, Zhonghua; Chen, Yunfei

    2017-08-01

    In this paper, amorphous silicon nanowires (α-SiNWs) were synthesized on (100) Si substrate with silicon oxide film by Cu catalyst-driven solid-liquid-solid mechanism (SLS) during annealing process (1080 °C for 30 min under Ar/H2 atmosphere). Micro size Cu pattern fabrication decided whether α-SiNWs can grow or not. Meanwhile, those micro size Cu patterns also controlled the position and density of wires. During the annealing process, Cu pattern reacted with SiO2 to form Cu silicide. More important, a diffusion channel was opened for Si atoms to synthesis α-SiNWs. What is more, the size of α-SiNWs was simply controlled by the annealing time. The length of wire was increased with annealing time. However, the diameter showed the opposite tendency. The room temperature resistivity of the nanowire was about 2.1 × 103 Ω·cm (84 nm diameter and 21 μm length). This simple fabrication method makes application of α-SiNWs become possible.

  9. Amorphous Silicon Nanowires Grown on Silicon Oxide Film by Annealing.

    PubMed

    Yuan, Zhishan; Wang, Chengyong; Chen, Ke; Ni, Zhonghua; Chen, Yunfei

    2017-08-10

    In this paper, amorphous silicon nanowires (α-SiNWs) were synthesized on (100) Si substrate with silicon oxide film by Cu catalyst-driven solid-liquid-solid mechanism (SLS) during annealing process (1080 °C for 30 min under Ar/H 2 atmosphere). Micro size Cu pattern fabrication decided whether α-SiNWs can grow or not. Meanwhile, those micro size Cu patterns also controlled the position and density of wires. During the annealing process, Cu pattern reacted with SiO 2 to form Cu silicide. More important, a diffusion channel was opened for Si atoms to synthesis α-SiNWs. What is more, the size of α-SiNWs was simply controlled by the annealing time. The length of wire was increased with annealing time. However, the diameter showed the opposite tendency. The room temperature resistivity of the nanowire was about 2.1 × 10 3  Ω·cm (84 nm diameter and 21 μm length). This simple fabrication method makes application of α-SiNWs become possible.

  10. Electron transport in high aspect ratio semiconductor nanowires and metal-semiconductor interfaces

    NASA Astrophysics Data System (ADS)

    Sun, Zhuting

    We are facing variability problems for modern semiconductor transistors due to the fact that the performances of nominally identical devices in the scale of 10 100 nm could be dramatically different attributed to the small manufacturing variations. Different doping strategies give statistical variations in the number of dopant atom density ND in the channel. The material size gives variations in wire diameter dW. And the immediate environment of the material leads to an additional level of variability. E.g. vacuum-semiconductor interface causes variations in surface state density Ds, metal-semiconductor interface causes variations in Schottky barrier and dielectric semiconductor interface induces dielectric confinement at small scales. To approach these variability problems, I choose Si-doped GaAs nanowires as an example. I investigate transport in Si-doped GaAs nanowire (NW) samples contacted by lithographically patterned Gold-Titanium films as function of temperature T. I find a drastically different temperature dependence between the wire resistance RW, which is relatively weak, and the zero bias resistance RC, which is strong. I show that the data are consistent with a model based on a sharp donor energy level slightly above the bottom of the semiconductor conduction band and develop a simple method for using transport measurements for estimates of the doping density after nanowire growth. I discuss the predictions of effective free carrier density n eff as function of the surface state density Ds and wire size dW. I also describe a correction to the widely used model of Schottky contacts that improves thermodynamic consistency of the Schottky tunnel barrier profile and show that the original theory may underestimate the barrier conductance under certain conditions. I also provide analytical calculations for shallow silicon dopant energy in GaAs crystals, and find the presence of dielectrics (dielectric screening) and free carriers (Coulomb screening) cause a

  11. Effects of substrate on the structure and orientation of ZnO thin film grown by rf-magnetron sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, H. F.; Chua, S. J.; Hu, G. X.

    2007-10-15

    X-ray diffractions, Nomarski microscopy, scanning electron microscopy, and photoluminescence have been used to study the effects of substrate on the structure and orientation of ZnO thin films grown by rf-magnetron sputtering. GaAs(001), GaAs(111), Al{sub 2}O{sub 3}(0002) (c-plane), and Al{sub 2}O{sub 3}(1102) (r-plane) wafers have been selected as substrates in this study. X-ray diffractions reveal that the ZnO film grown on GaAs(001) substrate is purely textured with a high c-axis orientation while that grown on GaAs(111) substrate is a single ZnO(0002) crystal; a polycrystalline structure with a large-single-crystal area of ZnO(0002) is obtained on a c-plane Al{sub 2}O{sub 3} substrate whilemore » a ZnO(1120) single crystal is formed on an r-plane Al{sub 2}O{sub 3} substrate. There is absence of significant difference between the photoluminescence spectra collected from ZnO/GaAs(001), ZnO/GaAs(111), and ZnO/Al{sub 2}O{sub 3}(0002), while the photoluminescence from ZnO/Al{sub 2}O{sub 3}(1102) shows a reduced intensity together with an increased linewidth, which is, likely, due to the increased incorporation of native defects during the growth of ZnO(1120)« less

  12. High-Yield Growth and Characterization of ⟨100⟩ InP p-n Diode Nanowires.

    PubMed

    Cavalli, Alessandro; Wang, Jia; Esmaeil Zadeh, Iman; Reimer, Michael E; Verheijen, Marcel A; Soini, Martin; Plissard, Sebastien R; Zwiller, Val; Haverkort, Jos E M; Bakkers, Erik P A M

    2016-05-11

    Semiconductor nanowires are nanoscale structures holding promise in many fields such as optoelectronics, quantum computing, and thermoelectrics. Nanowires are usually grown vertically on (111)-oriented substrates, while (100) is the standard in semiconductor technology. The ability to grow and to control impurity doping of ⟨100⟩ nanowires is crucial for integration. Here, we discuss doping of single-crystalline ⟨100⟩ nanowires, and the structural and optoelectronic properties of p-n junctions based on ⟨100⟩ InP nanowires. We describe a novel approach to achieve low resistance electrical contacts to nanowires via a gradual interface based on p-doped InAsP. As a first demonstration in optoelectronic devices, we realize a single nanowire light emitting diode in a ⟨100⟩-oriented InP nanowire p-n junction. To obtain high vertical yield, which is necessary for future applications, we investigate the effect of the introduction of dopants on the nanowire growth.

  13. Crystallinity, Surface Morphology, and Photoelectrochemical Effects in Conical InP and InN Nanowires Grown on Silicon.

    PubMed

    Parameshwaran, Vijay; Xu, Xiaoqing; Clemens, Bruce

    2016-08-24

    The growth conditions of two types of indium-based III-V nanowires, InP and InN, are tailored such that instead of yielding conventional wire-type morphologies, single-crystal conical structures are formed with an enlarged diameter either near the base or near the tip. By using indium droplets as a growth catalyst, combined with an excess indium supply during growth, "ice cream cone" type structures are formed with a nanowire "cone" and an indium-based "ice cream" droplet on top for both InP and InN. Surface polycrystallinity and annihilation of the catalyst tip of the conical InP nanowires are observed when the indium supply is turned off during the growth process. This growth design technique is extended to create single-crystal InN nanowires with the same morphology. Conical InN nanowires with an enlarged base are obtained through the use of an excess combined Au-In growth catalyst. Electrochemical studies of the InP nanowires on silicon demonstrate a reduction photocurrent as a proof of photovolatic behavior and provide insight as to how the observed surface polycrystallinity and the resulting interface affect these device-level properties. Additionally, a photovoltage is induced in both types of conical InN nanowires on silicon, which is not replicated in epitaxial InN thin films.

  14. Gallium assisted plasma enhanced chemical vapor deposition of silicon nanowires.

    PubMed

    Zardo, I; Yu, L; Conesa-Boj, S; Estradé, S; Alet, Pierre Jean; Rössler, J; Frimmer, M; Roca I Cabarrocas, P; Peiró, F; Arbiol, J; Morante, J R; Fontcuberta I Morral, A

    2009-04-15

    Silicon nanowires have been grown with gallium as catalyst by plasma enhanced chemical vapor deposition. The morphology and crystalline structure has been studied by electron microscopy and Raman spectroscopy as a function of growth temperature and catalyst thickness. We observe that the crystalline quality of the wires increases with the temperature at which they have been synthesized. The crystalline growth direction has been found to vary between <111> and <112>, depending on both the growth temperature and catalyst thickness. Gallium has been found at the end of the nanowires, as expected from the vapor-liquid-solid growth mechanism. These results represent good progress towards finding alternative catalysts to gold for the synthesis of nanowires.

  15. Si/InGaN core/shell hierarchical nanowire arrays and their photoelectrochemical properties.

    PubMed

    Hwang, Yun Jeong; Wu, Cheng Hao; Hahn, Chris; Jeong, Hoon Eui; Yang, Peidong

    2012-03-14

    Three-dimensional hierarchical nanostructures were synthesized by the halide chemical vapor deposition of InGaN nanowires on Si wire arrays. Single phase InGaN nanowires grew vertically on the sidewalls of Si wires and acted as a high surface area photoanode for solar water splitting. Electrochemical measurements showed that the photocurrent density with hierarchical Si/InGaN nanowire arrays increased by 5 times compared to the photocurrent density with InGaN nanowire arrays grown on planar Si (1.23 V vs RHE). High-resolution transmission electron microscopy showed that InGaN nanowires are stable after 15 h of illumination. These measurements show that Si/InGaN hierarchical nanostructures are a viable high surface area electrode geometry for solar water splitting. © 2012 American Chemical Society

  16. An observation of direct-gap electroluminescence in GaAs structures with Ge quantum wells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aleshkin, V. Ya.; Dikareva, N. V.; Dubinov, A. A., E-mail: sanya@ipm.sci-nnov.ru

    2015-02-15

    A light-emitting diode structure based on GaAs with eight narrow Ge quantum wells is grown by laser sputtering. An electroluminescence line polarized predominately in the plane parallel to the constituent layers of the structure is revealed. The line corresponds to the direct optical transitions in momentum space in the Ge quantum wells.

  17. Self-organized patterns along sidewalls of iron silicide nanowires on Si(110) and their origin

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Das, Debolina; Mahato, J. C.; Bisi, Bhaskar

    Iron silicide (cubic FeSi{sub 2}) nanowires have been grown on Si(110) by reactive deposition epitaxy and investigated by scanning tunneling microscopy and scanning/transmission electron microscopy. On an otherwise uniform nanowire, a semi-periodic pattern along the edges of FeSi{sub 2} nanowires has been discovered. The origin of such growth patterns has been traced to initial growth of silicide nanodots with a pyramidal Si base at the chevron-like atomic arrangement of a clean reconstructed Si(110) surface. The pyramidal base evolves into a comb-like structure along the edges of the nanowires. This causes the semi-periodic structure of the iron silicide nanowires along theirmore » edges.« less

  18. Electrical breakdown and nanogap formation of indium oxide core/shell heterostructure nanowires.

    PubMed

    Jung, Minkyung; Song, Woon; Sung Lee, Joon; Kim, Nam; Kim, Jinhee; Park, Jeunghee; Lee, Hyoyoung; Hirakawa, Kazuhiko

    2008-12-10

    We report the electrical breakdown behavior and subsequent nanogap formation of In(2)O(3)/InO(x) core/shell heterostructure nanowires with substrate-supported and suspended structures. The radial heterostructure nanowires, composed of crystalline In(2)O(3) cores and amorphous In-rich shells, are grown by chemical vapor deposition. As the nanowires broke down, they exhibited two distinct current drops in the current-voltage characteristics. The tips of the broken nanowires were found to have a cone or a volcano shape depending on the width of the nanowire. The shape, the size, and the position of the nanogap depend strongly on the device structure and the nanowire dimensions. The substrate-supported and the suspended devices exhibit distinct breakdown behavior which can be explained by the diffusive thermal transport model. The breakdown temperature of the nanowire is estimated to be about 450 K, close to the melting temperature of indium. We demonstrated the usefulness of this technique by successful fabrication of working pentacene field-effect transistors.

  19. Physics and performances of III-V nanowire broken-gap heterojunction TFETs using an efficient tight-binding mode-space NEGF model enabling million-atom nanowire simulations.

    PubMed

    Afzalian, A; Vasen, T; Ramvall, P; Shen, T-M; Wu, J; Passlack, M

    2018-06-27

    We report the capability to simulate in a quantum-mechanical atomistic fashion record-large nanowire devices, featuring several hundred to millions of atoms and a diameter up to 18.2 nm. We have employed a tight-binding mode-space NEGF technique demonstrating by far the fastest (up to 10 000  ×  faster) but accurate (error  <  1%) atomistic simulations to date. Such technique and capability opens new avenues to explore and understand the physics of nanoscale and mesoscopic devices dominated by quantum effects. In particular, our method addresses in an unprecedented way the technologically-relevant case of band-to-band tunneling (BTBT) in III-V nanowire broken-gap heterojunction tunnel-FETs (HTFETs). We demonstrate an accurate match of simulated BTBT currents to experimental measurements in a 12 nm diameter InAs NW and in an InAs/GaSb Esaki tunneling diode. We apply our TB MS simulations and report the first in-depth atomistic study of the scaling potential of III-V GAA nanowire HTFETs including the effect of electron-phonon scattering and discrete dopant impurity band tails, quantifying the benefits of this technology for low-power low-voltage CMOS applications.

  20. Physics and performances of III–V nanowire broken-gap heterojunction TFETs using an efficient tight-binding mode-space NEGF model enabling million-atom nanowire simulations

    NASA Astrophysics Data System (ADS)

    Afzalian, A.; Vasen, T.; Ramvall, P.; Shen, T.-M.; Wu, J.; Passlack, M.

    2018-06-01

    We report the capability to simulate in a quantum-mechanical atomistic fashion record-large nanowire devices, featuring several hundred to millions of atoms and a diameter up to 18.2 nm. We have employed a tight-binding mode-space NEGF technique demonstrating by far the fastest (up to 10 000  ×  faster) but accurate (error  <  1%) atomistic simulations to date. Such technique and capability opens new avenues to explore and understand the physics of nanoscale and mesoscopic devices dominated by quantum effects. In particular, our method addresses in an unprecedented way the technologically-relevant case of band-to-band tunneling (BTBT) in III–V nanowire broken-gap heterojunction tunnel-FETs (HTFETs). We demonstrate an accurate match of simulated BTBT currents to experimental measurements in a 12 nm diameter InAs NW and in an InAs/GaSb Esaki tunneling diode. We apply our TB MS simulations and report the first in-depth atomistic study of the scaling potential of III–V GAA nanowire HTFETs including the effect of electron–phonon scattering and discrete dopant impurity band tails, quantifying the benefits of this technology for low-power low-voltage CMOS applications.

  1. Hierarchical Ni0.54Co0.46O2 nanowire and nanosheet arrays grown on carbon fiber cloth for high-performance supercapacitors

    NASA Astrophysics Data System (ADS)

    Jiang, Yuanzhi; Zhang, Lijuan; Zhang, Hang; Zhang, Cui; Liu, Shuangxi

    2016-10-01

    Hierarchical Ni0.54Co0.46O2 architectures composed by nanowires or nanosheets were successfully grown on bio-mass carbon fiber cloth (CFC) by hydrothermal method. The morphology of Ni0.54Co0.46O2 can be effectively controlled by using different precipitators. The structural effects of the two kinds of morphologies were researched. the results suggest that the Ni0.54Co0.46O2 nanosheet arrays grown on CFC (NCO-NSs/CFC) shows a higher Faradaic areal capacity of 438 μAh cm-2 (238.1 mAh g-1) at a current density of 1 mA cm-2 and still about 90.3% initial capacity retention even at the high current density of 50 mA cm-2. Moreover, an all-solid-state flexible symmetric supercapacitor device has been successfully assembled. The optimized device delivers superior electrochemical performance with an outstanding energy density of 92.4 Wh kg-1 at a power density of 207.2 W kg-1. Such hierarchical nanostructure composed by well-aligned uniform Ni0.54Co0.46O2 nanosheet arrays grown on bio-mass carbon fiber cloth might hold great promise as battery-type electrode material for high-performance supercapacitor.

  2. Prevalence of information stored in arrays of magnetic nanowires against external fields

    NASA Astrophysics Data System (ADS)

    Ceballos, D.; Cisternas, E.; Vogel, E. E.; Allende, S.

    2018-04-01

    Arrays of magnetic nanowires in porous alumina can be used to store information inscribed on the system by orienting the magnetization of selected wires pointing in a desired direction, so symbols can be read as ferromagnetic sectors. However, this information is subject to aging and the stored information could be gradually lost. We investigate here two mechanisms proposed to improve the prevalence of the stored information: opposite ferromagnetic band at the center of the symbol and bi-segmented nanowires acting as two layers of nanowires storing the same information. Both mechanisms prove to increase resistance to the action of external magnetic fields for the case of Ni wires in a geometry compatible with actually grown nanowires. Advantages and disadvantages of these mechanisms are discussed.

  3. Unified mechanism of the surface Fermi level pinning in III-As nanowires.

    PubMed

    Alekseev, Prokhor A; Dunaevskiy, Mikhail S; Cirlin, George E; Reznik, Rodion R; Smirnov, Alexander N; Kirilenko, Demid A; Davydov, Valery Yu; Berkovits, Vladimir L

    2018-08-03

    Fermi level pinning at the oxidized (110) surfaces of III-As nanowires (GaAs, InAs, InGaAs, AlGaAs) is studied. Using scanning gradient Kelvin probe microscopy, we show that the Fermi level at oxidized cleavage surfaces of ternary Al x Ga 1-x As (0 ≤ x ≤ 0.45) and Ga x In 1-x As (0 ≤ x ≤ 1) alloys is pinned at the same position of 4.8 ± 0.1 eV with regard to the vacuum level. The finding implies a unified mechanism of the Fermi level pinning for such surfaces. Further investigation, performed by Raman scattering and photoluminescence spectroscopy, shows that photooxidation of the Al x Ga 1-x As and Ga x In 1-x As nanowires leads to the accumulation of an excess of arsenic on their crystal surfaces which is accompanied by a strong decrease of the band-edge photoluminescence intensity. We conclude that the surface excess arsenic in crystalline or amorphous forms is responsible for the Fermi level pinning at oxidized (110) surfaces of III-As nanowires.

  4. InGaAs/GaAsP strain balanced multi-quantum wires grown on misoriented GaAs substrates for high efficiency solar cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alonso-Álvarez, D.; Thomas, T.; Führer, M.

    Quantum wires (QWRs) form naturally when growing strain balanced InGaAs/GaAsP multi-quantum wells (MQW) on GaAs [100] 6° misoriented substrates under the usual growth conditions. The presence of wires instead of wells could have several unexpected consequences for the performance of the MQW solar cells, both positive and negative, that need to be assessed to achieve high conversion efficiencies. In this letter, we study QWR properties from the point of view of their performance as solar cells by means of transmission electron microscopy, time resolved photoluminescence and external quantum efficiency (EQE) using polarised light. We find that these QWRs have longermore » lifetimes than nominally identical QWs grown on exact [100] GaAs substrates, of up to 1 μs, at any level of illumination. We attribute this effect to an asymmetric carrier escape from the nanostructures leading to a strong 1D-photo-charging, keeping electrons confined along the wire and holes in the barriers. In principle, these extended lifetimes could be exploited to enhance carrier collection and reduce dark current losses. Light absorption by these QWRs is 1.6 times weaker than QWs, as revealed by EQE measurements, which emphasises the need for more layers of nanostructures or the use light trapping techniques. Contrary to what we expected, QWR show very low absorption anisotropy, only 3.5%, which was the main drawback a priori of this nanostructure. We attribute this to a reduced lateral confinement inside the wires. These results encourage further study and optimization of QWRs for high efficiency solar cells.« less

  5. Chemical synthesis of oriented ferromagnetic LaSr-2 × 4 manganese oxide molecular sieve nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Carretero-Genevrier, Adrián; Gazquez, Jaume; Magen, Cesar

    2012-04-25

    Here we report a chemical solution based method using nanoporous track-etched polymer templates for producing long and oriented LaSr-2 × 4 manganese oxide molecular sieve nanowires. Scanning transmission electron microscopy and electron energy loss spectroscopy analyses show that the nanowires are ferromagnetic at room temperature, single crystalline, epitaxially grown and self-aligned.

  6. Directional and magnetic field enhanced emission of Cu-doped ZnO nanowires/p-GaN heterojunction light-emitting diodes

    NASA Astrophysics Data System (ADS)

    Viana, Bruno; Lupan, Oleg; Pauporté, Thierry

    2011-01-01

    The electrochemical deposition technique was used for the preparation of Cu-doped ZnO-nanowire-based emitters. Nanowires of high structural and optical quality were epitaxially grown on p-GaN single crystalline film substrates. We found that the emission is directional with a wavelength that is tuned and redshifted toward the visible region by doping with Cu in nanowires. Furthermore, Cu-doped ZnO-nanowires show an enhancement of the transition probability under magnetic field.

  7. Approaching the ideal elastic strain limit in silicon nanowires

    PubMed Central

    Zhang, Hongti; Tersoff, Jerry; Xu, Shang; Chen, Huixin; Zhang, Qiaobao; Zhang, Kaili; Yang, Yong; Lee, Chun-Sing; Tu, King-Ning; Li, Ju; Lu, Yang

    2016-01-01

    Achieving high elasticity for silicon (Si) nanowires, one of the most important and versatile building blocks in nanoelectronics, would enable their application in flexible electronics and bio-nano interfaces. We show that vapor-liquid-solid–grown single-crystalline Si nanowires with diameters of ~100 nm can be repeatedly stretched above 10% elastic strain at room temperature, approaching the theoretical elastic limit of silicon (17 to 20%). A few samples even reached ~16% tensile strain, with estimated fracture stress up to ~20 GPa. The deformations were fully reversible and hysteresis-free under loading-unloading tests with varied strain rates, and the failures still occurred in brittle fracture, with no visible sign of plasticity. The ability to achieve this “deep ultra-strength” for Si nanowires can be attributed mainly to their pristine, defect-scarce, nanosized single-crystalline structure and atomically smooth surfaces. This result indicates that semiconductor nanowires could have ultra-large elasticity with tunable band structures for promising “elastic strain engineering” applications. PMID:27540586

  8. Hydrothermal growth of ZnO nanowires on flexible fabric substrates

    NASA Astrophysics Data System (ADS)

    Hong, Gwang-Wook; Yun, Sang-Ho; Kim, Joo-Hyung

    2016-04-01

    ZnO nanowires (NWs) would provide significant enhancement in sensitivity due to high surface to volume ratio. We investigated the first methodical study on the quantitative relationship between the process parameters of solution concentration ratio, structure, and physical and properties of ZnO NWs grown on different flexible fabric surfaces. To develop a fundamental following concerning various substrates, we controlled the growth speed of ZnO NWs and nanowires on cotton surface with easy and moderate cost fabrication method. Using ammonium hydroxide as the reactant with zinc nitrate hexahydrate, ZnO NWs layer have been grown on metal layers, instead of seed layer. ZnO NWs fabrication was done on different fabric substrates such as wool, nylon and polypropylene (PP). After the ZnO NWs grown to each substrates, we coated insulating layer with polyurethane (PU) and ethyl cellulose for prevent external intervention. Detailed electrical characterization was subsequently performed to reveal the working characteristics of the hybrid fabric. For electrical verification of fabricated ZnO NWs, we implemented measurement impact test and material properties with FFT analyzer and LCR meter.

  9. Suspended tungsten-based nanowires with enhanced mechanical properties grown by focused ion beam induced deposition

    NASA Astrophysics Data System (ADS)

    Córdoba, Rosa; Lorenzoni, Matteo; Pablo-Navarro, Javier; Magén, César; Pérez-Murano, Francesc; María De Teresa, José

    2017-11-01

    The implementation of three-dimensional (3D) nano-objects as building blocks for the next generation of electro-mechanical, memory and sensing nano-devices is at the forefront of technology. The direct writing of functional 3D nanostructures is made feasible by using a method based on focused ion beam induced deposition (FIBID). We use this technique to grow horizontally suspended tungsten nanowires and then study their nano-mechanical properties by three-point bending method with atomic force microscopy. These measurements reveal that these nanowires exhibit a yield strength up to 12 times higher than that of the bulk tungsten, and near the theoretical value of 0.1 times the Young’s modulus (E). We find a size dependence of E that is adequately described by a core-shell model, which has been confirmed by transmission electron microscopy and compositional analysis at the nanoscale. Additionally, we show that experimental resonance frequencies of suspended nanowires (in the MHz range) are in good agreement with theoretical values. These extraordinary mechanical properties are key to designing electro-mechanically robust nanodevices based on FIBID tungsten nanowires.

  10. Enhancement of radiation tolerance in GaAs/AlGaAs core–shell and InP nanowires

    NASA Astrophysics Data System (ADS)

    Li, Fajun; Xie, Xiaolong; Gao, Qian; Tan, Liying; Zhou, Yanping; Yang, Qingbo; Ma, Jing; Fu, Lan; Tan, Hark Hoe; Jagadish, Chennupati

    2018-06-01

    Radiation effects on semiconductor nanowires (NWs) have attracted the attention of the research community due to their potential applications in space and atomic fields. The effective implementation of NW devices in a radiation environment is a matter of concern. Here, the photoluminescence (PL) and time-resolved PL (TRPL) measurements were performed on both GaAs and InP NWs at room temperature before and after 1 MeV H+ irradiation with fluences ranging from 1 × 1011 to 5 × 1013 p cm‑2. It is found that the degradation of lifetime is size-dependent, and typically the minority carrier lifetime damage coefficient is closely correlated with the material and NW diameter. Compared to GaAs and InP bulk material counterparts, the lifetime damage coefficient of NWs decreases by a factor of about one order of magnitude. After irradiation, GaAs NWs with a smaller diameter show a much lower lifetime damage coefficient while InP NWs show an increase in carrier radiative lifetime. The increased size-dependent radiation hardness is mainly attributed to the defect sink effect and/or the improvement of a room temperature dynamic annealing mechanism of the NWs. The InP NWs also showed higher radiation tolerance than GaAs NWs.

  11. Enhancement of radiation tolerance in GaAs/AlGaAs core-shell and InP nanowires.

    PubMed

    Li, Fajun; Xie, Xiaolong; Gao, Qian; Tan, Liying; Zhou, Yanping; Yang, Qingbo; Ma, Jing; Fu, Lan; Tan, Hark Hoe; Jagadish, Chennupati

    2018-06-01

    Radiation effects on semiconductor nanowires (NWs) have attracted the attention of the research community due to their potential applications in space and atomic fields. The effective implementation of NW devices in a radiation environment is a matter of concern. Here, the photoluminescence (PL) and time-resolved PL (TRPL) measurements were performed on both GaAs and InP NWs at room temperature before and after 1 MeV H + irradiation with fluences ranging from 1 × 10 11 to 5 × 10 13 p cm -2 . It is found that the degradation of lifetime is size-dependent, and typically the minority carrier lifetime damage coefficient is closely correlated with the material and NW diameter. Compared to GaAs and InP bulk material counterparts, the lifetime damage coefficient of NWs decreases by a factor of about one order of magnitude. After irradiation, GaAs NWs with a smaller diameter show a much lower lifetime damage coefficient while InP NWs show an increase in carrier radiative lifetime. The increased size-dependent radiation hardness is mainly attributed to the defect sink effect and/or the improvement of a room temperature dynamic annealing mechanism of the NWs. The InP NWs also showed higher radiation tolerance than GaAs NWs.

  12. Ultrafast carrier dynamics in band edge and broad deep defect emission ZnSe nanowires

    NASA Astrophysics Data System (ADS)

    Othonos, Andreas; Lioudakis, Emmanouil; Philipose, U.; Ruda, Harry E.

    2007-12-01

    Ultrafast carrier dynamics of ZnSe nanowires grown under different growth conditions have been studied. Transient absorption measurements reveal the dependence of the competing effects of state filling and photoinduced absorption on the probed energy states. The relaxation of the photogenerated carriers occupying defect states in the stoichiometric and Se-rich samples are single exponentials with time constants of 3-4ps. State filling is the main contribution for probe energies below 1.85eV in the Zn-rich grown sample. This ultrafast carrier dynamics study provides an important insight into the role that intrinsic point defects play in the observed photoluminescence from ZnSe nanowires.

  13. GaAs photovoltaics and optoelectronics using releasable multilayer epitaxial assemblies.

    PubMed

    Yoon, Jongseung; Jo, Sungjin; Chun, Ik Su; Jung, Inhwa; Kim, Hoon-Sik; Meitl, Matthew; Menard, Etienne; Li, Xiuling; Coleman, James J; Paik, Ungyu; Rogers, John A

    2010-05-20

    Compound semiconductors like gallium arsenide (GaAs) provide advantages over silicon for many applications, owing to their direct bandgaps and high electron mobilities. Examples range from efficient photovoltaic devices to radio-frequency electronics and most forms of optoelectronics. However, growing large, high quality wafers of these materials, and intimately integrating them on silicon or amorphous substrates (such as glass or plastic) is expensive, which restricts their use. Here we describe materials and fabrication concepts that address many of these challenges, through the use of films of GaAs or AlGaAs grown in thick, multilayer epitaxial assemblies, then separated from each other and distributed on foreign substrates by printing. This method yields large quantities of high quality semiconductor material capable of device integration in large area formats, in a manner that also allows the wafer to be reused for additional growths. We demonstrate some capabilities of this approach with three different applications: GaAs-based metal semiconductor field effect transistors and logic gates on plates of glass, near-infrared imaging devices on wafers of silicon, and photovoltaic modules on sheets of plastic. These results illustrate the implementation of compound semiconductors such as GaAs in applications whose cost structures, formats, area coverages or modes of use are incompatible with conventional growth or integration strategies.

  14. Polarity Control of Heteroepitaxial GaN Nanowires on Diamond.

    PubMed

    Hetzl, Martin; Kraut, Max; Hoffmann, Theresa; Stutzmann, Martin

    2017-06-14

    Group III-nitride materials such as GaN nanowires are characterized by a spontaneous polarization within the crystal. The sign of the resulting sheet charge at the top and bottom facet of a GaN nanowire is determined by the orientation of the wurtzite bilayer of the different atomic species, called N and Ga polarity. We investigate the polarity distribution of heteroepitaxial GaN nanowires on different substrates and demonstrate polarity control of GaN nanowires on diamond. Kelvin Probe Force Microscopy is used to determine the polarity of individual selective area-grown and self-assembled nanowires over a large scale. At standard growth conditions, mixed polarity occurs for selective GaN nanowires on various substrates, namely on silicon, on sapphire and on diamond. To obtain control over the growth orientation on diamond, the substrate surface is modified by nitrogen and oxygen plasma exposure prior to growth, and the growth parameters are adjusted simultaneously. We find that the surface chemistry and the substrate temperature are the decisive factors for obtaining control of up to 93% for both polarity types, whereas the growth mode, namely selective area or self-assembled growth, does not influence the polarity distribution significantly. The experimental results are discussed by a model based on the interfacial bonds between the GaN nanowires, the termination layer, and the substrate.

  15. GaAs1−xBix/GaNyAs1−y type-II quantum wells: novel strain-balanced heterostructures for GaAs-based near- and mid-infrared photonics

    PubMed Central

    Broderick, Christopher A.; Jin, Shirong; Marko, Igor P.; Hild, Konstanze; Ludewig, Peter; Bushell, Zoe L.; Stolz, Wolfgang; Rorison, Judy M.; O’Reilly, Eoin P.; Volz, Kerstin; Sweeney, Stephen J.

    2017-01-01

    The potential to extend the emission wavelength of photonic devices further into the near- and mid-infrared via pseudomorphic growth on conventional GaAs substrates is appealing for a number of communications and sensing applications. We present a new class of GaAs-based quantum well (QW) heterostructure that exploits the unusual impact of Bi and N on the GaAs band structure to produce type-II QWs having long emission wavelengths with little or no net strain relative to GaAs, while also providing control over important laser loss processes. We theoretically and experimentally demonstrate the potential of GaAs1−xBix/GaNyAs1−y type-II QWs on GaAs and show that this approach offers optical emission and absorption at wavelengths up to ~3 µm utilising strain-balanced structures, a first for GaAs-based QWs. Experimental measurements on a prototype GaAs0.967Bi0.033/GaN0.062As0.938 structure, grown via metal-organic vapour phase epitaxy, indicate good structural quality and exhibit both photoluminescence and absorption at room temperature. The measured photoluminescence peak wavelength of 1.72 μm is in good agreement with theoretical calculations and is one of the longest emission wavelengths achieved on GaAs to date using a pseudomorphically grown heterostructure. These results demonstrate the significant potential of this new class of III-V heterostructure for long-wavelength applications. PMID:28422129

  16. Anomalous Seebeck coefficient observed in silicon nanowire micro thermoelectric generator

    NASA Astrophysics Data System (ADS)

    Hashimoto, S.; Asada, S.; Xu, T.; Oba, S.; Himeda, Y.; Yamato, R.; Matsukawa, T.; Matsuki, T.; Watanabe, T.

    2017-07-01

    We have found experimentally an anomalous thermoelectric characteristic of an n-type Si nanowire micro thermoelectric generator (μTEG). The μTEG is fabricated on a silicon-on-insulator wafer by electron beam lithography and dry etching, and its surface is covered with a thermally grown silicon dioxide film. The observed thermoelectric current is opposite to what is expected from the Seebeck coefficient of n-type Si. The result is understandable by considering a potential barrier in the nanowire. Upon the application of the temperature gradient across the nanowire, the potential barrier impedes the diffusion of thermally activated majority carriers into the nanowire, and it rather stimulates the injection of thermally generated minority carriers. The most plausible origin of the potential barrier is negative charges trapped at the interface between the Si nanowire and the oxide film. We practically confirmed that the normal Seebeck coefficient of the n-type Si nanowire is recovered after the hydrogen forming gas annealing. This implies that the interface traps are diminished by the hydrogen termination of bonding defects. The present results show the importance of the surface inactivation treatment of μTEGs to suppress the potential barrier and unfavorable contribution of minority carriers.

  17. Growth studies of erbium-doped GaAs deposited by metalorganic vapor phase epitaxy using noval cyclopentadienyl-based erbium sources

    NASA Technical Reports Server (NTRS)

    Redwing, J. M.; Kuech, T. F.; Gordon, D. C.; Vaartstra, B. A.; Lau, S. S.

    1994-01-01

    Erbium-doped GaAS layers were grown by metalorganic vapor phase epitaxy using two new sources, bis(i-propylcyclopentadienyl)cyclopentadienyl erbium and tris(t-butylcyclopentadienyl) erbium. Controlled Er doping in the range of 10(exp 17) - 10(exp 18)/cu cm was achieved using a relatively low source temperature of 90 C. The doping exhibits a second-order dependence on inlet source partial pressure, similar to behavior obtained with cyclopentadienyl Mg dopant sources. Equivalent amounts of oxygen and Er are present in 'as-grown' films indicating that the majority of Er dopants probably exist as Er-O complexes in the material. Er(+3) luminescence at 1.54 micrometers was measured from the as-grown films, but ion implantation of additional oxygen decreases the emission intensity. Electrical compensation of n-type GaAs layers codoped with Er and Si is directly correlated to the Er concentration is proposed to arise from the deep centers associated with Er which are responsible for a broad emission band near 0.90 micrometers present in the photoluminescence spectra of GaAs:Si, Er films.

  18. Comparison of AlGaAs Oxidation in MBE and MOCVD Grown Samples

    DTIC Science & Technology

    2002-01-01

    vertical cavity surface emitting lasers ( VCSELs ) [1, 2, 3]. They are also being... molecular beam epitaxy ( MBE ) [5, 6] or metal organic chemical vapor deposition (MOCVD) [7, 8]. The MBE -grown A1GaAs layers are sometimes pseudo or digital...Simultaneous wet-thermal oxidation of MBE and MOCVD grown AlxGal_xAs layers (x = 0.1 to 1.0) showed that the epitaxial growth method does not

  19. Spin-orbit coupling effects in zinc-blende InSb and wurtzite InAs nanowires: Realistic calculations with multiband k .p method

    NASA Astrophysics Data System (ADS)

    Campos, Tiago; Faria Junior, Paulo E.; Gmitra, Martin; Sipahi, Guilherme M.; Fabian, Jaroslav

    2018-06-01

    A systematic numerical investigation of spin-orbit fields in the conduction bands of III-V semiconductor nanowires is performed. Zinc-blende (ZB) InSb nanowires are considered along [001], [011], and [111] directions, while wurtzite (WZ) InAs nanowires are studied along [0001] and [10 1 ¯0 ] or [11 2 ¯0 ] directions. Robust multiband k .p Hamiltonians are solved by using plane-wave expansions of real-space parameters. In all cases, the linear and cubic spin-orbit coupling parameters are extracted for nanowire widths from 30 to 100 nm. Typical spin-orbit energies are on the μ eV scale, except for WZ InAs nanowires grown along [10 1 ¯0 ] or [11 2 ¯0 ] , in which the spin-orbit energy is about meV, largely independent of the wire diameter. Significant spin-orbit coupling is obtained by applying a transverse electric field, causing the Rashba effect. For an electric field of about 4 mV/nm, the obtained spin-orbit energies are about 1 meV for both materials in all investigated growth directions. The most favorable system, in which the spin-orbit effects are maximal, are WZ InAs nanowires grown along [1010] or [11 2 ¯0 ] since here spin-orbit energies are giant (meV) already in the absence of electric field. The least favorable are InAs WZ nanowires grown along [0001] since here even the electric field does not increase the spin-orbit energies beyond 0.1 meV. The presented results should be useful for investigations of optical orientation, spin transport, weak localization, and superconducting proximity effects in semiconductor nanowires.

  20. Vertically p-n-junctioned GaN nano-wire array diode fabricated on Si(111) using MOCVD.

    PubMed

    Park, Ji-Hyeon; Kim, Min-Hee; Kissinger, Suthan; Lee, Cheul-Ro

    2013-04-07

    We demonstrate the fabrication of n-GaN:Si/p-GaN:Mg nanowire arrays on (111) silicon substrate by metal organic chemical vapor deposition (MOCVD) method .The nanowires were grown by a newly developed two-step growth process. The diameter of as-grown nanowires ranges from 300-400 nm with a density of 6-7 × 10(7) cm(-2). The p- and n-type doping of the nanowires is achieved with Mg and Si dopant species. Structural characterization by X-ray diffraction (XRD) and high-resolution transmission electron microscopy (HRTEM) indicates that the nanowires are relatively defect-free. The room-temperature photoluminescence emission with a strong peak at 370 nm indicates that the n-GaN:Si/p-GaN:Mg nanowire arrays have potential application in light-emitting nanodevices. The cathodoluminscence (CL) spectrum clearly shows a distinct optical transition of GaN nanodiodes. The nano-n-GaN:Si/p-GaN:Mg diodes were further completed using a sputter coating approach to deposit Au/Ni metal contacts. The polysilazane filler has been etched by a wet chemical etching process. The n-GaN:Si/p-GaN:Mg nanowire diode was fabricated for different Mg source flow rates. The current-voltage (I-V) measurements reveal excellent rectifying properties with an obvious turn-on voltage at 1.6 V for a Mg flow rate of 5 sccm (standard cubic centimeters per minute).

  1. Vertically p-n-junctioned GaN nano-wire array diode fabricated on Si(111) using MOCVD

    NASA Astrophysics Data System (ADS)

    Park, Ji-Hyeon; Kim, Min-Hee; Kissinger, Suthan; Lee, Cheul-Ro

    2013-03-01

    We demonstrate the fabrication of n-GaN:Si/p-GaN:Mg nanowire arrays on (111) silicon substrate by metal organic chemical vapor deposition (MOCVD) method .The nanowires were grown by a newly developed two-step growth process. The diameter of as-grown nanowires ranges from 300-400 nm with a density of 6-7 × 107 cm-2. The p- and n-type doping of the nanowires is achieved with Mg and Si dopant species. Structural characterization by X-ray diffraction (XRD) and high-resolution transmission electron microscopy (HRTEM) indicates that the nanowires are relatively defect-free. The room-temperature photoluminescence emission with a strong peak at 370 nm indicates that the n-GaN:Si/p-GaN:Mg nanowire arrays have potential application in light-emitting nanodevices. The cathodoluminscence (CL) spectrum clearly shows a distinct optical transition of GaN nanodiodes. The nano-n-GaN:Si/p-GaN:Mg diodes were further completed using a sputter coating approach to deposit Au/Ni metal contacts. The polysilazane filler has been etched by a wet chemical etching process. The n-GaN:Si/p-GaN:Mg nanowire diode was fabricated for different Mg source flow rates. The current-voltage (I-V) measurements reveal excellent rectifying properties with an obvious turn-on voltage at 1.6 V for a Mg flow rate of 5 sccm (standard cubic centimeters per minute).

  2. Uninterrupted and reusable source for the controlled growth of nanowires

    PubMed Central

    Sugavaneshwar, R. P.; Nanda, Karuna Kar

    2013-01-01

    Generally, the length of the oxide nanowires grown by vapor phase transport is limited by the degradation of the source materials. Furthermore, the source material is used once for the nanowires growth. By exploiting the Si-Zn phase diagram, we have developed a simple methodology for the non-catalytic growth of ultralong ZnO nanowires in large area with controllable aspect ratio and branched structures. The insolubility of Zn in Si and the use of a Si cap on the Zn source to prevent local source oxidation of Zn (i. e. prevents the degradation of the source) are the keys to grow longer nanowires without limitations. It has been shown that the aspect ratio can be controlled by thermodynamically (temperature) and more importantly by kinetically (vapor flux). One of the interesting findings is that the same source material can be used for several depositions of oxide nanostructured materials. PMID:23412010

  3. Initial Growth of Single-Crystalline Nanowires: From 3D Nucleation to 2D Growth.

    PubMed

    Huang, Xh; Li, Gh; Sun, Gz; Dou, Xc; Li, L; Zheng, Lx

    2010-04-17

    The initial growth stage of the single-crystalline Sb and Co nanowires with preferential orientation was studied, which were synthesized in porous anodic alumina membranes by the pulsed electrodeposition technique. It was revealed that the initial growth of the nanowires is a three-dimensional nucleation process, and then gradually transforms to two-dimensional growth via progressive nucleation mechanism, which resulting in a structure transition from polycrystalline to single crystalline. The competition among the nuclei inside the nanoscaled-confined channel and the growth kinetics is responsible for the structure transition of the initial grown nanowires.

  4. Progress toward thin-film GaAs solar cells using a single-crystal Si substrate with a Ge interlayer

    NASA Technical Reports Server (NTRS)

    Yeh, Y. C. M.; Wang, K. L.; Zwerdling, S.

    1982-01-01

    Development of a technology for fabricating light-weight, high-efficiency, radiation-resistant solar cells for space applications is reported. The approaches currently adopted are to fabricate shallow homojunction n(+)/p as well as p/n AlGaAs-heteroface GaAs solar cells by organometallic chemical vapor deposition (OM-CVD) on single-crystal Si substrates using in each case, a thin Ge epi-interlayer first grown by CVD. This approach maintains the advantages of the low specific gravity of Si as well as the high efficiency and radiation-resistant properties of the GaAs solar cell which can lead to greatly improved specific power for a solar array. The growth of single-crystal GaAs epilayers on Ge epi-interlayers on Si substrates is investigated. Related solar cell fabrication is reviewed.

  5. Copper Nanowire Production for Interconnect Applications

    NASA Technical Reports Server (NTRS)

    Han, Jin-Woo (Inventor); Meyyappan, Meyya (Inventor)

    2014-01-01

    A method of fabricating metallic Cu nanowires with lengths up to about 25 micrometers and diameters in a range 20-100 nanometers, or greater if desired. Vertically oriented or laterally oriented copper oxide structures (CuO and/or Cu2O) are grown on a Cu substrate. The copper oxide structures are reduced with 99+ percent H or H2, and in this reduction process the lengths decrease (to no more than about 25 micrometers), the density of surviving nanostructures on a substrate decreases, and the diameters of the surviving nanostructures have a range, of about 20-100 nanometers. The resulting nanowires are substantially pure Cu and can be oriented laterally (for local or global interconnects) or can be oriented vertically (for standard vertical interconnects).

  6. Photosensitization of ZnO nanowires with CdSe quantum dots for photovoltaic devices.

    PubMed

    Leschkies, Kurtis S; Divakar, Ramachandran; Basu, Joysurya; Enache-Pommer, Emil; Boercker, Janice E; Carter, C Barry; Kortshagen, Uwe R; Norris, David J; Aydil, Eray S

    2007-06-01

    We combine CdSe semiconductor nanocrystals (or quantum dots) and single-crystal ZnO nanowires to demonstrate a new type of quantum-dot-sensitized solar cell. An array of ZnO nanowires was grown vertically from a fluorine-doped tin oxide conducting substrate. CdSe quantum dots, capped with mercaptopropionic acid, were attached to the surface of the nanowires. When illuminated with visible light, the excited CdSe quantum dots injected electrons across the quantum dot-nanowire interface. The morphology of the nanowires then provided the photoinjected electrons with a direct electrical pathway to the photoanode. With a liquid electrolyte as the hole transport medium, quantum-dot-sensitized nanowire solar cells exhibited short-circuit currents ranging from 1 to 2 mA/cm2 and open-circuit voltages of 0.5-0.6 V when illuminated with 100 mW/cm2 simulated AM1.5 spectrum. Internal quantum efficiencies as high as 50-60% were also obtained.

  7. Development of a 1K x 1K GaAs QWIP Far IR Imaging Array

    NASA Technical Reports Server (NTRS)

    Jhabvala, M.; Choi, K.; Goldberg, A.; La, A.; Gunapala, S.

    2003-01-01

    In the on-going evolution of GaAs Quantum Well Infrared Photodetectors (QWIPs) we have developed a 1,024 x 1,024 (1K x1K), 8.4-9 microns infrared focal plane array (FPA). This 1 megapixel detector array is a hybrid using the Rockwell TCM 8050 silicon readout integrated circuit (ROIC) bump bonded to a GaAs QWIP array fabricated jointly by engineers at the Goddard Space Flight Center (GSFC) and the Army Research Laboratory (ARL). The finished hybrid is thinned at the Jet Propulsion Lab. Prior to this development the largest format array was a 512 x 640 FPA. We have integrated the 1K x 1K array into an imaging camera system and performed tests over the 40K-90K temperature range achieving BLIP performance at an operating temperature of 76K (f/2 camera system). The GaAs array is relatively easy to fabricate once the superlattice structure of the quantum wells has been defined and grown. The overall arrays costs are currently dominated by the costs associated with the silicon readout since the GaAs array fabrication is based on high yield, well-established GaAs processing capabilities. In this paper we will present the first results of our 1K x 1K QWIP array development including fabrication methodology, test data and our imaging results.

  8. Bi nanowire-based thermal biosensor for the detection of salivary cortisol using the Thomson effect

    NASA Astrophysics Data System (ADS)

    Lee, Seunghyun; Hyun Lee, Jung; Kim, MinGin; Kim, Jeongmin; Song, Min-Jung; Jung, Hyo-Il; Lee, Wooyoung

    2013-09-01

    We present a study of a thermal biosensor based on bismuth nanowire that is fabricated for the detection of the human stress hormone cortisol using the Thomson effect. The Bi nanowire was grown using the On-Film Formation of Nanowires (OFF-ON) method. The thermal device was fabricated using photolithography, and the sensing area was modified with immobilized anti-cortisol antibodies conjugated with protein G for the detection of cortisol. The voltages were measured with two probe tips during surface modification to investigate the biochemical reactions in the fabricated thermal biosensor. The Bi nanowire-based thermal biosensor exhibited low detection limit and good selectivity for the detection of cortisol.

  9. Selectivity shifting behavior of Pd nanoparticles loaded zinc stannate/zinc oxide (Zn2SnO4/ZnO) nanowires sensors

    NASA Astrophysics Data System (ADS)

    Arafat, M. M.; Ong, J. Y.; Haseeb, A. S. M. A.

    2018-03-01

    In this research, the gas sensing behavior of Pd nanoparticles loaded zinc stannate/zinc oxide (Zn2SnO4/ZnO) nanowires were investigated. The Zn2SnO4/ZnO nanowires were grown on Au interdigitated alumina substrate by carbon assisted thermal evaporation process. Pd nanoparticles were loaded on the Zn2SnO4/ZnO nanowires by wet reduction process. The nanowires were characterized by X-ray diffractometer, field emission scanning electron microscope and energy dispersive X-ray spectroscope. The Zn2SnO4/ZnO and Pd nanoparticles loaded Zn2SnO4/ZnO nanowires were investigated for detecting H2, H2S and C2H5OH gases in N2 background. Results revealed that the average diameter and length of as-grown Zn2SnO4/ZnO nanowires were 74 nm and 30 μm, respectively. During wet reduction process,Pd particles having size of 20-60 nm were evenly distributed on the Zn2SnO4/ZnO nanowires. The Zn2SnO4/ZnO nanowires based sensors showed selective response towards C2H5OH whereas Pd nanoparticles loaded Zn2SnO4/ZnO nanowires showed selective response towards H2. The recovery time of the sensors reduced with Pd loading on Zn2SnO4/ZnO nanowires. A mechanism is proposed to elucidate the gas sensing mechanism of Pd nanoparticles loaded Zn2SnO4/ZnO nanowires.

  10. Enhanced Electron Mobility in Nonplanar Tensile Strained Si Epitaxially Grown on SixGe1-x Nanowires.

    PubMed

    Wen, Feng; Tutuc, Emanuel

    2018-01-10

    We report the growth and characterization of epitaxial, coherently strained Si x Ge 1-x -Si core-shell nanowire heterostructure through vapor-liquid-solid growth mechanism for the Si x Ge 1-x core, followed by an in situ ultrahigh-vacuum chemical vapor deposition for the Si shell. Raman spectra acquired from individual nanowire reveal the Si-Si, Si-Ge, and Ge-Ge modes of the Si x Ge 1-x core and the Si-Si mode of the shell. Because of the compressive (tensile) strain induced by lattice mismatch, the core (shell) Raman modes are blue (red) shifted compared to those of unstrained bare Si x Ge 1-x (Si) nanowires, in good agreement with values calculated using continuum elasticity model coupled with lattice dynamic theory. A large tensile strain of up to 2.3% is achieved in the Si shell, which is expected to provide quantum confinement for electrons due to a positive core-to-shell conduction band offset. We demonstrate n-type metal-oxide-semiconductor field-effect transistors using Si x Ge 1-x -Si core-shell nanowires as channel and observe a 40% enhancement of the average electron mobility compared to control devices using Si nanowires due to an increased electron mobility in the tensile-strained Si shell.

  11. A possible oriented attachment growth mechanism for silver nanowire formation

    DOE PAGES

    Murph, Simona E. Hunyadi; Murphy, Catherine J.; Leach, Austin; ...

    2015-04-06

    Electron microscopy studies suggest that silver nanowires prepared by an approach reported earlier by us (Caswell, K. K., Bender, C. M., Murphy, C. J. Nano Lett.,2003, 3, 667–669) form through a coarsening process via an oriented attachment mechanism. Initially, silver nucleation centers were produced by chemical reduction of silver ions in boiling water, with sodium citrate and sodium hydroxide as additives in solution. These nucleation centers, with a twinned crystallographic orientation, ultimately merge into fully grown silver nanowires. This is a completely different mechanism from the seed-mediated growth approach, which has also been used to produce silver nanowires. Furthermore, companionmore » molecular dynamics performed with the embedded atom method are in agreement with our experimental data.« less

  12. A possible oriented attachment growth mechanism for silver nanowire formation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Murph, Simona E. Hunyadi; Murphy, Catherine J.; Leach, Austin

    Electron microscopy studies suggest that silver nanowires prepared by an approach reported earlier by us (Caswell, K. K., Bender, C. M., Murphy, C. J. Nano Lett.,2003, 3, 667–669) form through a coarsening process via an oriented attachment mechanism. Initially, silver nucleation centers were produced by chemical reduction of silver ions in boiling water, with sodium citrate and sodium hydroxide as additives in solution. These nucleation centers, with a twinned crystallographic orientation, ultimately merge into fully grown silver nanowires. This is a completely different mechanism from the seed-mediated growth approach, which has also been used to produce silver nanowires. Furthermore, companionmore » molecular dynamics performed with the embedded atom method are in agreement with our experimental data.« less

  13. Positioning growth of NPB crystalline nanowires on the PTCDA nanocrystal template.

    PubMed

    Wang, Hong; Lin, Haiping; Fan, Xing; Ostendorp, Stefan; Wang, Yandong; Huang, Lizhen; Jiang, Lin; Li, Youyong; Wilde, Gerhard; Fuchs, Harald; Wang, Wenchong; Chi, Lifeng

    2018-05-31

    Non-planar organic molecules often form amorphous films via vapor phase deposition on surfaces. In this study, we demonstrate for the first time that direct crystalline growth of non-planar NPB is possible when the orientation of initially deposited molecules on a PTCDA nanocrystal template is controlled to make it analogous to the structure of the molecular crystal. The crystalline NPB nanowires can be further positioned by controlling the site-selective growth of PTCDA nanocrystal templates at pre-determined locations. Short channel bottom contact OFET array with the NPB nanowires directly grown on electrodes were subsequently fabricated. The hole mobility of NPB nanowires is improved by 40-fold in comparison to that of the amorphous films.

  14. David Adler Lectureship Award Talk: III-V Semiconductor Nanowires on Silicon for Future Devices

    NASA Astrophysics Data System (ADS)

    Riel, Heike

    Bottom-up grown nanowires are very attractive materials for direct integration of III-V semiconductors on silicon thus opening up new possibilities for the design and fabrication of nanoscale devices for electronic, optoelectronic as well as quantum information applications. Template-Assisted Selective Epitaxy (TASE) allows the well-defined and monolithic integration of complex III-V nanostructures and devices on silicon. Achieving atomically abrupt heterointerfaces, high crystal quality and control of dimension down to 1D nanowires enabled the demonstration of FETs and tunnel devices based on In(Ga)As and GaSb. Furthermore, the strong influence of strain on nanowires as well as results on quantum transport studies of InAs nanowires with well-defined geometry will be presented.

  15. GaSb and GaSb/AlSb Superlattice Buffer Layers for High-Quality Photodiodes Grown on Commercial GaAs and Si Substrates

    NASA Astrophysics Data System (ADS)

    Gutiérrez, M.; Lloret, F.; Jurczak, P.; Wu, J.; Liu, H. Y.; Araújo, D.

    2018-05-01

    The objective of this work is the integration of InGaAs/GaSb/GaAs heterostructures, with high indium content, on GaAs and Si commercial wafers. The design of an interfacial misfit dislocation array, either on GaAs or Si substrates, allowed growth of strain-free devices. The growth of purposely designed superlattices with their active region free of extended defects on both GaAs and Si substrates is demonstrated. Transmission electron microscopy technique is used for the structural characterization and plastic relaxation study. In the first case, on GaAs substrates, the presence of dopants was demonstrated to reduce several times the threading dislocation density through a strain-hardening mechanism avoiding dislocation interactions, while in the second case, on Si substrates, similar reduction of dislocation interactions is obtained using an AlSb/GaSb superlattice. The latter is shown to redistribute spatially the interfacial misfit dislocation array to reduce dislocation interactions.

  16. Optical Properties of Zinc Selenide Grown Using Molecular Beam Deposition Techniques

    DTIC Science & Technology

    1989-06-01

    studied were grown using a standard MBE machine with insitu diagnostics. The ZnSe material used for growing the samples is highly pure polycrystalline...width of the interference maxima n can be found from equation (1). Beyond 550 nm absorption is varying rapidly and this will cause Tmax to vary...nonlinearity Is utilized - such as in an optically bistable switch. It is known from previous work on ZnSe grown on GaAs 113] that the material begins growing

  17. Growth of InAs/InP core-shell nanowires with various pure crystal structures.

    PubMed

    Gorji Ghalamestani, Sepideh; Heurlin, Magnus; Wernersson, Lars-Erik; Lehmann, Sebastian; Dick, Kimberly A

    2012-07-20

    We have studied the epitaxial growth of an InP shell on various pure InAs core nanowire crystal structures by metal-organic vapor phase epitaxy. The InP shell is grown on wurtzite (WZ), zinc-blende (ZB), and {111}- and {110}-type faceted ZB twin-plane superlattice (TSL) structures by tuning the InP shell growth parameters and controlling the shell thickness. The growth results, particularly on the WZ nanowires, show that homogeneous InP shell growth is promoted at relatively high temperatures (∼500 °C), but that the InAs nanowires decompose under the applied conditions. In order to protect the InAs core nanowires from decomposition, a short protective InP segment is first grown axially at lower temperatures (420-460 °C), before commencing the radial growth at a higher temperature. Further studies revealed that the InP radial growth rate is significantly higher on the ZB and TSL nanowires compared to WZ counterparts, and shows a strong anisotropy in polar directions. As a result, thin shells were obtained during low temperature InP growth on ZB structures, while a higher temperature was used to obtain uniform thick shells. In addition, a schematic growth model is suggested to explain the basic processes occurring during the shell growth on the TSL crystal structures.

  18. MOCVD growth and characterization of gallium nitride and gallium antimonide nanowires

    NASA Astrophysics Data System (ADS)

    Burke, Robert Alan

    of the nanowires. Upon further inspection with TEM, the nanowires were found to consist of two morphologies: smooth nanowires and serrated nanowires. The smooth nanowires were found to consist of the wurtzite crystal structure, while the serrated nanowires were determined to have a wurtzite core with zinc blende faceted islands protruding from the wurtzite core. The second half of this thesis focused on the growth and characterization of GaSb nanowires. An extensive amount of work has been carried out on GaSb thin films, however only a few reports exist on GaSb nanowire growth. As a result, it was necessarily to complete a systematic study to determine a growth window for GaSb nanowires. A narrow range of growth conditions were found for Au-catalyzed GaSb nanowire growth. Vertically oriented nanowires were observed over a pressure range of 150-300 Torr depending on the substrate. Based on these findings, additional characterization was carried out to investigate the structural properties of the nanowires along with chemical analysis of the catalyst to determine the nature of the catalyst as a function of the growth conditions. The catalyst was found to consist of Ga, Sb, and Au consistent with that expected for vapor-liquidsolid growth, however the concentrations varied depending on the growth conditions and nanowire sample. For one set of nanowires, the seed particle contained a Au-Sb solid solution (1-15 at.% Sb). For the other set of nanowires, the particle consisted of an AuSb2 grain and an AuGa or Au2Ga grain that resulted in the formation of a bicrystalline nanowire. Photoluminescence measurements were also obtained on these samples and compared to the thin film literature. Samples grown on Si (111) were found to possess good optical properties, while samples grown on sapphire substrates were dominated by native defect transitions. The optical quality of the nanowire sample was also found to have a significant dependence on the V/III ratio.

  19. Microprocessor design for GaAs technology

    NASA Astrophysics Data System (ADS)

    Milutinovic, Veljko M.

    Recent advances in the design of GaAs microprocessor chips are examined in chapters contributed by leading experts; the work is intended as reading material for a graduate engineering course or as a practical R&D reference. Topics addressed include the methodology used for the architecture, organization, and design of GaAs processors; GaAs device physics and circuit design; design concepts for microprocessor-based GaAs systems; a 32-bit GaAs microprocessor; a 32-bit processor implemented in GaAs JFET; and a direct coupled-FET-logic E/D-MESFET experimental RISC machine. Drawings, micrographs, and extensive circuit diagrams are provided.

  20. High quality of InAsSb epilayer with cutoff wavelength longer than 10 μm grown on GaAs by the modified LPE technique

    NASA Astrophysics Data System (ADS)

    Hu, S. H.; Sun, C. H.; Sun, Y.; Ge, J.; Wang, R.; Wu, J.; Wang, Q. W.; Dai, N.

    2009-04-01

    The InAsSb epilayers with a cutoff wavelength of 11.5 μm were successfully grown on highly lattice-mismatched semi-insulating (1 0 0) GaAs substrate by the modified liquid phase epitaxy (LPE) technique. Fourier transform infrared (FTIR) transmission spectrum revealed a strong band gap narrowing for this alloy. The electrical properties were investigated by the Van der Pauw measurements at 300 and 77 K. InAsSb epilayers showed high Hall mobilities being 11,800 cm 2/V s at room temperature (RT). After an annealing treament for 10 h, the electron mobility at 77 K were improved from 1730 cm 2/V s (prior to annealing) to 13,470 cm 2/V s. Wet etching was used to display the surface etch pits prior to and after annealing treatment, showing that the mobility improvement was due to the reduction of the etch pits density.

  1. Epitaxial insertion of gold silicide nanodisks during the growth of silicon nanowires.

    PubMed

    Um, Han-Don; Jee, Sang-Won; Park, Kwang-Tae; Jung, Jin-Young; Guo, Zhongyi; Lee, Jung-Ho

    2011-07-01

    Nanodisk-shaped, single-crystal gold silicide heterojunctions were inserted into silicon nanowires during vapor-liquid-solid growth using Au as a catalyst within a specific range of chlorine-to-hydrogen atomic ratio. The mechanism of nanodisk formation has been investigated by changing the source gas ratio of SiCl4 to H2. We report that an over-supply of silicon into the Au-Si liquid alloy leads to highly supersaturated solution and enhances the precipitation of Au in the silicon nanowires due to the formation of unstable phases within the liquid alloy. It is shown that the gold precipitates embedded in the silicon nanowires consisted of a metastable gold silicide. Interestingly, faceting of gold silicide was observed at the Au/Si interfaces, and silicon nanowires were epitaxially grown on the top of the nanodisk by vapor-liquid-solid growth. High resolution transmission electron microscopy confirmed that gold silicide nanodisks are epitaxially connected to the silicon nanowires in the direction of growth direction. These gold silicide nanodisks would be useful as nanosized electrical junctions for future applications in nanowire interconnections.

  2. Optimizing "self-wicking" nanowire grids.

    PubMed

    Wei, Hui; Dandey, Venkata P; Zhang, Zhening; Raczkowski, Ashleigh; Rice, Willam J; Carragher, Bridget; Potter, Clinton S

    2018-05-01

    We have developed a self-blotting TEM grid for use with a novel instrument for vitrifying samples for cryo-electron microscopy (cryoEM). Nanowires are grown on the copper surface of the grid using a simple chemical reaction and the opposite smooth side is used to adhere to a holey sample substrate support, for example carbon or gold. When small volumes of sample are applied to the nanowire grids the wires effectively act as blotting paper to rapidly wick away the liquid, leaving behind a thin film. In this technical note, we present a detailed description of how we make these grids using a variety of substrates fenestrated with either lacey or regularly spaced holes. We explain how we characterize the quality of the grids and we describe their behavior under a variety of conditions. Copyright © 2018 Elsevier Inc. All rights reserved.

  3. Morphological Effect of Doping Environment on Silicon Nanowires Grown by Plasma-Assisted Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Lohn, Andrew J.; Cormia, Robert D.; Fryauf, David M.; Zhang, Junce; Norris, Kate J.; Kobayashi, Nobuhiko P.

    2012-11-01

    Physical properties of semiconductor nanowires are tied intimately to their specific morphologies such as length and diameter. We studied the growth of silicon nanowires and found their lengths and diameters to vary over orders of magnitude in different doping environments. In all cases we examined, doping resulted in increased diameters. In addition, boron doping was found to accelerate volume growth rate while arsenic and antimony both appeared to slow it down. We further studied the formation of the native oxides that cover the nanowires. X-ray photoelectron spectroscopy indicated that properties of the native oxides are also dependent on doping environment and correlated to doping-dependent shifts in apparent binding energy of the Si 2p3/2 peak illustrating that the electronic contribution is the dominant mechanism for the oxide growth.

  4. Tailoring the morphology and luminescence of GaN/InGaN core-shell nanowires using bottom-up selective-area epitaxy

    NASA Astrophysics Data System (ADS)

    Nami, Mohsen; Eller, Rhett F.; Okur, Serdal; Rishinaramangalam, Ashwin K.; Liu, Sheng; Brener, Igal; Feezell, Daniel F.

    2017-01-01

    Controlled bottom-up selective-area epitaxy (SAE) is used to tailor the morphology and photoluminescence properties of GaN/InGaN core-shell nanowire arrays. The nanowires are grown on c-plane sapphire substrates using pulsed-mode metal organic chemical vapor deposition. By varying the dielectric mask configuration and growth conditions, we achieve GaN nanowire cores with diameters ranging from 80 to 700 nm that exhibit various degrees of polar, semipolar, and nonpolar faceting. A single InGaN quantum well (QW) and GaN barrier shell is also grown on the GaN nanowire cores and micro-photoluminescence is obtained and analyzed for a variety of nanowire dimensions, array pitch spacings, and aperture diameters. By increasing the nanowire pitch spacing on the same growth wafer, the emission wavelength redshifts from 440 to 520 nm, while increasing the aperture diameter results in a ˜35 nm blueshift. The thickness of one QW/barrier period as a function of pitch and aperture diameter is inferred using scanning electron microscopy, with larger pitches showing significantly thicker QWs. Significant increases in indium composition were predicted for larger pitches and smaller aperture diameters. The results are interpreted in terms of local growth conditions and adatom capture radius around the nanowires. This work provides significant insight into the effects of mask configuration and growth conditions on the nanowire properties and is applicable to the engineering of monolithic multi-color nanowire LEDs on a single chip.

  5. Cobalt silicide nanocables grown on Co films: synthesis and physical properties.

    PubMed

    Hsin, Cheng-Lun; Yu, Shih-Ying; Wu, Wen-Wei

    2010-12-03

    Single-crystalline cobalt silicide/SiO(x) nanocables have been grown on Co thin films on an SiO(2) layer by a self-catalysis process via vapor-liquid-solid mechanism. The nanocables consist of a core of CoSi nanowires and a silicon oxide shell with a length of several tens of micrometers. In the confined space in the oxide shell, the CoSi phase is stable and free from agglomeration in samples annealed in air ambient at 900 °C for 1 h. The nanocable structure came to a clear conclusion that the thermal stability of the silicide nanowires can be resolved by the shell encapsulation. Cobalt silicide nanowires were obtained from the nanocable structure. The electrical properties of the CoSi nanowires have been found to be compatible with their thin film counterpart and a high maximum current density of the nanowires has been measured. One way to obtain silicate nanowires has been demonstrated. The silicate compound, which is composed of cobalt, silicon and oxygen, was achieved. The Co silicide/oxide nanocables are potentially useful as a key component of silicate nanowires, interconnects and magnetic units in nanoelectronics.

  6. Plasmonic Nanowires for Wide Wavelength Range Molecular Sensing.

    PubMed

    Marinaro, Giovanni; Das, Gobind; Giugni, Andrea; Allione, Marco; Torre, Bruno; Candeloro, Patrizio; Kosel, Jurgen; Di Fabrizio, Enzo

    2018-05-17

    In this paper, we propose the use of a standing nanowires array, constituted by plasmonic active gold wires grown on iron disks, and partially immersed in a supporting alumina matrix, for surface-enhanced Raman spectroscopy applications. The galvanic process was used to fabricate nanowires in pores of anodized alumina template, making this device cost-effective. This fabrication method allows for the selection of size, diameter, and spatial arrangement of nanowires. The proposed device, thanks to a detailed design analysis, demonstrates a broadband plasmonic enhancement effect useful for many standard excitation wavelengths in the visible and NIR. The trigonal pores arrangement gives an efficiency weakly dependent on polarization. The devices, tested with 633 and 830 nm laser lines, show a significant Raman enhancement factor, up to around 6 × 10⁴, with respect to the flat gold surface, used as a reference for the measurements of the investigated molecules.

  7. Optical design of ZnO-based antireflective layers for enhanced GaAs solar cell performance.

    PubMed

    Lee, Hye Jin; Lee, Jae Won; Kim, Hee Jun; Jung, Dae-Han; Lee, Ki-Suk; Kim, Sang Hyeon; Geum, Dae-myeong; Kim, Chang Zoo; Choi, Won Jun; Baik, Jeong Min

    2016-01-28

    A series of hierarchical ZnO-based antireflection coatings with different nanostructures (nanowires and nanosheets) is prepared hydrothermally, followed by means of RF sputtering of MgF2 layers for coaxial nanostructures. Structural analysis showed that both ZnO had a highly preferred orientation along the 〈0001〉 direction with a highly crystalline MgF2 shell coated uniformly. However, a small amount of Al was present in nanosheets, originating from Al diffusion from the Al seed layer, resulting in an increase of the optical bandgap. Compared with the nanosheet-based antireflection coatings, the nanowire-based ones exhibited a significantly lower reflectance (∼2%) in ultraviolet and visible light wavelength regions. In particular, they showed perfect light absorption at wavelength less than approximately 400 nm. However, a GaAs single junction solar cell with nanosheet-based antireflection coatings showed the largest enhancement (43.9%) in power conversion efficiency. These results show that the increase of the optical bandgap of the nanosheets by the incorporation of Al atoms allows more photons enter the active region of the solar cell, improving the performance.

  8. Properties of epitaxial BaTiO{sub 3} deposited on GaAs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Contreras-Guerrero, R.; Droopad, R.; Veazey, J. P.

    2013-01-07

    Single crystal BaTiO{sub 3} (BTO) has been grown epitaxially on GaAs using molecular beam epitaxy with a 2 unit cell SrTiO{sub 3} nucleation layer. The oxide film is lattice-matched to GaAs through an in-plane rotation of 45 Degree-Sign relative to the (100) surface leading to c-axis orientation of the BaTiO{sub 3}. X-ray diffraction confirmed the crystallinity and orientation of the oxide film with a full width half maximum of 0.58 Degree-Sign for a 7.5 nm thick layer. Piezoresponse force microscopy was used to characterize the ferroelectric domains in the BaTiO{sub 3} layer, and a coercive voltage of 1-2 V andmore » piezoresponse amplitude {approx}5 pm/V was measured.« less

  9. Low-Temperature Selective Growth of Tungsten Oxide Nanowires by Controlled Nanoscale Stress Induction

    PubMed Central

    Na, Hyungjoo; Eun, Youngkee; Kim, Min-Ook; Choi, Jungwook; Kim, Jongbaeg

    2015-01-01

    We report a unique approach for the patterned growth of single-crystalline tungsten oxide (WOx) nanowires based on localized stress-induction. Ions implanted into the desired growth area of WOx thin films lead to a local increase in the compressive stress, leading to the growth of nanowire at lower temperatures (600 °C vs. 750–900 °C) than for equivalent non-implanted samples. Nanowires were successfully grown on the microscale patterns using wafer-level ion implantation and on the nanometer scale patterns using a focused ion beam (FIB). Experimental results show that nanowire growth is influenced by a number of factors including the dose of the implanted ions and their atomic radius. The implanted-ion-assisted, stress-induced method proposed here for the patterned growth of WOx nanowires is simpler than alternative approaches and enhances the compatibility of the process by reducing the growth temperature. PMID:26666843

  10. A Hierarchical Phosphorus Nanobarbed Nanowire Hybrid: Its Structure and Electrochemical Properties.

    PubMed

    Zhao, Dan; Li, Beibei; Zhang, Jinying; Li, Xin; Xiao, Dingbin; Fu, Chengcheng; Zhang, Lihui; Li, Zhihui; Li, Jun; Cao, Daxian; Niu, Chunming

    2017-06-14

    Nanostructured phosphorus-carbon composites are promising materials for Li-ion and Na-ion battery anodes. A hierarchical phosphorus hybrid, SiC@graphene@P, has been synthesized by the chemical vapor deposition of phosphorus on the surfaces of barbed nanowires, where the barbs are vertically grown graphene nanosheets and the cores are SiC nanowires. A temperature-gradient vaporization-condensation method has been used to remove the unhybridized phosphorus particles formed by homogeneous nucleation. The vertically grown barb shaped graphene nanosheets and a high concentration of edge carbon atoms induced a fibrous red phosphorus (f-RP) growth with its {001} planes in parallel to {002} planes of nanographene sheets and led to a strong interpenetrated interface interaction between phosphorus and the surfaces of graphene nanosheets. This hybridization has been demonstrated to significantly enhance the electrochemical performances of phosphorus.

  11. Heterostructured ZnS/InP nanowires for rigid/flexible ultraviolet photodetectors with enhanced performance.

    PubMed

    Zhang, Kai; Ding, Jia; Lou, Zheng; Chai, Ruiqing; Zhong, Mianzeng; Shen, Guozhen

    2017-10-19

    Heterostructured ZnS/InP nanowires, composed of single-crystalline ZnS nanowires coated with a layer of InP shell, were synthesized via a one-step chemical vapor deposition process. As-grown heterostructured ZnS/InP nanowires exhibited an ultrahigh I on /I off ratio of 4.91 × 10 3 , a high photoconductive gain of 1.10 × 10 3 , a high detectivity of 1.65 × 10 13 Jones and high response speed even in the case of very weak ultraviolet light illumination (1.87 μW cm -2 ). The values are much higher than those of previously reported bare ZnS nanowires owing to the formation of core/shell heterostructures. Flexible ultraviolet photodetectors were also fabricated with the heterostructured ZnS/InP nanowires, which showed excellent mechanical flexibility, electrical stability and folding endurance besides excellent photoresponse properties. The results elucidated that the heterostructured ZnS/InP nanowires could find good applications in next generation flexible optoelectronic devices.

  12. ZnO nanowires for tunable near-UV/blue LED

    NASA Astrophysics Data System (ADS)

    Pauporté, Thierry; Lupan, Oleg; Viana, Bruno

    2012-02-01

    Nanowires (NWs)-based light emitting diodes (LEDs) have drawn large interest due to many advantages compared to thin film based devices. Markedly improved performances are expected from nanostructured active layers for light emission. Nanowires can act as direct waveguides and favor light extraction without the use of lenses and reflectors. Moreover, the use of wires avoids the presence of grain boundaries and then the emission efficiency should be boosted by the absence of non-radiative recombinations at the joint defects. Electrochemical deposition technique was used for the preparation of ZnO-NWs based light emitters. Nanowires of high structural and optical quality have been epitaxially grown on p-GaN single crystalline films substrates. We have shown that the emission is directional with a wavelength that was tuned and red-shifted toward the visible region by doping with Cu in ZnO NWs.

  13. Growth mechanism and elemental distribution of beta-Ga2O3 crystalline nanowires synthesized by cobalt-assisted chemical vapor deposition.

    PubMed

    Wang, Hui; Lan, Yucheng; Zhang, Jiaming; Crimp, Martin A; Ren, Zhifeng

    2012-04-01

    Long beta-Ga2O3 crystalline nanowires are synthesized on patterned silicon substrates using chemical vapor deposition technique. Advanced electron microscopy indicates that the as-grown beta-Ga2O3 nanowires are consisted of poly-crystalline (Co, Ga)O tips and straight crystalline beta-Ga2O3 stems. The catalytic cobalt not only locates at the nanowire tips but diffuses into beta-Ga2O3 nanowire stems several ten nanometers. A solid diffusion growth mechanism is proposed based on the spatial elemental distribution along the beta-Ga2O3 nanowires at nanoscale.

  14. Giant spin splitting in optically active ZnMnTe/ZnMgTe core/shell nanowires.

    PubMed

    Wojnar, Piotr; Janik, Elżbieta; Baczewski, Lech T; Kret, Sławomir; Dynowska, Elżbieta; Wojciechowski, Tomasz; Suffczyński, Jan; Papierska, Joanna; Kossacki, Piotr; Karczewski, Grzegorz; Kossut, Jacek; Wojtowicz, Tomasz

    2012-07-11

    An enhancement of the Zeeman splitting as a result of the incorporation of paramagnetic Mn ions in ZnMnTe/ZnMgTe core/shell nanowires is reported. The studied structures are grown by gold-catalyst assisted molecular beam epitaxy. The near band edge emission of these structures, conspicuously absent in the case of uncoated ZnMnTe nanowires, is activated by the presence of ZnMgTe coating. Giant Zeeman splitting of this emission is studied in ensembles of nanowires with various average Mn concentrations of the order of a few percent, as well as in individual nanowires. Thus, we show convincingly that a strong spin sp-d coupling is indeed present in these structures.

  15. Programmable growth of branched silicon nanowires using a focused ion beam.

    PubMed

    Jun, Kimin; Jacobson, Joseph M

    2010-08-11

    Although significant progress has been made in being able to spatially define the position of material layers in vapor-liquid-solid (VLS) grown nanowires, less work has been carried out in deterministically defining the positions of nanowire branching points to facilitate more complicated structures beyond simple 1D wires. Work to date has focused on the growth of randomly branched nanowire structures. Here we develop a means for programmably designating nanowire branching points by means of focused ion beam-defined VLS catalytic points. This technique is repeatable without losing fidelity allowing multiple rounds of branching point definition followed by branch growth resulting in complex structures. The single crystal nature of this approach allows us to describe resulting structures with linear combinations of base vectors in three-dimensional (3D) space. Finally, by etching the resulting 3D defined wire structures branched nanotubes were fabricated with interconnected nanochannels inside. We believe that the techniques developed here should comprise a useful tool for extending linear VLS nanowire growth to generalized 3D wire structures.

  16. Growth of Vertically Aligned ZnO Nanowire Arrays Using Bilayered Metal Catalysts

    DTIC Science & Technology

    2012-01-01

    12] J. P. Liu, C. X. Guo, C. M. Li et al., “Carbon-decorated ZnO nanowire array: a novel platform for direct electrochemistry of enzymes and...cited. Vertically aligned, high-density ZnO nanowires (NWs) were grown for the first time on c-plane sapphire using binary alloys of Ni/Au or Cu/Au as...deleterious to the ZnO NW array growth. Significant improvement of the Au adhesion on the substrate was noted, opening the potential for direct

  17. Silicon nanowire arrays as thermoelectric material for a power microgenerator

    NASA Astrophysics Data System (ADS)

    Dávila, D.; Tarancón, A.; Fernández-Regúlez, M.; Calaza, C.; Salleras, M.; San Paulo, A.; Fonseca, L.

    2011-10-01

    A novel design of a silicon-based thermoelectric power microgenerator is presented in this work. Arrays of silicon nanowires, working as thermoelectric material, have been integrated in planar uni-leg thermocouple microstructures to convert waste heat into electrical energy. Homogeneous, uniformly dense, well-oriented and size-controlled arrays of silicon nanowires have been grown by chemical vapor deposition using the vapor-liquid-solid mechanism. Compatibility issues between the nanowire growth method and microfabrication techniques, such as electrical contact patterning, are discussed. Electrical measurements of the nanowire array electrical conductivity and the Seebeck voltage induced by a controlled thermal gradient or under harvesting operation mode have been carried out to demonstrate the feasibility of the microdevice. A resistance of 240 Ω at room temperature was measured for an array of silicon nanowires 10 µm -long, generating a Seebeck voltage of 80 mV under an imposed thermal gradient of 450 °C, whereas only 4.5 mV were generated under a harvesting operation mode. From the results presented, a Seebeck coefficient of about 150-190 µV K-1 was estimated, which corresponds to typical values for bulk silicon.

  18. Dye-sensitized solar cells with vertically aligned TiO2 nanowire arrays grown on carbon fibers.

    PubMed

    Cai, Xin; Wu, Hongwei; Hou, Shaocong; Peng, Ming; Yu, Xiao; Zou, Dechun

    2014-02-01

    One-dimensional semiconductor TiO2 nanowires (TNWs) have received widespread attention from solar cell and related optoelectronics scientists. The controllable synthesis of ordered TNW arrays on arbitrary substrates would benefit both fundamental research and practical applications. Herein, vertically aligned TNW arrays in situ grown on carbon fiber (CF) substrates through a facile, controllable, and seed-assisted thermal process is presented. Also, hierarchical TiO2 -nanoparticle/TNW arrays were prepared that favor both the dye loading and depressed charge recombination of the CF/TNW photoanode. An impressive conversion efficiency of 2.48 % (under air mass 1.5 global illumination) and an apparent efficiency of 4.18 % (with a diffuse board) due to the 3D light harvesting of the wire solar cell were achieved. Moreover, efficient and inexpensive wire solar cells made from all-CF electrodes and completely flexible CF-based wire solar cells were demonstrated, taking into account actual application requirements. This work may provide an intriguing avenue for the pursuit of lightweight, cost-effective, and high-performance flexible/wearable solar cells. Copyright © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Highly aligned arrays of high aspect ratio barium titanate nanowires via hydrothermal synthesis

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bowland, Christopher C.; Zhou, Zhi; Malakooti, Mohammad H.

    2015-06-01

    We report on the development of a hydrothermal synthesis procedure that results in the growth of highly aligned arrays of high aspect ratio barium titanate nanowires. Using a multiple step, scalable hydrothermal reaction, a textured titanium dioxide film is deposited on titanium foil upon which highly aligned nanowires are grown via homoepitaxy and converted to barium titanate. Scanning electron microscope images clearly illustrate the effect the textured film has on the degree of orientation of the nanowires. The alignment of nanowires is quantified by calculating the Herman's Orientation Factor, which reveals a 58% improvement in orientation as compared to growthmore » in the absence of the textured film. The ferroelectric properties of barium titanate combined with the development of this scalable growth procedure provide a powerful route towards increasing the efficiency and performance of nanowire-based devices in future real-world applications such as sensing and power harvesting.« less

  20. Surface properties of anatase TiO2 nanowire films grown from a fluoride-containing solution.

    PubMed

    Berger, Thomas; Anta, Juan A; Morales-Flórez, Víctor

    2013-06-03

    Controlling the surface chemistry of nucleating seeds during wet-chemical synthesis allows for the preparation of morphologically well-defined nanostructures. Synthesis conditions play a key role in the surface properties, which directly affect the functional properties of the material. Therefore, it is important to establish post-synthesis treatments to facilitate the optimization of surface properties with respect to a specific application, without losing the morphological peculiarity of the nanostructure. We studied the surface properties of highly crystalline and porous anatase TiO2 nanowire (NW) electrodes, grown by chemical-bath deposition in fluoride-containing solutions, using a combined electrochemical and spectroscopic approach. As-deposited films showed low capacity for catechol adsorption and a poor photoelectrocatalytic activity for water oxidation. Mild thermal annealing at 200 °C resulted in a significant improvement of the electrode photoelectrocatalytic activity, whereas the bulk properties of the NWs (crystal structure, band-gap energy) remained unchanged. Enhancement of the functional properties of the material is discussed on the basis of adsorption capacity and electronic properties. The temperature-induced decrease of recombination centers, along with the concomitant increase of adsorption and reaction sites upon thermal annealing are called to be responsible for such improved performance. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  1. Growth and Characterization of Chalcogenide Alloy Nanowires with Controlled Spatial Composition Variation for Optoelectronic Applications

    NASA Astrophysics Data System (ADS)

    Nichols, Patricia

    The energy band gap of a semiconductor material critically influences the operating wavelength of an optoelectronic device. Realization of any desired band gap, or even spatially graded band gaps, is important for applications such as lasers, light-emitting diodes (LEDs), solar cells, and detectors. Compared to thin films, nanowires offer greater flexibility for achieving a variety of alloy compositions. Furthermore, the nanowire geometry permits simultaneous incorporation of a wide range of compositions on a single substrate. Such controllable alloy composition variation can be realized either within an individual nanowire or between distinct nanowires across a substrate. This dissertation explores the control of spatial composition variation in ternary alloy nanowires. Nanowires were grown by the vapor-liquid-solid (VLS) mechanism using chemical vapor deposition (CVD). The gas-phase supersaturation was considered in order to optimize the deposition morphology. Composition and structure were characterized by scanning electron microscopy (SEM), transmission electron microscopy (TEM), energy dispersive x-ray spectroscopy (EDS), and x-ray diffraction (XRD). Optical properties were investigated through photoluminescence (PL) measurements. The chalcogenides selected as alloy endpoints were lead sulfide (PbS), cadmium sulfide (CdS), and cadmium selenide (CdSe). Three growth modes of PbS were identified, which included contributions from spontaneously generated catalyst. The resulting wires were found capable of lasing with wavelengths over 4000 nm, representing the longest known wavelength from a sub-wavelength wire. For CdxPb1-xS nanowires, it was established that the cooling process significantly affects the alloy composition and structure. Quenching was critical to retain metastable alloys with x up to 0.14, representing a new composition in nanowire form. Alternatively, gradual cooling caused phase segregation, which created heterostructures with light emission in

  2. Excitation and De-Excitation Mechanisms of Er-Doped GaAs and A1GaAs.

    DTIC Science & Technology

    1992-12-01

    AD-A258 814 EXCITATION AND DE -EXCITATION MECHANISMS OF Er-DOPED GaAs AND A1GaAs DISSERTATION David W. Elsaesser, Captain, USAF DTICY. ft £ICTE’’ )AN...0 8 1993U -o Wo- .%Approved for public release; Distribution unlimited 93 1 04 022 AFIT/DS/ENP/92-5 EXCITATION AND DE -EXCITATION MECHANISMS OF Er...public release; Distribution unlimited AFIT/DS/ENP/92D-005 EXCITATION AND DE -EXCITATION MECHANISMS OF Er-DOPED GaAs AND A1GaAs 4 toFlor -- David W

  3. p-type zinc-blende GaN on GaAs substrates

    NASA Astrophysics Data System (ADS)

    Lin, M. E.; Xue, G.; Zhou, G. L.; Greene, J. E.; Morkoç, H.

    1993-08-01

    We report p-type cubic GaN. The Mg-doped layers were grown on vicinal (100) GaAs substrates by plasma-enhanced molecular beam epitaxy. Thermally sublimed Mg was, with N2 carrier gas, fed into an electron-cyclotron resonance source. p-type zinc-blende-structure GaN films were achieved with hole mobilities as high as 39 cm2/V s at room temperature. The cubic nature of the films were confirmed by x-ray diffractometry. The depth profile of Mg was investigated by secondary ions mass spectroscopy.

  4. Determination of carrier concentration and compensation microprofiles in GaAs

    NASA Technical Reports Server (NTRS)

    Jastrzebski, L.; Lagowski, J.; Walukiewicz, W.; Gatos, H. C.

    1980-01-01

    Simultaneous microprofiling of semiconductor free carrier, donor, and acceptor concentrations was achieved for the first time from the absolute value of the free carrier absorption coefficient and its wavelength dependence determined by IR absorption in a scanning mode. Employing Ge- and Si-doped melt-grown GaAs, striking differences were found between the variations of electron concentration and those of ionized impurity concentrations. These results showed clearly that the electronic characteristics of this material are controlled by amphoteric doping and deviations from stoichiometry rather than by impurity segregation.

  5. EL2 and related defects in GaAs - Challenges and pitfalls

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.; Lagowski, J.

    1985-01-01

    The incorporation process of nonequilibrium vacancies in melt-grown GaAs is strongly complicated by deviations from stoichiometry, and the presence of two sublattices. Many of the microdefects originating in these vacancies and their interactions introduce energy levels (shallow and deep) within the energy gap. The direct identification of the chemical or structural signature of these defects and its direct correlation to their electronic behavior is not generally possible. It is therefore necessary to rely on indirect methods and phenomenological models and be confronted with the associated pitfalls. EL2, a microdefect introducing a deep donor level, has been in the limelight in recent years because it is believed to be responsible for the semi-insulating behavior of undoped GaAs. Although much progress has been made towards understanding its origin and nature, some relevant questions remain unanswered. An attempt is made to assess the present status of understanding of EL2 in the light of the most recent results.

  6. GaAs Computer Technology

    DTIC Science & Technology

    1992-01-07

    AD-A259 259 FASTC-ID FOREIGN AEROSPACE SCIENCE AND TECHNOLOGY CENTER GaAs COMPUTER TECHNOLOGY (1) by Wang Qiao-yu 93-00999 Distrir bution t,,,Nm ted...FASTC- ID(RS)T-0310-92 HUMAN TRANSLATION FASTC-ID(RS)T-0310-92 7 January 1993 GaAs COMPUTER TECHNOLOGY (1) By: Wang Qiao-yu English pages: 6 Source...the best quality copy available. j C] " ------ GaAs Computer Technology (1) Wang Qiao-yu (Li-Shan Microelectronics Institute) Abstract: The paper

  7. Fabrication and characterization of multi-layer InAs/InGaAs quantum dot p-i-n GaAs solar cells grown on silicon substrates

    NASA Astrophysics Data System (ADS)

    Omri, M.; Sayari, A.; Sfaxi, L.

    2018-01-01

    This paper reports on InAs/InGaAs quantum dot solar cells (QDSCs) deposited by molecular beam epitaxy (MBE) on (001) n-type silicon ( n-Si) substrates. In-situ RHEED measurements show that InAs/InGaAs QDs SC has a high crystalline structure. The dislocation density in the active layer of the InAs/InGaAs QDSC and the lattice mismatch in the GaAs layer can be reduced by using an Si rough surface buffer layer (RSi). To show the effect of the QD layers, a reference SC with the same p-i-n structure as the InAs/InGaAs QDSC, but without InAs QDs, is also grown. The two SCs were studied by sepectroscopic ellipsometry (SE), in the 1-6 eV photon energy range, photoluminescence and photocurrent measurements. The optical constants of the two devices are determined in the photon energy range 1-6 eV from the SE data. The dominant features in the dielectric function spectra at 3 and 4.5 eV are attributed, respectively, to the E 1 and E 2 critical point structures of GaAs and InAs. The low-temperature photoluminescence spectrum of the InAs/InGaAs QDSC shows ground-state emissions, respectively, from the relatively small QDs near 1081 nm and from the large QDs near 1126 nm. Photocurrent measurements confirm the improved absorption performance (up to 1200 nm) of the InAs QDs SC which is ascribed to the optical absorption from the InAs/InGaAs QDs and the Si substrate as demonstrated by SE and photoluminescence measurements.

  8. Synthesis and characterization of group IV semiconductor nanowires by vapor-liquid-solid growth

    NASA Astrophysics Data System (ADS)

    Lew, Kok-Keong

    There is currently intense interest in one-dimensional nanostructures, such as nanotubes and nanowires, due to their potential to test fundamental concepts of dimensionality and to serve as building blocks for nanoscale devices. Vapor-liquid-solid (VLS) growth, which is one of the most common fabrication methods, has been used to produce single crystal semiconductor nanowires such as silicon (Si), germanium (Ge), and gallium arsenide (GaAs). In the VLS growth of Group IV semiconductor nanowires, a metal, such as gold (Au) is used as a catalyst agent to nucleate whisker growth from a Si-containing (silane (SIH4)) or Ge-containing vapor (germane (GeH 4)). Au and Si/Ge form a liquid alloy that has a eutectic temperature of around 360°C, which, upon supersaturation, nucleates the growth of a Si or Ge wire. The goal of this work is to develop a more fundamental understanding of VLS growth kinetics and intentional doping of Group IV semiconductor nanowires in order to better control the properties of the nanowires. The fabrication of p-type and n-type Si nanowires will be studied via the addition of dopant gases such as diborane (B2H 6), trimethylboron (TMB), and phosphine (PH3) during growth. The use of gaseous dopant sources provides more flexibility in growth, particularly for the fabrication of p-n junctions and structures with axial dopant variations (e.g. p+-p- p+). The study is then extended to fabricate SiGe alloy nanowires by mixing SiH4 and GeH4. Bandgap engineering in Si/SiGe heterostructures can lead to novel devices with improved performance compared to those made entirely of Si. The scientific findings will lead to a better understanding of the fabrication of Si/SiGe axial and radial heterostructure nanowires for functional nanowire device structures, such as heterojunction bipolar transistors (HBTs) and high electron mobility transistors (HEMTs). Eventually, the central theme of this research is to provide a scientific knowledge base and foundation for

  9. Fabrication of superconducting nanowires from ultrathin MgB2 films via focused ion beam milling

    NASA Astrophysics Data System (ADS)

    Zhang, Chen; Wang, Da; Liu, Zheng-Hao; Zhang, Yan; Ma, Ping; Feng, Qing-Rong; Wang, Yue; Gan, Zi-Zhao

    2015-02-01

    High quality superconducting nanowires were fabricated from ultrathin MgB2 films by a focused ion beam milling technique. The precursor MgB2 films in 10 nm thick were grown on MgO substrates by using a hybrid physical-chemical vapor deposition method. The nanowires, in widths of about 300-600 nm and lengths of 1 or 10 μm, showed high superconducting critical temperatures (Tc's) above 34 K and narrow superconducting transition widths (ΔTc's) of 1-3 K. The superconducting critical current density Jc of the nanowires was above 5 × 107 A/cm2 at 20 K. The high Tc, narrow ΔTc, and high Jc of the nanowires offered the possibility of making MgB2-based nano-devices such as hot-electron bolometers and superconducting nanowire single-photon detectors with high operating temperatures at 15-20 K.

  10. GaAs shallow-homojunction solar cells

    NASA Technical Reports Server (NTRS)

    Fan, J. C. C.

    1981-01-01

    The feasibility of fabricating space resistant, high efficiency, light weight, low cost GaAs shallow homojunction solar cells for space application is investigated. The material preparation of ultrathin GaAs single crystal layers, and the fabrication of efficient GaAs solar cells on bulk GaAs substrates are discussed. Considerable progress was made in both areas, and conversion efficiency about 16% AMO was obtained using anodic oxide as a single layer antireflection coating. A computer design shows that even better cells can be obtained with double layer antireflection coating. Ultrathin, high efficiency solar cells were obtained from GaAs films prepared by the CLEFT process, with conversion efficiency as high as 17% at AMI from a 10 micrometers thick GaAs film. A organometallic CVD was designed and constructed.

  11. Flexible White Light Emitting Diodes Based on Nitride Nanowires and Nanophosphors

    PubMed Central

    2016-01-01

    We report the first demonstration of flexible white phosphor-converted light emitting diodes (LEDs) based on p–n junction core/shell nitride nanowires. GaN nanowires containing seven radial In0.2Ga0.8N/GaN quantum wells were grown by metal–organic chemical vapor deposition on a sapphire substrate by a catalyst-free approach. To fabricate the flexible LED, the nanowires are embedded into a phosphor-doped polymer matrix, peeled off from the growth substrate, and contacted using a flexible and transparent silver nanowire mesh. The electroluminescence of a flexible device presents a cool-white color with a spectral distribution covering a broad spectral range from 400 to 700 nm. Mechanical bending stress down to a curvature radius of 5 mm does not yield any degradation of the LED performance. The maximal measured external quantum efficiency of the white LED is 9.3%, and the wall plug efficiency is 2.4%. PMID:27331079

  12. Thermoelectric Properties of Topological Crystalline Insulator Nanowires

    NASA Astrophysics Data System (ADS)

    Xu, Enzhi

    Bulk lead telluride (PbTe) and its alloy compounds are well-known thermoelectric materials for electric power generation. Tin telluride (SnTe) which has the same rock-salt crystalline structure as PbTe has recently been demonstrated to host unique topological surface states that may favor improved thermoelectric properties. In this thesis work, we studied the thermoelectric properties of single-crystalline nanowires of the SnTe family compounds, i.e. undoped SnTe, PbTe, (Sn,Pb)Te alloy, and In-doped SnTe, all of which were grown by a vapor transport approach. We measured the thermopower S, electrical conductivity sigma and thermal conductivity kappa on each individual nanowire over a temperature range of 25 - 300 K, from which the thermoelectric figures of merit ZTs were determined. In comparison to PbTe nanowires, SnTe and (Sn,Pb)Te has lower thermopower but significantly higher electrical conductivity. Both SnTe and (Sn,Pb)Te nanowires showed enhanced thermopower and suppressed thermal conductivity, compared to their bulk counterparts. The enhancement of thermopower may result from the existence of topological surface states, while the suppression of thermal conductivity may relate to the increased phonon-surface scattering in nanowires. Moreover, indium doping suppresses both electrical and thermal conductivities but enhances thermopower, yielding an improved figure of merit ZT. Our results highlight nanostructuring in combination with alloying or doping as an important approach to enhancing thermoelectric properties. In spite of excellent thermoelectric properties and robust topological surface states, we found that the nanowire surface is subject to fast oxidation. In particular, we demonstrated that exposure of In-doped SnTe nanowires to air leads to surface oxidation within only one minute. Transmission electron microscopy characterization suggests the amorphous nature of the surface, and X-ray photoelectron spectroscopy studies identify the oxide species on

  13. Low-temperature growth of highly crystalline β-Ga2O3 nanowires by solid-source chemical vapor deposition

    PubMed Central

    2014-01-01

    Growing Ga2O3 dielectric materials at a moderately low temperature is important for the further development of high-mobility III-V semiconductor-based nanoelectronics. Here, β-Ga2O3 nanowires are successfully synthesized at a relatively low temperature of 610°C by solid-source chemical vapor deposition employing GaAs powders as the source material, which is in a distinct contrast to the typical synthesis temperature of above 1,000°C as reported by other methods. In this work, the prepared β-Ga2O3 nanowires are mainly composed of Ga and O elements with an atomic ratio of approximately 2:3. Importantly, they are highly crystalline in the monoclinic structure with varied growth orientations in low-index planes. The bandgap of the β-Ga2O3 nanowires is determined to be 251 nm (approximately 4.94 eV), in good accordance with the literature. Also, electrical characterization reveals that the individual nanowire has a resistivity of up to 8.5 × 107 Ω cm, when fabricated in the configuration of parallel arrays, further indicating the promise of growing these highly insulating Ga2O3 materials in this III-V nanowire-compatible growth condition. PACS 77.55.D; 61.46.Km; 78.40.Fy PMID:25114641

  14. Low-temperature growth of highly crystalline β-Ga2O3 nanowires by solid-source chemical vapor deposition.

    PubMed

    Han, Ning; Wang, Fengyun; Yang, Zaixing; Yip, SenPo; Dong, Guofa; Lin, Hao; Fang, Ming; Hung, TakFu; Ho, Johnny C

    2014-01-01

    Growing Ga2O3 dielectric materials at a moderately low temperature is important for the further development of high-mobility III-V semiconductor-based nanoelectronics. Here, β-Ga2O3 nanowires are successfully synthesized at a relatively low temperature of 610°C by solid-source chemical vapor deposition employing GaAs powders as the source material, which is in a distinct contrast to the typical synthesis temperature of above 1,000°C as reported by other methods. In this work, the prepared β-Ga2O3 nanowires are mainly composed of Ga and O elements with an atomic ratio of approximately 2:3. Importantly, they are highly crystalline in the monoclinic structure with varied growth orientations in low-index planes. The bandgap of the β-Ga2O3 nanowires is determined to be 251 nm (approximately 4.94 eV), in good accordance with the literature. Also, electrical characterization reveals that the individual nanowire has a resistivity of up to 8.5 × 10(7) Ω cm, when fabricated in the configuration of parallel arrays, further indicating the promise of growing these highly insulating Ga2O3 materials in this III-V nanowire-compatible growth condition. 77.55.D; 61.46.Km; 78.40.Fy.

  15. InGaN/GaN dot-in-nanowire monolithic LEDs and lasers on (001) silicon

    NASA Astrophysics Data System (ADS)

    Bhattacharya, P.; Hazari, A.; Jahangir, S.

    2017-02-01

    GaN-based nanowire arrays have been grown on (001)Si substrate by plasma-assisted molecular beam epitaxy and their structural and optical properties have been determined. InxGa1-xN disks inserted in the nanowires behave as quantum dots with emission ranging from visible to near-infrared. We have exploited these nanowire heterostructure arrays to realize light-emitting diodes and diode lasers in which the quantum dots form the active light emitting media. The fabrication and characteristics of 630nm light-emitting diodes and 1.3μm edge-emitting diode lasers are described.

  16. Current-induced three-dimensional domain wall propagation in cylindrical NiFe nanowires

    NASA Astrophysics Data System (ADS)

    Wong, D. W.; Purnama, I.; Lim, G. J.; Gan, W. L.; Murapaka, C.; Lew, W. S.

    2016-04-01

    We report on the magnetization configurations in single NiFe cylindrical nanowires grown by template-assisted electrodeposition. Angular anisotropic magnetoresistance measurements reveal that a three-dimensional helical domain wall is formed naturally upon relaxation from a saturated state. Micromagnetic simulations support the helical domain wall properties and its reversal process, which involves a splitting of the clockwise and anticlockwise vortices. When a pulsed current is applied to the nanowire, the helical domain wall propagation is observed with a minimum current density needed to overcome its intrinsic pinning.

  17. Electrical properties of fluorine-doped ZnO nanowires formed by biased plasma treatment

    NASA Astrophysics Data System (ADS)

    Wang, Ying; Chen, Yicong; Song, Xiaomeng; Zhang, Zhipeng; She, Juncong; Deng, Shaozhi; Xu, Ningsheng; Chen, Jun

    2018-05-01

    Doping is an effective method for tuning electrical properties of zinc oxide nanowires, which are used in nanoelectronic devices. Here, ZnO nanowires were prepared by a thermal oxidation method. Fluorine doping was achieved by a biased plasma treatment, with bias voltages of 100, 200, and 300 V. Transmission electron microscopy indicated that the nanowires treated at bias voltages of 100 and 200 V featured low crystallinity. When the bias voltage was 300 V, the nanowires showed single crystalline structures. Photoluminescence measurements revealed that concentrations of oxygen and surface defects decreased at high bias voltage. X-ray photoelectron spectroscopy suggested that the F content increased as the bias voltage was increased. The conductivity of the as-grown nanowires was less than 103 S/m; the conductivity of the treated nanowires ranged from 1 × 104-5 × 104, 1 × 104-1 × 105, and 1 × 103-2 × 104 S/m for bias voltage treatments at 100, 200, and 300 V, respectively. The conductivity improvements of nanowires formed at bias voltages of 100 and 200 V, were attributed to F-doping, defects and surface states. The conductivity of nanowires treated at 300 V was attributed to the presence of F ions. Thus, we provide a method of improving electrical properties of ZnO nanowires without altering their crystal structure.

  18. Direct synthesis of vertically aligned ZnO nanowires on FTO substrates using a CVD method and the improvement of photovoltaic performance

    PubMed Central

    2012-01-01

    In this work, we report a direct synthesis of vertically aligned ZnO nanowires on fluorine-doped tin oxide-coated substrates using the chemical vapor deposition (CVD) method. ZnO nanowires with a length of more than 30 μm were synthesized, and dye-sensitized solar cells (DSSCs) based on the as-grown nanowires were fabricated, which showed improvement of the device performance compared to those fabricated using transferred ZnO nanowires. Dependence of the cell performance on nanowire length and annealing temperature was also examined. This synthesis method provided a straightforward, one-step CVD process to grow relatively long ZnO nanowires and avoided subsequent nanowire transfer process, which simplified DSSC fabrication and improved cell performance. PMID:22673046

  19. Conductivity and structure of ErAs nanoparticles embedded in GaAs pn junctions analyzed via conductive atomic force microscopy

    NASA Astrophysics Data System (ADS)

    Park, K. W.; Dasika, V. D.; Nair, H. P.; Crook, A. M.; Bank, S. R.; Yu, E. T.

    2012-06-01

    We have used conductive atomic force microscopy to investigate the influence of growth temperature on local current flow in GaAs pn junctions with embedded ErAs nanoparticles grown by molecular beam epitaxy. Three sets of samples, one with 1 ML ErAs deposited at different growth temperatures and two grown at 530 °C and 575 °C with varying ErAs depositions, were characterized. Statistical analysis of local current images suggests that the structures grown at 575 °C have about 3 times thicker ErAs nanoparticles than structures grown at 530 °C, resulting in degradation of conductivity due to reduced ErAs coverage. These findings explain previous studies of macroscopic tunnel junctions.

  20. Relevance of GaAs(001) surface electronic structure for high frequency dispersion on n-type accumulation capacitance

    NASA Astrophysics Data System (ADS)

    Pi, T. W.; Chen, W. S.; Lin, Y. H.; Cheng, Y. T.; Wei, G. J.; Lin, K. Y.; Cheng, C.-P.; Kwo, J.; Hong, M.

    2017-01-01

    This study investigates the origin of long-puzzled high frequency dispersion on the accumulation region of capacitance-voltage characteristics in an n-type GaAs-based metal-oxide-semiconductor. Probed adatoms with a high Pauling electronegativity, Ag and Au, unexpectedly donate charge to the contacted As/Ga atoms of as-grown α2 GaAs(001)-2 × 4 surfaces. The GaAs surface atoms behave as charge acceptors, and if not properly passivated, they would trap those electrons accumulated at the oxide and semiconductor interface under a positive bias. The exemplified core-level spectra of the Al2O3/n-GaAs(001)-2 × 4 and the Al2O3/n-GaAs(001)-4 × 6 interfaces exhibit remnant of pristine surface As emission, thereby causing high frequency dispersion in the accumulation region. For the p-type GaAs, electrons under a negatively biased condition are expelled from the interface, thereby avoiding becoming trapped.

  1. FIB-tomographic studies on chemical vapor deposition grown SnO2 nanowire arrays on TiO2 (001)

    NASA Astrophysics Data System (ADS)

    Chen, Haoyun; Liu, Yi; Wu, Hong; Xiong, Xiang; Pan, Jun

    2016-12-01

    Tin oxide nanowire arrays on titania (001) have been successfully fabricated by chemical vapor deposition of Sn(O t Bu)4 precursor. The morphologies and structures of ordered SnO2 nanowires (NWs) were analyzed by cross-sectional SEM, HR-TEM and AFM. An FIB-tomography technique was applied in order to reconstruct a 3D presentation of ordered SnO2 nanowires. The achieved 3D analysis showed the spatial orientation and angles of ordered SnO2 NWs can be obtained in a one-shot experiment, and the distribution of Au catalysts showed the competition between 1D and 2D growth. The SnO2 nanowire arrays can be potentially used as a diameter- and surface-dependent sensing unit for the detection of gas- and bio-molecules.

  2. ZnO nanowires: Synthesis and charge transfer mechanism in the detection of ammonia vapour

    NASA Astrophysics Data System (ADS)

    Nancy Anna Anasthasiya, A.; Ramya, S.; Rai, P. K.; Jeyaprakash, B. G.

    2018-01-01

    ZnO nanowires with hexagonal wurtzite structure were grown on the glass substrate using Successive Ionic Layer Adsorption and Reaction (SILAR) method. Both experimental and theoretical studies demonstrated that NH3 chemisorbed and transferred the charge to the surface of the nanowire via its nitrogen site to the zinc site of ZnO nanowires, leading to the detection of NH3 vapour. The adsorbed ammonia dissociated into NH2 and H due to steric repulsion, and then into N2 and H2 gas. The formation of the N2 gas during the desorption process confirmed by observing peak at 14 and 28 m/z in the GC-MS spectrum.

  3. All MBE grown InAs/GaAs quantum dot lasers on on-axis Si (001).

    PubMed

    Kwoen, Jinkwan; Jang, Bongyong; Lee, Joohang; Kageyama, Takeo; Watanabe, Katsuyuki; Arakawa, Yasuhiko

    2018-04-30

    Directly grown III-V quantum dot (QD) laser on on-axis Si (001) is a good candidate for achieving monolithically integrated Si photonics light source. Nowadays, laser structures containing high quality InAs / GaAs QD are generally grown by molecular beam epitaxy (MBE). However, the buffer layer between the on-axis Si (001) substrate and the laser structure are usually grown by metal-organic chemical vapor deposition (MOCVD). In this paper, we demonstrate all MBE grown high-quality InAs/GaAs QD lasers on on-axis Si (001) substrates without using patterning and intermediate layers of foreign material.

  4. Field electron extraction from surface modified Cd(OH)2 nanowires

    NASA Astrophysics Data System (ADS)

    Bagal, Vivekanand S.; Patil, Girish P.; Jadhav, Chandradip; Sharma, Malvika; Shivhare, Sugam; Chavan, Padmakar G.

    2018-04-01

    The Cd(OH)2 nanowires were grown on Silicon(Si) substrate by simple chemical bath deposition technique and gold(Au) nanoparticles were decorated on surface of the Cd(OH)2 nanowiresby sputtering method. Detail characterization such as morphological and structural analysis of Au/Cd(OH)2 nanowires has been carried out using Field Emission Scanning Electron Microscope and X-ray Diffraction. Low turn-on field of 0.75 V/μm was found forthe emission current density of 10 µA/cm2 and high currentdensity of 1.478mA/cm2 was drawn at an applied field of 1.6 V/μm from Au/Cd(OH)2 nanowires, observed low turn-on field was found superior to other metal nanoparticles decorated semiconducting nanostructures reported in the literature. Also the field emission current stability for the preset value of 10 µA over the period of 3 hr is found to be good. To the best of our knowledge, this is the first report on the synthesis and field emission studies Au/Cd(OH)2 nanowires.

  5. Materials Research Society Symposium Proceedings Held in Boston, Massachusetts on 4-6 December 1991. Low Temperature (LT) GaAs and Related Materials. Volume 241.

    DTIC Science & Technology

    1992-09-14

    AIGaAs-GaAs QUANTUM WELLS GROWN ON LOW TEMPERATURE GaAs 239 Y. Hwang, D. Zhang, T. Zhang, M. Mytych, and R.M. Kolbas MOLECULAR BEAM EPITAXY OF LOW...GaA/ quantum wells : 24i howvever, in our case. AIks layers were not introduced. Formation (if these rows is most prolf,.+l influenced hb the diffusimon...regions. Preliminary investigations into this method have been performed using GaAs quantum wells between thick AIGaAs barriers as shown in Fig. 7. This

  6. EDITORIAL: Nanowires for energy Nanowires for energy

    NASA Astrophysics Data System (ADS)

    LaPierre, Ray; Sunkara, Mahendra

    2012-05-01

    dominant lighting technology due to its superior electrical to optical conversion efficiency. A unique LED structure based on CdS is presented by Ye et al [8]. A detailed study by Nguyen et al [9] provides a fundamental understanding of the non-radiative recombination mechanisms in GaN-based white light emitting nanowire diodes grown on Si substrates. Another application of III-nitrides is in photovoltaic devices (solar cells) [10]. InGaN is the only semiconductor alloy whose energy bandgap can be continuously varied across nearly the entire solar spectrum, promising a new generation of solar cells. Another potentially important application for nanowires is the efficient production of H2 from the photocatalytic splitting of water, where the H2 can be used as an energy carrier. Water splitting based on unique nanostructures include Fe2O3 [11], CuS/ZnO [12], and ZnO/Si [13]. Another candidate for photocatalysis, among other applications, is copper oxide nanowires, reviewed by Gregor et al [14]. References [1] Hiralal P, Unalan H E and Amaratunga G A J 2012 Nanotechnology 23 194002 [2] Li J, Yu H and Li Y 2012 Nanotechnology 23 194010 [3] Wang B and Leu P W 2012 Nanotechnology 23 194003 [4] Yu L, O'Donnell B, Foldyna M, and Roca i Cabarrocas P 2012 Nanotechnology 23 194011 [5] Zhang F, Song T and Sun B 2012 Nanotechnology 23 194006 [6] Herman I, Yeo J, Hong S, Lee D, Nam K H, Choi J, Hong W, Lee D, Grigoropoulos C P and Ko S H 2012 Nanotechnology 23 194005 [7] Calestani D, Pattini F, Bissoli F, Gilioli E, Villani M and Zappettini A 2012 Nanotechnology 23 194008 [8] Ye Y, Yu B, Gao Z, Mang H, Zhang H, Dai L and Qin G 2012 Nanotechnology 23 194004 [9] Nguyen H P T, Djavid M, Cui K and Mi Z 2012 Nanotechnology 23 194012 [10] Wierer J J Jr, Li Q, Koleske D D, Lee S R L and Wang G T 2012 Nanotechnology 23 194007 [11] Chernomordik B D, Russell H B, Cvelbar U, Jasinski J B, Kumar V, Deutsch T and Sunkara M K 2012 Nanotechnology 23 194009 [12] Lee M and Yong K 2012 Nanotechnology 23

  7. Enhanced optical properties due to indium incorporation in zinc oxide nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Farid, S.; Mukherjee, S.; Sarkar, K.

    Indium-doped zinc oxide nanowires grown by vapor-liquid-solid technique with 1.6 at. % indium content show intense room temperature photoluminescence (PL) that is red shifted to 20 meV from band edge. We report on a combination of nanowires and nanobelts-like structures with enhanced optical properties after indium doping. The near band edge emission shift gives an estimate for the carrier density as high as 5.5 × 10{sup 19 }cm{sup −3} for doped nanowires according to Mott's critical density theory. Quenching of the visible green peak is seen for doped nanostructures indicating lesser oxygen vacancies and improved quality. PL and transmission electron microscopy measurementsmore » confirm indium doping into the ZnO lattice, whereas temperature dependent PL data give an estimation of the donor and acceptor binding energies that agrees well with indium doped nanowires. This provides a non-destructive technique to estimate doping for 1D structures as compared to the traditional FET approach. Furthermore, these indium doped nanowires can be a potential candidate for transparent conducting oxides applications and spintronic devices with controlled growth mechanism.« less

  8. Epitaxy of semiconductor-superconductor nanowires

    NASA Astrophysics Data System (ADS)

    Krogstrup, P.; Ziino, N. L. B.; Chang, W.; Albrecht, S. M.; Madsen, M. H.; Johnson, E.; Nygård, J.; Marcus, C. M.; Jespersen, T. S.

    2015-04-01

    Controlling the properties of semiconductor/metal interfaces is a powerful method for designing functionality and improving the performance of electrical devices. Recently semiconductor/superconductor hybrids have appeared as an important example where the atomic scale uniformity of the interface plays a key role in determining the quality of the induced superconducting gap. Here we present epitaxial growth of semiconductor-metal core-shell nanowires by molecular beam epitaxy, a method that provides a conceptually new route to controlled electrical contacting of nanostructures and the design of devices for specialized applications such as topological and gate-controlled superconducting electronics. Our materials of choice, InAs/Al grown with epitaxially matched single-plane interfaces, and alternative semiconductor/metal combinations allowing epitaxial interface matching in nanowires are discussed. We formulate the grain growth kinetics of the metal phase in general terms of continuum parameters and bicrystal symmetries. The method realizes the ultimate limit of uniform interfaces and seems to solve the soft-gap problem in superconducting hybrid structures.

  9. Raman scattering studies of strain effects in (100) and (311)B GaAs1-xBix epitaxial layers

    NASA Astrophysics Data System (ADS)

    Steele, J. A.; Lewis, R. A.; Henini, M.; Lemine, O. M.; Alkaoud, A.

    2013-11-01

    We report room-temperature Raman studies of strained (100) and (311)B GaAs1-xBix epitaxial layers for x ≤ 0.039. The Raman spectra exhibit a two-mode behavior, as well as disorder-activated GaAs-like phonons. The experimental results show that the GaAs-like LO(Γ) mode experiences a strong composition-dependent redshift as a result of alloying. The peak frequency decreases linearly from the value for pure GaAs (˜293 cm-1) with the alloyed Bi fraction x and the introduced in-plane lattice strain ɛ∥, by ΔωLO=Δωalloy-Δωstrain. X-ray diffraction measurements are used to determine x and ɛ∥ allowing Δωalloy to be decoupled and is estimated to be -12(±4) cm-1/x for (100) GaAs1-xBix. ΔωLO is measured to be roughly double for samples grown on (311)B-oriented substrates to that of (100) GaAs. This large difference in redshift is accounted for by examining the Bi induced strain, effects from alloying, and defects formed during high-index (311)B crystal growth.

  10. A comparative study of the influence of buoyancy driven fluid flow on GaAs crystal growth

    NASA Technical Reports Server (NTRS)

    Kafalas, J. A.; Bellows, A. H.

    1988-01-01

    A systematic investigation of the effect of gravity driven fluid flow on GaAs crystal growth was performed. It includes GaAs crystal growth in the microgravity environment aboard the Space Shuttle. The program involves a controlled comparative study of crystal growth under a variety of earth based conditions with variable orientation and applied magnetic field in addition to the microgravity growth. Earth based growth will be performed under stabilizing as well as destabilizing temperature gradients. The boules grown in space and on earth will be fully characterized to correlate the degree of convection with the distribution of impurities. Both macro- and micro-segregation will be determined. The space growth experiment will be flown in a self-contained payload container through NASA's Get Away Special program.

  11. On the difficulties in characterizing ZnO nanowires.

    PubMed

    Schlenker, E; Bakin, A; Weimann, T; Hinze, P; Weber, D H; Gölzhäuser, A; Wehmann, H-H; Waag, A

    2008-09-10

    The electrical properties of single ZnO nanowires grown by vapor phase transport were investigated. While some samples were contacted by Ti/Au electrodes, another set of samples was investigated using a manipulator tip in a low energy electron point-source microscope. The deduced resistivities range from 1 to 10(3) Ωcm. Additionally, the resistivities of nanowires from multiple publications were brought together and compared to the values obtained from our measurements. The overview of all data shows enormous differences (10(-3)-10(5) Ωcm) in the measured resistivities. In order to reveal the origin of the discrepancies, the influence of growth parameters, measuring methods, contact resistances, crystal structures and ambient conditions are investigated and discussed in detail.

  12. Plasma-Assisted Growth of Silicon Nanowires by Sn Catalyst: Step-by-Step Observation

    NASA Astrophysics Data System (ADS)

    Tang, Jian; Maurice, Jean-Luc; Chen, Wanghua; Misra, Soumyadeep; Foldyna, Martin; Johnson, Erik V.; Roca i Cabarrocas, Pere

    2016-10-01

    A comprehensive study of the silicon nanowire growth process has been carried out. Silicon nanowires were grown by plasma-assisted-vapor-solid method using tin as a catalyst. We have focused on the evolution of the silicon nanowire density, morphology, and crystallinity. For the first time, the initial growth stage, which determines the nanowire (NW) density and growth direction, has been observed step by step. We provide direct evidence of the merging of Sn catalyst droplets and the formation of Si nanowires during the first 10 s of growth. We found that the density of Sn droplets decreases from 9000 Sn droplets/μm2 to 2000 droplets/μm2 after just 10 s of growth. Moreover, the long and straight nanowire density decreases from 170/μm2 after 2 min of growth to less than 10/μm2 after 90 min. This strong reduction in nanowire density is accompanied by an evolution of their morphology from cylindrical to conical, then to bend conical, and finally, to a bend inverted conical shape. Moreover, the changes in the crystalline structure of nanowires are from (i) monocrystalline to (ii) monocrystalline core/defective crystalline shell and then to (iii) monocrystalline core/defective crystalline shell/amorphous shell. The evolutions of NW properties have been explained in detail.

  13. Coaxial metal-silicide Ni2Si/C54-TiSi2 nanowires.

    PubMed

    Chen, Chih-Yen; Lin, Yu-Kai; Hsu, Chia-Wei; Wang, Chiu-Yen; Chueh, Yu-Lun; Chen, Lih-Juann; Lo, Shen-Chuan; Chou, Li-Jen

    2012-05-09

    One-dimensional metal silicide nanowires are excellent candidates for interconnect and contact materials in future integrated circuits devices. Novel core-shell Ni(2)Si/C54-TiSi(2) nanowires, 2 μm in length, were grown controllably via a solid-liquid-solid growth mechanism. Their interesting ferromagnetic behaviors and excellent electrical properties have been studied in detail. The coercivities (Hcs) of the core-shell Ni(2)Si/C54-TiSi(2) nanowires was determined to be 200 and 50 Oe at 4 and 300 K, respectively, and the resistivity was measured to be as low as 31 μΩ-cm. The shift of the hysteresis loop with the temperature in zero field cooled (ZFC) and field cooled (FC) studies was found. ZFC and FC curves converge near room temperature at 314 K. The favorable ferromagnetic and electrical properties indicate that the unique core-shell nanowires can be used in penetrative ferromagnetic devices at room temperature simultaneously as a future interconnection in integrated circuits.

  14. Nanoscale current uniformity and injection efficiency of nanowire light emitting diodes

    NASA Astrophysics Data System (ADS)

    May, Brelon J.; Selcu, Camelia M.; Sarwar, A. T. M. G.; Myers, Roberto C.

    2018-02-01

    As an alternative to light emitting diodes (LEDs) based on thin films, nanowire based LEDs are the focus of recent development efforts in solid state lighting as they offer distinct photonic advantages and enable direct integration on a variety of different substrates. However, for practical nanowire LEDs to be realized, uniform electrical injection must be achieved through large numbers of nanowire LEDs. Here, we investigate the effect of the integration of a III-Nitride polarization engineered tunnel junction (TJ) in nanowire LEDs on Si on both the overall injection efficiency and nanoscale current uniformity. By using conductive atomic force microscopy (cAFM) and current-voltage (IV) analysis, we explore the link between the nanoscale nonuniformities and the ensemble devices which consist of many diodes wired in parallel. Nanometer resolved current maps reveal that the integration of a TJ on n-Si increases the amount of current a single nanowire can pass at a given applied bias by up to an order of magnitude, with the top 10% of wires passing more than ×3.5 the current of nanowires without a TJ. This manifests at the macroscopic level as a reduction in threshold voltage by more than 3 V and an increase in differential conductance as a direct consequence of the integration of the TJ. These results show the utility of cAFM to quantitatively probe the electrical inhomogeneities in as-grown nanowire ensembles without introducing uncertainty due to additional device processing steps, opening the door to more rapid development of nanowire ensemble based photonics.

  15. Unit cell parameters of wurtzite InP nanowires determined by x-ray diffraction.

    PubMed

    Kriegner, D; Wintersberger, E; Kawaguchi, K; Wallentin, J; Borgström, M T; Stangl, J

    2011-10-21

    High resolution x-ray diffraction is used to study the structural properties of the wurtzite polytype of InP nanowires. Wurtzite InP nanowires are grown by metal-organic vapor phase epitaxy using S-doping. From the evaluation of the Bragg peak position we determine the lattice parameters of the wurtzite InP nanowires. The unit cell dimensions are found to differ from the ones expected from geometric conversion of the cubic bulk InP lattice constant. The atomic distances along the c direction are increased whereas the atomic spacing in the a direction is reduced in comparison to the corresponding distances in the zinc-blende phase. Using core/shell nanowires with a thin core and thick nominally intrinsic shells we are able to determine the lattice parameters of wurtzite InP with a negligible influence of the S-doping due to the much larger volume in the shell. The determined material properties will enable the ab initio calculation of electronic and optical properties of wurtzite InP nanowires.

  16. Emission and Dynamics of Charge Carriers in Uncoated and Organic/Metal Coated Semiconductor Nanowires

    NASA Astrophysics Data System (ADS)

    Kaveh Baghbadorani, Masoud

    In this dissertation, the dynamics of excitons in hybrid metal/organic/nanowire structures possessing nanometer thick deposited molecular and metal films on top of InP and GaAs nanowire (NW) surfaces were investigated. Optical characterizations were carried out as a function of the semiconductor NW material, design, NW size and the type and thickness of the organic material and metal used. Hybrid organic and plasmonic semiconductor nanowire heterostructures were fabricated using organic molecular beam deposition technique. I investigated the photon emission of excitons in 150 nm diameter polytype wurtzite/zincblende InP NWs and the influence of a few ten nanometer thick organic and metal films on the emission using intensity- and temperature-dependent time-integrated and time resolved (TR) photoluminescence (PL). The plasmonic NWs were coated with an Aluminum quinoline (Alq3) interlayer and magnesium-silver (Mg0.9:Ag0.1) top layer. In addition, the nonlinear optical technique of heterodyne four-wave mixing was used (in collaboration with Prof. Wolfgang Langbein, University of Cardiff) to study incoherent and coherent carrier relaxation processes on bare nanowires on a 100 femtosecond time-scale. Alq3 covered NWs reveal a stronger emission and a longer decay time of exciton transitions indicating surface state passivation at the Alq3/NW interface. Alq3/Mg:Ag NWs reveal a strong quenching of the exciton emission which is predominantly attributed to Forster energy-transfer from excitons to plasmon oscillations in the metal cluster film. Changing the Mg:Ag to gold and the organic Alq3 spacer layer to PTCDA leads to a similar behavior, but the PL quenching is strongly increased. The observed behavior is attributed to a more continuous gold deposition leading to an increased Forster energy transfer and to a metal induced band-bending. I also investigated ensembles of bare and gold/Alq3 coated GaAs-AlGaAs-GaAs core shell NWs of 130 nm diameter. Plasmonic NWs with Au

  17. A simple and transparent well-aligned ZnO nanowire array ultraviolet photodetector with high responsivity

    NASA Astrophysics Data System (ADS)

    Yin, Lei; Ding, Hesheng; Yuan, Zhaolin; Huang, Wendeng; Shuai, Chunjiang; Xiong, Zhaoxin; Deng, Jianping; Lv, Tengbo

    2018-06-01

    Well-aligned zinc oxide (ZnO) nanowire arrays were grown on an interdigital patterned fluorine tin oxide (FTO)-coated glass substrate by a facile chemical bath deposition at low temperature. Morphology, crystalline structure, and optical properties of the ZnO nanowire arrays were analyzed in detail. The results revealed that the ZnO nanowires had wurtzite structure, typically ∼40-60 nm in diameter, and ∼700-800 nm in length, a great number of highly uniform and dense nanowires grew vertically on the substrate to form the well-aligned ZnO nanowire arrays, which had very high optical transmission (>86%) in the visible light region. In addition, the performance of ZnO nanowire arrays ultraviolet (UV) photodetector was systematically examined. The photosensitivity (S), responsivity (R), response and decay time of the photodetector were 703 at +0.2 V, 113 A/W at +5 V, 23 s and 73 s respectively. Also, the photoresponse mechanism of the UV photodetector was illuminated in terms of the oxygen adsorption-photodesorption process.

  18. Analysis of twin defects in GaAs(111)B molecular beam epitaxy growth

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Park, Yeonjoon; Cich, Michael J.; Zhao, Rian

    2000-05-01

    The formation of twin is common during GaAs(111) and GaN(0001) molecular beam epitaxy (MBE) metalorganic chemical vapor deposition growth. A stacking fault in the zinc-blende (ZB)(111) direction can be described as an insertion of one monolayer of wurtzite structure, sandwiched between two ZB structures that have been rotated 60 degree sign along the growth direction. GaAs(111)A/B MBE growth within typical growth temperature regimes is complicated by the formation of pyramidal structures and 60 degree sign rotated twins, which are caused by faceting and stacking fault formation. Although previous studies have revealed much about the structure of these twins, a well-establishedmore » simple nondestructive characterization method which allows the measurement of total aerial density of the twins does not exist at present. In this article, the twin density of AlGaAs layers grown on 1 degree sign miscut GaAs(111)B substrates has been measured using high resolution x-ray diffraction, and characterized with a combination of Nomarski microscopy, atomic force microscopy, and transmission electron microscopy. These comparisons permit the relationship between the aerial twin density and the growth condition to be determined quantitatively. (c) 2000 American Vacuum Society.« less

  19. Temperature effects in contacts between a metal and a semiconductor nanowire near the degenerate doping

    NASA Astrophysics Data System (ADS)

    Sun, Zhuting; Burgess, Tim; Tan, H. H.; Jagadish, Chennupati; Kogan, Andrei

    2018-04-01

    We have investigated the nonlinear conductance in diffusion-doped Si:GaAs nanowires contacted by patterned metal films in a wide range of temperatures T. The wire resistance R W and the zero bias resistance R C, dominated by the contacts, exhibit very different responses to temperature changes. While R W shows almost no dependence on T, R C varies by several orders of magnitude as the devices are cooled from room temperature to T = 5 K. We develop a model that employs a sharp donor level very low in the GaAs conduction band and show that our observations are consistent with the model predictions. We then demonstrate that such measurements can be used to estimate carrier properties in nanostructured semiconductors and obtain an estimate for N D, the doping density in our samples. We also discuss the effects of surface states and dielectric confinement on carrier density in semiconductor nanowires.

  20. Fabrication of Coaxial Si1−xGex Heterostructure Nanowires by O2 Flow-Induced Bifurcate Reactions

    PubMed Central

    2010-01-01

    We report on bifurcate reactions on the surface of well-aligned Si1−xGex nanowires that enable fabrication of two different coaxial heterostructure nanowires. The Si1−xGex nanowires were grown in a chemical vapor transport process using SiCl4 gas and Ge powder as a source. After the growth of nanowires, SiCl4 flow was terminated while O2 gas flow was introduced under vacuum. On the surface of nanowires was deposited Ge by the vapor from the Ge powder or oxidized into SiO2 by the O2 gas. The transition from deposition to oxidation occurred abruptly at 2 torr of O2 pressure without any intermediate region and enables selectively fabricated Ge/Si1−xGex or SiO2/Si1−xGex coaxial heterostructure nanowires. The rate of deposition and oxidation was dominated by interfacial reaction and diffusion of oxygen through the oxide layer, respectively. PMID:21076699