Sample records for gaas samples grown

  1. Photovoltaic Properties of p-Doped GaAs Nanowire Arrays Grown on n-Type GaAs(111)B Substrate

    PubMed Central

    2010-01-01

    We report on the molecular beam epitaxy growth of Au-assisted GaAs p-type-doped NW arrays on the n-type GaAs(111)B substrate and their photovoltaic properties. The samples are grown at different substrate temperature within the range from 520 to 580 °C. It is shown that the dependence of conversion efficiency on the substrate temperature has a maximum at the substrate temperature of 550 °C. For the best sample, the conversion efficiency of 1.65% and the fill factor of 25% are obtained. PMID:20672038

  2. Heavily Sn-doped GaAs with abrupt doping profiles grown by migration-enhanced epitaxy at low temperatures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chavanapranee, Tosaporn; Horikoshi, Yoshiji

    The characteristics of heavily Sn-doped GaAs samples grown at 300 deg. C by a migration-enhanced epitaxy (MEE) technique are investigated in comparison with those of the samples grown by a conventional molecular-beam epitaxy (MBE) at 580 deg. C. While no discernible difference is observed in the low doping regime, the difference in doping characteristics between the MBE- and MEE-grown samples becomes apparent when the doping concentration exceeds 1x10{sup 19} cm{sup -3}. Sn atoms as high as 4x10{sup 21} cm{sup -3} can be incorporated into MEE-grown GaAs films, unlike the MBE-grown samples that have a maximum doping level limited around 1x10{supmore » 19} cm{sup -3}. Due to an effective suppression of Sn segregation in the MEE growth case, high quality GaAs films with abrupt high-concentration Sn-doping profiles are achieved with the doping concentrations of up to 2x10{sup 21} cm{sup -3}. It has been shown that even though a high concentration of Sn atoms is incorporated into the GaAs film, the electron concentration saturates at 6x10{sup 19} cm{sup -3} and then gradually decreases with Sn concentration. The uniform doping limitation, as well as the electron concentration saturation, is discussed by means of Hall-effect measurement, x-ray diffraction, and Raman scattering spectroscopy.« less

  3. Deep levels in H-irradiated GaAs1-xNx (x < 0.01) grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Shafi, M.; Mari, R. H.; Khatab, A.; Henini, M.; Polimeni, A.; Capizzi, M.; Hopkinson, M.

    2011-12-01

    Dilute nitride GaAs1-xNx layers have been grown by molecular beam epitaxy with nitrogen concentration ranging from 0.2% to 0.8%. These samples have been studied before and after hydrogen irradiation by using standard deep level transient spectroscopy (DLTS) and high resolution Laplace DLTS techniques. The activation energy, capture cross section and density of the electron traps have been estimated and compared with results obtained in N-free as-grown and H-irradiated bulk GaAs.

  4. Influence of arsenic flow on the crystal structure of epitaxial GaAs grown at low temperatures on GaAs (100) and (111)A substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Galiev, G. B.; Klimov, E. A.; Vasiliev, A. L.

    The influence of arsenic flow in a growth chamber on the crystal structure of GaAs grown by molecular-beam epitaxy at a temperature of 240°C on GaAs (100) and (111)A substrates has been investigated. The flow ratio γ of arsenic As4 and gallium was varied in the range from 16 to 50. GaAs films were either undoped, or homogeneously doped with silicon, or contained three equidistantly spaced silicon δ-layers. The structural quality of the annealed samples has been investigated by transmission electron microscopy. It is established for the first time that silicon δ-layers in “low-temperature” GaAs serve as formation centers ofmore » arsenic precipitates. Their average size, concentration, and spatial distribution are estimated. The dependence of the film structural quality on γ is analyzed. Regions 100–150 nm in size have been revealed in some samples and identified (by X-ray microanalysis) as pores. It is found that, in the entire range of γ under consideration, GaAs films on (111)A substrates have a poorer structural quality and become polycrystalline beginning with a thickness of 150–200 nm.« less

  5. Effect of a low-temperature-grown GaAs layer on InAs quantum-dot photoluminescence

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kosarev, A. N.; Chaldyshev, V. V., E-mail: chald.gvg@mail.ioffe.ru; Preobrazhenskii, V. V.

    2016-11-15

    The photoluminescence of InAs semiconductor quantum dots overgrown by GaAs in the low-temperature mode (LT-GaAs) using various spacer layers or without them is studied. Spacer layers are thin GaAs or AlAs layers grown at temperatures normal for molecular-beam epitaxy (MBE). Direct overgrowth leads to photoluminescence disappearance. When using a thin GaAs spacer layer, the photoluminescence from InAs quantum dots is partially recovered; however, its intensity appears lower by two orders of magnitude than in the reference sample in which the quantum-dot array is overgrown at normal temperature. The use of wider-gap AlAs as a spacer-layer material leads to the enhancementmore » of photoluminescence from InAs quantum dots, but it is still more than ten times lower than that of reference-sample emission. A model taking into account carrier generation by light, diffusion and tunneling from quantum dots to the LT-GaAs layer is constructed.« less

  6. n-Type Doping of Vapor-Liquid-Solid Grown GaAs Nanowires.

    PubMed

    Gutsche, Christoph; Lysov, Andrey; Regolin, Ingo; Blekker, Kai; Prost, Werner; Tegude, Franz-Josef

    2011-12-01

    In this letter, n-type doping of GaAs nanowires grown by metal-organic vapor phase epitaxy in the vapor-liquid-solid growth mode on (111)B GaAs substrates is reported. A low growth temperature of 400°C is adjusted in order to exclude shell growth. The impact of doping precursors on the morphology of GaAs nanowires was investigated. Tetraethyl tin as doping precursor enables heavily n-type doped GaAs nanowires in a relatively small process window while no doping effect could be found for ditertiarybutylsilane. Electrical measurements carried out on single nanowires reveal an axially non-uniform doping profile. Within a number of wires from the same run, the donor concentrations ND of GaAs nanowires are found to vary from 7 × 10(17) cm(-3) to 2 × 10(18) cm(-3). The n-type conductivity is proven by the transfer characteristics of fabricated nanowire metal-insulator-semiconductor field-effect transistor devices.

  7. GaSb thermophotovoltaic cells grown on GaAs by molecular beam epitaxy using interfacial misfit arrays

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Juang, Bor-Chau, E-mail: bcjuang@ucla.edu; Laghumavarapu, Ramesh B.; Foggo, Brandon J.

    There exists a long-term need for foreign substrates on which to grow GaSb-based optoelectronic devices. We address this need by using interfacial misfit arrays to grow GaSb-based thermophotovoltaic cells directly on GaAs (001) substrates and demonstrate promising performance. We compare these cells to control devices grown on GaSb substrates to assess device properties and material quality. The room temperature dark current densities show similar characteristics for both cells on GaAs and on GaSb. Under solar simulation the cells on GaAs exhibit an open-circuit voltage of 0.121 V and a short-circuit current density of 15.5 mA/cm{sup 2}. In addition, the cells on GaAsmore » substrates maintain 10% difference in spectral response to those of the control cells over a large range of wavelengths. While the cells on GaSb substrates in general offer better performance than the cells on GaAs substrates, the cost-savings and scalability offered by GaAs substrates could potentially outweigh the reduction in performance. By further optimizing GaSb buffer growth on GaAs substrates, Sb-based compound semiconductors grown on GaAs substrates with similar performance to devices grown directly on GaSb substrates could be realized.« less

  8. Secondary ion mass spectrometry study of ex situ annealing of epitaxial GaAs grown on Si substrates

    NASA Technical Reports Server (NTRS)

    Radhakrishnan, G.; Mccullough, O.; Cser, J.; Katz, J.

    1988-01-01

    Samples of epitaxial GaAs grown on (100) Si substrates using molecular beam epitaxy were annealed at four different temperatures, from 800 to 950 C. Following annealing, the samples were analyzed using secondary ion mass spectrometry. Depth profiles of Ga, As, and Si reveal optimum conditions for annealing, and place a lower limit on a damage threshold for GaAs/Si substrates.

  9. Structural and optical characteristics of GaAs films grown on Si/Ge substrates

    NASA Astrophysics Data System (ADS)

    Rykov, A. V.; Dorokhin, M. V.; Vergeles, P. S.; Baidus, N. V.; Kovalskiy, V. A.; Yakimov, E. B.; Soltanovich, O. A.

    2018-03-01

    A GaAs/AlAs heterostructure and a GaAs film grown on Si/Ge substrates have been fabricated and studied. A Ge buffer on a silicon substrate was fabricated using the MBE process. A3B5 films were grown by MOCVD at low pressures. Photoluminescence spectroscopy was used to define the optical quality of A3B5 films. Structural properties were investigated using the electron beam induced current method. It was established that despite a rather high density of dislocations on the epitaxial layers, the detected photoluminescence radiation of layers indicates the acceptable crystalline quality of the top GaAs layer.

  10. Resonant electronic Raman scattering of below-gap states in molecular-beam epitaxy grown and liquid-encapsulated Czochralski grown GaAs

    NASA Astrophysics Data System (ADS)

    Fluegel, B.; Rice, A. D.; Mascarenhas, A.

    2018-05-01

    Resonant electronic Raman (ER) scattering is used to compare the below-gap excitations in molecular-beam epitaxially grown GaAs and in undoped semi-insulating GaAs substrates. The measurement geometry was designed to eliminate common measurement artifacts caused by the high optical transmission below the fundamental absorption edge. In epitaxial GaAs, ER is a clear Raman signal from the two-electron transitions of donors, eliminating an ambiguity encountered in previous results. In semi-insulating GaAs, ER occurs in a much broader dispersive band well below the bound exciton energies. The difference in the two materials may be due to the occupation of the substrate acceptor states in the presence of the midgap state EL2.

  11. Resonant electronic Raman scattering of below-gap states in molecular-beam epitaxy grown and liquid-encapsulated Czochralski grown GaAs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fluegel, B.; Rice, A. D.; Mascarenhas, A.

    Resonant electronic Raman (ER) scattering is used to compare the below-gap excitations in molecular-beam epitaxially grown GaAs and in undoped semi-insulating GaAs substrates. The measurement geometry was designed to eliminate common measurement artifacts caused by the high optical transmission below the fundamental absorption edge. In epitaxial GaAs, ER is a clear Raman signal from the two-electron transitions of donors, eliminating an ambiguity encountered in previous results. In semi-insulating GaAs, ER occurs in a much broader dispersive band well below the bound exciton energies. Furthermore, the difference in the two materials may be due to the occupation of the substrate acceptormore » states in the presence of the midgap state EL2.« less

  12. Scanning tunneling microscope study of GaAs(001) surfaces grown by migration enhanced epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, J.; Gallagher, M.C.; Willis, R.F.

    We report an investigation of the morphology of p-type GaAs(001) surfaces using scanning tunneling microscopy (STM). The substrates were prepared using two methods: migration enhanced epitaxy (MEE) and standard molecular-beam epitaxy (MBE). The STM measurements were performed ex situ using As decapping. Analysis indicates that the overall step density of the MEE samples decreases as the growth temperature is increased. Nominally flat samples grown at 300{degrees}C exhibited step densities of 10.5 steps/1000 {Angstrom} along [ 110] dropping to 2.5 steps at 580{degrees}C. MEE samples exhibited a lower step density than MBE samples. However as-grown surfaces exhibited a larger distribution ofmore » step heights. Annealing the samples reduced the step height distribution exposing fewer atomic layers. Samples grown by MEE at 580{degrees}C and annealed for 2 min displayed the lowest step density and the narrowest step height distribution. All samples displayed an anisotropic step density. We found a ratio of A-type to B-type steps of between 2 and 3 which directly reflects the difference in the incorporation energy at steps. The aspect ratio increased slightly with growth temperature. We found a similar aspect ratio on samples grown by MBE. This indicates that anisotropic growth during MEE, like MBE, is dominated by incorporation kinetics. MEE samples grown at 580{degrees}C and capped immediately following growth exhibited a number of {open_quotes}holes{close_quotes} in the surface. The holes could be eliminated by annealing the surface prior to quenching. 20 refs., 3 figs., 1 tab.« less

  13. Structural properties of GaAsN grown on (001) GaAs by metalorganic molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Ok, Young-Woo; Choi, Chel-Jong; Seong, Tae-Yeon; Uesugi, K.; Suemune, I.

    2001-07-01

    Detailed transmission electron microscopy (TEM) and transmission electron diffraction (TED) examination has been made of metalorganic molecular beam epitaxial GaAsN layers grown on (001) GaAs substrates. TEM results show that lateral composition modulation occurs in the GaAs1-xNx layer (x 6.75%). It is shown that increasing N composition and Se (dopant) concentration leads to poor crystallinity. It is also shown that the addition of Se increases N composition. Atomic force microscopy (AFM) results show that the surfaces of the samples experience a morphological change from faceting to islanding, as the N composition and Se concentration increase. Based on the TEM and AFM results, a simple model is given to explain the formation of the lateral composition modulation.

  14. Multilayer-Grown Ultrathin Nanostructured GaAs Solar Cells as a Cost-Competitive Materials Platform for III-V Photovoltaics.

    PubMed

    Gai, Boju; Sun, Yukun; Lim, Haneol; Chen, Huandong; Faucher, Joseph; Lee, Minjoo L; Yoon, Jongseung

    2017-01-24

    Large-scale deployment of GaAs solar cells in terrestrial photovoltaics demands significant cost reduction for preparing device-quality epitaxial materials. Although multilayer epitaxial growth in conjunction with printing-based materials assemblies has been proposed as a promising route to achieve this goal, their practical implementation remains challenging owing to the degradation of materials properties and resulting nonuniform device performance between solar cells grown in different sequences. Here we report an alternative approach to circumvent these limitations and enable multilayer-grown GaAs solar cells with uniform photovoltaic performance. Ultrathin single-junction GaAs solar cells having a 300-nm-thick absorber (i.e., emitter and base) are epitaxially grown in triple-stack releasable multilayer assemblies by molecular beam epitaxy using beryllium as a p-type impurity. Microscale (∼500 × 500 μm 2 ) GaAs solar cells fabricated from respective device layers exhibit excellent uniformity (<3% relative) of photovoltaic performance and contact properties owing to the suppressed diffusion of p-type dopant as well as substantially reduced time of epitaxial growth associated with ultrathin device configuration. Bifacial photon management employing hexagonally periodic TiO 2 nanoposts and a vertical p-type metal contact serving as a metallic back-surface reflector together with specialized epitaxial design to minimize parasitic optical losses for efficient light trapping synergistically enable significantly enhanced photovoltaic performance of such ultrathin absorbers, where ∼17.2% solar-to-electric power conversion efficiency under simulated AM1.5G illumination is demonstrated from 420-nm-thick single-junction GaAs solar cells grown in triple-stack epitaxial assemblies.

  15. Periodic Two-Dimensional GaAs and InGaAs Quantum Rings Grown on GaAs (001) by Droplet Epitaxy.

    PubMed

    Tung, Kar Hoo Patrick; Huang, Jian; Danner, Aaron

    2016-06-01

    Growth of ordered GaAs and InGaAs quantum rings (QRs) in a patterned SiO2 nanohole template by molecular beam epitaxy (MBE) using droplet epitaxy (DE) process is demonstrated. DE is an MBE growth technique used to fabricate quantum nanostructures of high crystal quality by supplying group III and group V elements in separate phases. In this work, ordered QRs grown on an ordered nanohole template are compared to self-assembled QRs grown with the same DE technique without the nanohole template. This study allows us to understand and compare the surface kinetics of Ga and InGa droplets when a template is present. It is found that template-grown GaAs QRs form clustered rings which can be attributed to low mobility of Ga droplets resulting in multiple nucleation sites for QR formation when As is supplied. However, the case of template-grown InGaAs QRs only one ring is formed per nanohole; no clustering is observed. The outer QR diameter is a close match to the nanohole template diameter. This can be attributed to more mobile InGa droplets, which coalesce from an Ostwald ripening to form a single large droplet before As is supplied. Thus, well-patterned InGaAs QRs are demonstrated and the kinetics of their growth are better understood which could potentially lead to improvements in the future devices that require the unique properties of patterned QRs.

  16. Electrical characterisation of deep level defects in Be-doped AlGaAs grown on (100) and (311)A GaAs substrates by MBE

    PubMed Central

    2011-01-01

    The growth of high mobility two-dimensional hole gases (2DHGs) using GaAs-GaAlAs heterostructures has been the subject of many investigations. However, despite many efforts hole mobilities in Be-doped structures grown on (100) GaAs substrate remained considerably lower than those obtained by growing on (311)A oriented surface using silicon as p-type dopant. In this study we will report on the properties of hole traps in a set of p-type Be-doped Al0.29Ga0.71As samples grown by molecular beam epitaxy on (100) and (311)A GaAs substrates using deep level transient spectroscopy (DLTS) technique. In addition, the effect of the level of Be-doping concentration on the hole deep traps is investigated. It was observed that with increasing the Be-doping concentration from 1 × 1016 to 1 × 1017 cm-3 the number of detected electrically active defects decreases for samples grown on (311)A substrate, whereas, it increases for (100) orientated samples. The DLTS measurements also reveal that the activation energies of traps detected in (311)A are lower than those in (100). From these findings it is expected that mobilities of 2DHGs in Be-doped GaAs-GaAlAs devices grown on (311)A should be higher than those on (100). PMID:21711687

  17. HfO2 Gate Dielectric on (NH4)2S Passivated (100) GaAs Grown by Atomic Layer Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, P.T.; /Stanford U., Materials Sci. Dept.; Sun, Y.

    2007-09-28

    The interface between hafnium oxide grown by atomic layer deposition and (100) GaAs treated with HCl cleaning and (NH{sub 4}){sub 2}S passivation has been characterized. Synchrotron radiation photoemission core level spectra indicated successful removal of the native oxides and formation of passivating sulfides on the GaAs surface. Layer-by-layer removal of the hafnia film revealed a small amount of As{sub 2}O{sub 3} formed at the interface during the dielectric deposition. Traces of arsenic and sulfur out-diffusion into the hafnia film were observed after a 450 C post-deposition anneal, and may be the origins for the electrically active defects. Transmission electron microscopymore » cross section images showed thicker HfO{sub 2} films for a given precursor exposure on S-treated GaAs versus the non-treated sample. In addition, the valence-band and the conduction-band offsets at the HfO{sub 2}/GaAs interface were deduced to be 3.18 eV and a range of 0.87-0.97 eV, respectively. It appears that HCl+(NH{sub 4})2{sub S} treatments provide a superior chemical passivation for GaAs and initial surface for ALD deposition.« less

  18. InGaAs quantum dots grown on B-type high index GaAs substrates: surface morphologies and optical properties

    NASA Astrophysics Data System (ADS)

    Liang, B. L.; Wang, Zh M.; Mazur, Yu I.; Strelchuck, V. V.; Holmes, K.; Lee, J. H.; Salamo, G. J.

    2006-06-01

    We systematically investigated the correlation between morphological and optical properties of InGaAs self-assembled quantum dots (QDs) grown by solid-source molecular beam epitaxy on GaAs (n 11)B (n = 9, 8, 7, 5, 3, 2) substrates. Remarkably, all InGaAs QDs on GaAs(n 11)B under investigation show optical properties superior to those for ones on GaAs(100) as regards the photoluminescence (PL) linewidth and intensity. The morphology for growth of InGaAs QDs on GaAs (n 11)B, where n = 9, 8, 7, 5, is observed to have a rounded shape with a higher degree of lateral ordering than that on GaAs(100). The optical property and the lateral ordering are best for QDs grown on a (511)B substrate surface, giving a strong correlation between lateral ordering and PL optical quality. Our results demonstrate the potential for high quality InGaAs QDs on GaAs(n 11)B for optoelectronic applications.

  19. Isolating GaSb membranes grown metamorphically on GaAs substrates using highly selective substrate removal etch processes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lavrova, Olga; Balakrishnan, Ganesh

    2017-02-24

    The etch rates of NH 4OH:H 2O 2 and C 6H 8O 7:H 2O 2 for GaAs and GaSb have been investigated to develop a selective etch for GaAs substrates and to isolate GaSb epilayers grown on GaAs. The NH 4OH:H 2O 2 solution has a greater etch rate differential for the GaSb/GaAs material system than C 6H 8O 7:H 2O 2 solution. The selectivity of NH 4OH:H 2O 2 for GaAs/GaSb under optimized etch conditions has been observed to be as high as 11471 ± 1691 whereas that of C 6H 8O 7:H 2O 2 has been measured upmore » to 143 ± 2. The etch contrast has been verified by isolating 2 μm thick GaSb epi-layers that were grown on GaAs substrates. GaSb membranes were tested and characterized with high-resolution X-Ray diffraction (HR-XRD) and atomic force microscopy (AFM).« less

  20. High-efficiency GaAs and GaInP solar cells grown by all solid-state molecular-beam-epitaxy

    PubMed Central

    2011-01-01

    We report the initial results of GaAs and GaInP solar cells grown by all solid-state molecular-beam-epitaxy (MBE) technique. For GaAs single-junction solar cell, with the application of AlInP as the window layer and GaInP as the back surface field layer, the photovoltaic conversion efficiency of 26% at one sun concentration and air mass 1.5 global (AM1.5G) is realized. The efficiency of 16.4% is also reached for GaInP solar cell. Our results demonstrate that the MBE-grown phosphide-contained III-V compound semiconductor solar cell can be quite comparable to the metal-organic-chemical-vapor-deposition-grown high-efficiency solar cell. PMID:22040124

  1. A Novel Growth Method To Improve the Quality of GaAs Nanowires Grown by Ga-Assisted Chemical Beam Epitaxy.

    PubMed

    García Núñez, Carlos; Braña, Alejandro F; López, Nair; García, Basilio J

    2018-06-13

    The successful synthesis of high crystalline quality and high aspect ratio GaAs nanowires (NWs) with a uniform diameter is needed to develop advanced applications beyond the limits established by thin film and bulk material properties. Vertically aligned GaAs NWs have been extensively grown by Ga-assisted vapor-liquid-solid (VLS) mechanism on Si(111) substrates, and they have been used as building blocks in photovoltaics, optoelectronics, electronics, and so forth. However, the nucleation of parasitic species such as traces and nanocrystals on the Si substrate surface during the NW growth could affect significantly the controlled nucleation of those NWs, and therefore the resulting performance of NW-based devices. Preventing the nucleation of parasitic species on the Si substrate is a matter of interest, because they could act as traps for gaseous precursors and/or chemical elements during VLS growth, drastically reducing the maximum length of grown NWs, affecting their morphology and structure, and reducing the NW density along the Si substrate surface. This work presents a novel and easy to develop growth method (i.e., without using advanced nanolithography techniques) to prevent the nucleation of parasitic species, while preserving the quality of GaAs NWs even for long duration growths. GaAs NWs are grown by Ga-assisted chemical beam epitaxy on oxidized Si(111) substrates using triethylgallium and tertiarybutylarsine precursors by a two-step-based growth method presented here; this method includes a growth interruption for an oxidation on air between both steps of growth, reducing the nucleation of parasitic crystals on the thicker SiO x capping layer during the second and longer growth step. VLS conditions are preserved overtime, resulting in a stable NW growth rate of around 6 μm/h for growth times up to 1 h. Resulting GaAs NWs have a high aspect ratio of 85 and average radius of 35 nm. We also report on the existence of characteristic reflection high

  2. Homojunction GaAs solar cells grown by close space vapor transport

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Boucher, Jason W.; Ritenour, Andrew J.; Greenaway, Ann L.

    2014-06-08

    We report on the first pn junction solar cells grown by homoepitaxy of GaAs using close space vapor transport (CSVT). Cells were grown both on commercial wafer substrates and on a CSVT absorber film, and had efficiencies reaching 8.1%, open circuit voltages reaching 909 mV, and internal quantum efficiency of 90%. The performance of these cells is partly limited by the electron diffusion lengths in the wafer substrates, as evidenced by the improved peak internal quantum efficiency in devices fabricated on a CSVT absorber film. Unoptimized highly-doped n-type emitters also limit the photocurrent, indicating that thinner emitters with reduced doping,more » and ultimately wider band gap window or surface passivation layers, are required to increase the efficiency.« less

  3. Properties of CsI, CsBr and GaAs thin films grown by pulsed laser deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Brendel, V M; Garnov, S V; Yagafarov, T F

    2014-09-30

    CsI, CsBr and GaAs thin films have been grown by pulsed laser deposition on glass substrates. The morphology and structure of the films have been studied using X-ray diffraction and scanning electron microscopy. The CsI and CsBr films were identical in stoichiometry to the respective targets and had a polycrystalline structure. Increasing the substrate temperature led to an increase in the density of the films. All the GaAs films differed in stoichiometry from the target. An explanation was proposed for this fact. The present results demonstrate that, when the congruent transport condition is not fulfilled, films identical in stoichiometry tomore » targets can be grown by pulsed laser deposition in the case of materials with a low melting point and thermal conductivity. (interaction of laser radiation with matter)« less

  4. Room temperature lasing of GaAs quantum wire vertical-cavity surface-emitting lasers grown on (7 7 5) B GaAs substrates by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Higuchi, Y.; Osaki, S.; Kitada, T.; Shimomura, S.; Takasuka, Y.; Ogura, M.; Hiyamizu, S.

    2006-06-01

    Self-organized GaAs/(GaAs) 4(AlAs) 2 quantum wires (QWRs) grown on (7 7 5) B-oriented GaAs substrates by molecular beam epitaxy have been applied to an active region of vertical-cavity surface-emitting lasers (VCSELs). The (7 7 5) B GaAs QWR-VCSEL with an aperture diameter of 3 μm lased at a wavelength of 765 nm with a threshold current of 0.38 mA at room temperature. This is the first demonstration of laser operation of the QWR-VCSEL by current injection. The light output was linearly polarized in the direction parallel to the QWRs due to the optical anisotropy of the self-organized (7 7 5) B GaAs QWRs.

  5. GaAs Solar Cells Grown on Unpolished, Spalled Ge Substrates: Preprint

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cavalli, Alessandro; Johnston, Steven; Sulas, Dana

    Decreasing the cost of single-crystal substrates by wafer reuse techniques has long been sought for III-V solar cells. Controlled spalling of III-V devices is a possible pathway for epitaxial liftoff, which would help reduce costs, but chemo- mechanical polishing after liftoff tends to limit the potential cost savings. Growth on an unpolished spalled surface would be an additional step toward lower costs, but it is crucial to show high efficiency solar cell devices on these unprocessed substrates. In this study, we spalled 2-inch Ge wafers using a Ni stressor layer, and then grew GaAs solar cells by HVPE on themore » spalled Ge surface without any other surface treatment. We show a 12.8% efficient single-junction device, without anti-reflection coating, with quantum efficiency very close to identical devices grown by HVPE on non-spalled GaAs substrates. Demonstrating a high carrier collection on unpolished spalled wafers is a step toward reducing substrate-related liftoff and reuse costs.« less

  6. Upright and Inverted Single-Junction GaAs Solar Cells Grown by Hydride Vapor Phase Epitaxy

    DOE PAGES

    Simon, John; Schulte, Kevin L.; Jain, Nikhil; ...

    2016-10-19

    Hydride vapor phase epitaxy (HVPE) is a low-cost alternative to conventional metal-organic vapor phase epitaxy (MOVPE) growth of III-V solar cells. In this work, we show continued improvement of the performance of HVPE-grown single-junction GaAs solar cells. We show over an order of magnitude improvement in the interface recombination velocity between GaAs and GaInP layers through the elimination of growth interrupts, leading to increased short-circuit current density and open-circuit voltage compared with cells with interrupts. One-sun conversion efficiencies as high as 20.6% were achieved with this improved growth process. Solar cells grown in an inverted configuration that were removed frommore » the substrate showed nearly identical performance to on-wafer cells, demonstrating the viability of HVPE to be used together with conventional wafer reuse techniques for further cost reduction. As a result, these devices utilized multiple heterointerfaces, showing the potential of HVPE for the growth of complex and high-quality III-V devices.« less

  7. Structural and optical characterization of GaAs nano-crystals selectively grown on Si nano-tips by MOVPE.

    PubMed

    Skibitzki, Oliver; Prieto, Ivan; Kozak, Roksolana; Capellini, Giovanni; Zaumseil, Peter; Arroyo Rojas Dasilva, Yadira; Rossell, Marta D; Erni, Rolf; von Känel, Hans; Schroeder, Thomas

    2017-03-01

    We present the nanoheteroepitaxial growth of gallium arsenide (GaAs) on nano-patterned silicon (Si) (001) substrates fabricated using a CMOS technology compatible process. The selective growth of GaAs nano-crystals (NCs) was achieved at 570 °C by MOVPE. A detailed structure and defect characterization study of the grown nano-heterostructures was performed using scanning transmission electron microscopy, x-ray diffraction, micro-Raman, and micro-photoluminescence (μ-PL) spectroscopy. The results show single-crystalline, nearly relaxed GaAs NCs on top of slightly, by the SiO 2 -mask compressively strained Si nano-tips (NTs). Given the limited contact area, GaAs/Si nanostructures benefit from limited intermixing in contrast to planar GaAs films on Si. Even though a few growth defects (e.g. stacking faults, micro/nano-twins, etc) especially located at the GaAs/Si interface region were detected, the nanoheterostructures show intensive light emission, as investigated by μ-PL spectroscopy. Achieving well-ordered high quality GaAs NCs on Si NTs may provide opportunities for superior electronic, photonic, or photovoltaic device performances integrated on the silicon technology platform.

  8. Performance Enhancement of a GaAs Detector with a Vertical Field and an Embedded Thin Low-Temperature Grown Layer

    PubMed Central

    Currie, Marc; Dianat, Pouya; Persano, Anna; Martucci, Maria Concetta; Quaranta, Fabio; Cola, Adriano; Nabet, Bahram

    2013-01-01

    Low temperature growth of GaAs (LT-GaAs) near 200 °C results in a recombination lifetime of nearly 1 ps, compared with approximately 1 ns for regular temperature ∼600 °C grown GaAs (RT-GaAs), making it suitable for ultra high speed detection applications. However, LT-GaAs detectors usually suffer from low responsivity due to low carrier mobility. Here we report electro-optic sampling time response measurements of a detector that employs an AlGaAs heterojunction, a thin layer of LT-GaAs, a channel of RT-GaAs, and a vertical electric field that together facilitate collection of optically generated electrons while suppressing collection of lower mobility holes. Consequently, these devices have detection efficiency near that of RT-GaAs yet provide pulse widths nearly an order of magnitude faster—∼6 ps for a cathode-anode separation of 1.3 μm and ∼12 ps for distances more than 3 μm. PMID:23429510

  9. Manipulation of morphology and structure of the top of GaAs nanowires grown by molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Li, Lixia; Pan, Dong; Yu, Xuezhe; So, Hyok; Zhao, Jianhua

    2017-10-01

    Self-catalyzed GaAs nanowires (NWs) are grown on Si (111) substrates by molecular-beam epitaxy. The effect of different closing sequences of the Ga and As cell shutters on the morphology and structural phase of GaAs NWs is investigated. For the sequences of closing the Ga and As cell shutters simultaneously or closing the As cell shutter 1 min after closing the Ga cell shutter, the NWs grow vertically to the substrate surface. In contrast, when the As cell shutter is closed first, maintaining the Ga flux is found to be critical for the following growth of GaAs NWs, which can change the growth direction from [111] to < 11\\bar{1}> . The evolution of the morphology and structural phase transition at the tips of these GaAs NWs confirm that the triple-phase-line shift mode is at work even for the growth with different cell shutter closing sequences. Our work will provide new insights for better understanding of the growth mechanism and realizing of the morphology and structure control of the GaAs NWs. Project supported partly by the MOST of China (No. 2015CB921503), the National Natural Science Foundation of China (Nos. 61504133, 61334006, 61404127), and Youth Innovation Promotion Association, CAS (No. 2017156).

  10. High yield of self-catalyzed GaAs nanowire arrays grown on silicon via gallium droplet positioning.

    PubMed

    Plissard, S; Larrieu, G; Wallart, X; Caroff, P

    2011-07-08

    We report and detail a method to achieve growth of vertical self-catalyzed GaAs nanowires directly on Si(111) with a near-perfect vertical yield, using electron-beam-defined arrays of holes in a dielectric layer and molecular beam epitaxy. In our conditions, GaAs nanowires are grown along a vapor-liquid-solid mechanism, using in situ self-forming Ga droplets. The focus of this paper is to understand the role of the substrate preparation and of the pre-growth conditioning. Without changing temperature or the V/III ratio, the yield of vertical nanowires is increased incrementally up to 95%. The possibility to achieve very dense arrays, with center-to-center inter-wire distances less than 100 nm, is demonstrated.

  11. Carbon acceptor incorporation in GaAs grown by metalorganic chemical vapor deposition: Arsine versus tertiarybutylarsine

    NASA Astrophysics Data System (ADS)

    Watkins, S. P.; Haacke, G.

    1991-10-01

    Undoped p-type GaAs epilayers were grown by low-pressure metalorganic chemical vapor deposition (MOCVD) at 650 °C and 76 Torr using either arsine or tertiarybutylarsine (TBA), and trimethylgallium (TMG). Extremely high-purity precursors were used in order to eliminate extrinsic doping effects. Carbon acceptors from the TMG were the dominant residual electrical impurities under all growth conditions. Temperature-dependent Hall measurements were used to make a quantitative comparison of the carbon acceptor concentrations for arsine- and TBA-grown epilayers over a range of As partial pressures. For a given group V partial pressure, we report a significant reduction in carbon acceptor incorporation using TBA compared with arsine under identical growth conditions.

  12. Heteroepitaxial growth of GaAs on (100) Ge/Si using migration enhanced epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tanoto, H.; Loke, W. K.; Yoon, S. F.

    In this paper, heteroepitaxial growth of GaAs on nominal (100) Ge/Si substrate was investigated. The root-mean square surface roughness of the sample where the first few monolayers of the GaAs were nucleated by migration enhanced epitaxy (MEE) is four times smaller compared to the sample without such a process, indicating better surface planarity. From the (004) x-ray diffraction rocking curve measurement, the full width at half maximum of the GaAs layer nucleated by MEE is 40% lower compared to that of the GaAs layer without such a process, indicating better crystal quality. Furthermore, it was found that the sample wheremore » the GaAs layer was nucleated by MEE experienced early relaxation. As the MEE process promotes two-dimensional growth, the GaAs layer where nucleation was initiated by such a process has fewer islandlike formations. This leads to a pseudomorphically grown GaAs layer, which experiences higher strain compared to the GaAs layer with more islandlike formations, where most relaxation occurs on the free surface of the islands. Therefore, for the same layer thickness, the GaAs layer on (100) Ge/Si substrate where nucleation was initiated by MEE relaxed first.« less

  13. Comparison of AlGaAs Oxidation in MBE and MOCVD Grown Samples

    DTIC Science & Technology

    2002-01-01

    vertical cavity surface emitting lasers ( VCSELs ) [1, 2, 3]. They are also being... molecular beam epitaxy ( MBE ) [5, 6] or metal organic chemical vapor deposition (MOCVD) [7, 8]. The MBE -grown A1GaAs layers are sometimes pseudo or digital...Simultaneous wet-thermal oxidation of MBE and MOCVD grown AlxGal_xAs layers (x = 0.1 to 1.0) showed that the epitaxial growth method does not

  14. Germanium- and tellurium-doped GaAs for non-alloyed p-type and n-type ohmic contacts

    NASA Astrophysics Data System (ADS)

    Park, Joongseo; Barnes, Peter A.; Lovejoy, Michael L.

    1995-08-01

    Epitaxial ohmic contacts to GaAs were grown by liquid phase epitaxy. Heavily Ge-doped GaAs was grown to prepare ohmic contacts to p-GaAs while Te was used for the n-type contacts. Hall measurements were carried out for the samples grown from melts in which the mole fraction of Ge was varied between 1.55 atomic % and 52.2 atomic %, while the Te mole fractions varied between 0.03% and 0.5%. Specific contact resistance, rc, as low as rcp=2.9×10-6 ohm-cm 2 for Ge doping of p=(Na-Nd)=6.0×1019 holes/cm3 was measured for p-contacts and rcn=9.6×10-5 ohm-cm2 was measured for Te doping of n=(Nd-Na)=8.9×1018 electrons/cm3 for GaAs metallized with non-alloyed contacts of Ti/Al.

  15. ZnSe Window Layers for GaAs and GaInP2 Solar Cells

    NASA Technical Reports Server (NTRS)

    Olsen, Larry C.

    1997-01-01

    This report concerns studies of the use of n-type ZnSe as a window layer for n/p GaAs and GaInP2 solar cells. Emphasis was placed in this phase of the project on characterizing the interface between n-type ZnSe films grown on epi-GaAs films grown onto single crystal GaAs. Epi-GaAs and heteroepitaxial ZnSe films were grown by MOCVD with a Spire 50OXT Reactor. After growing epitaxial GaAs films on single crystal GaAs wafers, well-oriented crystalline ZnSe films were grown by MOCVD. ZnSe films were grown with substrate temperatures ranging from 250 C to 450 C. Photoluminescence studies carried out by researchers at NASA Lewis determined that the surface recombination velocity at a GaAs surface was significantly reduced after the deposition of a heteroepitaxial layer of ZnSe. The optimum temperature for ZnSe deposition appears to be on the order of 350 C.

  16. Investigation of ZnSe-coated silicon substrates for GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Huber, Daniel A.; Olsen, Larry C.; Dunham, Glen; Addis, F. William

    1993-01-01

    Studies are being carried out to determine the feasibility of using ZnSe as a buffer layer for GaAs solar cells grown on silicon. This study was motivated by reports in the literature indicating ZnSe films had been grown by metallorganic chemical vapor deposition (MOCVD) onto silicon with EPD values of 2 x 10(exp 5) cm(sup -2), even though the lattice mismatch between silicon and ZnSe is 4.16 percent. These results combined with the fact that ZnSe and GaAs are lattice matched to within 0.24 percent suggest that the prospects for growing high efficiency GaAs solar cells onto ZnSe-coated silicon are very good. Work to date has emphasized development of procedures for MOCVD growth of (100) ZnSe onto (100) silicon wafers, and subsequent growth of GaAs films on ZnSe/Si substrates. In order to grow high quality single crystal GaAs with a (100) orientation, which is desirable for solar cells, one must grow single crystal (100) ZnSe onto silicon substrates. A process for growth of (100) ZnSe was developed involving a two-step growth procedure at 450 C. Single crystal, (100) GaAs films were grown onto the (100) ZnSe/Si substrates at 610 C that are adherent and specular. Minority carrier diffusion lengths for the GaAs films grown on ZnSe/Si substrates were determined from photoresponse properties of Al/GaAs Schottky barriers. Diffusion lengths for n-type GaAs films are currently on the order of 0.3 microns compared to 2.0 microns for films grown simultaneously by homoepitaxy.

  17. High-efficiency thin-film GaAs solar cells, phase2

    NASA Technical Reports Server (NTRS)

    Yeh, Y. C. M.

    1981-01-01

    Thin GaAs epi-layers with good crystallographic quality were grown using a (100) Si-substrate on which a thin Ge epi-interlayer was grown by CVD from germane. Both antireflection-coated metal oxide semiconductor (AMOS) and n(+)/p homojunction structures were studied. The AMOS cells were fabricated on undoped-GaAs epi-layers deposited on bulk poly-Ge substrates using organo-metallic CVD film-growth, with the best achieved AM1 conversion efficiency being 9.1%. Both p-type and n(+)-type GaAs growth were optimized using 50 ppm dimethyl zinc and 1% hydrogen sulfide, respectively. A direct GaAs deposition method in fabricating ultra-thin top layer, epitaxial n(+)/p shallow homojunction solar cells on (100) GaAs substrates (without anodic thinning) was developed to produce large area (1 sq/cm) cells, with 19.4% AM1 conversion efficiency achieved. Additionally, an AM1 conversion efficiency of 18.4% (17.5% with 5% grid coverage) was achieved for a single crystal GaAs n(+)/p cell grown by OM-CVD on a Ge wafer.

  18. Three-dimensional lattice rotation in GaAs nanowire growth on hydrogen-silsesquioxane covered GaAs (001) using molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Tran, Dat Q.; Pham, Huyen T.; Higashimine, Koichi; Oshima, Yoshifumi; Akabori, Masashi

    2018-05-01

    We report on crystallographic behaviors of inclined GaAs nanowires (NWs) self-crystallized on GaAs (001) substrate. The NWs were grown on hydrogen-silsesquioxane (HSQ) covered substrates using molecular beam epitaxy (MBE). Commonly, the epitaxial growth of GaAs < 111>B (B-polar) NWs is prominently observed on GaAs (001); however, we yielded a remarkable number of epitaxially grown GaAs < 111>A (A-polar) NWs in addition to the majorly obtained B-polar NWs. Such NW orientations are always accompanied by a typical inclined angle of 35° from (001) plane. NWs with another inclined angle of 74° were additionally observed and attributed to be < 111>-oriented, not in direct epitaxial relation with the substrate. Such 74° NWs' existence is related to first-order three-dimensional (3D) lattice rotation taking place at the very beginning of the growth. It turns out that spatially 60° lattice rotation around < 111> directions at GaAs seeds is essentially in charge of A- and B-polar 74° NWs. Transmission electron microscope observations reveal a high density of twinning in the B-polar NWs and twin-free characteristic in the A-polar NWs.

  19. Short wavelength (visible) GaAs quantum well lasers grown by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Woodbridge, K.; Blood, P.; Fletcher, E.D.

    1984-07-01

    GaAs-AlGaAs multiple quantum well (MQW) injection lasers with well widths from 55 to 13 A have been grown by molecular beam epitaxy and operated at room temperature, showing emission at wavelengths down to 704 nm, the shortest reported for a MQW injection laser with GaAs wells. In a device with 25-A wells some evidence of coupling was apparent when barrier widths were reduced to 40 A. For devices with 80-A barriers there is a difference of about 20 nm between the calculated n = 1 (e--hh) transition wavelength and the lasing wavelength, whereas the calculation agrees with photovoltage absorption measurementsmore » on the same structures.« less

  20. Photoluminescence and Band Alignment of Strained GaAsSb/GaAs QW Structures Grown by MBE on GaAs

    PubMed Central

    Sadofyev, Yuri G.; Samal, Nigamananda

    2010-01-01

    An in-depth optimization of growth conditions and investigation of optical properties including discussions on band alignment of GaAsSb/GaAs quantum well (QW) on GaAs by molecular beam epitaxy (MBE) are reported. Optimal MBE growth temperature of GaAsSb QW is found to be 470 ± 10 °C. GaAsSb/GaAs QW with Sb content ~0.36 has a weak type-II band alignment with valence band offset ratio QV ~1.06. A full width at half maximum (FWHM) of ~60 meV in room temperature (RT) photoluminescence (PL) indicates fluctuation in electrostatic potential to be less than 20 meV. Samples grown under optimal conditions do not exhibit any blue shift of peak in RT PL spectra under varying excitation.

  1. Some optical and electron microscope comparative studies of excimer laser-assisted and nonassisted molecular-beam epitaxically grown thin GaAs films on Si

    NASA Technical Reports Server (NTRS)

    Lao, Pudong; Tang, Wade C.; Rajkumar, K. C.; Guha, S.; Madhukar, A.; Liu, J. K.; Grunthaner, F. J.

    1990-01-01

    The quality of GaAs thin films grown via MBE under pulsed excimer laser irradiation on Si substrates is examined in both laser-irradiated and nonirradiated areas using Raman scattering, Rayleigh scattering, and by photoluminescence (PL), as a function of temperature, and by TEM. The temperature dependence of the PL and Raman peak positions indicates the presence of compressive stress in the thin GaAs films in both laser-irradiated and nonirradiated areas. This indicates incomplete homogeneous strain relaxation by dislocations at the growth temperature. The residual compressive strain at the growth temperature is large enough such that even with the introduction of tensile strain arising from the difference in thermal expansion coefficients of GaAs and Si, a compressive strain is still present at room temperature for these thin GaAs/Si films.

  2. Terahertz radiation in In{sub 0.38}Ga{sub 0.62}As grown on a GaAs wafer with a metamorphic buffer layer under femtosecond laser excitation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ponomarev, D. S., E-mail: ponomarev-dmitr@mail.ru; Khabibullin, R. A.; Yachmenev, A. E.

    The results of time-domain spectroscopy of the terahertz (THz) generation in a structure with an In{sub 0.38}Ga{sub 0.62}As photoconductive layer are presented. This structure grown by molecular-beam epitaxy on a GaAs substrate using a metamorphic buffer layer allows THz generation with a wide frequency spectrum (to 6 THz). This is due to the additional contribution of the photo-Dember effect to THz generation. The measured optical-to-terahertz conversion efficiency in this structure is 10{sup –5} at a rather low optical fluence of ~40 μJ/cm{sup 2}, which is higher than that in low-temperature grown GaAs by almost two orders of magnitude.

  3. Characterization of structural defects in SnSe2 thin films grown by molecular beam epitaxy on GaAs (111)B substrates

    NASA Astrophysics Data System (ADS)

    Tracy, Brian D.; Li, Xiang; Liu, Xinyu; Furdyna, Jacek; Dobrowolska, Margaret; Smith, David J.

    2016-11-01

    Tin selenide thin films have been grown by molecular beam epitaxy on GaAs (111)B substrates at a growth temperature of 150 °C, and a microstructural study has been carried out, primarily using the technique of transmission electron microscopy. The Se:Sn flux ratio during growth was systematically varied and found to have a strong impact on the resultant crystal structure and quality. Low flux ratios (Se:Sn=3:1) led to defective films consisting primarily of SnSe, whereas high flux ratios (Se:Sn>10:1) gave higher quality, single-phase SnSe2. The structure of the monoselenide films was found to be consistent with the Space Group Pnma with the epitaxial growth relationship of [011]SnSe// [ 1 1 bar 0 ] GaAs, while the diselenide films were consistent with the Space Group P 3 bar m1 , and had the epitaxial growth relationship [ 2 1 bar 1 bar 0 ]SnSe2// [ 1 1 bar 0 ] GaAs.

  4. Growth and characterization of molecular beam epitaxial GaAs layers on porous silicon

    NASA Technical Reports Server (NTRS)

    Lin, T. L.; Liu, J. K.; Sadwick, L.; Wang, K. L.; Kao, Y. C.

    1987-01-01

    GaAs layers have been grown on porous silicon (PS) substrates with good crystallinity by molecular beam epitaxy. In spite of the surface irregularity of PS substrates, no surface morphology deterioration was observed on epitaxial GaAs overlayers. A 10-percent Rutherford backscattering spectroscopy minimum channeling yield for GaAs-on-PS layers as compared to 16 percent for GaAs-on-Si layers grown under the same condition indicates a possible improvement of crystallinity when GaAs is grown on PS. Transmission electron microscopy reveals that the dominant defects in the GaAs-on-PS layers are microtwins and stacking faults, which originate from the GaAs/PS interface. GaAs is found to penetrate into the PS layers. n-type GaAs/p-type PS heterojunction diodes were fabricated with good rectifying characteristics.

  5. Interface demarcation in GaAs by current pulsing

    NASA Technical Reports Server (NTRS)

    Matthiesen, D. H.; Kafalas, J. A.; Duchene, G. A.; Bellows, A. H.

    1990-01-01

    GTE Laboratories is currently conducting a program to investigate the effect of convection in the melt on the properties of bulk grown gallium arsenide (GaAs). In addition to extensive ground based experimentation, a Get Away Special growth system has been developed to grow two GaAs crystals aboard the Space Shuttle, each with a one inch diameter. In order to perform a complete segregation analysis of the crystals grown in space, it is necessary to measure the interface shape and growth rate as well as the spatial distribution of the selenium dopant. The techniques for interface demarcation in selenium doped GaAs by current pulsing have been developed at GTE Laboratories and successful interface demarcation has been achieved for current pulses ranging from 20 to 90 amps, in both single crystal and polycrystalline regions.

  6. Excitation power dependence of photoluminescence spectra of GaSb type-II quantum dots in GaAs grown by droplet epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kawazu, T., E-mail: KAWAZU.Takuya@nims.go.jp; Noda, T.; Sakuma, Y.

    2016-04-15

    We investigated the excitation power P dependence of photoluminescence (PL) spectra of GaSb type-II quantum dots (QDs) in GaAs grown by droplet epitaxy. We prepared two QD samples annealed at slightly different temperatures (380 {sup o}C and 400 {sup o}C) and carried out PL measurements. The 20 {sup o}C increase of the annealing temperature leads to (1) about 140 and 60 times stronger wetting layer (WL) luminescence at low and high P, (2) about 45% large energy shift of QD luminescence with P, and (3) the different P dependence of the PL intensity ratio between the QD and the WL. These differences ofmore » the PL characteristics are explained by the effects of the WL.« less

  7. Tolerance of GaAs as an original substrate for HVPE growth of free standing GaN

    NASA Astrophysics Data System (ADS)

    Suzuki, Mio; Sato, T.; Suemasu, T.; Hasegawa, F.

    2004-09-01

    In order to investigate possibility of thick GaN growth on a GaAs substrate by halide vapar phase epitaxy (HVPE), GaN was grown on GaAs(111)/Ti wafer with Ti deposited by E-gun. It was found that surface treatment of the GaAs substrate by HF solution deteriorated greatly the tolerence of GaAs and that Ti can protected GaAs from erosion by NH3. By depositing Ti on GaAs(111)A surface, a millor-like GaN layer could be grown at 1000 °C for 1 hour without serious deterioration of the original GaAs substrate. By increasing the growth rate, a thick free standing GaN will be obtained with GaAs as an original substrate in near future.

  8. Studies of molecular-beam epitaxy growth of GaAs on porous Si substrates

    NASA Technical Reports Server (NTRS)

    Mii, Y. J.; Kao, Y. C.; Wu, B. J.; Wang, K. L.; Lin, T. L.; Liu, J. K.

    1988-01-01

    GaAs has been grown on porous Si directly and on Si buffer layer-porous Si substrates by molecular-beam epitaxy. In the case of GaAs growth on porous Si, transmission electron microscopy (TEM) reveals that the dominant defects in GaAs layers grown on porous Si are microtwins and stacking faults, which originate from the GaAs/porous Si interface. GaAs is found to penetrate into the porous Si layers. By using a thin Si buffer layer (50 nm), GaAs penetration diminishes and the density of microtwins and stacking faults is largely reduced and localized at the GaAs/Si buffer interface. However, there is a high density of threading dislocations remaining. Both Si (100) aligned and four degree tilted substrates have been examined in this study. TEM results show no observable effect of the tilted substrates on the quality of the GaAs epitaxial layer.

  9. Observation of linear I-V curves on vertical GaAs nanowires with atomic force microscope

    NASA Astrophysics Data System (ADS)

    Geydt, P.; Alekseev, P. A.; Dunaevskiy, M.; Lähderanta, E.; Haggrén, T.; Kakko, J.-P.; Lipsanen, H.

    2015-12-01

    In this work we demonstrate the possibility of studying the current-voltage characteristics for single vertically standing semiconductor nanowires on standard AFM equipped by current measuring module in PeakForce Tapping mode. On the basis of research of eight different samples of p-doped GaAs nanowires grown on different GaAs substrates, peculiar electrical effects were revealed. It was found how covering of substrate surface by SiOx layer increases the current, as well as phosphorous passivation of the grown nanowires. Elimination of the Schottky barrier between golden cap and the top parts of nanowires was observed. It was additionally studied that charge accumulation on the shell of single nanowires affects its resistivity and causes the hysteresis loops on I-V curves.

  10. Giant and reversible enhancement of the electrical resistance of GaAs1-xNx by hydrogen irradiation

    NASA Astrophysics Data System (ADS)

    Alvarez, J.; Kleider, J.-P.; Trotta, R.; Polimeni, A.; Capizzi, M.; Martelli, F.; Mariucci, L.; Rubini, S.

    2011-08-01

    The electrical properties of untreated and hydrogen-irradiated GaAs1-xNx are investigated by conductive-probe atomic force microscopy (CP-AFM). After hydrogen irradiation, the resistance R of GaAs1-xNx increases by more than three orders of magnitude while that of a N-free GaAs reference slightly decreases. Thermal annealing at 550 °C of H-irradiated GaAs1-xNx restores the pristine electrical properties of the as-grown sample thus demonstrating that this phenomenon is fully reversible. These effects are attributed to the nitrogen-hydrogen complexes that passivate N in GaAs1-xNx (thus restoring the energy gap of N-free GaAs) and, moreover, reduce the carrier scattering time by more than one order of magnitude. This opens up a route to the fabrication of planar conductive/resistive/conductive heterostructures with submicrometer spatial resolution, which is also reported here.

  11. Effects of doping impurity and growth orientation on dislocation generation in GaAs crystals grown from the melt: A qualitative finite-element study

    NASA Astrophysics Data System (ADS)

    Zhu, X. A.; Tsai, C. T.

    2000-09-01

    Dislocations in gallium arsenide (GaAs) crystals are generated by excessive thermal stresses induced during the crystal growth process. The presence of dislocations has adverse effects on the performance and reliability of the GaAs-based devices. It is well known that dislocation density can be significantly reduced by doping impurity atoms into a GaAs crystal during its growth process. A viscoplastic constitutive equation that couples the microscopic dislocation density with the macroscopic plastic deformation is employed in a crystallographic finite element model for calculating the dislocation density generated in the GaAs crystal during its growth process. The dislocation density is considered as an internal state variable and the drag stress caused by doping impurity is included in this constitutive equation. A GaAs crystal grown by the vertical Bridgman process is adopted as an example to study the influences of doping impurity and growth orientation on dislocation generation. The calculated results show that doping impurity can significantly reduce the dislocation density generated in the crystal. The level of reduction is also influenced by the growth orientation during the crystal growth process.

  12. Optical properties of beryllium-doped GaSb epilayers grown on GaAs substrate

    NASA Astrophysics Data System (ADS)

    Deng, Zhuo; Chen, Baile; Chen, Xiren; Shao, Jun; Gong, Qian; Liu, Huiyun; Wu, Jiang

    2018-05-01

    In this work, the effects of p-type beryllium (Be) doping on the optical properties of GaSb epilayers grown on GaAs substrate by Molecular Beam Epitaxy (MBE) have been studied. Temperature- and excitation power-dependent photoluminescence (PL) measurements were performed on both nominally undoped and intentionally Be-doped GaSb layers. Clear PL emissions are observable even at the temperature of 270 K from both layers, indicating the high material quality. In the Be-doped GaSb layer, the transition energies of main PL features exhibit red-shift up to ∼7 meV, and the peak widths characterized by Full-Width-at-Half-Maximum (FWHM) also decrease. In addition, analysis on the PL integrated intensity in the Be-doped sample reveals a gain of emission signal, as well as a larger carrier thermal activation energy. These distinctive PL behaviors identified in the Be-doped GaSb layer suggest that the residual compressive strain is effectively relaxed in the epilayer, due possibly to the reduction of dislocation density in the GaSb layer with the intentional incorporation of Be dopants. Our results confirm the role of Be as a promising dopant in the improvement of crystalline quality in GaSb, which is a crucial factor for growth and fabrication of high quality strain-free GaSb-based devices on foreign substrates.

  13. Growth of lattice-matched GaInAsP grown on vicinal GaAs(001) substrates within the miscibility gap for solar cells

    DOE PAGES

    Oshima, Ryuji; France, Ryan M.; Geisz, John F.; ...

    2016-10-13

    The growth of quaternary Ga 0.68In 0.32As 0.35P 0.65 by metal-organic vapor phase epitaxy is very sensitive to growth conditions because the composition is within a miscibility gap. In this investigation, we fabricated 1 um-thick lattice-matched GaInAsP films grown on GaAs(001) for application to solar cells. In order to characterize the effect of the surface diffusion of adatoms on the material quality of alloys, the growth temperature and substrate miscut are varied. Transmission electron microscopy and two-dimensional in-situ multi-beam optical stress determine that growth temperatures of 650 degrees C and below enhance the formation of the CuPtB atomic ordering andmore » suppress material decomposition, which is found to occur at the growth surface. The root-mean-square (RMS) roughness is reduced from 33.6 nm for 750 degrees C to 1.62 nm for 650 degrees C, determined by atomic force microscopy. Our initial investigations show that the RMS roughness can be further reduced using increased miscut angle, and substrates miscut toward (111)A, leading to an RMS roughness of 0.56 nm for the sample grown at 600 degrees C on GaAs miscut 6 degrees toward (111)A. Using these conditions, we fabricate an inverted hetero-junction 1.62 eV Ga 0.68In 0.32As 0.35P 0.65 solar cell without an anti-reflection coating with a short-circuit current density, open-circuit voltage, fill factor, and efficiency of 12.23 mA/cm2, 1.12 V, 86.18%, and 11.80%, respectively.« less

  14. GaAs core--shell nanowires for photovoltaic applications.

    PubMed

    Czaban, Josef A; Thompson, David A; LaPierre, Ray R

    2009-01-01

    We report the use of Te as an n-type dopant in GaAs core-shell p-n junction nanowires for use in photovoltaic devices. Te produced significant change in the morphology of GaAs nanowires grown by the vapor-liquid-solid process in a molecular beam epitaxy system. The increase in radial growth of nanowires due to the surfactant effect of Te had a significant impact on the operating characteristics of photovoltaic devices. A decrease in solar cell efficiency occurred when the Te-doped GaAs growth duration was increased.

  15. Role of many-body effects in the coherent dynamics of excitons in low-temperature-grown GaAs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Webber, D.; Hacquebard, L.; Hall, K. C.

    2015-10-05

    Femtosecond four-wave mixing experiments on low-temperature-grown (LT-) GaAs indicate a polarization-dependent nonlinear optical response at the exciton, which we attribute to Coulomb-mediated coupling between excitons and electron-hole pairs simultaneously excited by the broad-bandwidth laser pulses. Strong suppression of the exciton response through screening by carriers injected by a third pump pulse was observed, an effect that is transient due to rapid carrier trapping. Our findings highlight the need to account for the complex interplay of disorder and many-body effects in the design of ultrafast optoelectronic devices using this material.

  16. Quality-enhanced In{sub 0.3}Ga{sub 0.7}As film grown on GaAs substrate with an ultrathin amorphous In{sub 0.6}Ga{sub 0.4}As buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gao, Fangliang; Li, Guoqiang, E-mail: msgli@scut.edu.cn

    2014-01-27

    Using low-temperature molecular beam epitaxy, amorphous In{sub 0.6}Ga{sub 0.4}As layers have been grown on GaAs substrates to act as buffer layers for the subsequent epitaxial growth of In{sub 0.3}Ga{sub 0.7}As films. It is revealed that the crystallinity of as-grown In{sub 0.3}Ga{sub 0.7}As films is strongly affected by the thickness of the large-mismatched amorphous In{sub 0.6}Ga{sub 0.4}As buffer layer. Given an optimized thickness of 2 nm, this amorphous In{sub 0.6}Ga{sub 0.4}As buffer layer can efficiently release the misfit strain between the In{sub 0.3}Ga{sub 0.7}As epi-layer and the GaAs substrate, trap the threading and misfit dislocations from propagating to the following In{sub 0.3}Ga{submore » 0.7}As epi-layer, and reduce the surface fluctuation of the as-grown In{sub 0.3}Ga{sub 0.7}As, leading to a high-quality In{sub 0.3}Ga{sub 0.7}As film with competitive crystallinity to that grown on GaAs substrate using compositionally graded In{sub x}Ga{sub 1-x}As metamorphic buffer layers. Considering the complexity of the application of the conventional In{sub x}Ga{sub 1-x}As graded buffer layers, this work demonstrates a much simpler approach to achieve high-quality In{sub 0.3}Ga{sub 0.7}As film on GaAs substrate and, therefore, is of huge potential for the InGaAs-based high-efficiency photovoltaic industry.« less

  17. GaAs quantum dots in a GaP nanowire photodetector

    NASA Astrophysics Data System (ADS)

    Kuyanov, P.; McNamee, S. A.; LaPierre, R. R.

    2018-03-01

    We report the structural, optical and electrical properties of GaAs quantum dots (QDs) embedded along GaP nanowires. The GaP nanowires contained p-i-n junctions with 15 consecutively grown GaAs QDs within the intrinsic region. The nanowires were grown by molecular beam epitaxy using the self-assisted vapor-liquid-solid process. The crystal structure of the NWs alternated between twinned ZB and WZ as the composition along the NW alternated between the GaP barriers and the GaAs QDs, respectively, leading to a polytypic structure with a periodic modulation of the NW sidewall facets. Photodetector devices containing QDs showed absorption beyond the bandgap of GaP in comparison to nanowires without QDs. Voltage-dependent measurements suggested a field emission process of carriers from the QDs.

  18. Theoretical and experimental study of highly textured GaAs on silicon using a graphene buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alaskar, Yazeed; Arafin, Shamsul; Lin, Qiyin

    2015-09-01

    A novel heteroepitaxial growth technique, quasi-van der Waals epitaxy, promises the ability to deposit three-dimensional GaAs materials on silicon using two-dimensional graphene as a buffer layer by overcoming the lattice and thermal expansion mismatch. In this study, density functional theory (DFT) simulations were performed to understand the interactions at the GaAs/graphene hetero-interface as well as the growth orientations of GaAs on graphene. To develop a better understanding of the molecular beam epitaxy-grown GaAs films on graphene, samples were characterized by x-ray diffraction (..theta..-2..theta.. scan, ..omega..-scan, grazing incidence XRD and pole figure measurement) and transmission electron microscopy. The realizations of smoothmore » GaAs films with a strong (111) oriented fiber-texture on graphene/silicon using this deposition technique are a milestone towards an eventual demonstration of the epitaxial growth of GaAs on silicon, which is necessary for integrated photonics application.« less

  19. Terahertz-radiation generation and detection in low-temperature-grown GaAs epitaxial films on GaAs (100) and (111)A substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Galiev, G. B.; Pushkarev, S. S., E-mail: s-s-e-r-p@mail.ru; Buriakov, A. M.

    The efficiency of the generation and detection of terahertz radiation in the range up to 3 THz by LT-GaAs films containing equidistant Si doping δ layers and grown by molecular beam epitaxy on GaAs (100) and (111)Ga substrates is studied by terahertz spectroscopy. Microstrip photoconductive antennas are fabricated on the film surface. Terahertz radiation is generated by exposure of the antenna gap to femtosecond optical laser pulses. It is shown that the intensity of terahertz radiation from the photoconductive antenna on LT-GaAs/GaAs (111)Ga is twice as large as the intensity of a similar antenna on LT-GaAs/GaAs(100) and the sensitivity ofmore » the antenna on LT-GaAs/GaAs (111)Ga as a terahertz-radiation detector exceeds that of the antenna on LT-GaAs/GaAs(100) by a factor of 1.4.« less

  20. Electrodeposition of Metal on GaAs Nanowires

    NASA Astrophysics Data System (ADS)

    Liu, Chao; Einabad, Omid; Watkins, Simon; Kavanagh, Karen

    2010-10-01

    Copper (Cu) electrical contacts to freestanding gallium arsenide (GaAs) nanowires have been fabricated via electrodeposition. The nanowires are zincblende (111) oriented grown epitaxially on n-type Si-doped GaAs (111)B substrates by gold-catalyzed Vapor Liquid Solid (VLS) growth in a metal organic vapour phase epitaxy (MOVPE) reactor. The epitaxial electrodeposition process, based on previous work with bulk GaAs substrates, consists of a substrate oxide pre-etch in dilute ammonium-hydroxide carried out prior to galvanostatic electrodeposition in a pure Cu sulphate aqueous electrolyte at 20-60^oC. For GaAs nanowires, we find that Cu or Fe has a preference for growth on the gold catalyst avoiding the sidewalls. After removing gold, both metals still prefer to grow only on top of the nanowire, which has the largest potential field.

  1. Time-resolved photoluminescence characterization of GaAs nanowire arrays on native substrate

    NASA Astrophysics Data System (ADS)

    Dagytė, Vilgailė; Barrigón, Enrique; Zhang, Wei; Zeng, Xulu; Heurlin, Magnus; Otnes, Gaute; Anttu, Nicklas; Borgström, Magnus T.

    2017-12-01

    Time-resolved photoluminescence (TRPL) measurements of nanowires (NWs) are often carried out on broken-off NWs in order to avoid the ensemble effects as well as substrate contribution. However, the development of NW-array solar cells could benefit from non-destructive optical characterization to allow faster feedback and further device processing. With this work, we show that different NW array and substrate spectral behaviors with delay time and excitation power can be used to determine which part of the sample dominates the detected spectrum. Here, we evaluate TRPL characterization of dense periodic as-grown GaAs NW arrays on a p-type GaAs substrate, including a sample with uncapped GaAs NWs and several samples passivated with AlGaAs radial shell of varied composition and thickness. We observe a strong spectral overlap of substrate and NW signals and find that the NWs can absorb part of the substrate luminescence signal, thus resulting in a modified substrate signal. The level of absorption depends on the NW-array geometry, making a deconvolution of the NW signal very difficult. By studying TRPL of substrate-only and as-grown NWs at 770 and 400 nm excitation wavelengths, we find a difference in spectral behavior with delay time and excitation power that can be used to assess whether the signal is dominated by the NWs. We find that the NW signal dominates with 400 nm excitation wavelength, where we observe two different types of excitation power dependence for the NWs capped with high and low Al composition shells. Finally, from the excitation power dependence of the peak TRPL signal, we extract an estimate of background carrier concentration in the NWs.

  2. Temperature Dependences of the Product of the Differential Resistance by the Area in MIS-Structures Based on Cd x Hg1- x Te Grown by Molecularbeam Epitaxy on Alternative Si and GaAs Substrates

    NASA Astrophysics Data System (ADS)

    Voitsekhovskii, A. V.; Nesmelov, S. N.; Dzyadukh, S. M.; Varavin, V. S.; Vasil'ev, V. V.; Dvoretskii, S. A.; Mikhailov, N. N.; Yakushev, M. V.; Sidorov, G. Yu.

    2017-06-01

    In a temperature range of 9-200 K, temperature dependences of the differential resistance of space-charge region in the strong inversion mode are experimentally studied for MIS structures based on CdxHg1-xTe (x = 0.22-0.40) grown by molecular-beam epitaxy. The effect of various parameters of structures: the working layer composition, the type of a substrate, the type of insulator coating, and the presence of a near-surface graded-gap layer on the value of the product of differential resistance by the area is studied. It is shown that the values of the product RSCRA for MIS structures based on n-CdHgTe grown on a Si(013) substrate are smaller than those for structures based on the material grown on a GaAs(013) substrate. The values of the product RSCRA for MIS structures based on p-CdHgTe grown on a Si(013) substrate are comparable with the value of the analogous parameter for MIS structures based on p-CdHgTe grown on a GaAs(013) substrate.

  3. Doping assessment in GaAs nanowires.

    PubMed

    Goktas, N Isik; Fiordaliso, E M; LaPierre, R R

    2018-06-08

    Semiconductor nanowires (NWs) are a candidate technology for future optoelectronic devices. One of the critical issues in NWs is the control of impurity doping for the formation of p-n junctions. In this study, beryllium (p-type dopant) and tellurium (n-type dopant) in self-assisted GaAs NWs was studied. The GaAs NWs were grown on (111) Si by molecular beam epitaxy using the self-assisted method. The dopant incorporation in the self-assisted GaAs NWs was investigated using Raman spectroscopy, photoluminescence, secondary ion mass spectrometry and electron holography. Be-doped NWs showed similar carrier concentration as compared to thin film (TF) standards. However, Te-doped NWs showed at least a one order of magnitude lower carrier concentration as compared to TF standards. Dopant incorporation mechanisms in NWs are discussed.

  4. Doping assessment in GaAs nanowires

    NASA Astrophysics Data System (ADS)

    Isik Goktas, N.; Fiordaliso, E. M.; LaPierre, R. R.

    2018-06-01

    Semiconductor nanowires (NWs) are a candidate technology for future optoelectronic devices. One of the critical issues in NWs is the control of impurity doping for the formation of p–n junctions. In this study, beryllium (p-type dopant) and tellurium (n-type dopant) in self-assisted GaAs NWs was studied. The GaAs NWs were grown on (111) Si by molecular beam epitaxy using the self-assisted method. The dopant incorporation in the self-assisted GaAs NWs was investigated using Raman spectroscopy, photoluminescence, secondary ion mass spectrometry and electron holography. Be-doped NWs showed similar carrier concentration as compared to thin film (TF) standards. However, Te-doped NWs showed at least a one order of magnitude lower carrier concentration as compared to TF standards. Dopant incorporation mechanisms in NWs are discussed.

  5. Atomic structure and stoichiometry of In(Ga)As/GaAs quantum dots grown on an exact-oriented GaP/Si(001) substrate

    NASA Astrophysics Data System (ADS)

    Schulze, C. S.; Huang, X.; Prohl, C.; Füllert, V.; Rybank, S.; Maddox, S. J.; March, S. D.; Bank, S. R.; Lee, M. L.; Lenz, A.

    2016-04-01

    The atomic structure and stoichiometry of InAs/InGaAs quantum-dot-in-a-well structures grown on exactly oriented GaP/Si(001) are revealed by cross-sectional scanning tunneling microscopy. An averaged lateral size of 20 nm, heights up to 8 nm, and an In concentration of up to 100% are determined, being quite similar compared with the well-known quantum dots grown on GaAs substrates. Photoluminescence spectra taken from nanostructures of side-by-side grown samples on GaP/Si(001) and GaAs(001) show slightly blue shifted ground-state emission wavelength for growth on GaP/Si(001) with an even higher peak intensity compared with those on GaAs(001). This demonstrates the high potential of GaP/Si(001) templates for integration of III-V optoelectronic components into silicon-based technology.

  6. In-situ ellipsometric studies of optical and surface properties of GaAs(100) at elevated temperatures

    NASA Technical Reports Server (NTRS)

    Yao, Huade; Snyder, Paul G.

    1991-01-01

    A rotating-polarizer ellipsometer was attached to an ultrahigh vacuum (UHV) chamber. A GaAs(100) sample was introduced into the UHV chamber and heated at anumber of fixed elevated temperatures, without arsenic overpressure. In-situ spectroscopic ellipsometric (SE) measurements were taken, through a pair of low-strain quartz windows, to monitor the surface changes and measure the pseudodielectric functions at elevated temperatures. Real-time data from GaAs surface covered with native oxide showed clearly the evolution of oxide desorption at approximately 580 C. In addition, surface degradation was found before and after the oxide desorption. An oxide free and smooth GaAs surface was obtained by depositing an arsenic protective coating onto a molecular beam epitaxy grown GaAs surface. The arsenic coating was evaporated immediately prior to SE measurements. A comparison showed that our room temperature data from this GaAs surface, measured in the UHV, are in good agreement with those in the literature obtained by wet-chemical etching. The surface also remained clean and smooth at higher temperatures, so that reliable temperature-dependent dielectric functions were obtained.

  7. Fermi energy control of vacancy coalescence and dislocation density in melt-grown GaAs

    NASA Technical Reports Server (NTRS)

    Lagowski, J.; Gatos, H. C.; Lin, D. G.; Aoyama, T.

    1984-01-01

    A striking effect of the Fermi energy on the dislocation density in melt-grown GaAs has been discovered. Thus, a shift of the Fermi energy from 0.1 eV above to 0.2 eV below its intrinsic value (at high temperature, i.e., near 1100 K) increases the dislocation density by as much as five orders of magnitude. The Fermi energy shift was brought about by n-type and p-type doping at a level of about 10 to the 17th per cu cm (under conditions of optimum partial pressure of As, i.e., under optimum melt stoichiometry). This effect must be associated with the fact that the Fermi energy controls the charge state of vacancies (i.e., the occupancy of the associated electronic states) which in turn must control their tendency to coalesce and thus the dislocation density. It appears most likely that gallium vacancies are the critical species.

  8. Optical Properties of Zinc Selenide Grown Using Molecular Beam Deposition Techniques

    DTIC Science & Technology

    1989-06-01

    studied were grown using a standard MBE machine with insitu diagnostics. The ZnSe material used for growing the samples is highly pure polycrystalline...width of the interference maxima n can be found from equation (1). Beyond 550 nm absorption is varying rapidly and this will cause Tmax to vary...nonlinearity Is utilized - such as in an optically bistable switch. It is known from previous work on ZnSe grown on GaAs 113] that the material begins growing

  9. Design and fabrication of GaAs OMIST photodetector

    NASA Astrophysics Data System (ADS)

    Kang, Xuejun; Lin, ShiMing; Liao, Qiwei; Gao, Junhua; Liu, Shi'an; Cheng, Peng; Wang, Hongjie; Zhang, Chunhui; Wang, Qiming

    1998-08-01

    We designed and fabricated GaAs OMIST (Optical-controlled Metal-Insulator-Semiconductor Thyristor) device. Using oxidation of AlAs layer that is grown by MBE forms the Ultra- Thin semi-Insulating layer (UTI) of the GAAS OMIST. The accurate control and formation of high quality semi-insulating layer (AlxOy) are the key processes for fabricating GaAs OMIST. The device exhibits a current-controlled negative resistance region in its I-V characteristics. When illuminated, the major effect of optical excitation is the reduction of the switching voltage. If the GaAs OMIST device is biased at a voltage below its dark switching voltage Vs, sufficient incident light can switch OMIST from high impedance low current 'off' state to low impedance high current 'on' state. The absorbing material of OMIST is GaAS, so if the wavelength of incident light within 600 to approximately 850 nm can be detected effectively. It is suitable to be used as photodetector for digital optical data process. The other attractive features of GaAs OMIST device include suitable conducted current, switching voltage and power levels for OEIC, high switch speed and high sensitivity to light or current injection.

  10. Atomic structure and stoichiometry of In(Ga)As/GaAs quantum dots grown on an exact-oriented GaP/Si(001) substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schulze, C. S.; Prohl, C.; Füllert, V.

    2016-04-04

    The atomic structure and stoichiometry of InAs/InGaAs quantum-dot-in-a-well structures grown on exactly oriented GaP/Si(001) are revealed by cross-sectional scanning tunneling microscopy. An averaged lateral size of 20 nm, heights up to 8 nm, and an In concentration of up to 100% are determined, being quite similar compared with the well-known quantum dots grown on GaAs substrates. Photoluminescence spectra taken from nanostructures of side-by-side grown samples on GaP/Si(001) and GaAs(001) show slightly blue shifted ground-state emission wavelength for growth on GaP/Si(001) with an even higher peak intensity compared with those on GaAs(001). This demonstrates the high potential of GaP/Si(001) templates for integration ofmore » III-V optoelectronic components into silicon-based technology.« less

  11. Lateral epitaxial overgowth of GaAs by organometallic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Gale, R. P.; Mcclelland, R. W.; Fan, J. C. C.; Bozler, C. O.

    1982-01-01

    Lateral epitaxial overgrowth of GaAs by organometallic chemical vapor deposition has been demonstrated. Pyrolytic decomposition of trimethylgallium and arsine, without the use of HCl, was used to deposit GaAs on substrates prepared by coating (110) GaAs wafers with SiO2, then using photolithography to open narrow stripes in the oxide. Lateral overgrowth was seeded by epitaxial deposits formed on the GaAs surfaces exposed by the stripe openings. The extent of lateral overgrowth was investigated as a function of stripe orientation and growth temperature. Ratios of lateral to vertical growth rates greater than five have been obtained. The lateral growth is due to surface-kinetic control for the two-dimensional growth geometry studied. A continuous epitaxial GaAs layer 3 microns thick has been grown over a patterned mask on a GaAs substrate and then cleaved from the substrate.

  12. Wafer-scale layer transfer of GaAs and Ge onto Si wafers using patterned epitaxial lift-off

    NASA Astrophysics Data System (ADS)

    Mieda, Eiko; Maeda, Tatsuro; Miyata, Noriyuki; Yasuda, Tetsuji; Kurashima, Yuichi; Maeda, Atsuhiko; Takagi, Hideki; Aoki, Takeshi; Yamamoto, Taketsugu; Ichikawa, Osamu; Osada, Takenori; Hata, Masahiko; Ogawa, Arito; Kikuchi, Toshiyuki; Kunii, Yasuo

    2015-03-01

    We have developed a wafer-scale layer-transfer technique for transferring GaAs and Ge onto Si wafers of up to 300 mm in diameter. Lattice-matched GaAs or Ge layers were epitaxially grown on GaAs wafers using an AlAs release layer, which can subsequently be transferred onto a Si handle wafer via direct wafer bonding and patterned epitaxial lift-off (ELO). The crystal properties of the transferred GaAs layers were characterized by X-ray diffraction (XRD), photoluminescence, and the quality of the transferred Ge layers was characterized using Raman spectroscopy. We find that, after bonding and the wet ELO processes, the quality of the transferred GaAs and Ge layers remained the same compared to that of the as-grown epitaxial layers. Furthermore, we realized Ge-on-insulator and GaAs-on-insulator wafers by wafer-scale pattern ELO technique.

  13. Defect interactions in GaAs single crystals

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.; Lagowski, J.

    1984-01-01

    The two-sublattice structural configuration of GaAs and deviations from stoichiometry render the generation and interaction of electrically active point defects (and point defect complexes) critically important for device applications and very complex. Of the defect-induced energy levels, those lying deep into the energy band are very effective lifetime ""killers". The level 0.82 eV below the condition band, commonly referred to as EL2, is a major deep level, particularly in melt-grown GaAs. This level is associated with an antisite defect complex (AsGa - VAS). Possible mechanisms of its formation and its annihilation were further developed.

  14. Luminescence and Electroluminescence of Nd, Tm and Yb Doped GaAs and some II-Vi Compounds

    DTIC Science & Technology

    1994-02-28

    from the bandgap discontinuity (as was proposed in my publications [1,2]). Also, by using superlattice structure A1GaAs / GaAs: Er / AlGaAs, we could...n ipact ightemiting evic 10 3. The AlGaAs/GaAs: Er/A1GaAs superlattice structure. For the first time we designed the unipolar n’ - superlattice - n...structure as shown in Figure 5. The GaAs: Er/Alo.45Gao.55As superlattice was grown by MBE on an n’ GaAs: Si substrate. It consisted of 60 periods of

  15. LEED and AES characterization of the GaAs(110)-ZnSe interface

    NASA Technical Reports Server (NTRS)

    Tu, D.-W.; Kahn, A.

    1984-01-01

    In this paper, a study is conducted of the composition and structure of epitaxial ZnSe films grown by congruent evaporation on GaAs(110) at a rate of 2 A/min. It is found that the films grown on 300 C GaAs are nearly stoichiometric and form an abrupt interface with the substrate. Films grown at higher temperature (T greater than 350-400 C) are Se rich. The crystallinity of films grown at 300 C is good and their surface atomic geometry is identical to that of a ZnSe crystal. The GaAs-ZnSe interface geometry seems to be dominated by the Se-substrate bonds. The adsorption of Se, during the formation of very thin ZnSe films (2-3 A), produces a (1 x 2) LEED pattern and modifications of the LEED I-V profiles, which probably indicate a change in the substrate atomic relaxation.

  16. Structural, optical and electrical properties of well-ordered ZnO nanowires grown on (1 1 1) oriented Si, GaAs and InP substrates by electrochemical deposition method

    NASA Astrophysics Data System (ADS)

    Pham, Huyen T.; Nguyen, Tam D.; Tran, Dat Q.; Akabori, Masashi

    2017-05-01

    ZnO semiconductors, especially in form of nanomaterials, possess many excellent properties and have been employed in many applications. In this article, we reported the selective area growth of ZnO nanowires on different (1 1 1) oriented Si, GaAs, and first time on InP substrates by electrochemical deposition method without any seed layers, using zinc nitrate hexahydrate precursor in the presence of hexamethylenetetramine. The position, density and orientation of such ZnO nanowires were controlled by the substrate patterning technique using electron-beam lithography. As-synthesized ZnO nanowires grown on patterned substrates show smaller diameter, higher density and better orientation, compared to the one grown on unpatterned substrates. In particular, the ZnO nanowires grown on GaAs patterned substrate indicate the best morphological property, with the average diameter, length and density of about 100 nm, 2.4 µm and 35 µm-2, respectively. The x-ray diffraction and Raman scattering also demonstrate high crystalline quality of our ZnO nanowires. Moreover, as-reported ZnO nanowires are also conductive, which would allow their use in field-effect transistor and other potential nanoscale device applications.

  17. Effect of in situ annealing on the structural and electrical properties and infrared photodetection of III-Sb on GaAs using interfacial misfit array

    NASA Astrophysics Data System (ADS)

    Jia, Bo Wen; Tan, Kian Hua; Loke, Wan Khai; Wicaksono, Satrio; Yoon, Soon Fatt

    2018-01-01

    This work presents the effects of in situ thermal annealing under antimony overpressure on the structural, electrical, and optical properties of III-Sb (GaSb and InSb) grown on (100) GaAs using an interfacial misfit array to accommodate the lattice mismatch. Both the sample growth and the in situ thermal annealing were carried out in the in the molecular beam epitaxy system, and the temperature of the as-grown sample was increased to exceed its growth temperature during the annealing. X-ray diffraction demonstrates nearly fully relaxed as-grown and annealed III-Sb layers. The optimal annealing temperatures and durations are for 590 °C, 5 min for GaSb and 420 °C, 15 min for InSb, respectively. In situ annealing decreased the surface roughness of the III-Sb layers. X-ray reciprocal space mapping and transmission electron microscopy observation showed stable interfacial misfit arrays, and no interfacial diffusion occurred in the annealed III-Sb layers. A Hall measurement of unintentionally doped III-Sb layers showed greater carrier mobility and a lower carrier concentration in the annealed samples at both 77 and 300 K. In situ annealing improved the photoresponsivity of GaSb and InSb photoconductors grown on GaAs in the near- and mid-infrared ranges, respectively.

  18. Efficient Ga(As)Sb quantum dot emission in AlGaAs by GaAs intermediate layer

    NASA Astrophysics Data System (ADS)

    Loeber, Thomas Henning; Richter, Johannes; Strassner, Johannes; Heisel, Carina; Kimmle, Christina; Fouckhardt, Henning

    2013-03-01

    Ga(As)Sb quantum dots (QDs) are epitaxially grown in AlGaAs/GaAs in the Stranski-Krastanov mode. In the recent past we achieved Ga(As)Sb QDs in GaAs with an extremely high dot density of 9.8•1010 cm-2 by optimization of growth temperature, Sb/Ga flux pressure ratio, and coverage. Additionally, the QD emission wavelength could be chosen precisely with these growth parameters in the range between 876 and 1035 nm. Here we report a photoluminescence (PL) intensity improvement for the case with AlGaAs barriers. Again growth parameters and layer composition are varied. The aluminium content is varied between 0 and 90%. Reflectance anisotropy spectroscopy (RAS) is used as insitu growth control to determine growth rate, layer thickness, and AlGaAs composition. Ga(As)Sb QDs, directly grown in AlxGa1-xAs emit no PL signal, even with a very low x ≈ 0.1. With additional around 10 nm thin GaAs intermediate layers between the Ga(As)Sb QDs and the AlGaAs barriers PL signals are detected. Samples with 4 QD layers and AlxGa1-xAs/GaAs barriers in between are grown. The thickness and composition of the barriers are changed. Depending on these values PL intensity is more than 4 times as high as in the case with simple GaAs barriers. With these results efficient Ga(As)Sb QD lasers are realized, so far only with pure GaAs barriers. Our index-guided broad area lasers operate continuous-wave (cw) @ 90 K, emit optical powers of more than 2•50 mW and show a differential quantum efficiency of 54% with a threshold current density of 528 A/cm2.

  19. High Quality GaAs Growth by MBE on Si Using GeSi Buffers and Prospects for Space Photovoltaics

    NASA Technical Reports Server (NTRS)

    Carlin, J. A.; Ringel, S. A.; Fitzgerald, E. A.; Bulsara, M.

    2005-01-01

    III-V solar cells on Si substrates are of interest for space photovoltaics since this would combine high performance space cells with a strong, lightweight and inexpensive substrate. However, the primary obstacles blocking III-V/Si cells from achieving high performance to date have been fundamental materials incompatabilities, namely the 4% lattice mismatch between GaAs and Si, and the large mismatch in thermal expansion coefficient. In this paper, we report on the molecular beam epitaxial (MBE) growth and properties of GaAs layers and single junction GaAs cells on Si wafers which utilize compositionally graded GeSi Intermediate buffers grown by ultra-high vacuum chemical vapor deposition (UHVCVD) to mitigate the large lattice mismatch between GaAs and Si. Ga As cell structures were found to incorporate a threading dislocation density of 0.9-1.5 x 10 (exp 6) per square centimeter, identical to the underlying relaxed Ge cap of the graded buffer, via a combination of transmission electron microscopy, electron beam induced current, and etch pit density measurements. AlGaAs/GaAs double heterostructures wre grown on the GeSi/Si substrates for time-resolved photoluminescence measurements, which revealed a bulk GaAs minority carrier lifetime in excess of 10 ns, the highest lifetime ever reported for GaAs on Si. A series of growth were performed to ass3ss the impact of a GaAs buffer to a thickness of only 0.1 micrometer. Secondary ion mass spectroscopy studies revealed that there is negligible cross diffusion of Ga, As and Ge at he III-V/Ge interface, identical to our earlier findings for GaAs grown on Ge wafers using MBE. This indicates that there is no need for a buffer to "bury" regions of high autodopjing,a nd that either pn or np configuration cells are easily accomodated by these substrates. Preliminary diodes and single junction Al Ga As heteroface cells were grown and fabricated on the Ge/GeSi/Si substrates for the first time. Diodes fabricated on GaAs, Ge and Ge

  20. Magnesium doping of efficient GaAs and Ga(0.75)In(0.25)As solar cells grown by metalorganic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Lewis, C. R.; Ford, C. W.; Werthen, J. G.

    1984-01-01

    Magnesium has been substituted for zinc in GaAs and Ga(0.75)In(0.25)As solar cells grown by metalorganic chemical vapor deposition (MOCVD). Bis(cyclopentadienyl)magnesium (Cp2Mg) is used as the MOCVD transport agent for Mg. Full retention of excellent material quality and efficient cell performance results. The substitution of Mg for Zn would enhance the abruptness and reproducibility of doping profiles, and facilitate high temperature processing and operation, due to the much lower diffusion coefficient of Mg, relative to Zn, in these materials.

  1. Green, yellow and bright red (In,Ga,Al)P-GaP diode lasers grown on high-index GaAs substrates

    NASA Astrophysics Data System (ADS)

    Ledentsov, N. N.; Shchukin, V. A.; Shernyakov, Yu. M.; Kulagina, M. M.; Payusov, A. S.; Gordeev, N. Yu.; Maximov, M. V.; Cherkashin, N. A.

    2017-02-01

    Low threshold current density (<400 A/cm2) injection lasing in (AlxGa1-x)0.5In0.5P-GaAs-based diodes down to the green spectral range (<570 nm) is obtained. The epitaxial structures are grown on high-index (611)A and (211)A GaAs substrates by metal-organic vapor phase epitaxy and contain tensile-strained GaP-enriched insertions aimed at preventing escape of the injected nonequilibrium electrons from the active region. Extended waveguide concept results in a vertical beam divergence with a full width at half maximum of 15o for (611)A substrates. The lasing at 569 nm is realized at 85 K. In the orange-red laser diode structure low threshold current density (200 A/cm2) in the orange spectral range (598 nm) is realized at 85 K. The latter devices demonstrate room temperature lasing at 628 nm at 2 kA/cm2 and a total power above 3W. The red laser diodes grown on (211)A substrates demonstrate vertically multimode lasing far field pattern indicating a lower optical confinement factor for the fundamental mode as compared to the devices grown on (611)A. However the temperature stability of the threshold current and the wavelength stability are significantly higher for (211)A-grown structures in agreement with the conduction band modeling data.

  2. Effect of carbon tetrabromide on the morphology of GaAs nanowires.

    PubMed

    Salehzadeh, O; Watkins, S P

    2011-04-22

    Carbon is a commonly used p-type dopant in planar III-V semiconductors, however its use in nanowire (NW) growth has been much less reported. In this work we show that the morphology of gold assisted GaAs NWs can be strongly modified by the presence of CBr(4) vapor during growth by metalorganic vapor phase epitaxy. GaAs NWs were grown under conditions which result in strong tapering and lateral growth at low growth temperatures by the use of triethylgallium (TEGa) instead of the more usual precursor, trimethylgallium (TMGa). Under these conditions, NWs grown in the presence of CBr(4) exhibit higher axial and lower radial growth rates, and negligible tapering compared with NWs grown in the absence of CBr(4) under the same conditions. We attribute this primarily to the suppression of the 2d growth rate by CBr(4), which enhances the axial growth rate of the nanowires. NWs grown with CBr(4) show stacking-fault-free zincblende structure, while the NWs grown without CBr(4) show a high density of stacking faults. This work underlines the striking effects which precursor chemistry can have on nanowire morphology.

  3. Photoluminescence spectroscopy and the effective mass theory of strained (In,Ga)As/GaAs heterostructures grown on (112)B GaAs substrates

    NASA Technical Reports Server (NTRS)

    Henderson, R. H.; Sun, D.; Towe, E.

    1995-01-01

    The photoluminescence characteristics of pseudomorphic In(0.19)Ga(0.81)As/GaAs quantum well structures grown on both the conventional (001) and the unconventional (112)B GaAs substrate are investigated. It is found that the emission spectra of the structures grown on the (112)B surface exhibit some spectral characteristics not observed on similar structures grown on the (001) surface. A spectral blue shift of the e yields hh1 transition with increasing optical pump intensity is observed for the quantum wells on the (112) surface. This shift is interpreted to be evidence of a strain-induced piezoelectric field. A second spectral feature located within the band gap of the In(0.19)Ga(0.81)As layer is also observed for the (112) structure; this feature is thought to be an impurity-related emission. The expected transition energies of the quantum well structures are calculated using the effective mass theory based on the 4 x 4 Luttinger valence band Hamiltonian, and related strain Hamiltonian.

  4. Probability of twin formation on self-catalyzed GaAs nanowires on Si substrate

    PubMed Central

    2012-01-01

    We attempted to control the incorporation of twin boundaries in self-catalyzed GaAs nanowires (NWs). Self-catalyzed GaAs NWs were grown on a Si substrate under various arsenic pressures using molecular beam epitaxy and the vapor-liquid-solid method. When the arsenic flux is low, wurtzite structures are dominant in the GaAs NWs. On the other hand, zinc blende structures become dominant as the arsenic flux rises. We discussed this phenomenon on the basis of thermodynamics and examined the probability of twin-boundary formation in detail. PMID:23043754

  5. Gettering of donor impurities by V in GaAs and the growth of semi-insulating crystals

    NASA Technical Reports Server (NTRS)

    Ko, K. Y.; Lagowski, J.; Gatos, H. C.

    1989-01-01

    Vanadium added to the GaAs melt getters shallow donor impurities (Si and S) and decreases their concentration in the grown crystals. This gettering is driven by chemical reactions in the melt rather than in the solid. Employing V gettering, reproducibly semi-insulating GaAs were grown by horizontal Bridgman and liquid-encapsulated Czochralski techniques, although V did not introduce any midgap energy levels. The compensation mechanism in these crystals was controlled by the balance between the native midgap donor EL2 and residual shallow acceptors. Vanadium gettering contributed to the reduction of the concentration of shallow donors below the concentration of acceptors. The present findings clarify the long-standing controversy on the role of V in achieving semi-insulating GaAs.

  6. Wafer-Fused Orientation-Patterned GaAs

    DTIC Science & Technology

    2008-02-13

    frequencies utilizing existing industrial foundries. 15. SUBJECT TERMS Orientation-patterned Gallium Arsenide, hydride vapor phase epitaxy, quasi-phase... Gallium Arsenide, hydride vapor phase epitaxy, quasi-phase-matching, nonlinear frequency conversion 1. INTRODUCTION Quasi-phase-matching (QPM)1...and E. Lallier, “Second harmonic generation of CO2 laser using thick quasi-phase-matched GaAs layer grown by hydride vapour phase epitaxy

  7. GaAs Photovoltaics on Polycrystalline Ge Substrates

    NASA Technical Reports Server (NTRS)

    Wilt, David M.; Pal, AnnaMaria T.; McNatt, Jeremiah S.; Wolford, David S.; Landis, Geoffrey A.; Smith, Mark A.; Scheiman, David; Jenkins, Phillip P.; McElroy Bruce

    2007-01-01

    High efficiency III-V multijunction solar cells deposited on metal foil or even polymer substrates can provide tremendous advantages in mass and stowage, particularly for planetary missions. As a first step towards that goal, poly-crystalline p/i/n GaAs solar cells are under development on polycrystalline Ge substrates. Organo Metallic Vapor Phase Epitaxy (OMVPE) parameters for pre-growth bake, nucleation and deposition have been examined. Single junction p/i/n GaAs photovoltaic devices, incorporating InGaP front and back window layers, have been grown and processed. Device performance has shown a dependence upon the thickness of a GaAs buffer layer deposited between the Ge substrate and the active device structure. A thick (2 m) GaAs buffer provides for both increased average device performance as well as reduced sensitivity to variations in grain size and orientation. Illumination under IR light (lambda > 1 micron), the cells showed a Voc, demonstrating the presence of an unintended photoactive junction at the GaAs/Ge interface. The presence of this junction limited the efficiency to approx.13% (estimated with an anti-refection coating) due to the current mismatch and lack of tunnel junction interconnect.

  8. Substrate temperature dependence of ZnTe epilayers grown on GaAs(0 0 1) by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Zhao, Jie; Zeng, Yiping; Liu, Chao; Li, Yanbo

    2010-04-01

    ZnTe thin films have been grown on GaAs(0 0 1) substrates at different temperatures with constant Zn and Te beam equivalent pressures (BEPs) by molecular beam epitaxy (MBE). In situ reflection high-energy electron diffraction (RHEED) observation indicates that two-dimensional (2D) growth mode can be established after around one-minute three-dimensional (3D) nucleation by increasing the substrate temperature to 340 °C. We found that Zn desorption from the ZnTe surface is much greater than that of Te at higher temperatures, and estimated the Zn sticking coefficient by the evolution of growth rate. The Zn sticking coefficient decreases from 0.93 to 0.58 as the temperature is elevated from 320 to 400 °C. The ZnTe epilayer grown at 360 °C displays the narrowest full-width at half-maximum (FWHM) of 660 arcsec from (0 0 4) reflection in double-crystal X-ray rocking curve (DCXRC) measurements. The surface morphology of ZnTe epilayers is strongly dependent on the substrate temperature, and the root-mean-square (RMS) roughness diminishes drastically with the increase in temperature.

  9. Defects in GaAs films grown by MOMBE

    NASA Astrophysics Data System (ADS)

    Werner, K.; Heinecke, H.; Weyers, M.; Lüth, H.; Balk, P.

    1987-02-01

    The nature and densities of the defects obtained in MOMBE GaAs films have been studied. In addition to particulate matter deposited on the surface, imperfections in the substrate will lead to defect generation. Furthermore, the rate of generation is strongly affected by the ratio of the pressures of the group III alkyl and the group V hydride in the molecular beams and by the growth temperature, also on defect-free substrates. Doping has no effect on the defect structure of the surface. By proper choice of experimental conditions defect densities below 100 cm -2 may be consistently obtained.

  10. Conductivity and structure of ErAs nanoparticles embedded in GaAs pn junctions analyzed via conductive atomic force microscopy

    NASA Astrophysics Data System (ADS)

    Park, K. W.; Dasika, V. D.; Nair, H. P.; Crook, A. M.; Bank, S. R.; Yu, E. T.

    2012-06-01

    We have used conductive atomic force microscopy to investigate the influence of growth temperature on local current flow in GaAs pn junctions with embedded ErAs nanoparticles grown by molecular beam epitaxy. Three sets of samples, one with 1 ML ErAs deposited at different growth temperatures and two grown at 530 °C and 575 °C with varying ErAs depositions, were characterized. Statistical analysis of local current images suggests that the structures grown at 575 °C have about 3 times thicker ErAs nanoparticles than structures grown at 530 °C, resulting in degradation of conductivity due to reduced ErAs coverage. These findings explain previous studies of macroscopic tunnel junctions.

  11. Strain relaxation induced surface morphology of heterogeneous GaInNAs layers grown on GaAs substrate

    NASA Astrophysics Data System (ADS)

    Gelczuk, Ł.; Jóźwiak, G.; Moczała, M.; Dłużewski, P.; Dąbrowska-Szata, M.; Gotszalk, T. P.

    2017-07-01

    The partially-relaxed heterogeneous GaInNAs layers grown on GaAs substrate by atmospheric pressure vapor phase epitaxy (AP-MOVPE) were investigated by transmission electron microscopy (TEM) and atomic force microscopy (AFM). The planar-view TEM image shows a regular 2D network of misfit dislocations oriented in two orthogonal 〈1 1 0〉 crystallographic directions at the (0 0 1) layer interface. Moreover, the cross-sectional view TEM image reveals InAs-rich and V-shaped precipitates in the near surface region of the GaInNAs epitaxial layer. The resultant undulating surface morphology, known as a cross-hatch pattern, is formed as observed by AFM. The numerical analysis of the AFM image of the GaInNAs layer surface with the well-defined cross-hatch morphology enabled us to determine a lower bound of actual density of misfit dislocations. However, a close correspondence between the asymmetric distribution of interfacial misfit dislocations and undulating surface morphology is observed.

  12. Oxygen in GaAs - Direct and indirect effects

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.; Skowronski, M.; Pawlowicz, L.; Lagowski, J.

    1984-01-01

    Oxygen has profound effects on the key electronic properties and point defects of GaAs crystals. Thus, when added in the growth system, it decreases the free electron concentration and enhances the concentration of deep donors in the resulting crystals. Both of these effects are highly beneficial for achieving semi-insulating material and have been utilized for that purpose. They have been attributed to the tendency of oxygen to getter silicon impurities during crystal growth. Only recently, it has been found that oxygen in GaAs introduces also a midgap level, ELO, with essentially the same activation energy as EL2 but with four times greater electron capture cross section. The present report reassesses the electrical and optical properties of the midgap levels in GaAs crystals grown by the horizontal Bridgman (HB) and the Czochralski-LEC techniques. Emphasis is placed on the identification of the specific effects of ELO.

  13. Growth of semimetallic ErAs films epitaxially embedded in GaAs

    NASA Astrophysics Data System (ADS)

    Crook, Adam M.; Nair, Hari P.; Lee, Jong H.; Ferrer, Domingo A.; Akinwande, Deji; Bank, Seth R.

    2011-10-01

    We present models for the growth and electrical conductivity of ErAs films grown with the nanoparticle-seeded film growth technique. This growth mode overcomes the mismatch in rotational symmetry between the rocksalt ErAs crystal structure and the zincblende GaAs crystal structure. This results in films of ErAs grown through a thin film of GaAs that preserves the symmetry of the substrate. The conductivity of the films, as a function of film thickness, are investigated and a surface roughness model is used to explain observed trends. Transmission electron micrographs confirm the suppression of anti-phase domains. A simple diffusion model is developed to describe the diffusion and incorporation of surface erbium into subsurface ErAs layers and predict potential failure mechanisms of the growth method.

  14. Shubnikov-de Haas measurements of the 2-D electron gas in pseudomorphic In(0.1)Ga(0.9)As grown on GaAs

    NASA Technical Reports Server (NTRS)

    Szydlic, P. P.; Alterovitz, S. A.; Haugland, E. J.; Segall, B.; Henderson, T. S.

    1988-01-01

    Shubnikov-de Hass (SdH) measurements performed on a 200 A layer of pseudomorphic In(0.10)Ga(0.90)As grown by MBE on undoped GaAs with an overlayer of Al(0.15)Ga(0.85)As are presented. These measurements were performed in magnetic fields up to 1.4 tesla at T in the range of 1.4-10 K. It was found that only one subband was populated with a density of 5.8 x 10 to the 11/cm-squared and an effective mass at the Fermi level m(asterisk) = (0.060 + or - 0.001)m(0).

  15. Annealing studies of heteroepitaxial InSbN on GaAs grown by molecular beam epitaxy for long-wavelength infrared detectors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Patra, Nimai C.; Bharatan, Sudhakar; Li Jia

    2012-10-15

    We report the effect of annealing on the structural, vibrational, electrical, and optical properties of heteropepitaxially grown InSbN epilayers on GaAs substrate by molecular beam epitaxy for long-wavelength infrared detector applications. As-grown epilayers exhibited high N incorporation in the both substitutional and interstitial sites, with N induced defects as evidenced from high resolution x-ray diffraction, secondary ion mass spectroscopy, and room temperature (RT) micro-Raman studies. The as-grown optical band gap was observed at 0.132 eV ({approx}9.4 {mu}m) and the epilayer exhibited high background carrier concentration at {approx}10{sup 18} cm{sup -3} range with corresponding mobility of {approx}10{sup 3} cm{sup 2}/Vs. Exmore » situ and in situ annealing at 430 Degree-Sign C though led to the loss of N but improved InSb quality due to effective annihilation of N related defects and other lattice defects attested to enhanced InSb LO phonon modes in the corresponding Raman spectra. Further, annealing resulted in the optical absorption edge red shifting to 0.12 eV ({approx}10.3 {mu}m) and the layers were characterized by reduced background carrier concentration in the {approx}10{sup 16} cm{sup -3} range with enhanced mobility in {approx}10{sup 4} cm{sup 2}/Vs range.« less

  16. Low Temperature Grown and Highly Non-Stoichiometric GaAs and Related Materials

    DTIC Science & Technology

    1994-08-03

    Ser. No. 67 (1983), p. 285.attributed to the nonuniformity of crystal growth 2T. Figielski, T. Wonsinski and A. Mokosa, Phys. Stat. Solidi (a) condition...1.75 pyramidal defect distribution was nonuniform in this pm; sample C, 1.6 pm; and sample D, 0.95 prm. Each sample, the defects being separated...the layers grown on [0011 oriented substrates whereas growth on the near [1101 substrates resulted in compositional nonuniformities , macrosteps for

  17. Nitridation of porous GaAs by an ECR ammonia plasma

    NASA Astrophysics Data System (ADS)

    Naddaf, M.; Hullavarad, S. S.; Ganesan, V.; Bhoraskar, S. V.

    2006-02-01

    The effect of surface porosity of GaAs on the nature of growth of GaN, by use of plasma nitridation of GaAs, has been investigated. Porous GaAs samples were prepared by anodic etching of n-type (110) GaAs wafers in HCl solution. Nitridation of porous GaAs samples were carried out by using an electron-cyclotron resonance-induced ammonia plasma. The formation of mixed phases of GaN was investigated using the grazing angle x-ray diffraction method. A remarkable improvement in the intensity of photoluminescence (PL) compared with that of GaN synthesized by direct nitriding of GaAs surface has been observed. The PL intensity of nitrided porous GaAs at the temperature of 380 °C was found to be about two orders of magnitude higher as compared with the directly nitrided GaAs at the temperature of 500 °C. The changes in the morphology of nitrided porous GaAs have been investigated using both scanning electron microscopy and atomic force microscopy.

  18. Scaling behavior of GaAs and GaMnAs quantum rings grown by droplet epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Placidi, E.; Dipartimento di Fisica, Universita di Roma 'Tor Vergata,' via della Ricerca Scientifica 1, 00133 Roma Italy; Arciprete, F.

    2012-10-01

    The transition from the liquid phase of Ga droplets to the formation of GaAs and GaMnAs quantum rings has been studied as a function of temperature. We show that different aggregation processes involve the GaAs (GaMnAs) island and the droplet formation. Furthermore, the aspect ratio of the islands exhibits an anomalous scaling law related to a tendency to aggregate in the vertical direction.

  19. Bulk unipolar diodes formed in GaAs by ion implantation

    NASA Astrophysics Data System (ADS)

    Hutchinson, S.; Kelly, M. J.; Gwilliam, R.; Sealy, B. J.; Carr, M.

    1999-01-01

    In an attempt to emulate epitaxially manufactured semiconductor multilayers for microwave device applications, we have produced a camel diode structure in GaAs for the first time, using the tail of a Mg + implant into a molecular beam epitaxially grown n +-n --n + structure. Using a range of ion energies and doses, samples are observed to exhibit bulk unipolar diode characteristics. With low dose and energy, a diode with barrier height of ˜0.8 V and ideality factor ˜1.25 is achieved. 'Punch through' diode characteristics are obtained at high ion dose and energy, some with knee voltages in excess of 7 V.

  20. Photoluminescence characteristics of ZnTe bulk crystal and ZnTe epilayer grown on GaAs substrate by MOVPE

    NASA Astrophysics Data System (ADS)

    Lü, Hai-Yan; Mu, Qi; Zhang, Lei; Lü, Yuan-Jie; Ji, Zi-Wu; Feng, Zhi-Hong; Xu, Xian-Gang; Guo, Qi-Xin

    2015-12-01

    Excitation power and temperature-dependent photoluminescence (PL) spectra of the ZnTe epilayer grown on (100) GaAs substrate and ZnTe bulk crystal are investigated. The measurement results show that both the structures are of good structural quality due to their sharp bound excitonic emissions and absence of the deep level structural defect-related emissions. Furthermore, in contrast to the ZnTe bulk crystal, although excitonic emissions for the ZnTe epilayer are somewhat weak, perhaps due to As atoms diffusing from the GaAs substrate into the ZnTe epilayer and/or because of the strain-induced degradation of the crystalline quality of the ZnTe epilayer, neither the donor-acceptor pair (DAP) nor conduction band-acceptor (e-A) emissions are observed in the ZnTe epilayer. This indicates that by further optimizing the growth process it is possible to obtain a high-crystalline quality ZnTe heteroepitaxial layer that is comparable to the ZnTe bulk crystal. Project supported by the Specialized Research Fund for the Doctoral Program of Higher Education of China (Grant No. 20120131110006), the Key Science and Technology Program of Shandong Province, China (Grant No. 2013GGX10221), the Key Laboratory of Functional Crystal Materials and Device (Shandong University, Ministry of Education), China (Grant No. JG1401), the National Natural Science Foundation of China (Grant No. 61306113), the Major Research Plan of the National Natural Science Foundation of China (Grant No. 91433112), and the Partnership Project for Fundamental Technology Researches of the Ministry of Education, Culture, Sports, Science and Technology, Japan.

  1. Investigation of the fabrication mechanism of self-assembled GaAs quantum rings grown by droplet epitaxy.

    PubMed

    Tong, C Z; Yoon, S F

    2008-09-10

    We have directly imaged the formation of a GaAs quantum ring (QR) using droplet epitaxy followed by annealing in arsenic ambient. Based on the atomic force micrograph measurement and the analysis of surface energy, we determine that the formation of self-assembled GaAs QRs is due to the gallium atom's diffusion and crystallization driven by the gradient of surface energy. The phenomenon that GaAs is etched by the gallium droplets is reported and analyzed. It has been demonstrated that the epitaxy layers, such as AlAs and InGaP, can be used as the etching stop layer and hence can be used to control the shape and height of the QRs.

  2. Raman scattering studies of strain effects in (100) and (311)B GaAs1-xBix epitaxial layers

    NASA Astrophysics Data System (ADS)

    Steele, J. A.; Lewis, R. A.; Henini, M.; Lemine, O. M.; Alkaoud, A.

    2013-11-01

    We report room-temperature Raman studies of strained (100) and (311)B GaAs1-xBix epitaxial layers for x ≤ 0.039. The Raman spectra exhibit a two-mode behavior, as well as disorder-activated GaAs-like phonons. The experimental results show that the GaAs-like LO(Γ) mode experiences a strong composition-dependent redshift as a result of alloying. The peak frequency decreases linearly from the value for pure GaAs (˜293 cm-1) with the alloyed Bi fraction x and the introduced in-plane lattice strain ɛ∥, by ΔωLO=Δωalloy-Δωstrain. X-ray diffraction measurements are used to determine x and ɛ∥ allowing Δωalloy to be decoupled and is estimated to be -12(±4) cm-1/x for (100) GaAs1-xBix. ΔωLO is measured to be roughly double for samples grown on (311)B-oriented substrates to that of (100) GaAs. This large difference in redshift is accounted for by examining the Bi induced strain, effects from alloying, and defects formed during high-index (311)B crystal growth.

  3. The ZnSe(110) puzzle - Comparison with GaAs(110)

    NASA Technical Reports Server (NTRS)

    Duke, C. B.; Paton, A.; Kahn, A.; Tu, D.-W.

    1984-01-01

    The surface structure of monocrystalline ZnSe(110) and of 4-5-nm-thick ZnSe(110) layers epitaxially grown on GaAs(110) is investigated by means of elastic LEED and AES; the results are analyzed using the computer programs and R-factor methods of Duke et al. (1981 and 1983), presented in graphs and tables, and compared to those for GaAs(110). Significant differences are attributed to bond-length-conserving outward rotation of Se and inward rotation of Zn in the top layer, with an angle of 4 deg between the actual plane of the cation-anion chain and the truncated bulk surface. The R intensities measured for ZnSe(110) and GaAs(110) are given as Rx = 0.22 and RI = 0.21 and Rx = 0.24 and RI = 0.16, respectively.

  4. Effects of Light Exposure on Dopant Incorporation and Migration in MBE-Grown GaAs(001)

    NASA Astrophysics Data System (ADS)

    Sanders, Charlotte E.; Beaton, D. A.; Alberi, K.

    2015-03-01

    Light-stimulated epitaxy of II-VI semiconducting materials is known to reduce crystalline defect density and enhance substitutional dopant incorporation relative to traditional ``dark'' epitaxial growth. These effects have been speculated to arise from photon-adatom interactions at the growth front, and from involvement in bonding processes by photogenerated carriers; however, a conclusive explanation of the observed effects has yet to be found. We are revisiting this topic, attempting to clarify the mechanisms of light-stimulated epitaxy and to explore its effects on the class of III-V materials. Here we report an ongoing investigation into dopant incorporation and migration in MBE-grown GaAs(001) when the growth front is irradiated during deposition. On the basis of our preliminary findings, and by comparing our new results with results previously obtained for light-stimulated effects on doping of II-VI systems, we can begin to draw conclusions about the mechanisms underlying light-stimulated epitaxy and their potential utility to MBE growth of complex multilayer structures. This work was supported by the DOE Office of Science, Basic Energy Sciences, under contract DE-AC36-08G028308.

  5. Molecular beam epitaxial growth of high-quality InSb on InP and GaAs substrates

    NASA Technical Reports Server (NTRS)

    Oh, J. E.; Bhattacharya, P. K.; Chen, Y. C.; Tsukamoto, S.

    1989-01-01

    Epitaxial layers of InSb were grown on InP and GaAs substrates by molecular beam epitaxy. The dependence of the epilayer quality on flux ratio, J sub Sb4/J sub In, was studied. Deviation from an optimum value of J sub Sb4/J sub In (approx. 2) during growth led to deterioration in the surface morphology and the electrical and crystalline qualities of the films. Room temperature electron mobilities as high as 70,000 and 53,000 sq cm /V-s were measured in InSb layers grown on InP and GaAs substrates, respectively. Unlike the previous results, the conductivity in these films is n-type even at T = 13 K, and no degradation of the electron mobility due to the high density of dislocations was observed. The measured electron mobilities (and carrier concentrations) at 77 K in InSb layers grown on InP and GaAs substrates are 110,000 sq cm/V-s (3 x 10(15) cm(-3)) and 55,000 sq cm/V-s (4.95 x 10(15) cm(-3)), respectively, suggesting their application to electronic devices at cryogenic temperatures.

  6. Impact of dislocation densities on n+/p and p+/n junction GaAs diodes and solar cells on SiGe virtual substrates

    NASA Astrophysics Data System (ADS)

    Andre, C. L.; Wilt, D. M.; Pitera, A. J.; Lee, M. L.; Fitzgerald, E. A.; Ringel, S. A.

    2005-07-01

    Recent experimental measurements have shown that in GaAs with elevated threading dislocation densities (TDDs) the electron lifetime is much lower than the hole lifetime [C. L. Andre, J. J. Boeckl, D. M. Wilt, A. J. Pitera, M. L. Lee, E. A. Fitzgerald, B. M. Keyes, and S. A. Ringel, Appl. Phys. Lett. 84, 3884 (2004)]. This lower electron lifetime suggests an increase in depletion region recombination and thus in the reverse saturation current (J0 for an n+/p diode compared with a p+/n diode at a given TDD. To confirm this, GaAs diodes of both polarities were grown on compositionally graded Ge /Si1-xGex/Si (SiGe) substrates with a TDD of 1×106cm-2. It is shown that the ratio of measured J0 values is consistent with the inverse ratio of the expected lifetimes. Using a TDD-dependent lifetime in solar cell current-voltage models we found that the Voc, for a given short-circuit current, also exhibits a poorer TDD tolerance for GaAs n+/p solar cells compared with GaAs p+/n solar cells. Experimentally, the open-circuit voltage (Voc) for the n+/p GaAs solar cell grown on a SiGe substrate with a TDD of ˜1×106cm-2 was ˜880mV which was significantly lower than the ˜980mV measured for a p+/n GaAs solar cell grown on SiGe at the same TDD and was consistent with the solar cell modeling results reported in this paper. We conclude that p+/n polarity GaAs junctions demonstrate superior dislocation tolerance than n+/p configured GaAs junctions, which is important for optimization of lattice-mismatched III-V devices.

  7. Study of subband electronic structure of Si δ-doped GaAs using magnetotransport measurements in tilted magnetic fields

    NASA Astrophysics Data System (ADS)

    Li, G.; Hauser, N.; Jagadish, C.; Antoszewski, J.; Xu, W.

    1996-06-01

    Si δ-doped GaAs grown by metal organic vapor phase epitaxy (MOVPE) is characterized using magnetotransport measurements in tilted magnetic fields. Angular dependence of the longitudinal magnetoresistance (Rxx) vs the magnetic field (B) traces in tilted magnetic fields is used to examine the existence of a quasi-two-dimensional electron gas. The subband electron densities (ni) are obtained applying fast Fourier transform (FFT) analysis to the Rxx vs B trace and using mobility spectrum (MS) analysis of the magnetic field dependent Hall data. Our results show that (1) the subband electron densities remain roughly constant when the tilted magnetic field with an angle <30° measured from the Si δ-doped plane normal is ramped up to 13 T; (2) FFT analysis of the Rxx vs B trace and MS analysis of the magnetic field dependent Hall data both give the comparable results on subband electron densities of Si δ-doped GaAs with low δ-doping concentration, however, for Si δ-doped GaAs with very high δ-doping concentration, the occupation of the lowest subbands cannot be well resolved in the MS analysis; (3) the highest subband electron mobility reported to date of 45 282 cm2/s V is observed in Si δ-doped GaAs at 77 K in the dark; and (4) the subband electron densities of Si δ-doped GaAs grown by MOVPE at 700 °C are comparable to those grown by MBE at temperatures below 600 °C. A detailed study of magnetotransport properties of Si δ-doped GaAs in the parallel magnetic fields is then carried out to further confirm the subband electronic structures revealed by FFT and MS analysis. Our results are compared to theoretical calculation previously reported in literature. In addition, influence of different cap layer structures on subband electronic structures of Si δ-doped GaAs is observed and also discussed.

  8. Molecular beam epitaxial growth and structural characterization of ZnS on (001) GaAs

    NASA Technical Reports Server (NTRS)

    Benz, R. G., II; Huang, P. C.; Stock, S. R.; Summers, C. J.

    1988-01-01

    The effect of surface nucleation processes on the quality of ZnS layers grown on (001) GaAs substrates by molecular beam epitaxy is reported. Reflection high energy electron diffraction indicated that nucleation at high temperatures produced more planar surfaces than nucleation at low temperatures, but the crystalline quality as assessed by X-ray double crystal diffractometry is relatively independent of nucleation temperature. A critical factor in layer quality was the initial roughness of the GaAs surfaces.

  9. In-plane dielectric properties of epitaxial Ba{sub 0.7}Sr{sub 0.3}TiO{sub 3} thin films grown on GaAs for tunable device application

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang Zhibin; Hao Jianhua

    2012-09-01

    We have epitaxially deposited ferroelectric Ba{sub 0.7}Sr{sub 0.3}TiO{sub 3} (BST) thin films grown on GaAs substrate via SrTiO{sub 3} buffer layer by laser molecular beam epitaxy. Structural characteristics of the heterostructure were measured by various techniques. The in-plane dielectric properties of the heteroepitaxial structure under different applying frequency were investigated from -190 to 90 Degree-Sign C, indicating Curie temperature of the BST film to be around 52 Degree-Sign C. At room temperature, the dielectric constant of the heterostructure under moderate dc bias field can be tuned by more than 30% and K factor used for frequency agile materials is foundmore » to be close to 8. Our results offer the possibility to combine frequency agile electronics of ferroelectric titanate with the high-performance microwave capabilities of GaAs for room temperature tunable device application.« less

  10. Effects of surface passivation on twin-free GaAs nanosheets.

    PubMed

    Arab, Shermin; Chi, Chun-Yung; Shi, Teng; Wang, Yuda; Dapkus, Daniel P; Jackson, Howard E; Smith, Leigh M; Cronin, Stephen B

    2015-02-24

    Unlike nanowires, GaAs nanosheets exhibit no twin defects, stacking faults, or dislocations even when grown on lattice mismatched substrates. As such, they are excellent candidates for optoelectronic applications, including LEDs and solar cells. We report substantial enhancements in the photoluminescence efficiency and the lifetime of passivated GaAs nanosheets produced using the selected area growth (SAG) method with metal organic chemical vapor deposition (MOCVD). Measurements are performed on individual GaAs nanosheets with and without an AlGaAs passivation layer. Both steady-state photoluminescence and time-resolved photoluminescence spectroscopy are performed to study the optoelectronic performance of these nanostructures. Our results show that AlGaAs passivation of GaAs nanosheets leads to a 30- to 40-fold enhancement in the photoluminescence intensity. The photoluminescence lifetime increases from less than 30 to 300 ps with passivation, indicating an order of magnitude improvement in the minority carrier lifetime. We attribute these enhancements to the reduction of nonradiative recombination due to the compensation of surface states after passivation. The surface recombination velocity decreases from an initial value of 2.5 × 10(5) to 2.7 × 10(4) cm/s with passivation.

  11. Reflection high energy electron diffraction and reflectance difference studies of surface anisotropy in InGaAs chemical beam epitaxy on flat and vicinal (001) GaAs

    NASA Astrophysics Data System (ADS)

    Junno, B.; Paulsson, G.; Miller, M.; Samuelson, L.

    1994-03-01

    InGaAs quantum wells (QWs) were grown in a chemical beam epitaxy (CBE) machine with trimethylindium (TMI), triethylgallium (TEG) and tertiarybutylarsine (TBA) as precursors. Growth was monitored in-situ by reflectance difference (RD) and reflection high energy electron diffraction (RHEED), on both flat and vicinal (2° off in the <111> A direction) (001)GaAs substrates. The RD was monitored at 632.8 nm. At this wavelength the RD signal from a GaAs surface is primarily related to the absorption by Ga dimers. When InGaAs had been grown, both the average RD signal and the amplitude of the RD oscillations for the subsequent growth of GaAs increased significantly, compared to GaAs growth on GaAs. This In influence was found to persist even after the growth of 20-30 ML of pure GaAs. As a result we were able to monitor growth oscillations with RD and RHEED simultaneously during growth of quantum wells of InGaAs in GaAs. As a conclusion to these observations we suggest that the group III dimer bond concentration, detected in the RD signal, increases.

  12. Interface dynamics and crystal phase switching in GaAs nanowires

    NASA Astrophysics Data System (ADS)

    Jacobsson, Daniel; Panciera, Federico; Tersoff, Jerry; Reuter, Mark C.; Lehmann, Sebastian; Hofmann, Stephan; Dick, Kimberly A.; Ross, Frances M.

    2016-03-01

    Controlled formation of non-equilibrium crystal structures is one of the most important challenges in crystal growth. Catalytically grown nanowires are ideal systems for studying the fundamental physics of phase selection, and could lead to new electronic applications based on the engineering of crystal phases. Here we image gallium arsenide (GaAs) nanowires during growth as they switch between phases as a result of varying growth conditions. We find clear differences between the growth dynamics of the phases, including differences in interface morphology, step flow and catalyst geometry. We explain these differences, and the phase selection, using a model that relates the catalyst volume, the contact angle at the trijunction (the point at which solid, liquid and vapour meet) and the nucleation site of each new layer of GaAs. This model allows us to predict the conditions under which each phase should be observed, and use these predictions to design GaAs heterostructures. These results could apply to phase selection in other nanowire systems.

  13. Interface dynamics and crystal phase switching in GaAs nanowires.

    PubMed

    Jacobsson, Daniel; Panciera, Federico; Tersoff, Jerry; Reuter, Mark C; Lehmann, Sebastian; Hofmann, Stephan; Dick, Kimberly A; Ross, Frances M

    2016-03-17

    Controlled formation of non-equilibrium crystal structures is one of the most important challenges in crystal growth. Catalytically grown nanowires are ideal systems for studying the fundamental physics of phase selection, and could lead to new electronic applications based on the engineering of crystal phases. Here we image gallium arsenide (GaAs) nanowires during growth as they switch between phases as a result of varying growth conditions. We find clear differences between the growth dynamics of the phases, including differences in interface morphology, step flow and catalyst geometry. We explain these differences, and the phase selection, using a model that relates the catalyst volume, the contact angle at the trijunction (the point at which solid, liquid and vapour meet) and the nucleation site of each new layer of GaAs. This model allows us to predict the conditions under which each phase should be observed, and use these predictions to design GaAs heterostructures. These results could apply to phase selection in other nanowire systems.

  14. Improved resonance characteristics of GaAs beam resonators by epitaxially induced strain

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yamaguchi, H.; Onomitsu, K.; Kato, K.

    2008-06-23

    Micromechanical-beam resonators were fabricated using a strained GaAs film grown on relaxed In{sub 0.1}Ga{sub 0.9}As/In{sub 0.1}Al{sub 0.9}As buffer layers. The natural frequency of the fundamental mode was increased 2.5-4 times by applying tensile strain, showing good agreement with the model calculation assuming strain of 0.35% along the beam. In addition, the Q factor of 19 000 was obtained for the best sample, which is one order of magnitude higher than that for the unstrained resonator. This technique can be widely applied for improving the performance of resonator-based micro-/nanoelectromechanical devices.

  15. High power cascaded mid-infrared InAs/GaSb light emitting diodes on mismatched GaAs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Provence, S. R., E-mail: sydney-provence@uiowa.edu; Ricker, R.; Aytac, Y.

    2015-09-28

    InAs/GaSb mid-wave, cascaded superlattice light emitting diodes are found to give higher radiance when epitaxially grown on mismatched GaAs substrates compared to lattice-matched GaSb substrates. Peak radiances of 0.69 W/cm{sup 2}-sr and 1.06 W/cm{sup 2}-sr for the 100 × 100 μm{sup 2} GaSb and GaAs-based devices, respectively, were measured at 77 K. Measurement of the recombination coefficients shows the shorter Shockley-Read-Hall recombination lifetime as misfit dislocations for growth on GaAs degrade the quantum efficiency only at low current injection. The improved performance on GaAs was found to be due to the higher transparency and improved thermal properties of the GaAs substrate.

  16. Frequency-tunable continuous-wave terahertz sources based on GaAs plasmonic photomixers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Shang-Hua; Jarrahi, Mona; Electrical Engineering Department, University of California Los Angeles, Los Angeles, California 90095

    2015-09-28

    We present frequency-tunable, continuous-wave terahertz sources based on GaAs plasmonic photomixers, which offer high terahertz radiation power levels at 50% radiation duty cycle. The use of plasmonic contact electrodes enhances photomixer quantum efficiency while maintaining its ultrafast operation by concentrating a large number of photocarriers in close proximity to the device contact electrodes. Additionally, the relatively high thermal conductivity and high resistivity of GaAs allow operation under high optical pump power levels and long duty cycles without reaching the thermal breakdown limit of the photomixer. We experimentally demonstrate continuous-wave terahertz radiation with a radiation frequency tuning range of more thanmore » 2 THz and a record-high radiation power of 17 μW at 1 THz through plasmonic photomixers fabricated on a low temperature grown GaAs substrate at 50% radiation duty cycle.« less

  17. Tandem Solar Cells Using GaAs Nanowires on Si: Design, Fabrication, and Observation of Voltage Addition.

    PubMed

    Yao, Maoqing; Cong, Sen; Arab, Shermin; Huang, Ningfeng; Povinelli, Michelle L; Cronin, Stephen B; Dapkus, P Daniel; Zhou, Chongwu

    2015-11-11

    Multijunction solar cells provide us a viable approach to achieve efficiencies higher than the Shockley-Queisser limit. Due to their unique optical, electrical, and crystallographic features, semiconductor nanowires are good candidates to achieve monolithic integration of solar cell materials that are not lattice-matched. Here, we report the first realization of nanowire-on-Si tandem cells with the observation of voltage addition of the GaAs nanowire top cell and the Si bottom cell with an open circuit voltage of 0.956 V and an efficiency of 11.4%. Our simulation showed that the current-matching condition plays an important role in the overall efficiency. Furthermore, we characterized GaAs nanowire arrays grown on lattice-mismatched Si substrates and estimated the carrier density using photoluminescence. A low-resistance connecting junction was obtained using n(+)-GaAs/p(+)-Si heterojunction. Finally, we demonstrated tandem solar cells based on top GaAs nanowire array solar cells grown on bottom planar Si solar cells. The reported nanowire-on-Si tandem cell opens up great opportunities for high-efficiency, low-cost multijunction solar cells.

  18. Reflection high energy electron diffraction study of nitrogen plasma interactions with a GaAs (100) surface

    NASA Astrophysics Data System (ADS)

    Hauenstein, R. J.; Collins, D. A.; Cai, X. P.; O'Steen, M. L.; McGill, T. C.

    1995-05-01

    Effect of a nitrogen electron-cyclotron-resonance (ECR) microwave plasma on near-surface composition, crystal structure, and morphology of the As-stabilized GaAs (100) surface is investigated with the use of digitally image-processed in situ reflection high energy electron diffraction. Nitridation is performed on molecular beam epitaxially (MBE) grown GaAs surfaces near 600 °C under typical conditions for ECR microwave plasma-assisted MBE growth of GaN films on GaAs. Brief plasma exposures (≊3-5 s) are shown to result in a specular, coherently strained, relatively stable, GaN film approximately one monolayer in thickness, which can be commensurately overgrown with GaAs while longer exposures (up to 1 min) result in incommensurate zincblende epitaxial GaN island structures. Specular and nonspecular film formations are explained in terms of N-for-As surface and subsurface anion exchange reactions, respectively. Commensurate growth of ultrathin buried GaN layers in GaAs is achieved.

  19. (In,Ga,Al)P-GaP laser diodes grown on high-index GaAs surfaces emitting in the green, yellow and bright red spectral range

    NASA Astrophysics Data System (ADS)

    Ledentsov, N. N.; Shchukin, V. A.; Shernyakov, Yu M.; Kulagina, M. M.; Payusov, A. S.; Gordeev, N. Yu; Maximov, M. V.; Cherkashin, N. A.

    2017-02-01

    We report on low threshold current density (<400 A cm-2) injection lasing in (Al x Ga1-x )0.5In0.5P-GaAs-based diodes down to the green spectral range (<570 nm). The epitaxial structures are grown on high-index (611)A and (211)A GaAs substrates by metal-organic vapor phase epitaxy and contain tensile-strained GaP-enriched insertions aimed at reflection of the injected nonequilibrium electrons preventing their escape from the active region. Extended waveguide concept results in a vertical beam divergence with a full width at half maximum of 15° for (611)A substrates. The lasing at the wavelength of 569 nm is realized at 85 K. In an orange-red laser diode structure low threshold current density (190 A cm-2) in the orange spectral range (598 nm) is realized at 85 K. The latter devices demonstrated room temperature lasing at 628 nm at ˜2 kA cm-2 and a total power above 3 W. The red laser diodes grown on (211)A substrates demonstrated a far field characteristic for vertically multimode lasing indicating a lower optical confinement factor for the fundamental mode as compared to the devices grown on (611)A. However, as expected from previous research, the temperature stability of the threshold current and the wavelength stability were significantly higher for (211)A-grown structures.

  20. Modified energetics and growth kinetics on H-terminated GaAs (110)

    NASA Astrophysics Data System (ADS)

    Galiana, B.; Benedicto, M.; Díez-Merino, L.; Lorbek, S.; Hlawacek, G.; Teichert, C.; Tejedor, P.

    2013-10-01

    Atomic hydrogen modification of the surface energy of GaAs (110) epilayers, grown at high temperatures from molecular beams of Ga and As4, has been investigated by friction force microscopy (FFM). The reduction of the friction force observed with longer exposures to the H beam has been correlated with the lowering of the surface energy originated by the progressive de-relaxation of the GaAs (110) surface occurring upon H chemisorption. Our results indicate that the H-terminated GaAs (110) epilayers are more stable than the As-stabilized ones, with the minimum surface energy value of 31 meV/Å2 measured for the fully hydrogenated surface. A significant reduction of the Ga diffusion length on the H-terminated surface irrespective of H coverage has been calculated from the FFM data, consistent with the layer-by-layer growth mode and the greater As incorporation coefficient determined from real-time reflection high-energy electron diffraction studies. Arsenic incorporation through direct dissociative chemisorption of single As4 molecules mediated by H on the GaAs (110) surface has been proposed as the most likely explanation for the changes in surface kinetics observed.

  1. Optical properties and carrier dynamics of GaAs/GaInAs multiple-quantum-well shell grown on GaAs nanowire by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Park, Kwangwook; Ravindran, Sooraj; Ju, Gun Wu

    GaAs/GaInAs multiple-quantum-well (MQW) shells having different GaInAs shell width formed on the surface of self-catalyzed GaAs core nanowires (NWs) are grown on (100) Si substrate using molecular beam epitaxy. The photoluminescence emission from GaAs/GaInAs MQW shells and the carrier lifetime could be varied by changing the width of GaInAs shell. Time-resolved photoluminescence measurements showed that the carrier lifetime had a fast and slow decay owing to the mixing of wurtzite and zinc-blende structures of the NWs. Furthermore, strain relaxation caused the carrier lifetime to decrease beyond a certain thickness of GaInAs quantum well shells.

  2. Rare-earth gate oxides for GaAs MOSFET application

    NASA Astrophysics Data System (ADS)

    Kwon, Kwang-Ho; Yang, Jun-Kyu; Park, Hyung-Ho; Kim, Jongdae; Roh, Tae Moon

    2006-08-01

    Rare-earth oxide films for gate dielectric on n-GaAs have been investigated. The oxide films were e-beam evaporated on S-passivated GaAs, considering interfacial chemical bonding state and energy band structure. Rare-earth oxides such as Gd 2O 3, (Gd xLa 1- x) 2O 3, and Gd-silicate were employed due to high resistivity and no chemical reaction with GaAs. Structural and bonding properties were characterized by X-ray photoemission, absorption, and diffraction. The electrical characteristics of metal-oxide-semiconductor (MOS) diodes were correlated with material properties and energy band structures to guarantee the feasibility for MOS field effect transistor (FET) application. Gd 2O 3 films were grown epitaxially on S-passivated GaAs (0 0 1) at 400 °C. The passivation induced a lowering of crystallization temperature with an epitaxial relationship of Gd 2O 3 (4 4 0) and GaAs (0 0 1). A better lattice matching relation between Gd 2O 3 and GaAs substrate was accomplished by the substitution of Gd with La, which has larger ionic radius. The in-plane relationship of (Gd xLa 1- x) 2O 3 (4 4 0) with GaAs (0 0 1) was found and the epitaxial films showed an improved crystalline quality. Amorphous Gd-silicate film was synthesized by the incorporation of SiO 2 into Gd 2O 3. These amorphous Gd-silicate films excluded defect traps or current flow path due to grain boundaries and showed a relatively larger energy band gap dependent on the contents of SiO 2. Energy band parameters such as Δ EC, Δ EV, and Eg were effectively controlled by the film composition.

  3. GaAs on Si epitaxy by aspect ratio trapping: Analysis and reduction of defects propagating along the trench direction

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Orzali, Tommaso, E-mail: tommaso.orzali@sematech.org; Vert, Alexey; O'Brien, Brendan

    2015-09-14

    The Aspect Ratio Trapping technique has been extensively evaluated for improving the quality of III-V heteroepitaxial films grown on Si, due to the potential for terminating defects at the sidewalls of SiO{sub 2} patterned trenches that enclose the growth region. However, defects propagating along the trench direction cannot be effectively confined with this technique. We studied the effect of the trench bottom geometry on the density of defects of GaAs fins, grown by metal-organic chemical vapor deposition on 300 mm Si (001) wafers inside narrow (<90 nm wide) trenches. Plan view and cross sectional Scanning Electron Microscopy and Transmission Electron Microscopy, togethermore » with High Resolution X-Ray Diffraction, were used to evaluate the crystal quality of GaAs. The prevalent defects that reach the top surface of GaAs fins are (111) twin planes propagating along the trench direction. The lowest density of twin planes, ∼8 × 10{sup 8 }cm{sup −2}, was achieved on “V” shaped bottom trenches, where GaAs nucleation occurs only on (111) Si planes, minimizing the interfacial energy and preventing the formation of antiphase boundaries.« less

  4. Identification of oxygen-related midgap level in GaAs

    NASA Technical Reports Server (NTRS)

    Lagowski, J.; Lin, D. G.; Gatos, H. C.; Aoyama, T.

    1984-01-01

    An oxygen-related deep level ELO was identified in GaAs employing Bridgman-grown crystals with controlled oxygen doping. The activation energy of ELO is almost the same as that of the dominant midgap level: EL2. This fact impedes the identification of ELO by standard deep level transient spectroscopy. However, it was found that the electron capture cross section of ELO is about four times greater than that of EL2. This characteristic served as the basis for the separation and quantitative investigation of ELO employing detailed capacitance transient measurements in conjunction with reference measurements on crystals grown without oxygen doping and containing only EL2.

  5. Structures, Properties and Defects of SrTiO3/GaAs Hetero-interfaces

    NASA Astrophysics Data System (ADS)

    Hong, Liang; Bhatnagar, Kunal; Droopad, Ravi; Öğüt, Serdar; Klie, Robert

    SrTiO3 thin film can be epitaxially grown on GaAs substrate and used as a platform for growing other oxides to create functional metal-oxide-semiconductor devices, where a high-quality SrTiO3/GaAs interface is essential. We studied the structural and electronic properties of SrTiO3/GaAs hetero-interfaces at atomic level using scanning transmission electron microscopy and first-principles calculations. Our results suggest the preferred termination of GaAs (001) is significantly dependent on the oxygen concentration in the first oxide layer. The favorable interface structure is characterized as oxygen-deficient SrO in contact with arsenic and is observed in both experiment and simulation. The electronic properties are calculated and found to be tunable by interfacial defects such as oxygen, gallium and arsenic vacancies. This work was supported by the National Science Foundation (Grant No. DMR-1408427). This work made use of instruments in the Electron Microscopy Service and the High Performance Computing Clusters at University of Illinois at Chicago.

  6. Characterization of reclaimed GaAs substrates and investigation of reuse for thin film InGaAlP LED epitaxial growth

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Englhard, M.; Klemp, C.; Behringer, M.

    This study reports a method to reuse GaAs substrates with a batch process for thin film light emitting diode (TF-LED) production. The method is based on an epitaxial lift-off technique. With the developed reclaim process, it is possible to get an epi-ready GaAs surface without additional time-consuming and expensive grinding/polishing processes. The reclaim and regrowth process was investigated with a one layer epitaxial test structure. The GaAs surface was characterized by an atomic force microscope directly after the reclaim process. The crystal structure of the regrown In{sub 0.5}(Ga{sub 0.45}Al{sub 0.55}){sub 0.5}P (Q{sub 55}) layer was investigated by high resolution x-raymore » diffraction and scanning transmission electron microscopy. In addition, a complete TF-LED grown on reclaimed GaAs substrates was electro-optically characterized on wafer level. The crystal structure of the epitaxial layers and the performance of the TF-LED grown on reclaimed substrates are not influenced by the developed reclaim process. This process would result in reducing costs for LEDs and reducing much arsenic waste for the benefit of a green semiconductor production.« less

  7. Formation and reconstruction of Se nanoislands at the surface of thin epitaxial ZnSe layers grown on GaAs substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kozlovskiy, V. I.; Krivobok, V. S., E-mail: krivobok@lebedev.ru; Kuznetsov, P. I.

    2016-05-15

    Strained epitaxial ZnSe layers are grown on GaAs substrates by the method of vapor-phase epitaxy from metal-organic compounds. It is found that Se nanoislands with a density of 10{sup 8} to 10{sup 9} cm{sup –2} are formed at the surface of such layers. It is established that an increase in the size of Se islands and a decrease in their density take place after completion of growth. Annealing in a H{sub 2} atmosphere at a temperature higher than 260°C leads to the disappearance of Se islands and to a decrease in the surface roughness. It is shown that annealing doesmore » not lead to deterioration of the structural perfection of the epitaxial ZnSe films; rather, annealing gives rise to a decrease in the intensity of impurity–defect luminescence and to an increase in the intensity of intrinsic radiation near the bottom of the exciton band.« less

  8. Near-infrared cathodoluminescence imaging of defect distributions in In(0.2)Ga(0.8)As/GaAs multiple quantum wells grown on prepatterned GaAs

    NASA Technical Reports Server (NTRS)

    Rich, D. H.; Fajkumar, K. C.; Chen, LI; Madhukar, A.; Grunthaner, F. J.

    1992-01-01

    The defect distribution in a highly strained In(0.2)Ga(0.8)As/GaAs multiple-quantum-well (MQW) structure grown on a patterned GaAs substrate is examined with cathodoluminescence imaging and spectroscopy in the near IR. By spatially correlating the luminescence arising from the MQW exciton recombination (950 nm) with the longer wavelength (1000-1200 nm) luminescence arising from the defect-induced recombination, it is demonstrated that it is possible to determine the regions of highest film quality in both the mesa and valley regions. The present approach enables a judicious determination of the optimal regions to be used for active pixels in InGaAs/GaAs spatial light modulators.

  9. Theoretical utmost performance of the (1 0 0) long-wave HgCdTe Auger suppressed photodetectors grown on GaAs

    NASA Astrophysics Data System (ADS)

    Martyniuk, P.; Gawron, W.; Madejczyk, P.; Rogalski, A.

    2017-08-01

    The vast majority of HgCdTe detectors designed to detect long wavelength (8-14 μm) infrared radiation must be cooled to achieve the required performance. It must be stressed that cooling requirement is both expensive and bulky and the main objective is to reach higher operating temperature condition preserving near background limited performance and high speed response. In order to reach that goal the thermal generation rate needs to be reduced below the photon generation rate. Except Auger 7, p-type HgCdTe active layers are mostly limited by technology dependent Shockley-Read-Hall generation-recombination processes. One of the ways to reduce of the trap density is a growth of the (1 0 0) HgCdTe epilayers on GaAs substrates. In addition, that orientation allows reaching lower carrier concentration in comparison to the commonly used (1 1 1) orientation (5 × 1015-1016 cm-3). In this paper we report on theoretical utmost performance of (1 0 0) HgCdTe Auger suppressed photodetectors grown on GaAs substrates. (1 0 0) HgCdTe orientation allows to reduce p-type doping to the level of ∼5 × 1014 cm-3 in analyzed long wavelength range. In addition Shockley-Read-Hall traps could be reduced to the level of ∼4.4 × 108 cm-3 resulting in suppression of the dark current by nearly two orders of magnitude within the range ∼20 ÷ 0.31 A/cm2 and detectivity, ∼1010-1011 cmHz1/2/W at temperature 230 K, voltage 200 mV.

  10. Growth of High-Quality GaAs on Ge by Controlling the Thickness and Growth Temperature of Buffer Layer

    NASA Astrophysics Data System (ADS)

    Zhou, Xu-Liang; Pan, Jiao-Qing; Yu, Hong-Yan; Li, Shi-Yan; Wang, Bao-Jun; Bian, Jing; Wang, Wei

    2014-12-01

    High-quality GaAs thin films grown on miscut Ge substrates are crucial for GaAs-based devices on silicon. We investigate the effect of different thicknesses and temperatures of GaAs buffer layers on the crystal quality and surface morphology of GaAs on Ge by metal-organic chemical vapor deposition. Through high resolution x-ray diffraction measurements, it is demonstrated that the full width at half maximum for the GaAs epilayer (Ge substrate) peak could achieve 19.3 (11.0) arcsec. The value of etch pit density could be 4×104 cm-2. At the same time, GaAs surfaces with no pyramid-shaped pits are obtained when the buffer layer growth temperature is lower than 360°C, due to effective inhibition of initial nucleation at terraces of the Ge surface. In addition, it is shown that large island formation at the initial stage of epitaxial growth is a significant factor for the final rough surface and that this initial stage should be carefully controlled when a device quality GaAs surface is desired.

  11. Demonstrating antiphase domain boundary-free GaAs buffer layer on zero off-cut Si (0 0 1) substrate for interfacial misfit dislocation GaSb film by metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Ha, Minh Thien Huu; Hoang Huynh, Sa; Binh Do, Huy; Nguyen, Tuan Anh; Luc, Quang Ho; Chang, Edward Yi

    2017-08-01

    High quality 40 nm GaSb thin film was grown on the zero off-cut Si (0 0 1)-oriented substrate using metalorganic chemical vapor deposition with the temperature-graded GaAs buffer layer. The growth time of the GaAs nucleation layer, which was deposited at a low temperature of 490 °C, is systematically investigated in this paper. Cross-sections of the high resolution transmission electron microscopy images indicate that the GaAs compound formed 3D-islands first before to quasi-2D islands, and finally formed uniform GaAs layer. The optimum thickness of the 490 °C-GaAs layer was found to be 10 nm to suppress the formation of antiphase domain boundaries (APDs). The thin GaAs nucleation layer had a root-mean-square surface roughness of 0.483 nm. This allows the continued high temperature GaAs buffer layer to be achieved with low threading dislocation density of around 7.1  ×  106 cm-2 and almost invisible APDs. Finally, a fully relaxed GaSb film was grown on the top of the GaAs/Si heterostructure using interfacial misfit dislocation growth mode. These results indicate that the GaSb epitaxial layer can be grown on Si substrate with GaAs buffer layer for future p-channel metal-oxide-semiconductor field effect transistors (MOSFETs) applications.

  12. GaAsP/InGaP HBTs grown epitaxially on Si substrates: Effect of dislocation density on DC current gain

    NASA Astrophysics Data System (ADS)

    Heidelberger, Christopher; Fitzgerald, Eugene A.

    2018-04-01

    Heterojunction bipolar transistors (HBTs) with GaAs0.825P0.175 bases and collectors and In0.40Ga0.60P emitters were integrated monolithically onto Si substrates. The HBT structures were grown epitaxially on Si via metalorganic chemical vapor deposition, using SiGe compositionally graded buffers to accommodate the lattice mismatch while maintaining threading dislocation density at an acceptable level (˜3 × 106 cm-2). GaAs0.825P0.175 is used as an active material instead of GaAs because of its higher bandgap (increased breakdown voltage) and closer lattice constant to Si. Misfit dislocation density in the active device layers, measured by electron-beam-induced current, was reduced by making iterative changes to the epitaxial structure. This optimized process culminated in a GaAs0.825P0.175/In0.40Ga0.60P HBT grown on Si with a DC current gain of 156. By considering the various GaAsP/InGaP HBTs grown on Si substrates alongside several control devices grown on GaAs substrates, a wide range of threading dislocation densities and misfit dislocation densities in the active layers could be correlated with HBT current gain. The effect of threading dislocations on current gain was moderated by the reduction in minority carrier lifetime in the base region, in agreement with existing models for GaAs light-emitting diodes and photovoltaic cells. Current gain was shown to be extremely sensitive to misfit dislocations in the active layers of the HBT—much more sensitive than to threading dislocations. We develop a model for this relationship where increased base current is mediated by Fermi level pinning near misfit dislocations.

  13. Photoelectrochemical Water Oxidation by GaAs Nanowire Arrays Protected with Atomic Layer Deposited NiO x Electrocatalysts

    NASA Astrophysics Data System (ADS)

    Zeng, Joy; Xu, Xiaoqing; Parameshwaran, Vijay; Baker, Jon; Bent, Stacey; Wong, H.-S. Philip; Clemens, Bruce

    2018-02-01

    Photoelectrochemical (PEC) hydrogen production makes possible the direct conversion of solar energy into chemical fuel. In this work, PEC photoanodes consisting of GaAs nanowire (NW) arrays were fabricated, characterized, and then demonstrated for the oxygen evolution reaction (OER). Uniform and periodic GaAs nanowire arrays were grown on a heavily n-doped GaAs substrates by metal-organic chemical vapor deposition selective area growth. The nanowire arrays were characterized using cyclic voltammetry and impedance spectroscopy in a non-aqueous electrochemical system using ferrocene/ferrocenium (Fc/Fc+) as a redox couple, and a maximum oxidation photocurrent of 11.1 mA/cm2 was measured. GaAs NW arrays with a 36 nm layer of nickel oxide (NiO x ) synthesized by atomic layer deposition were then used as photoanodes to drive the OER. In addition to acting as an electrocatalyst, the NiO x layer served to protect the GaAs NWs from oxidative corrosion. Using this strategy, GaAs NW photoanodes were successfully used for the oxygen evolution reaction. This is the first demonstration of GaAs NW arrays for effective OER, and the fabrication and protection strategy developed in this work can be extended to study any other nanostructured semiconductor materials systems for electrochemical solar energy conversion.

  14. Texture analysis of CoGe2 alloy films grown heteroepitaxially on GaAs(100) using partially ionized beam deposition

    NASA Astrophysics Data System (ADS)

    Mello, K. E.; Murarka, S. P.; Lu, T.-M.; Lee, S. L.

    1997-06-01

    Reflection x-ray pole figure analysis techniques were used to study the heteroepitaxial relationships of the cobalt germanide CoGe2 to GaAs(100). The alloy films were grown using the partially ionized beam deposition technique, in which low energy Ge+ ions are employed to alter the heteroepitaxial orientation of the CoGe2 deposits. The CoGe2[001](100)∥GaAs[100](001) orientation, which has the smallest lattice mismatch, was found to occur for depositions performed at a substrate temperature around 280 °C and with ˜1200 eV Ge+ ions. Lowering the substrate temperature or reducing the Ge+ ion energy leads to CoGe2(100) orientation domination with CoGe2[100](010)∥GaAs[100](001) and CoGe2[100](001)∥GaAs[100](001). Substrate temperature alone was seen to produce only the CoGe2(100) orientation. For CoGe2(001) films, additional energy was required from Ge+ ions in the evaporant stream.

  15. GaAs Solar Cells on V-Grooved Silicon via Selective Area Growth: Preprint

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Warren, Emily L; Jain, Nikhil; Tamboli, Adele C

    Interest in integrating III-Vs onto Si has recently resurged as a promising pathway towards high-efficiency, low-cost tandem photovoltaics. Here, we present a single junction GaAs solar cell grown monolithically on polished Si (001) substrates using V-grooves, selective area growth, and aspect ratio trapping to mitigate defect formation without the use of expensive, thick graded buffers. The GaAs is free of antiphase domains and maintains a relatively low TDD of 4x107 cm-2, despite the lack of a graded buffer. This 6.25 percent-efficient demonstration solar cell shows promise for further improvements to III-V/Si tandems to enable cost-competitive photovoltaics.

  16. Impurity and Defect Interactions in GaAs.

    DTIC Science & Technology

    1984-02-29

    3 VPE a X X ASW 3 vIE 33 34 35 36"M-cVO Wawwmba (CM - Z TS 32 -~ - .35T 2II i I MS . 34 35 3 , b Wovor%~~e (€cm -) X3 FiS.l Characteristic donor peaks ...2). Far infrared photoconductivity measurements on Si doped GaAs grown by molecular beam epitaxy (MBE) indicated that the impurity peak previously...difference is donor species dependent, each hydrogenic transition in a photothermal ionization spectrum contains several closely spaced peaks . Each peak cor

  17. Novel anti-reflection technology for GaAs single-junction solar cells using surface patterning and Au nanoparticles.

    PubMed

    Kim, Youngjo; Lam, Nguyen Dinh; Kim, Kangho; Kim, Sangin; Rotermund, Fabian; Lim, Hanjo; Lee, Jaejin

    2012-07-01

    Single-junction GaAs solar cell structures were grown by low-pressure MOCVD on GaAs (100) substrates. Micro-rod arrays with diameters of 2 microm, 5 microm, and 10 microm were fabricated on the surfaces of the GaAs solar cells via photolithography and wet chemical etching. The patterned surfaces were coated with Au nanoparticles using an Au colloidal solution. Characteristics of the GaAs solar cells with and without the micro-rod arrays and Au nanoparticles were investigated. The short-circuit current density of the GaAs solar cell with 2 microm rod arrays and Au nanoparticles increased up to 34.9% compared to that of the reference cell without micro-rod arrays and Au nanoparticles. The conversion efficiency of the GaAs solar cell that was coated with Au nanoparticles on the patterned surface with micro-rod arrays can be improved from 14.1% to 19.9% under 1 sun AM 1.5G illumination. These results show that micro-rod arrays and Au nanoparticle coating can be applied together in surface patterning to achieve a novel cost-effective anti-reflection technology.

  18. Epitaxial CuInSe2 thin films grown by molecular beam epitaxy and migration enhanced epitaxy

    NASA Astrophysics Data System (ADS)

    Abderrafi, K.; Ribeiro-Andrade, R.; Nicoara, N.; Cerqueira, M. F.; Gonzalez Debs, M.; Limborço, H.; Salomé, P. M. P.; Gonzalez, J. C.; Briones, F.; Garcia, J. M.; Sadewasser, S.

    2017-10-01

    While CuInSe2 chalcopyrite materials are mainly used in their polycrystalline form to prepare thin film solar cells, epitaxial layers have been used for the characterization of defects. Typically, epitaxial layers are grown by metal-organic vapor phase epitaxy or molecular beam epitaxy (MBE). Here we present epitaxial layers grown by migration enhanced epitaxy (MEE) and compare the materials quality to MBE grown layers. CuInSe2 layers were grown on GaAs (0 0 1) substrates by co-evaporation of Cu, In, and Se using substrate temperatures of 450 °C, 530 °C, and 620 °C. The layers were characterized by high resolution X-ray diffraction (HR-XRD), high-resolution transmission electron microscopy (HRTEM), Raman spectroscopy, and atomic force microscopy (AFM). HR-XRD and HR-TEM show a better crystalline quality of the MEE grown layers, and Raman scattering measurements confirm single phase CuInSe2. AFM shows the previously observed faceting of the (0 0 1) surface into {1 1 2} facets with trenches formed along the [1 1 0] direction. The surface of MEE-grown samples appears smoother compared to MBE-grown samples, a similar trend is observed with increasing growth temperature.

  19. Copper-related defects in In0.53Ga0.47As grown by liquid-phase epitaxy

    NASA Astrophysics Data System (ADS)

    Tilly, L. P.; Grimmeiss, H. G.; Hansson, P. O.

    1993-01-01

    High-purity In0.53Ga0.47As lattice matched to InP was grown by liquid-phase epitaxy and used for the study of Cu-related defects. The samples had a free-electron carrier concentration of n=5.0×1014 cm-3 and an electron mobility of μ77 K=44 000 cm2/V s. A Cu-related acceptor level 25 meV above the valence-band edge was identified using photoluminescence measurements. Comparing the energy position of this shallow acceptor level with the Ev+157.8-meV Cu-acceptor level in GaAs supports the assumption of an internal energy reference level [J. M. Langer, C. Delerue, M. Lannoo, and H. Heinrich, Phys. Rev. B 38, 7723 (1988)] common to GaAs and InxGa1-xAs.

  20. InGaAs/GaAsP strain balanced multi-quantum wires grown on misoriented GaAs substrates for high efficiency solar cells

    NASA Astrophysics Data System (ADS)

    Alonso-Álvarez, D.; Thomas, T.; Führer, M.; Hylton, N. P.; Ekins-Daukes, N. J.; Lackner, D.; Philipps, S. P.; Bett, A. W.; Sodabanlu, H.; Fujii, H.; Watanabe, K.; Sugiyama, M.; Nasi, L.; Campanini, M.

    2014-08-01

    Quantum wires (QWRs) form naturally when growing strain balanced InGaAs/GaAsP multi-quantum wells (MQW) on GaAs [100] 6° misoriented substrates under the usual growth conditions. The presence of wires instead of wells could have several unexpected consequences for the performance of the MQW solar cells, both positive and negative, that need to be assessed to achieve high conversion efficiencies. In this letter, we study QWR properties from the point of view of their performance as solar cells by means of transmission electron microscopy, time resolved photoluminescence and external quantum efficiency (EQE) using polarised light. We find that these QWRs have longer lifetimes than nominally identical QWs grown on exact [100] GaAs substrates, of up to 1 μs, at any level of illumination. We attribute this effect to an asymmetric carrier escape from the nanostructures leading to a strong 1D-photo-charging, keeping electrons confined along the wire and holes in the barriers. In principle, these extended lifetimes could be exploited to enhance carrier collection and reduce dark current losses. Light absorption by these QWRs is 1.6 times weaker than QWs, as revealed by EQE measurements, which emphasises the need for more layers of nanostructures or the use light trapping techniques. Contrary to what we expected, QWR show very low absorption anisotropy, only 3.5%, which was the main drawback a priori of this nanostructure. We attribute this to a reduced lateral confinement inside the wires. These results encourage further study and optimization of QWRs for high efficiency solar cells.

  1. Image quality of a pixellated GaAs X-ray detector

    NASA Astrophysics Data System (ADS)

    Sun, G. C.; Makham, S.; Bourgoin, J. C.; Mauger, A.

    2007-02-01

    X-ray detection requires materials with large atomic numbers Z in order to absorb the radiation efficiently. In case of X-ray imaging, fluorescence is a limiting factor for the spatial resolution and contrast at energies above the kα threshold. Since both the energy and yield of the fluorescence of a given material increase with the atomic number, there is an optimum value of Z. GaAs, which can now be epitaxially grown as self-supported thick layers to fulfil the requirements for imaging (good homogeneity of the electronic properties) corresponds to this optimum. Image performances obtained with this material are evaluated in terms of line spread function and modulation transfer function, and a comparison with CsI is made. We evaluate the image contrast obtained for a given object contrast with GaAs and CsI detectors, in the photon energy range of medical applications. Finally, we discuss the minimum object size, which can be detected by these detectors in of mammography conditions. This demonstrates that an object of a given size can be detected using a GaAs detector with a dose at least 100 times lower than using a CsI detector.

  2. GaSb solar cells grown on GaAs via interfacial misfit arrays for use in the III-Sb multi-junction cell

    NASA Astrophysics Data System (ADS)

    Nelson, George T.; Juang, Bor-Chau; Slocum, Michael A.; Bittner, Zachary S.; Laghumavarapu, Ramesh B.; Huffaker, Diana L.; Hubbard, Seth M.

    2017-12-01

    Growth of GaSb with low threading dislocation density directly on GaAs may be possible with the strategic strain relaxation of interfacial misfit arrays. This creates an opportunity for a multi-junction solar cell with access to a wide range of well-developed direct bandgap materials. Multi-junction cells with a single layer of GaSb/GaAs interfacial misfit arrays could achieve higher efficiency than state-of-the-art inverted metamorphic multi-junction cells while forgoing the need for costly compositionally graded buffer layers. To develop this technology, GaSb single junction cells were grown via molecular beam epitaxy on both GaSb and GaAs substrates to compare homoepitaxial and heteroepitaxial GaSb device results. The GaSb-on-GaSb cell had an AM1.5g efficiency of 5.5% and a 44-sun AM1.5d efficiency of 8.9%. The GaSb-on-GaAs cell was 1.0% efficient under AM1.5g and 4.5% at 44 suns. The lower performance of the heteroepitaxial cell was due to low minority carrier Shockley-Read-Hall lifetimes and bulk shunting caused by defects related to the mismatched growth. A physics-based device simulator was used to create an inverted triple-junction GaInP/GaAs/GaSb model. The model predicted that, with current GaSb-on-GaAs material quality, the not-current-matched, proof-of-concept cell would provide 0.5% absolute efficiency gain over a tandem GaInP/GaAs cell at 1 sun and 2.5% gain at 44 suns, indicating that the effectiveness of the GaSb junction was a function of concentration.

  3. Structural and electronic properties of isovalent boron atoms in GaAs

    NASA Astrophysics Data System (ADS)

    Krammel, C. M.; Nattermann, L.; Sterzer, E.; Volz, K.; Koenraad, P. M.

    2018-04-01

    Boron containing GaAs, which is grown by metal organic vapour phase epitaxy, is studied at the atomic level by cross-sectional scanning tunneling microscopy (X-STM) and spectroscopy (STS). In topographic X-STM images, three classes of B related features are identified, which are attributed to individual B atoms on substitutional Ga sites down to the second layer below the natural {110} cleavage planes. The X-STM contrast of B atoms below the surface reflects primarily the structural modification of the GaAs matrix by the small B atoms. However, B atoms in the cleavage plane have in contrast to conventional isovalent impurities, such as Al and In, a strong influence on the local electronic structure similar to donors or acceptors. STS measurements show that B in the GaAs {110} surfaces gives rise to a localized state short below the conduction band (CB) edge while in bulk GaAs, the B impurity state is resonant with the CB. The analysis of BxGa1-xAs/GaAs quantum wells reveals a good crystal quality and shows that the incorporation of B atoms in GaAs can be controlled along the [001] growth direction at the atomic level. Surprisingly, the formation of the first and fourth nearest neighbor B pairs, which are oriented along the <110 > directions, is strongly suppressed at a B concentration of 1% while the third nearest neighbor B pairs are found more than twice as often than expected for a completely spatially random pattern.

  4. High Ms Fe16N2 thin film with Ag under layer on GaAs substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Allard Jr, Lawrence Frederick

    2016-01-01

    (001) textured Fe16N2 thin film with Ag under layer is successfully grown on GaAs substrate using a facing target sputtering (FTS) system. After post annealing, chemically ordered Fe16N2 phase is formed and detected by X-ray diffraction (XRD). High saturation magnetization (Ms) is measured by a vibrating sample magnetometer (VSM). In comparison with Fe16N2 with Ag under layer on MgO substrate and Fe16N2 with Fe under layer on GaAs substrate, the current layer structure shows a higher Ms value, with a magnetically softer feature in contrast to the above cases. In addition, X-ray photoelectron spectroscopy (XPS) is performed to characterize themore » binding energy of N atoms. To verify the role of strain that the FeN layer experiences in the above three structures, Grazing Incidence X-ray Diffraction (GIXRD) is conducted to reveal a large in-plane lattice constant due to the in-plane biaxial tensile strain. INTRODUCTION« less

  5. Accelerated GaAs growth through MOVPE for low-cost PV applications

    NASA Astrophysics Data System (ADS)

    Ubukata, Akinori; Sodabanlu, Hassanet; Watanabe, Kentaroh; Koseki, Shuichi; Yano, Yoshiki; Tabuchi, Toshiya; Sugaya, Takeyoshi; Matsumoto, Koh; Nakano, Yoshiaki; Sugiyama, Masakazu

    2018-05-01

    The high growth rate of epitaxial GaAs was investigated using a novel horizontal metalorganic vapor phase epitaxy (MOVPE) reactor, from the point of view of realizing low-cost photovoltaic (PV) solar cells. The GaAs growth rate exhibited an approximately linear relationship with the amount of trimethylgalium (TMGa) supplied, up to a rate of 90 μm/h. The distribution of growth rate was observed for a two-inch wafer, along the flow direction, and the normalized profile of the distribution was found to be independent of the precursor input, from 20 to 70 μm/h. These tendencies indicated that significant parasitic prereaction did not occur in the gaseous phase, for this range of growth rate. GaAs p-n single-junction solar cells were successfully fabricated at growth rates of 20, 60, and 80 μm/h. The conversion efficiency of the cell grown at 80 μm/h was comparable to that of the 20 μm/h cell, indicating the good quality and properties of GaAs. The epitaxial growth exhibited good uniformity, as evidenced by the uniformity of the cell performance across the wafer, from the center to the edge. The result indicated the potential of high-throughput MOVPE for low-cost production, not only for PV devices but also for other semiconductor applications.

  6. Deep levels in osmium doped p-type GaAs grown by metal organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Iqbal, M. Zafar; Majid, A.; Dadgar, A.; Bimberg, D.

    2005-06-01

    Results of a preliminary study on deep level transient spectroscopy (DLTS) investigations of osmium (Os) impurity in p-type GaAs, introduced in situ during MOCVD crystal growth, are reported for the first time. Os is clearly shown to introduce two prominent deep levels in the lower half-bandgap of GaAs at energy positions Ev + 0.42 eV (OsA) and Ev + 0.72 eV (OsB). A minority-carrier emitting defect feature observed in the upper half-bandgap is shown to consist of a band of Os-related deep levels with a concentration significantly higher than that of the majority carrier emitting deep levels. Detailed data on the emission rate signatures and related parameters of the Os-related deep levels are reported.

  7. Nanoepitaxy of GaAs on a Si(001) substrate using a round-hole nanopatterned SiO2 mask.

    PubMed

    Hsu, Chao-Wei; Chen, Yung-Feng; Su, Yan-Kuin

    2012-12-14

    GaAs is grown by metal-organic vapor-phase epitaxy on a 55 nm round-hole patterned Si substrate with SiO(2) as a mask. The threading dislocations, which are stacked on the lowest energy facet plane, move along the SiO(2) walls, reducing the number of dislocations. The etching pit density of GaAs on the 55 nm round-hole patterned Si substrate is about 3.3 × 10(5) cm(-2). Compared with the full width at half maximum measurement from x-ray diffraction and photoluminescence spectra of GaAs on a planar Si(001) substrate, those of GaAs on the 55 nm round-hole patterned Si substrate are reduced by 39.6 and 31.4%, respectively. The improvement in material quality is verified by transmission electron microscopy, field-emission scanning electron microscopy, Hall measurements, Raman spectroscopy, photoluminescence, and x-ray diffraction studies.

  8. Effects of gold diffusion on n-type doping of GaAs nanowires.

    PubMed

    Tambe, Michael J; Ren, Shenqiang; Gradecak, Silvija

    2010-11-10

    The deposition of n-GaAs shells is explored as a method of n-type doping in GaAs nanowires grown by the Au-mediated metal-organic chemical vapor deposition. Core-shell GaAs/n-GaAs nanowires exhibit an unintended rectifying behavior that is attributed to the Au diffusion during the shell deposition based on studies using energy dispersive X-ray spectroscopy, current-voltage, capacitance-voltage, and Kelvin probe force measurements. Removing the gold prior to n-type shell deposition results in the realization of n-type GaAs nanowires without rectification. We directly correlate the presence of gold impurities to nanowire electrical properties and provide an insight into the role of seed particles on the properties of nanowires and nanowire heterostructures.

  9. Formation of two-dimensionally confined superparamagnetic (Mn, Ga)As nanocrystals in high-temperature annealed (Ga, Mn)As/GaAs superlattices.

    PubMed

    Sadowski, Janusz; Domagala, Jaroslaw Z; Mathieu, Roland; Kovacs, Andras; Dłużewski, Piotr

    2013-05-15

    The annealing-induced formation of (Mn, Ga)As nanocrystals in (Ga, Mn)As/GaAs superlattices was studied by x-ray diffraction, transmission electron microscopy and magnetometry. The superlattice structures with 50 Å thick (Ga, Mn)As layers separated by 25, 50 and 100 Å thick GaAs spacers were grown by molecular beam epitaxy at low temperature (250 °C), and then annealed at high temperatures of 400, 560 and 630 °C. The high-temperature annealing causes decomposition to a (Ga, Mn)As ternary alloy and the formation of (Mn, Ga)As nanocrystals inside the GaAs matrix. The nanocrystals are confined in the planes that were formerly occupied by (Ga, Mn)As layers for the up to 560 °C annealing and diffuse throughout the GaAs spacer layers at 630 °C annealing. The two-dimensionally confined nanocrystals exhibit a superparamagnetic behavior which becomes high-temperature ferromagnetism (~350 K) upon diffusion.

  10. GaAs buffer layer technique for vertical nanowire growth on Si substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xu, Xiaoqing, E-mail: steelxu@stanford.edu; Parizi, Kokab B.; Huo, Yijie

    2014-02-24

    Gold catalyzed vapor-liquid-solid method is widely applied to III–V nanowire (NW) growth on Si substrate. However, the easy oxidation of Si, possible Si contamination in the NWs, high defect density in the NWs, and high sensitivity of the NW morphology to growth conditions largely limit its controllability. In this work, we developed a buffer layer technique by introducing a GaAs thin film with predefined polarity as a template. It is found that samples grown on these buffer layers all have high vertical NW yields in general, due to the single-orientation of the buffer layers. Low temperature buffer with smoother surfacemore » leads to highest yield of vertical NWs, while high temperature (HT) buffer with better crystallinity results in perfect NW quality. The defect-free property we observed here is very promising for optoelectronic device applications based on GaAs NW. Moreover, the buffer layers can eliminate Si contamination by preventing Si-Au alloy formation and by increasing the thickness of the Si diffusion barrier, thus providing more flexibility to vertical NW growth. The buffer layer technique we demonstrated here could be easily extended to other III-V on Si system for electronic and photonic applications.« less

  11. Carbon Doping of Compound Semiconductor Epitaxial Layers Grown by Metalorganic Chemical Vapor Deposition Using Carbon Tetrachloride.

    NASA Astrophysics Data System (ADS)

    Cunningham, Brian Thomas

    1990-01-01

    A dilute mixture of CCl_4 in high purity H_2 has been used as a carbon dopant source for rm Al_ {x}Ga_{1-x}As grown by low pressure metalorganic chemical vapor deposition (MOCVD). To understand the mechanism for carbon incorporation from CCl_4 doping and to provide experimental parameters for the growth of carbon doped device structures, the effects of various crystal growth parameters on CCl _4 doping have been studied, including growth temperature, growth rate, V/III ratio, Al composition, and CCl_4 flow rate. Although CCl _4 is an effective p-type dopant for MOCVD rm Al_{x}Ga_ {1-x}As, injection of CCl_4 into the reactor during growth of InP resulted in no change in the carrier concentration or carbon concentration. Abrupt, heavy carbon doping spikes in GaAs have been obtained using CCl_4 without a dopant memory effect. By annealing samples with carbon doping spikes grown within undoped, n-type, and p-type GaAs, the carbon diffusion coefficient in GaAs at 825 ^circC has been estimated and has been found to depend strongly on the GaAs background doping. Heavily carbon doped rm Al_{x}Ga _{1-x}As/GaAs superlattices have been found to be more stable against impurity induced layer disordering (IILD) than Mg or Zn doped superlattices, indicating that the low carbon diffusion coefficient limits the IILD process. Carbon doping has been used in the base region on an Npn AlGaAs/GaAs heterojunction bipolar transistor (HBT). Transistors with 3 x 10 μm self-aligned emitter fingers have been fabricated which exhibit a current gain cutoff frequency of f_ {rm t} = 26 GHz.

  12. GaAs1-xBix/GaNyAs1-y type-II quantum wells: novel strain-balanced heterostructures for GaAs-based near- and mid-infrared photonics.

    PubMed

    Broderick, Christopher A; Jin, Shirong; Marko, Igor P; Hild, Konstanze; Ludewig, Peter; Bushell, Zoe L; Stolz, Wolfgang; Rorison, Judy M; O'Reilly, Eoin P; Volz, Kerstin; Sweeney, Stephen J

    2017-04-19

    The potential to extend the emission wavelength of photonic devices further into the near- and mid-infrared via pseudomorphic growth on conventional GaAs substrates is appealing for a number of communications and sensing applications. We present a new class of GaAs-based quantum well (QW) heterostructure that exploits the unusual impact of Bi and N on the GaAs band structure to produce type-II QWs having long emission wavelengths with little or no net strain relative to GaAs, while also providing control over important laser loss processes. We theoretically and experimentally demonstrate the potential of GaAs 1-x Bi x /GaN y As 1-y type-II QWs on GaAs and show that this approach offers optical emission and absorption at wavelengths up to ~3 µm utilising strain-balanced structures, a first for GaAs-based QWs. Experimental measurements on a prototype GaAs 0.967 Bi 0.033 /GaN 0.062 As 0.938 structure, grown via metal-organic vapour phase epitaxy, indicate good structural quality and exhibit both photoluminescence and absorption at room temperature. The measured photoluminescence peak wavelength of 1.72 μm is in good agreement with theoretical calculations and is one of the longest emission wavelengths achieved on GaAs to date using a pseudomorphically grown heterostructure. These results demonstrate the significant potential of this new class of III-V heterostructure for long-wavelength applications.

  13. GaAs1-xBix/GaNyAs1-y type-II quantum wells: novel strain-balanced heterostructures for GaAs-based near- and mid-infrared photonics

    NASA Astrophysics Data System (ADS)

    Broderick, Christopher A.; Jin, Shirong; Marko, Igor P.; Hild, Konstanze; Ludewig, Peter; Bushell, Zoe L.; Stolz, Wolfgang; Rorison, Judy M.; O'Reilly, Eoin P.; Volz, Kerstin; Sweeney, Stephen J.

    2017-04-01

    The potential to extend the emission wavelength of photonic devices further into the near- and mid-infrared via pseudomorphic growth on conventional GaAs substrates is appealing for a number of communications and sensing applications. We present a new class of GaAs-based quantum well (QW) heterostructure that exploits the unusual impact of Bi and N on the GaAs band structure to produce type-II QWs having long emission wavelengths with little or no net strain relative to GaAs, while also providing control over important laser loss processes. We theoretically and experimentally demonstrate the potential of GaAs1-xBix/GaNyAs1-y type-II QWs on GaAs and show that this approach offers optical emission and absorption at wavelengths up to ~3 µm utilising strain-balanced structures, a first for GaAs-based QWs. Experimental measurements on a prototype GaAs0.967Bi0.033/GaN0.062As0.938 structure, grown via metal-organic vapour phase epitaxy, indicate good structural quality and exhibit both photoluminescence and absorption at room temperature. The measured photoluminescence peak wavelength of 1.72 μm is in good agreement with theoretical calculations and is one of the longest emission wavelengths achieved on GaAs to date using a pseudomorphically grown heterostructure. These results demonstrate the significant potential of this new class of III-V heterostructure for long-wavelength applications.

  14. Room temperature operation of mid-infrared InAs0.81Sb0.19 based photovoltaic detectors with an In0.2Al0.8Sb barrier layer grown on GaAs substrates.

    PubMed

    Geum, Dae-Myeong; Kim, SangHyeon; Kang, SooSeok; Kim, Hosung; Park, Hwanyeol; Rho, Il Pyo; Ahn, Seung Yeop; Song, Jindong; Choi, Won Jun; Yoon, Euijoon

    2018-03-05

    In this paper, InAs 0.81 Sb 0.19 -based hetero-junction photovoltaic detector (HJPD) with an In 0.2 Al 0.8 Sb barrier layer was grown on GaAs substrates. By using technology computer aided design (TCAD), a design of a barrier layer that can achieve nearly zero valance band offsets was accomplished. A high quality InAs 0.81 Sb 0.19 epitaxial layer was obtained with relatively low threading dislocation density (TDD), calculated from a high-resolution X-ray diffraction (XRD) measurement. This layer showed a Hall mobility of 15,000 cm 2 /V⋅s, which is the highest mobility among InAsSb layers with an Sb composition of around 20% grown on GaAs substrates. Temperature dependence of dark current, photocurrent response and responsivity were measured and analyzed for fabricated HJPD. HJPD showed the clear photocurrent response having a long cutoff wavelength of 5.35 μm at room temperature. It was observed that the dark current of HJPDs is dominated by the diffusion limited current at temperatures ranging from 200K to room temperature from the dark current analysis. Peak responsivity of HJPDs exhibited the 1.18 A/W and 15 mA/W for 83K and a room temperature under zero bias condition even without anti-reflection coating (ARC). From these results, we believe that HJPDs could be an appropriate PD device for future compact and low power dissipation mid-infrared on-chip sensors and imaging devices.

  15. 830-nm Polarization Controlled Lasing of InGaAs Quantum Wire Vertical-Cavity Surface-Emitting Lasers Grown on (775)B GaAs Substrates by Molecular Beam Epitaxy

    NASA Astrophysics Data System (ADS)

    Higuchi, Yu; Osaki, Shinji; Sasahata, Yoshifumi; Kitada, Takahiro; Shimomura, Satoshi; Ogura, Mutsuo; Hiyamizu, Satoshi

    2007-02-01

    We report the first demonstration of room temperature (RT) current injection lasing of vertical-cavity surface-emitting lasers (VCSELs), with self-organized InGaAs/(GaAs)6(AlAs)1 quantum wires (QWRs) in their active region, grown on (775)B-oriented GaAs substrates by molecular beam epitaxy. A (775)B InGaAs QWR-VCSEL with an aperture diameter of 4 μm lased at a wavelength of 829.7 nm and a threshold current of 0.7 mA at RT. The light output was linearly polarized in the direction parallel to the QWRs due to optical anisotropy of the self-organized (775)B InGaAs QWRs.

  16. The Growth of Expitaxial GaAs and GaAlAs on Silicon Substrates by OMVPE

    DTIC Science & Technology

    1988-08-01

    structures have been grown on semi-insulating gallium arsenide substrates, and on high-resistivity silicon substrates using a two stage growth technique...fully in Quarter 9. 2. MATERIALS GROWTH 2.1 DOPING OF GALLIUM ARSENIDE FOR FETs As reported in quarter 7, doping levels for GaAs/SI 4ere found to be a...FET structures on both GaAs and Si substrates. A number of FET layers have been grown to the GAT4 specification on semi-insulating gallium arsenide

  17. Doping and electronic properties of GaAs grown by close-spaced vapor transport from powder sources for scalable III–V photovoltaics

    DOE PAGES

    Ritenour, Andrew J.; Boucher, Jason W.; DeLancey, Robert; ...

    2014-09-01

    The high balance-of-system costs of photovoltaic (PV) installations indicate that reductions in cell $/W costs alone are likely insufficient for PV electricity to reach grid parity unless energy conversion efficiency is also increased. Technologies which yield both high-efficiency cells (>25%) and maintain low costs are needed. GaAs and related III-V semiconductors are used in the highest-efficiency single- and multi-junction photovoltaics, but the technology is too expensive for non-concentrated terrestrial applications. This is due in part to the difficulty of scaling the metal-organic chemical vapor deposition (MOCVD) process, which relies on expensive reactors and employs toxic and pyrophoric gas-phase precursors suchmore » as arsine and trimethyl gallium, respectively. In this study, we describe GaAs films made by an alternative close-spaced vapor transport (CSVT) technique which is carried out at atmospheric pressure and requires only bulk GaAs, water vapor, and a temperature gradient in order to deposit crystalline films with similar electronic properties to that of GaAs deposited by MOCVD. CSVT is similar to the vapor transport process used to deposit CdTe thin films and is thus a potentially scalable low-cost route to GaAs thin films.« less

  18. Effect of low and staggered gap quantum wells inserted in GaAs tunnel junctions

    NASA Astrophysics Data System (ADS)

    Louarn, K.; Claveau, Y.; Marigo-Lombart, L.; Fontaine, C.; Arnoult, A.; Piquemal, F.; Bounouh, A.; Cavassilas, N.; Almuneau, G.

    2018-04-01

    In this article, we investigate the impact of the insertion of either a type I InGaAs or a type II InGaAs/GaAsSb quantum well on the performances of MBE-grown GaAs tunnel junctions (TJs). The devices are designed and simulated using a quantum transport model based on the non-equilibrium Green’s function formalism and a 6-band k.p Hamiltonian. We experimentally observe significant improvements of the peak tunneling current density on both heterostructures with a 460-fold increase for a moderately doped GaAs TJ when the InGaAs QW is inserted at the junction interface, and a 3-fold improvement on a highly doped GaAs TJ integrating a type II InGaAs/GaAsSb QW. Thus, the simple insertion of staggered band lineup heterostructures enables us to reach a tunneling current well above the kA cm‑2 range, equivalent to the best achieved results for Si-doped GaAs TJs, implying very interesting potential for TJ-based components, such as multi-junction solar cells, vertical cavity surface emitting lasers and tunnel-field effect transistors.

  19. Effects of substrate on the structure and orientation of ZnO thin film grown by rf-magnetron sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, H. F.; Chua, S. J.; Hu, G. X.

    2007-10-15

    X-ray diffractions, Nomarski microscopy, scanning electron microscopy, and photoluminescence have been used to study the effects of substrate on the structure and orientation of ZnO thin films grown by rf-magnetron sputtering. GaAs(001), GaAs(111), Al{sub 2}O{sub 3}(0002) (c-plane), and Al{sub 2}O{sub 3}(1102) (r-plane) wafers have been selected as substrates in this study. X-ray diffractions reveal that the ZnO film grown on GaAs(001) substrate is purely textured with a high c-axis orientation while that grown on GaAs(111) substrate is a single ZnO(0002) crystal; a polycrystalline structure with a large-single-crystal area of ZnO(0002) is obtained on a c-plane Al{sub 2}O{sub 3} substrate whilemore » a ZnO(1120) single crystal is formed on an r-plane Al{sub 2}O{sub 3} substrate. There is absence of significant difference between the photoluminescence spectra collected from ZnO/GaAs(001), ZnO/GaAs(111), and ZnO/Al{sub 2}O{sub 3}(0002), while the photoluminescence from ZnO/Al{sub 2}O{sub 3}(1102) shows a reduced intensity together with an increased linewidth, which is, likely, due to the increased incorporation of native defects during the growth of ZnO(1120)« less

  20. An observation of direct-gap electroluminescence in GaAs structures with Ge quantum wells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aleshkin, V. Ya.; Dikareva, N. V.; Dubinov, A. A., E-mail: sanya@ipm.sci-nnov.ru

    2015-02-15

    A light-emitting diode structure based on GaAs with eight narrow Ge quantum wells is grown by laser sputtering. An electroluminescence line polarized predominately in the plane parallel to the constituent layers of the structure is revealed. The line corresponds to the direct optical transitions in momentum space in the Ge quantum wells.

  1. InGaAs/GaAsP strain balanced multi-quantum wires grown on misoriented GaAs substrates for high efficiency solar cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alonso-Álvarez, D.; Thomas, T.; Führer, M.

    Quantum wires (QWRs) form naturally when growing strain balanced InGaAs/GaAsP multi-quantum wells (MQW) on GaAs [100] 6° misoriented substrates under the usual growth conditions. The presence of wires instead of wells could have several unexpected consequences for the performance of the MQW solar cells, both positive and negative, that need to be assessed to achieve high conversion efficiencies. In this letter, we study QWR properties from the point of view of their performance as solar cells by means of transmission electron microscopy, time resolved photoluminescence and external quantum efficiency (EQE) using polarised light. We find that these QWRs have longermore » lifetimes than nominally identical QWs grown on exact [100] GaAs substrates, of up to 1 μs, at any level of illumination. We attribute this effect to an asymmetric carrier escape from the nanostructures leading to a strong 1D-photo-charging, keeping electrons confined along the wire and holes in the barriers. In principle, these extended lifetimes could be exploited to enhance carrier collection and reduce dark current losses. Light absorption by these QWRs is 1.6 times weaker than QWs, as revealed by EQE measurements, which emphasises the need for more layers of nanostructures or the use light trapping techniques. Contrary to what we expected, QWR show very low absorption anisotropy, only 3.5%, which was the main drawback a priori of this nanostructure. We attribute this to a reduced lateral confinement inside the wires. These results encourage further study and optimization of QWRs for high efficiency solar cells.« less

  2. GaAs photovoltaics and optoelectronics using releasable multilayer epitaxial assemblies.

    PubMed

    Yoon, Jongseung; Jo, Sungjin; Chun, Ik Su; Jung, Inhwa; Kim, Hoon-Sik; Meitl, Matthew; Menard, Etienne; Li, Xiuling; Coleman, James J; Paik, Ungyu; Rogers, John A

    2010-05-20

    Compound semiconductors like gallium arsenide (GaAs) provide advantages over silicon for many applications, owing to their direct bandgaps and high electron mobilities. Examples range from efficient photovoltaic devices to radio-frequency electronics and most forms of optoelectronics. However, growing large, high quality wafers of these materials, and intimately integrating them on silicon or amorphous substrates (such as glass or plastic) is expensive, which restricts their use. Here we describe materials and fabrication concepts that address many of these challenges, through the use of films of GaAs or AlGaAs grown in thick, multilayer epitaxial assemblies, then separated from each other and distributed on foreign substrates by printing. This method yields large quantities of high quality semiconductor material capable of device integration in large area formats, in a manner that also allows the wafer to be reused for additional growths. We demonstrate some capabilities of this approach with three different applications: GaAs-based metal semiconductor field effect transistors and logic gates on plates of glass, near-infrared imaging devices on wafers of silicon, and photovoltaic modules on sheets of plastic. These results illustrate the implementation of compound semiconductors such as GaAs in applications whose cost structures, formats, area coverages or modes of use are incompatible with conventional growth or integration strategies.

  3. GaAs1−xBix/GaNyAs1−y type-II quantum wells: novel strain-balanced heterostructures for GaAs-based near- and mid-infrared photonics

    PubMed Central

    Broderick, Christopher A.; Jin, Shirong; Marko, Igor P.; Hild, Konstanze; Ludewig, Peter; Bushell, Zoe L.; Stolz, Wolfgang; Rorison, Judy M.; O’Reilly, Eoin P.; Volz, Kerstin; Sweeney, Stephen J.

    2017-01-01

    The potential to extend the emission wavelength of photonic devices further into the near- and mid-infrared via pseudomorphic growth on conventional GaAs substrates is appealing for a number of communications and sensing applications. We present a new class of GaAs-based quantum well (QW) heterostructure that exploits the unusual impact of Bi and N on the GaAs band structure to produce type-II QWs having long emission wavelengths with little or no net strain relative to GaAs, while also providing control over important laser loss processes. We theoretically and experimentally demonstrate the potential of GaAs1−xBix/GaNyAs1−y type-II QWs on GaAs and show that this approach offers optical emission and absorption at wavelengths up to ~3 µm utilising strain-balanced structures, a first for GaAs-based QWs. Experimental measurements on a prototype GaAs0.967Bi0.033/GaN0.062As0.938 structure, grown via metal-organic vapour phase epitaxy, indicate good structural quality and exhibit both photoluminescence and absorption at room temperature. The measured photoluminescence peak wavelength of 1.72 μm is in good agreement with theoretical calculations and is one of the longest emission wavelengths achieved on GaAs to date using a pseudomorphically grown heterostructure. These results demonstrate the significant potential of this new class of III-V heterostructure for long-wavelength applications. PMID:28422129

  4. Growth studies of erbium-doped GaAs deposited by metalorganic vapor phase epitaxy using noval cyclopentadienyl-based erbium sources

    NASA Technical Reports Server (NTRS)

    Redwing, J. M.; Kuech, T. F.; Gordon, D. C.; Vaartstra, B. A.; Lau, S. S.

    1994-01-01

    Erbium-doped GaAS layers were grown by metalorganic vapor phase epitaxy using two new sources, bis(i-propylcyclopentadienyl)cyclopentadienyl erbium and tris(t-butylcyclopentadienyl) erbium. Controlled Er doping in the range of 10(exp 17) - 10(exp 18)/cu cm was achieved using a relatively low source temperature of 90 C. The doping exhibits a second-order dependence on inlet source partial pressure, similar to behavior obtained with cyclopentadienyl Mg dopant sources. Equivalent amounts of oxygen and Er are present in 'as-grown' films indicating that the majority of Er dopants probably exist as Er-O complexes in the material. Er(+3) luminescence at 1.54 micrometers was measured from the as-grown films, but ion implantation of additional oxygen decreases the emission intensity. Electrical compensation of n-type GaAs layers codoped with Er and Si is directly correlated to the Er concentration is proposed to arise from the deep centers associated with Er which are responsible for a broad emission band near 0.90 micrometers present in the photoluminescence spectra of GaAs:Si, Er films.

  5. Progress toward thin-film GaAs solar cells using a single-crystal Si substrate with a Ge interlayer

    NASA Technical Reports Server (NTRS)

    Yeh, Y. C. M.; Wang, K. L.; Zwerdling, S.

    1982-01-01

    Development of a technology for fabricating light-weight, high-efficiency, radiation-resistant solar cells for space applications is reported. The approaches currently adopted are to fabricate shallow homojunction n(+)/p as well as p/n AlGaAs-heteroface GaAs solar cells by organometallic chemical vapor deposition (OM-CVD) on single-crystal Si substrates using in each case, a thin Ge epi-interlayer first grown by CVD. This approach maintains the advantages of the low specific gravity of Si as well as the high efficiency and radiation-resistant properties of the GaAs solar cell which can lead to greatly improved specific power for a solar array. The growth of single-crystal GaAs epilayers on Ge epi-interlayers on Si substrates is investigated. Related solar cell fabrication is reviewed.

  6. Development of a 1K x 1K GaAs QWIP Far IR Imaging Array

    NASA Technical Reports Server (NTRS)

    Jhabvala, M.; Choi, K.; Goldberg, A.; La, A.; Gunapala, S.

    2003-01-01

    In the on-going evolution of GaAs Quantum Well Infrared Photodetectors (QWIPs) we have developed a 1,024 x 1,024 (1K x1K), 8.4-9 microns infrared focal plane array (FPA). This 1 megapixel detector array is a hybrid using the Rockwell TCM 8050 silicon readout integrated circuit (ROIC) bump bonded to a GaAs QWIP array fabricated jointly by engineers at the Goddard Space Flight Center (GSFC) and the Army Research Laboratory (ARL). The finished hybrid is thinned at the Jet Propulsion Lab. Prior to this development the largest format array was a 512 x 640 FPA. We have integrated the 1K x 1K array into an imaging camera system and performed tests over the 40K-90K temperature range achieving BLIP performance at an operating temperature of 76K (f/2 camera system). The GaAs array is relatively easy to fabricate once the superlattice structure of the quantum wells has been defined and grown. The overall arrays costs are currently dominated by the costs associated with the silicon readout since the GaAs array fabrication is based on high yield, well-established GaAs processing capabilities. In this paper we will present the first results of our 1K x 1K QWIP array development including fabrication methodology, test data and our imaging results.

  7. GaSb and GaSb/AlSb Superlattice Buffer Layers for High-Quality Photodiodes Grown on Commercial GaAs and Si Substrates

    NASA Astrophysics Data System (ADS)

    Gutiérrez, M.; Lloret, F.; Jurczak, P.; Wu, J.; Liu, H. Y.; Araújo, D.

    2018-05-01

    The objective of this work is the integration of InGaAs/GaSb/GaAs heterostructures, with high indium content, on GaAs and Si commercial wafers. The design of an interfacial misfit dislocation array, either on GaAs or Si substrates, allowed growth of strain-free devices. The growth of purposely designed superlattices with their active region free of extended defects on both GaAs and Si substrates is demonstrated. Transmission electron microscopy technique is used for the structural characterization and plastic relaxation study. In the first case, on GaAs substrates, the presence of dopants was demonstrated to reduce several times the threading dislocation density through a strain-hardening mechanism avoiding dislocation interactions, while in the second case, on Si substrates, similar reduction of dislocation interactions is obtained using an AlSb/GaSb superlattice. The latter is shown to redistribute spatially the interfacial misfit dislocation array to reduce dislocation interactions.

  8. Defects with Deep Levels in GaAs Induced by Plastic Deformation and Electron Irradiation

    NASA Astrophysics Data System (ADS)

    Haga, Toru; Suezawa, Masashi; Sumino, Koji

    1988-10-01

    Defects with deep electronic energy levels induced by plastic deformation at 450°C or electron irradiation at room temperature in boat-grown GaAs crystals are investigated by means of optical absorption. The optical absorption spectra associated with the induced defects are compared with that of grown-in defects EL2. Thermal stabilities of the defects are studied by tracing the changes in the absorption spectra due to isochronal annealing of the specimens. The defects induced by the above two procedures are identified not to be EL2, even though some part of the defects gives rise to absorption similar to that caused by EL2 in the spectral shape. The absorptions in both the deformed and the irradiated samples are mostly photo-unquenchable. Deformation-induced defects responsible for this absorption are found to be AsGa antisite-related defects which are less thermally stable than EL2. Irradiation-induced defects giving rise to this kind of absorption are far more unstable in comparison with the deformation-induced defects, and are mostly eliminated by annealing at temperatures lower than 300°C.

  9. Microprocessor design for GaAs technology

    NASA Astrophysics Data System (ADS)

    Milutinovic, Veljko M.

    Recent advances in the design of GaAs microprocessor chips are examined in chapters contributed by leading experts; the work is intended as reading material for a graduate engineering course or as a practical R&D reference. Topics addressed include the methodology used for the architecture, organization, and design of GaAs processors; GaAs device physics and circuit design; design concepts for microprocessor-based GaAs systems; a 32-bit GaAs microprocessor; a 32-bit processor implemented in GaAs JFET; and a direct coupled-FET-logic E/D-MESFET experimental RISC machine. Drawings, micrographs, and extensive circuit diagrams are provided.

  10. High quality of InAsSb epilayer with cutoff wavelength longer than 10 μm grown on GaAs by the modified LPE technique

    NASA Astrophysics Data System (ADS)

    Hu, S. H.; Sun, C. H.; Sun, Y.; Ge, J.; Wang, R.; Wu, J.; Wang, Q. W.; Dai, N.

    2009-04-01

    The InAsSb epilayers with a cutoff wavelength of 11.5 μm were successfully grown on highly lattice-mismatched semi-insulating (1 0 0) GaAs substrate by the modified liquid phase epitaxy (LPE) technique. Fourier transform infrared (FTIR) transmission spectrum revealed a strong band gap narrowing for this alloy. The electrical properties were investigated by the Van der Pauw measurements at 300 and 77 K. InAsSb epilayers showed high Hall mobilities being 11,800 cm 2/V s at room temperature (RT). After an annealing treament for 10 h, the electron mobility at 77 K were improved from 1730 cm 2/V s (prior to annealing) to 13,470 cm 2/V s. Wet etching was used to display the surface etch pits prior to and after annealing treatment, showing that the mobility improvement was due to the reduction of the etch pits density.

  11. Deep level defects in dilute GaAsBi alloys grown under intense UV illumination

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mooney, P. M.; Tarun, Marianne; Beaton, D. A.

    2016-07-21

    Dilute GaAs1-xBix alloys exhibiting narrow band edge photoluminescence (PL) were recently grown by molecular beam epitaxy (MBE) with the growth surface illuminated by intense UV radiation. To investigate whether the improved optical quality of these films results from a reduction in the concentration of deep level defects, p+/n and n+/p junction diodes were fabricated on both the illuminated and dark areas of several samples. Deep Level Transient Spectroscopy (DLTS) measurements show that the illuminated and dark areas of both the n- and p-type GaAs1-xBix epi-layers have similar concentrations of near mid-gap electron and hole traps, in the 1015 cm-3 range.more » Thus the improved PL spectra cannot be explained by a reduction in non-radiative recombination at deep level defects. We note that carrier freeze-out above 35 K is significantly reduced in the illuminated areas of the p-type GaAs1-xBix layers compared to the dark areas, allowing the first DLTS measurements of defect energy levels close to the valence band edge. These defect levels may account for differences in the PL spectra from the illuminated and dark areas of un-doped layers with a similar Bi fraction.« less

  12. Properties of epitaxial BaTiO{sub 3} deposited on GaAs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Contreras-Guerrero, R.; Droopad, R.; Veazey, J. P.

    2013-01-07

    Single crystal BaTiO{sub 3} (BTO) has been grown epitaxially on GaAs using molecular beam epitaxy with a 2 unit cell SrTiO{sub 3} nucleation layer. The oxide film is lattice-matched to GaAs through an in-plane rotation of 45 Degree-Sign relative to the (100) surface leading to c-axis orientation of the BaTiO{sub 3}. X-ray diffraction confirmed the crystallinity and orientation of the oxide film with a full width half maximum of 0.58 Degree-Sign for a 7.5 nm thick layer. Piezoresponse force microscopy was used to characterize the ferroelectric domains in the BaTiO{sub 3} layer, and a coercive voltage of 1-2 V andmore » piezoresponse amplitude {approx}5 pm/V was measured.« less

  13. Excitation and De-Excitation Mechanisms of Er-Doped GaAs and A1GaAs.

    DTIC Science & Technology

    1992-12-01

    AD-A258 814 EXCITATION AND DE -EXCITATION MECHANISMS OF Er-DOPED GaAs AND A1GaAs DISSERTATION David W. Elsaesser, Captain, USAF DTICY. ft £ICTE’’ )AN...0 8 1993U -o Wo- .%Approved for public release; Distribution unlimited 93 1 04 022 AFIT/DS/ENP/92-5 EXCITATION AND DE -EXCITATION MECHANISMS OF Er...public release; Distribution unlimited AFIT/DS/ENP/92D-005 EXCITATION AND DE -EXCITATION MECHANISMS OF Er-DOPED GaAs AND A1GaAs 4 toFlor -- David W

  14. p-type zinc-blende GaN on GaAs substrates

    NASA Astrophysics Data System (ADS)

    Lin, M. E.; Xue, G.; Zhou, G. L.; Greene, J. E.; Morkoç, H.

    1993-08-01

    We report p-type cubic GaN. The Mg-doped layers were grown on vicinal (100) GaAs substrates by plasma-enhanced molecular beam epitaxy. Thermally sublimed Mg was, with N2 carrier gas, fed into an electron-cyclotron resonance source. p-type zinc-blende-structure GaN films were achieved with hole mobilities as high as 39 cm2/V s at room temperature. The cubic nature of the films were confirmed by x-ray diffractometry. The depth profile of Mg was investigated by secondary ions mass spectroscopy.

  15. Determination of carrier concentration and compensation microprofiles in GaAs

    NASA Technical Reports Server (NTRS)

    Jastrzebski, L.; Lagowski, J.; Walukiewicz, W.; Gatos, H. C.

    1980-01-01

    Simultaneous microprofiling of semiconductor free carrier, donor, and acceptor concentrations was achieved for the first time from the absolute value of the free carrier absorption coefficient and its wavelength dependence determined by IR absorption in a scanning mode. Employing Ge- and Si-doped melt-grown GaAs, striking differences were found between the variations of electron concentration and those of ionized impurity concentrations. These results showed clearly that the electronic characteristics of this material are controlled by amphoteric doping and deviations from stoichiometry rather than by impurity segregation.

  16. EL2 and related defects in GaAs - Challenges and pitfalls

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.; Lagowski, J.

    1985-01-01

    The incorporation process of nonequilibrium vacancies in melt-grown GaAs is strongly complicated by deviations from stoichiometry, and the presence of two sublattices. Many of the microdefects originating in these vacancies and their interactions introduce energy levels (shallow and deep) within the energy gap. The direct identification of the chemical or structural signature of these defects and its direct correlation to their electronic behavior is not generally possible. It is therefore necessary to rely on indirect methods and phenomenological models and be confronted with the associated pitfalls. EL2, a microdefect introducing a deep donor level, has been in the limelight in recent years because it is believed to be responsible for the semi-insulating behavior of undoped GaAs. Although much progress has been made towards understanding its origin and nature, some relevant questions remain unanswered. An attempt is made to assess the present status of understanding of EL2 in the light of the most recent results.

  17. GaAs Computer Technology

    DTIC Science & Technology

    1992-01-07

    AD-A259 259 FASTC-ID FOREIGN AEROSPACE SCIENCE AND TECHNOLOGY CENTER GaAs COMPUTER TECHNOLOGY (1) by Wang Qiao-yu 93-00999 Distrir bution t,,,Nm ted...FASTC- ID(RS)T-0310-92 HUMAN TRANSLATION FASTC-ID(RS)T-0310-92 7 January 1993 GaAs COMPUTER TECHNOLOGY (1) By: Wang Qiao-yu English pages: 6 Source...the best quality copy available. j C] " ------ GaAs Computer Technology (1) Wang Qiao-yu (Li-Shan Microelectronics Institute) Abstract: The paper

  18. Superconducting Ga/GaSe layers grown by van der Waals epitaxy

    NASA Astrophysics Data System (ADS)

    Desrat, W.; Moret, M.; Briot, O.; Ngo, T.-H.; Piot, B. A.; Jabakhanji, B.; Gil, B.

    2018-04-01

    We report on the growth of GaSe films by molecular beam epitaxy on both (111)B GaAs and sapphire substrates. X-ray diffraction reveals the perfect crystallinity of GaSe with the c-axis normal to the substrate surface. The samples grown under Ga rich conditions possess an additional gallium film on top of the monochalcogenide layer. This metallic film shows two normal-to-superconducting transitions which are detected at T c ≈ 1.1 K and 6.0 K. They correspond likely to the β and α-phases of gallium in the form of bulk and droplets respectively. Our results demonstrate that van der Waals epitaxy can lead to future high quality hybrid superconductor/monochalcogenide heterostructures.

  19. Fabrication and characterization of multi-layer InAs/InGaAs quantum dot p-i-n GaAs solar cells grown on silicon substrates

    NASA Astrophysics Data System (ADS)

    Omri, M.; Sayari, A.; Sfaxi, L.

    2018-01-01

    This paper reports on InAs/InGaAs quantum dot solar cells (QDSCs) deposited by molecular beam epitaxy (MBE) on (001) n-type silicon ( n-Si) substrates. In-situ RHEED measurements show that InAs/InGaAs QDs SC has a high crystalline structure. The dislocation density in the active layer of the InAs/InGaAs QDSC and the lattice mismatch in the GaAs layer can be reduced by using an Si rough surface buffer layer (RSi). To show the effect of the QD layers, a reference SC with the same p-i-n structure as the InAs/InGaAs QDSC, but without InAs QDs, is also grown. The two SCs were studied by sepectroscopic ellipsometry (SE), in the 1-6 eV photon energy range, photoluminescence and photocurrent measurements. The optical constants of the two devices are determined in the photon energy range 1-6 eV from the SE data. The dominant features in the dielectric function spectra at 3 and 4.5 eV are attributed, respectively, to the E 1 and E 2 critical point structures of GaAs and InAs. The low-temperature photoluminescence spectrum of the InAs/InGaAs QDSC shows ground-state emissions, respectively, from the relatively small QDs near 1081 nm and from the large QDs near 1126 nm. Photocurrent measurements confirm the improved absorption performance (up to 1200 nm) of the InAs QDs SC which is ascribed to the optical absorption from the InAs/InGaAs QDs and the Si substrate as demonstrated by SE and photoluminescence measurements.

  20. GaAs shallow-homojunction solar cells

    NASA Technical Reports Server (NTRS)

    Fan, J. C. C.

    1981-01-01

    The feasibility of fabricating space resistant, high efficiency, light weight, low cost GaAs shallow homojunction solar cells for space application is investigated. The material preparation of ultrathin GaAs single crystal layers, and the fabrication of efficient GaAs solar cells on bulk GaAs substrates are discussed. Considerable progress was made in both areas, and conversion efficiency about 16% AMO was obtained using anodic oxide as a single layer antireflection coating. A computer design shows that even better cells can be obtained with double layer antireflection coating. Ultrathin, high efficiency solar cells were obtained from GaAs films prepared by the CLEFT process, with conversion efficiency as high as 17% at AMI from a 10 micrometers thick GaAs film. A organometallic CVD was designed and constructed.

  1. Relevance of GaAs(001) surface electronic structure for high frequency dispersion on n-type accumulation capacitance

    NASA Astrophysics Data System (ADS)

    Pi, T. W.; Chen, W. S.; Lin, Y. H.; Cheng, Y. T.; Wei, G. J.; Lin, K. Y.; Cheng, C.-P.; Kwo, J.; Hong, M.

    2017-01-01

    This study investigates the origin of long-puzzled high frequency dispersion on the accumulation region of capacitance-voltage characteristics in an n-type GaAs-based metal-oxide-semiconductor. Probed adatoms with a high Pauling electronegativity, Ag and Au, unexpectedly donate charge to the contacted As/Ga atoms of as-grown α2 GaAs(001)-2 × 4 surfaces. The GaAs surface atoms behave as charge acceptors, and if not properly passivated, they would trap those electrons accumulated at the oxide and semiconductor interface under a positive bias. The exemplified core-level spectra of the Al2O3/n-GaAs(001)-2 × 4 and the Al2O3/n-GaAs(001)-4 × 6 interfaces exhibit remnant of pristine surface As emission, thereby causing high frequency dispersion in the accumulation region. For the p-type GaAs, electrons under a negatively biased condition are expelled from the interface, thereby avoiding becoming trapped.

  2. All MBE grown InAs/GaAs quantum dot lasers on on-axis Si (001).

    PubMed

    Kwoen, Jinkwan; Jang, Bongyong; Lee, Joohang; Kageyama, Takeo; Watanabe, Katsuyuki; Arakawa, Yasuhiko

    2018-04-30

    Directly grown III-V quantum dot (QD) laser on on-axis Si (001) is a good candidate for achieving monolithically integrated Si photonics light source. Nowadays, laser structures containing high quality InAs / GaAs QD are generally grown by molecular beam epitaxy (MBE). However, the buffer layer between the on-axis Si (001) substrate and the laser structure are usually grown by metal-organic chemical vapor deposition (MOCVD). In this paper, we demonstrate all MBE grown high-quality InAs/GaAs QD lasers on on-axis Si (001) substrates without using patterning and intermediate layers of foreign material.

  3. Materials Research Society Symposium Proceedings Held in Boston, Massachusetts on 4-6 December 1991. Low Temperature (LT) GaAs and Related Materials. Volume 241.

    DTIC Science & Technology

    1992-09-14

    AIGaAs-GaAs QUANTUM WELLS GROWN ON LOW TEMPERATURE GaAs 239 Y. Hwang, D. Zhang, T. Zhang, M. Mytych, and R.M. Kolbas MOLECULAR BEAM EPITAXY OF LOW...GaA/ quantum wells : 24i howvever, in our case. AIks layers were not introduced. Formation (if these rows is most prolf,.+l influenced hb the diffusimon...regions. Preliminary investigations into this method have been performed using GaAs quantum wells between thick AIGaAs barriers as shown in Fig. 7. This

  4. Vertically aligned GaAs nanowires on graphite and few-layer graphene: generic model and epitaxial growth.

    PubMed

    Munshi, A Mazid; Dheeraj, Dasa L; Fauske, Vidar T; Kim, Dong-Chul; van Helvoort, Antonius T J; Fimland, Bjørn-Ove; Weman, Helge

    2012-09-12

    By utilizing the reduced contact area of nanowires, we show that epitaxial growth of a broad range of semiconductors on graphene can in principle be achieved. A generic atomic model is presented which describes the epitaxial growth configurations applicable to all conventional semiconductor materials. The model is experimentally verified by demonstrating the growth of vertically aligned GaAs nanowires on graphite and few-layer graphene by the self-catalyzed vapor-liquid-solid technique using molecular beam epitaxy. A two-temperature growth strategy was used to increase the nanowire density. Due to the self-catalyzed growth technique used, the nanowires were found to have a regular hexagonal cross-sectional shape, and are uniform in length and diameter. Electron microscopy studies reveal an epitaxial relationship of the grown nanowires with the underlying graphitic substrates. Two relative orientations of the nanowire side-facets were observed, which is well explained by the proposed atomic model. A prototype of a single GaAs nanowire photodetector demonstrates a high-quality material. With GaAs being a model system, as well as a very useful material for various optoelectronic applications, we anticipate this particular GaAs nanowire/graphene hybrid to be promising for flexible and low-cost solar cells.

  5. A comparative study of the influence of buoyancy driven fluid flow on GaAs crystal growth

    NASA Technical Reports Server (NTRS)

    Kafalas, J. A.; Bellows, A. H.

    1988-01-01

    A systematic investigation of the effect of gravity driven fluid flow on GaAs crystal growth was performed. It includes GaAs crystal growth in the microgravity environment aboard the Space Shuttle. The program involves a controlled comparative study of crystal growth under a variety of earth based conditions with variable orientation and applied magnetic field in addition to the microgravity growth. Earth based growth will be performed under stabilizing as well as destabilizing temperature gradients. The boules grown in space and on earth will be fully characterized to correlate the degree of convection with the distribution of impurities. Both macro- and micro-segregation will be determined. The space growth experiment will be flown in a self-contained payload container through NASA's Get Away Special program.

  6. Ultrathin type-II GaSb/GaAs quantum wells grown by OMVPE

    NASA Astrophysics Data System (ADS)

    Pitts, O. J.; Watkins, S. P.; Wang, C. X.; Stotz, J. A. H.; Meyer, T. A.; Thewalt, M. L. W.

    2004-09-01

    Heterostructures containing monolayer (ML) and submonolayer GaSb insertions in GaAs were grown using organometallic vapour phase epitaxy. At the GaAs-on-GaSb interface, strong intermixing occurs due to the surface segregation of Sb. To form structures with relatively abrupt interfaces, a flashoff growth sequence, in which growth interruptions are employed to desorb Sb from the surface, was introduced. Reflectance-difference spectroscopy and high-resolution X-ray diffraction data demonstrate that interfacial grading is strongly reduced by this procedure. For layer structures grown with the flashoff sequence, a GaSb coverage up to 1 ML can be obtained in the two-dimensional (2D) growth mode. For uncapped GaSb layers, on the other hand, atomic force microscope images show that the 2D-3D growth mode transition occurs at a submonolayer coverage between 0.3 and 0.5 ML. Low-temperature photoluminescence spectra of multiple quantum well samples grown using the flashoff sequence show a strong quantum well-related peak which shifts to lower energies as the amount of Sb incorporated increases. The PL peak energies are consistent with a type-II band lineup at the GaAs/GaSb interface.

  7. Analysis of twin defects in GaAs(111)B molecular beam epitaxy growth

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Park, Yeonjoon; Cich, Michael J.; Zhao, Rian

    2000-05-01

    The formation of twin is common during GaAs(111) and GaN(0001) molecular beam epitaxy (MBE) metalorganic chemical vapor deposition growth. A stacking fault in the zinc-blende (ZB)(111) direction can be described as an insertion of one monolayer of wurtzite structure, sandwiched between two ZB structures that have been rotated 60 degree sign along the growth direction. GaAs(111)A/B MBE growth within typical growth temperature regimes is complicated by the formation of pyramidal structures and 60 degree sign rotated twins, which are caused by faceting and stacking fault formation. Although previous studies have revealed much about the structure of these twins, a well-establishedmore » simple nondestructive characterization method which allows the measurement of total aerial density of the twins does not exist at present. In this article, the twin density of AlGaAs layers grown on 1 degree sign miscut GaAs(111)B substrates has been measured using high resolution x-ray diffraction, and characterized with a combination of Nomarski microscopy, atomic force microscopy, and transmission electron microscopy. These comparisons permit the relationship between the aerial twin density and the growth condition to be determined quantitatively. (c) 2000 American Vacuum Society.« less

  8. Low-temperature photoluminescence study of thin epitaxial GaAs films on Ge substrates

    NASA Astrophysics Data System (ADS)

    Brammertz, Guy; Mols, Yves; Degroote, Stefan; Motsnyi, Vasyl; Leys, Maarten; Borghs, Gustaaf; Caymax, Matty

    2006-05-01

    Thin epitaxial GaAs films, with thickness varying from 140 to 1000 nm and different Si doping levels, were grown at 650 °C by organometallic vapor phase epitaxy on Ge substrates and analyzed by low-temperature photoluminescence (PL) spectroscopy. All spectra of thin GaAs on Ge show two different structures, one narrow band-to-band (B2B) structure at an energy of ~1.5 eV and a broad inner-band-gap (IB) structure at an energy of ~1.1 eV. Small strain in the thin GaAs films causes the B2B structure to be separated into a light-hole and a heavy-hole peak. At 2.5 K the good structural quality of the thin GaAs films on Ge can be observed from the narrow excitonic peaks. Peak widths of less than 1 meV are measured. GaAs films with thickness smaller than 200 nm show B2B PL spectra with characteristics of an n-type doping level of approximately 1018 at./cm3. This is caused by heavy Ge diffusion from the substrate into the GaAs at the heterointerface between the two materials. The IB structure observed in all films consists of two Gaussian peaks with energies of 1.04 and 1.17 eV. These deep trapping states arise from Ge-based complexes formed within the GaAs at the Ge-GaAs heterointerface, due to strong diffusion of Ge atoms into the GaAs. Because of similarities with Si-based complexes, the peak at 1.04 eV was identified to be due to a GeGa-GeAs complex, whereas the peak at 1.17 eV was attributed to the GeGa-VGa complex. The intensity of the IB structure decreases strongly as the GaAs film thickness is increased. PL intensity of undoped GaAs films containing antiphase domains (APDs) is four orders of magnitude lower than for similar films without APDs. This reduction in intensity is due to the electrically active Ga-Ga and As-As bonds at the boundaries between the different APDs. When the Si doping level is increased, the PL intensity of the APD-containing films is increased again as well. A film containing APDs with a Si doping level of ~1018 at./cm3 has only a factor 10

  9. Silicon incorporation in GaAs: From delta-doping to monolayer insertion

    NASA Astrophysics Data System (ADS)

    Wagner, J.; Newman, R. C.; Roberts, C.

    1995-08-01

    Raman spectroscopy was used to study the incorporation of Si into doping layers in GaAs, grown by molecular beam epitaxy at a temperature of 400 °C, for Si concentrations ranging from the δ-doping level to a ML coverage. The strength of the scattering by local vibrational modes of substitutional Si was almost constant for Si areal concentration [Si]A in the range 5×1012<[Si]A<5×1013 cm-2 but then decreased, dropping below the detection limit for [Si]A≳3×1014 cm-2. At these concentrations a new vibrational band emerged at a frequency close to 470 cm-1 and developed into the optic zone center phonon of a coherently strained epitaxial layer of Si embedded in GaAs when a coverage of ≊1.5 ML (9.3×1014 cm-2) was reached. These findings strongly indicate that the observed saturation and the eventual decrease of the concentration of substitutional silicon is caused by an increasing incorporation of deposited Si into two-dimensional islands of covalently bonded Si.

  10. Strain relaxation in convex-graded InxAl1-xAs (x = 0.05-0.79) metamorphic buffer layers grown by molecular beam epitaxy on GaAs(001)

    NASA Astrophysics Data System (ADS)

    Solov'ev, V. A.; Chernov, M. Yu; Baidakova, M. V.; Kirilenko, D. A.; Yagovkina, M. A.; Sitnikova, A. A.; Komissarova, T. A.; Kop'ev, P. S.; Ivanov, S. V.

    2018-01-01

    This paper presents a study of structural properties of InGaAs/InAlAs quantum well (QW) heterostructures with convex-graded InxAl1-xAs (x = 0.05-0.79) metamorphic buffer layers (MBLs) grown by molecular beam epitaxy on GaAs substrates. Mechanisms of elastic strain relaxation in the convex-graded MBLs were studied by the X-ray reciprocal space mapping combined with the data of spatially-resolved selected area electron diffraction implemented in a transmission electron microscope. The strain relaxation degree was approximated for the structures with different values of an In step-back. Strong contribution of the strain relaxation via lattice tilt in addition to the formation of the misfit dislocations has been observed for the convex-graded InAlAs MBL, which results in a reduced threading dislocation density in the QW region as compared to a linear-graded MBL.

  11. GaAs/Ge crystals grown on Si substrates patterned down to the micron scale

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Taboada, A. G., E-mail: gonzalez@phys.ethz.ch; Kreiliger, T.; Falub, C. V.

    Monolithic integration of III-V compounds into high density Si integrated circuits is a key technological challenge for the next generation of optoelectronic devices. In this work, we report on the metal organic vapor phase epitaxy growth of strain-free GaAs crystals on Si substrates patterned down to the micron scale. The differences in thermal expansion coefficient and lattice parameter are adapted by a 2-μm-thick intermediate Ge layer grown by low-energy plasma enhanced chemical vapor deposition. The GaAs crystals evolve during growth towards a pyramidal shape, with lateral facets composed of (111) planes and an apex formed by (137) and (001) surfaces.more » The influence of the anisotropic GaAs growth kinetics on the final morphology is highlighted by means of scanning and transmission electron microscopy measurements. The effect of the Si pattern geometry, substrate orientation, and crystal aspect ratio on the GaAs structural properties was investigated by means of high resolution X-ray diffraction. The thermal strain relaxation process of GaAs crystals with different aspect ratio is discussed within the framework of linear elasticity theory by Finite Element Method simulations based on realistic geometries extracted from cross-sectional scanning electron microscopy images.« less

  12. GaAs MOEMS Technology

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    SPAHN, OLGA B.; GROSSETETE, GRANT D.; CICH, MICHAEL J.

    2003-03-01

    Many MEMS-based components require optical monitoring techniques using optoelectronic devices for converting mechanical position information into useful electronic signals. While the constituent piece-parts of such hybrid opto-MEMS components can be separately optimized, the resulting component performance, size, ruggedness and cost are substantially compromised due to assembly and packaging limitations. GaAs MOEMS offers the possibility of monolithically integrating high-performance optoelectronics with simple mechanical structures built in very low-stress epitaxial layers with a resulting component performance determined only by GaAs microfabrication technology limitations. GaAs MOEMS implicitly integrates the capability for radiation-hardened optical communications into the MEMS sensor or actuator component, a vitalmore » step towards rugged integrated autonomous microsystems that sense, act, and communicate. This project establishes a new foundational technology that monolithically combines GaAs optoelectronics with simple mechanics. Critical process issues addressed include selectivity, electrochemical characteristics, and anisotropy of the release chemistry, and post-release drying and coating processes. Several types of devices incorporating this novel technology are demonstrated.« less

  13. Heteroepitaxial growth of Ge films on (100) GaAs by pyrolysis of digermane

    NASA Astrophysics Data System (ADS)

    Eres, Djula; Lowndes, Douglas H.; Tischler, J. Z.; Sharp, J. W.; Geohegan, D. B.; Pennycook, S. J.

    1989-08-01

    Pyrolysis of high-purity digermane (Ge2 H6 ) has been used to grow epitaxial Ge films of high crystalline quality on (100) GaAs substrates in a low-pressure environment. X-ray double-crystal diffractometry shows that fully commensurate, coherently strained epitaxial Ge films can be grown on (100) GaAs at digermane partial pressures of 0.05-40 mTorr for substrate temperatures of 380-600 °C. Amorphous films also were deposited. Information about the crystalline films surface morphology, growth mode, and microstructure was obtained from scanning electron microscopy, cross-section transmission electron microscopy, and in situ reflectivity measurements. The amorphous-to-crystalline transition temperature and the morphology of the crystalline films were both found to depend on deposition conditions (primarily the incidence rate of Ge-bearing species and the substrate temperature). Epitaxial growth rates using digermane were found to be about two orders of magnitude higher than rates using germane (GeH4 ) under similar experimental conditions.

  14. Spectroscopic and microscopic investigation of MBE-grown CdTe (211)B epitaxial thin films on GaAs (211)B substrates

    NASA Astrophysics Data System (ADS)

    Özden, Selin; Koc, Mumin Mehmet

    2018-03-01

    CdTe epitaxial thin films, for use as a buffer layer for HgCdTe defectors, were grown on GaAs (211)B using the molecular beam epitaxy method. Wet chemical etching (Everson method) was applied to the epitaxial films using various concentrations and application times to quantify the crystal quality and dislocation density. Surface characterization of the epitaxial films was achieved using Atomic force microscopy and Scanning electron microscopy (SEM) before and after each treatment. The Energy Dispersive X-Ray apparatus of SEM was used to characterize the chemical composition. Untreated CdTe films show smooth surface characteristics with root mean square (RMS) roughnesses of 1.18-3.89 nm. The thicknesses of the CdTe layers formed were calculated via FTIR spectrometry and obtained by ex situ spectroscopic ellipsometry. Raman spectra were obtained for various temperatures. Etch pit densities (EPD) were measured, from which it could be seen that EPD changes between 1.7 × 108 and 9.2 × 108 cm-2 depending on the concentration of the Everson etch solution and treatment time. Structure, shape and depth of pits resulting from each etch pit implementation were also evaluated. Pit widths varying between 0.15 and 0.71 µm with heights varying between 2 and 80 nm were observed. RMS roughness was found to vary by anything from 1.56 to 26 nm.

  15. Surface science analysis of GaAs photocathodes following sustained electron beam delivery

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Carlos Hernandez-Garcia, Fay Hannon, Marcy Stutzman, V. Shutthanandan, Z. Zhu, M. Nandasri, S. V. Kuchibhatla, S. Thevuthasan, W. P. Hess

    2012-06-01

    Degradation of the photocathode materials employed in photoinjectors represents a challenge for sustained operation of nuclear physics accelerators and high power Free Electron Lasers (FEL). Photocathode quantum efficiency (QE) degradation is due to residual gasses in the electron source vacuum system being ionized and accelerated back to the photocathode. These investigations are a first attempt to characterize the nature of the photocathode degradation, and employ multiple surface and bulk analysis techniques to investigate damage mechanisms including sputtering of the Cs-oxidant surface monolayer, other surface chemistry effects, and ion implantation. Surface and bulk analysis studies were conducted on two GaAs photocathodes,more » which were removed from the JLab FEL DC photoemission gun after delivering electron beam, and two control samples. The analysis techniques include Helium Ion Microscopy (HIM), Rutherford Backscattering Spectrometry (RBS), Atomic Force Microscopy (AFM) and Secondary Ion Mass Spectrometry (SIMS). In addition, two high-polarization strained superlattice GaAs photocathode samples, one removed from the Continuous Electron Beam Accelerator Facility (CEBAF) photoinjector and one unused, were also analyzed using Transmission Electron Microscopy (TEM) and SIMS. It was found that heat cleaning the FEL GaAs wafer introduces surface roughness, which seems to be reduced by prolonged use. The bulk GaAs samples retained a fairly well organized crystalline structure after delivering beam but shows evidence of Cs depletion on the surface. Within the precision of the SIMS and RBS measurements the data showed no indication of hydrogen implantation or lattice damage from ion back bombardment in the bulk GaAs wafers. In contrast, SIMS and TEM measurements of the strained superlattice photocathode show clear crystal damage in the wafer from ion back bombardment.« less

  16. LEC GaAs for integrated circuit applications

    NASA Technical Reports Server (NTRS)

    Kirkpatrick, C. G.; Chen, R. T.; Homes, D. E.; Asbeck, P. M.; Elliott, K. R.; Fairman, R. D.; Oliver, J. D.

    1984-01-01

    Recent developments in liquid encapsulated Czochralski techniques for the growth of semiinsulating GaAs for integrated circuit applications have resulted in significant improvements in the quality and quantity of GaAs material suitable for device processing. The emergence of high performance GaAs integrated circuit technologies has accelerated the demand for high quality, large diameter semiinsulating GaAs substrates. The new device technologies, including digital integrated circuits, monolithic microwave integrated circuits and charge coupled devices have largely adopted direct ion implantation for the formation of doped layers. Ion implantation lends itself to good uniformity and reproducibility, high yield and low cost; however, this technique also places stringent demands on the quality of the semiinsulating GaAs substrates. Although significant progress was made in developing a viable planar ion implantation technology, the variability and poor quality of GaAs substrates have hindered progress in process development.

  17. Single quantum dot emission by nanoscale selective growth of InAs on GaAs: A bottom-up approach

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Patella, F.; Arciprete, F.; Placidi, E.

    2008-12-08

    We report on single dot microphotoluminescence ({mu}PL) emission at low temperature and low power from InAs dots grown by molecular beam epitaxy in nanoscale holes of a SiO{sub 2} mask deposited on GaAs(001). By comparing atomic force microscopy measurements with {mu}PL data, we show that the dot sizes inside the nanoholes are smaller than those of the dots nucleated on the extended GaAs surface. PL of dots spans a wide energy range depending on their size and on the thickness and composition of the InGaAs capping layer. Time-resolved PL experiments demonstrate a negligible loss of radiative recombination efficiency, proving highlymore » effective in the site-controlled dot nucleation.« less

  18. Design optimization of GaAs betavoltaic batteries

    NASA Astrophysics Data System (ADS)

    Chen, Haiyanag; Jiang, Lan; Chen, Xuyuan

    2011-06-01

    GaAs junctions are designed and fabricated for betavoltaic batteries. The design is optimized according to the characteristics of GaAs interface states and the diffusion length in the depletion region of GaAs carriers. Under an illumination of 10 mCi cm-2 63Ni, the open circuit voltage of the optimized batteries is about ~0.3 V. It is found that the GaAs interface states induce depletion layers on P-type GaAs surfaces. The depletion layer along the P+PN+ junction edge isolates the perimeter surface from the bulk junction, which tends to significantly reduce the battery dark current and leads to a high open circuit voltage. The short circuit current density of the optimized junction is about 28 nA cm-2, which indicates a carrier diffusion length of less than 1 µm. The overall results show that multi-layer P+PN+ junctions are the preferred structures for GaAs betavoltaic battery design.

  19. First tests of Timepix detectors based on semi-insulating GaAs matrix of different pixel size

    NASA Astrophysics Data System (ADS)

    Zaťko, B.; Kubanda, D.; Žemlička, J.; Šagátová, A.; Zápražný, Z.; Boháček, P.; Nečas, V.; Mora, Y.; Pichotka, M.; Dudák, J.

    2018-02-01

    In this work, we have focused on Timepix detectors coupled with the semi-insulating GaAs material sensor. We used undoped bulk GaAs material with the thickness of 350 μm. We prepared and tested four pixelated detectors with 165 μm and 220 μm pixel size with two versions of technology preparation, without and with wet chemically etched trenches around each pixel. We have carried out adjustment of GaAs Timepix detectors to optimize their performance. The energy calibration of one GaAs Timepix detector in Time-over-threshold mode was performed with the use of 241Am and 133Ba radioisotopes. We were able to detect γ-photons with the energy up to 160 keV. The X-ray imaging quality of GaAs Timepix detector was tested with X-ray source using various samples. After flat field we obtained very promising imaging performance of tested GaAs Timepix detectors.

  20. Heteroepitaxial growth of Cd(1-x)Mn(x)Te on GaAs by metalorganic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Nouhi, Akbar; Stirn, Richard J.

    1987-01-01

    In this letter, preliminary results are reported of heteroepitaxial growth of the dilute magnetic semiconductor alloy Cd(1-x)Mn(x)Te on GaAs by metalorganic chemical vapor deposition. Dimethylcadmium (DMCd), diethyltellurium (DETe), and tricarbonyl (methylcyclopentadienyl) manganese (TCPMn) were used as source materials. The TCPMn had to be heated to as high as 140 C to provide the required vapor pressure. Films with Mn atomic fractions up to 30 percent have been grown over the temperature range 410-450 C. Results of optical absorption/transmission, photoluminescence, and X-ray diffraction measurements are presented along with a scanning electron micrograph showing good surface morphology of the grown layers.

  1. Scanning microwave microscopy applied to semiconducting GaAs structures

    NASA Astrophysics Data System (ADS)

    Buchter, Arne; Hoffmann, Johannes; Delvallée, Alexandra; Brinciotti, Enrico; Hapiuk, Dimitri; Licitra, Christophe; Louarn, Kevin; Arnoult, Alexandre; Almuneau, Guilhem; Piquemal, François; Zeier, Markus; Kienberger, Ferry

    2018-02-01

    A calibration algorithm based on one-port vector network analyzer (VNA) calibration for scanning microwave microscopes (SMMs) is presented and used to extract quantitative carrier densities from a semiconducting n-doped GaAs multilayer sample. This robust and versatile algorithm is instrument and frequency independent, as we demonstrate by analyzing experimental data from two different, cantilever- and tuning fork-based, microscope setups operating in a wide frequency range up to 27.5 GHz. To benchmark the SMM results, comparison with secondary ion mass spectrometry is undertaken. Furthermore, we show SMM data on a GaAs p-n junction distinguishing p- and n-doped layers.

  2. GaAs Monolithic Microwave Subsystem Technology Base

    DTIC Science & Technology

    1980-01-01

    To provide a captive source of reliable, high-quality GaAs substrates, a new crystal growth and substrate preparation facility which utilizes a high...Symp. GaAs and Related Compounds, Inst. Phys. Conf. Ser. 24, 6. 20. Wood, Woodcock and Harris (1978) GaAs and Related Compounds, Inst. Phys. Conf

  3. Carbon doping in molecular beam epitaxy of GaAs from a heated graphite filament

    NASA Technical Reports Server (NTRS)

    Malik, R. J.; Nottenberg, R. N.; Schubert, E. F.; Walker, J. F.; Ryan, R. W.

    1988-01-01

    Carbon doping of GaAs grown by molecular beam epitaxy has been obtained for the first time by use of a heated graphite filament. Controlled carbon acceptor concentrations over the range of 10 to the 17th-10 to the 20th/cu cm were achieved by resistively heating a graphite filament with a direct current power supply. Capacitance-voltage, p/n junction and secondary-ion mass spectrometry measurements indicate that there is negligible diffusion of carbon during growth and with postgrowth rapid thermal annealing. Carbon was used for p-type doping in the base of Npn AlGaAs/GaAs heterojunction bipolar transistors. Current gains greater than 100 and near-ideal emitter heterojunctions were obtained in transistors with a carbon base doping of 1 x 10 to the 19th/cu cm. These preliminary results indicate that carbon doping from a solid graphite source may be an attractive substitute for beryllium, which is known to have a relatively high diffusion coefficient in GaAs.

  4. Mn Impurity in Bulk GaAs Crystals

    NASA Astrophysics Data System (ADS)

    Pawłowski, M.; Piersa, M.; Wołoś, A.; Palczewska, M.; Strzelecka, G.; Hruban, A.; Gosk, J.; Kamińska, M.; Twardowski, A.

    2006-11-01

    Magnetic and electron transport properties of GaAs:Mn crystals grown by Czochralski method were studied. Electron spin resonance showed the presence of Mn acceptor A in two charge states: singly ionized A- in the form of Mn2+(d5), and neutral A0 in the form of Mn2+(d5) plus a bound hole (h). It was possible to determine the relative concentration of both types of centers from intensity of the corresponding electron spin resonance lines. Magnetization measured as a function of magnetic field (up to 6 T) in the temperature range of 2-300 K revealed overall paramagnetic behavior of the samples. Effective spin was found to be about 1.5 value, which was consistent with the presence of two types of Mn configurations. In most of the studied samples the dominance of Mn2+(d5)+h configuration was established and it increased after annealing of native donors. The total value of Mn content was obtained from fitting of magnetization curves with the use of parameters obtained from electron spin resonance. In electron transport, two mechanisms of conductivity were observed: valence band transport dominated above 70 K, and hopping conductivity within Mn impurity band at lower temperatures. From the analysis of the hopping conductivity and using the obtained values of the total Mn content, the effective radius of Mn acceptor in GaAs was estimated as a = 11 ± 3 Å.

  5. Comment on ''Reassessment of space-change and central-cell scattering contributions to GaAs electron mobility''

    NASA Astrophysics Data System (ADS)

    Stringfellow, G. B.

    1982-07-01

    Walukiewicz et al.1 have recently stated that previously reported contributions to the electron mobility of GaAs from space-charge and/or central-cell scattering are in fact insignificant, and that reports of a T-1/2 term in the mobility2,3 are artifacts due to the assumption of Mathiessen's rule. This conclusion is an overstatement of their results and in fact demonstrably incorrect. First, an analysis of the data reported by Stringfellow2 and Stringfellow and Kuenzel3 as well as others has already been performed by Chattopadhyay et al.4 without assuming Mathiessen's rule. Their conclusion is that central-cell scattering is indeed significant. Second, the particular data analyzed by Walukiewicz et al. are in fact acknowledged in Ref. 2 to have very little T-1/2 scattering. The magnitude of the scattering cross section for T-1/2 scattering, SCA, for other samples is more than an order of magnitude larger, too large to be ascribed to errors inherent in using Mathiessen's rule. Experimental data convincingly demonstrate this. The mobility versus temperature curves are lower, especially at higher temperatures, for C as opposed to Zn- or Ge-doped samples where all have the same values of ND+NA (see Fig. 2 of Ref. 3). In addition, recently published data5 for MBE GaAs grown with different C doping levels show that for constant ND+NA, SCA is three times larger for the more highly C doped samples. This could not be due to errors inherent in the use of Mathiessen's rule. For these samples C clearly introduces an increase in the T-1/2 scattering which is not observed for other acceptors. ufc15xr 1W. Walukiewicz, J. Lagowski, and H. C. Gatos, J. Appl. Phys. 52, 5853 (1981). 2G. B. Stringfellow, J. Appl. Phys. 50, 4178 (1979). 3G. B. Stringfellow and H. Kuenzel, J. Appl. Phys. 51, 3254 (1980). 4D. Chattopadhyay, H. J. Queisser, and G. B. Stringfellow, J. Phys. Soc. Jpn. 49, Suppl. A, 293 (1980). 5G. B. Stringfellow, R. Stall, and W. Koschel, Appl. Phys. Lett. 38, 156 (1981

  6. Quantum Hall resistance standards from graphene grown by chemical vapour deposition on silicon carbide

    NASA Astrophysics Data System (ADS)

    Lafont, F.; Ribeiro-Palau, R.; Kazazis, D.; Michon, A.; Couturaud, O.; Consejo, C.; Chassagne, T.; Zielinski, M.; Portail, M.; Jouault, B.; Schopfer, F.; Poirier, W.

    2015-04-01

    Replacing GaAs by graphene to realize more practical quantum Hall resistance standards (QHRS), accurate to within 10-9 in relative value, but operating at lower magnetic fields than 10 T, is an ongoing goal in metrology. To date, the required accuracy has been reported, only few times, in graphene grown on SiC by Si sublimation, under higher magnetic fields. Here, we report on a graphene device grown by chemical vapour deposition on SiC, which demonstrates such accuracies of the Hall resistance from 10 T up to 19 T at 1.4 K. This is explained by a quantum Hall effect with low dissipation, resulting from strongly localized bulk states at the magnetic length scale, over a wide magnetic field range. Our results show that graphene-based QHRS can replace their GaAs counterparts by operating in as-convenient cryomagnetic conditions, but over an extended magnetic field range. They rely on a promising hybrid and scalable growth method and a fabrication process achieving low-electron-density devices.

  7. Quantum Hall resistance standards from graphene grown by chemical vapour deposition on silicon carbide

    PubMed Central

    Lafont, F.; Ribeiro-Palau, R.; Kazazis, D.; Michon, A.; Couturaud, O.; Consejo, C.; Chassagne, T.; Zielinski, M.; Portail, M.; Jouault, B.; Schopfer, F.; Poirier, W.

    2015-01-01

    Replacing GaAs by graphene to realize more practical quantum Hall resistance standards (QHRS), accurate to within 10−9 in relative value, but operating at lower magnetic fields than 10 T, is an ongoing goal in metrology. To date, the required accuracy has been reported, only few times, in graphene grown on SiC by Si sublimation, under higher magnetic fields. Here, we report on a graphene device grown by chemical vapour deposition on SiC, which demonstrates such accuracies of the Hall resistance from 10 T up to 19 T at 1.4 K. This is explained by a quantum Hall effect with low dissipation, resulting from strongly localized bulk states at the magnetic length scale, over a wide magnetic field range. Our results show that graphene-based QHRS can replace their GaAs counterparts by operating in as-convenient cryomagnetic conditions, but over an extended magnetic field range. They rely on a promising hybrid and scalable growth method and a fabrication process achieving low-electron-density devices. PMID:25891533

  8. Single Junction InGaP/GaAs Solar Cells Grown on Si Substrates using SiGe Buffer Layers

    NASA Technical Reports Server (NTRS)

    Ringel, S. A.; Carlin, J. A.; Andre, C. L.; Hudait, M. K.; Gonzalez, M.; Wilt, D. M.; Clark, E. B.; Jenkins, P.; Scheiman, D.; Allerman, A.

    2002-01-01

    Single junction InGaP/GaAs solar cells displaying high efficiency and record high open circuit voltage values have been grown by metalorganic chemical vapor deposition on Ge/graded SiGe/Si substrates. Open circuit voltages as high as 980 mV under AM0 conditions have been verified to result from a single GaAs junction, with no evidence of Ge-related sub-cell photoresponse. Current AM0 efficiencies of close to 16% have been measured for a large number of small area cells, whose performance is limited by non-fundamental current losses due to significant surface reflection resulting from greater than 10% front surface metal coverage and wafer handling during the growth sequence for these prototype cells. It is shown that at the material quality currently achieved for GaAs grown on Ge/SiGe/Si substrates, namely a 10 nanosecond minority carrier lifetime that results from complete elimination of anti-phase domains and maintaining a threading dislocation density of approximately 8 x 10(exp 5) per square centimeter, 19-20% AM0 single junction GaAs cells are imminent. Experiments show that the high performance is not degraded for larger area cells, with identical open circuit voltages and higher short circuit current (due to reduced front metal coverage) values being demonstrated, indicating that large area scaling is possible in the near term. Comparison to a simple model indicates that the voltage output of these GaAs on Si cells follows ideal behavior expected for lattice mismatched devices, demonstrating that unaccounted for defects and issues that have plagued other methods to epitaxially integrate III-V cells with Si are resolved using SiGe buffers and proper GaAs nucleation methods. These early results already show the enormous and realistic potential of the virtual SiGe substrate approach for generating high efficiency, lightweight and strong III-V solar cells.

  9. Determination of doping effects on Si and GaAs bulk samples properties by photothermal investigations

    NASA Astrophysics Data System (ADS)

    Abroug, Sameh; Saadallah, Faycel; Yacoubi, Noureddine

    2007-11-01

    The knowledge of doping effects on optical and thermal properties of semiconductors is crucial for the development of opto-electronic compounds. The purpose of this work is to investigate these effects by mirage effect technique and spectroscopic ellipsometry SE. The near gap optical spectra are obtained from photothermal signal for differently doped Si and GaAs bulk samples. However, the above bandgap absorption is determined from SE. These spectra show that absorption in the near IR increases with dopant density and also the bandgap shifts toward low energies. This behavior is due to free carrier absorption which could be obtained by subtracting phonon-assisted absorption from the measured spectrum. This carrier absorption is related to the dopant density through a semi-empirical model. We have also used the photothermal signal phase to measure the influence of doping on thermal diffusivity.

  10. Laser induced OMCVD growth of AlGaAs on GaAs

    NASA Technical Reports Server (NTRS)

    Wilt, David M.; Warner, Joseph D.; Aron, Paul R.; Pouch, John J.; Hoffman, Richard W., Jr.

    1987-01-01

    A major factor limiting the efficiency of the GaAs-GaAlAs solar cell is the rate of recombination at the GaAs-AlGaAs interface. Evidence has been previously reported which indicates that recombination at this interface can be greatly reduced if the AlGaAs layer is grown at lower than normal temperatures. The authors examine the epitaxial growth of AlGaAs on GaAs using a horizontal OMCVD reactor and an excimer laser operating in the UV (lambda = 193 nm) region. The growth temperatures were 450 and 500 C. The laser beam was utilized in two orientations: 75 deg angle of incidence and parallel to the substrate. Film composition and structure were determined by Auger electron spectroscopy (AES) and transmission electron microscopy (TEM). Auger analysis of epilayers grown at 500 C with the laser impinging show no carbon or oxygen contamination of the epitaxial layers or interfaces. TEM diffraction patterns of these same epilayers exhibit single crystal (100) zone axis patterns.

  11. New Passivation Methods of GaAs.

    DTIC Science & Technology

    1980-01-01

    Fabrication of Thin Nitride Layers on GaAs 33 - 35 CHAPTER 7 Passivation of InGaAsP 36 - 37 CHAPTER 8 Emulsions on GaAs Surfaces 38 - 42 APPENDIX...not yet given any useful results. The deposition of SiO2 by using emulsions is pursued and first results on the possibility of GaAs doping are...glycol-tartaric acid based aqueous solution was used in order to anodically oxidise the gate notch after the source and drain ohmic contacts were formed

  12. Multiband corrections for the semi-classical simulation of interband tunneling in GaAs tunnel junctions

    NASA Astrophysics Data System (ADS)

    Louarn, K.; Claveau, Y.; Hapiuk, D.; Fontaine, C.; Arnoult, A.; Taliercio, T.; Licitra, C.; Piquemal, F.; Bounouh, A.; Cavassilas, N.; Almuneau, G.

    2017-09-01

    The aim of this study is to investigate the impact of multiband corrections on the current density in GaAs tunnel junctions (TJs) calculated with a refined yet simple semi-classical interband tunneling model (SCITM). The non-parabolicity of the considered bands and the spin-orbit effects are considered by using a recently revisited SCITM available in the literature. The model is confronted to experimental results from a series of molecular beam epitaxy grown GaAs TJs and to numerical results obtained with a full quantum model based on the non-equilibrium Green’s function formalism and a 6-band k.p Hamiltonian. We emphasize the importance of considering the non-parabolicity of the conduction band by two different measurements of the energy-dependent electron effective mass in N-doped GaAs. We also propose an innovative method to compute the non-uniform electric field in the TJ for the SCITM simulations, which is of prime importance for a successful operation of the model. We demonstrate that, when considering the multiband corrections and this new computation of the non-uniform electric field, the SCITM succeeds in predicting the electrical characteristics of GaAs TJs, and are also in agreement with the quantum model. Besides the fundamental study of the tunneling phenomenon in TJs, the main benefit of this SCITM is that it can be easily embedded into drift-diffusion software, which are the most widely-used simulation tools for electronic and opto-electronic devices such as multi-junction solar cells, tunnel field-effect transistors, or vertical-cavity surface-emitting lasers.

  13. Activation of an intense near band edge emission from ZnTe/ZnMgTe core/shell nanowires grown on silicon.

    PubMed

    Wojnar, P; Szymura, M; Zaleszczyk, W; Kłopotowski, L; Janik, E; Wiater, M; Baczewski, L T; Kret, S; Karczewski, G; Kossut, J; Wojtowicz, T

    2013-09-13

    The absence of luminescence in the near band edge energy region of Te-anion based semiconductor nanowires grown by gold catalyst assisted molecular beam epitaxy has strongly limited their applications in the field of photonics. In this paper, an enhancement of the near band edge emission intensity from ZnTe/ZnMgTe core/shell nanowires grown on Si substrates is reported. A special role of the use of Si substrates instead of GaAs substrates is emphasized, which results in an increase of the near band edge emission intensity by at least one order of magnitude accompanied by a simultaneous reduction of the defect related luminescence. A possible explanation of this effect relies on the presence of Ga-related deep level defects in structures grown on GaAs substrates, which are absent when Si substrates are used. Monochromatic mapping of the cathodoluminescence clearly confirms that the observed emission originates, indeed, from the ZnTe/ZnMgTe core/shell nanowires, whereas individual objects are studied by means of microphotoluminescence.

  14. Impact of stress relaxation in GaAsSb cladding layers on quantum dot creation in InAs/GaAsSb structures grown on GaAs (001)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bremner, S. P.; Ban, K.-Y.; Faleev, N. N.

    2013-09-14

    We describe InAs quantum dot creation in InAs/GaAsSb barrier structures grown on GaAs (001) wafers by molecular beam epitaxy. The structures consist of 20-nm-thick GaAsSb barrier layers with Sb content of 8%, 13%, 15%, 16%, and 37% enclosing 2 monolayers of self-assembled InAs quantum dots. Transmission electron microscopy and X-ray diffraction results indicate the onset of relaxation of the GaAsSb layers at around 15% Sb content with intersected 60° dislocation semi-loops, and edge segments created within the volume of the epitaxial structures. 38% relaxation of initial elastic stress is seen for 37% Sb content, accompanied by the creation of amore » dense net of dislocations. The degradation of In surface migration by these dislocation trenches is so severe that quantum dot formation is completely suppressed. The results highlight the importance of understanding defect formation during stress relaxation for quantum dot structures particularly those with larger numbers of InAs quantum-dot layers, such as those proposed for realizing an intermediate band material.« less

  15. Plasma deposited diamondlike carbon on GaAs and InP

    NASA Technical Reports Server (NTRS)

    Warner, J. D.; Pouch, J. J.; Alterovitz, S. A.; Liu, D. C.; Lanford, W. A.

    1984-01-01

    The properties of diamond like carbon films grown by RF flow discharge 30 kHz plasma using methane are reported. The Cls XPS line shape of films showed localized hybrid carbon bonds as low as 40 to as high as 95 percent. Infrared spectroscopy and N(15) nuclear reaction profiling data indicated 35 to 42 percent hydrogen, depending inversely on deposition temperature. The deposition rate of films on Si falls off exponentially with substrate temperature, and nucleation does not occur above 200 C on GaAs and InP. Optical data of the films showed bandgap values of 2.0 to 2.4 eV increasing monotonically with CH4 flow rate.

  16. Quantitative scanning thermal microscopy of ErAs/GaAs superlattice structures grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Park, K. W.; Nair, H. P.; Crook, A. M.; Bank, S. R.; Yu, E. T.

    2013-02-01

    A proximal probe-based quantitative measurement of thermal conductivity with ˜100-150 nm lateral and vertical spatial resolution has been implemented. Measurements on an ErAs/GaAs superlattice structure grown by molecular beam epitaxy with 3% volumetric ErAs content yielded thermal conductivity at room temperature of 9 ± 2 W/m K, approximately five times lower than that for GaAs. Numerical modeling of phonon scattering by ErAs nanoparticles yielded thermal conductivities in reasonable agreement with those measured experimentally and provides insight into the potential influence of nanoparticle shape on phonon scattering. Measurements of wedge-shaped samples created by focused ion beam milling provide direct confirmation of depth resolution achieved.

  17. Phosphine Functionalization GaAs(111)A Surfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Traub, M.; Biteen, J; Michalak, D

    Phosphorus-functionalized GaAs surfaces have been prepared by exposure of Cl-terminated GaAs(111)A surfaces to triethylphosphine (PEt3) or trichlorophosphine (PCl3), or by the direct functionalization of the native-oxide terminated GaAs(111)A surface with PCl3. The presence of phosphorus on each functionalized surface was confirmed by X-ray photoelectron spectroscopy. High-resolution, soft X-ray photoelectron spectroscopy was used to evaluate the As and Ga 3d regions of such surfaces. On PEt3 treated surfaces, the Ga 3d spectra exhibited a bulk Ga peak as well as peaks that were shifted to 0.35, 0.92 and 1.86 eV higher binding energy. These peaks were assigned to residual Cl-terminated Gamore » surface sites, surficial Ga2O and surficial Ga2O3, respectively. For PCl3-treated surfaces, the Ga 3d spectra displayed peaks ascribable to bulk Ga(As), Ga2O, and Ga2O3, as well as a peak shifted 0.30 eV to higher binding energy relative to the bulk signal. A peak corresponding to Ga(OH)3, observed on the Cl-terminated surface, was absent from all of the phosphine-functionalized surfaces. After reaction of the Cl-terminated GaAs(111)A surface with PCl3 or PEt3, the As 3d spectral region was free of As oxides and As0. Although native oxide-terminated GaAs surfaces were free of As oxides after reaction with PCl3, such surfaces contained detectable amounts of As0. Photoluminescence measurements indicted that phosphine-functionalized surfaces prepared from Cl-terminated GaAs(111)A surfaces had better electrical properties than the native-oxide capped GaAs(111)A surface, while the native-oxide covered surface treated with PCl3 showed no enhancement in PL intensity.« less

  18. Atomic-scale structural and electronic properties of SrTiO3/GaAs interfaces: A combined STEM-EELS and first-principles study

    NASA Astrophysics Data System (ADS)

    Hong, Liang; Bhatnagar, Kunal; Droopad, Ravi; Klie, Robert F.; Öǧüt, Serdar

    2017-07-01

    The electronic properties of epitaxial oxide thin films grown on compound semiconductors are largely determined by the interfacial atomic structure, as well as the thermodynamic conditions during synthesis. Ferroelectric polarization and Fermi-level pinning in SrTiO3 films have been attributed to the presence of oxygen vacancies at the oxide/semiconductor interface. Here, we present scanning transmission electron microscopy (STEM) and electron energy-loss spectroscopy analyses of GaAs films grown on SrTiO3 combined with first-principles calculations to determine the atomic and electronic structures of the SrTiO3/GaAs interfaces. An atomically abrupt SrO/As interface is observed and the interfacial SrO layer is found to be O-deficient. First-principles density functional theory (DFT) calculations show SrO/Ga and Sr/As interfaces are favorable under O-rich and O-poor conditions, respectively. The SrO/Ga interface is reconstructed via the formation of Ga-Ga dimers while the Sr/As interface is abrupt and consistent with the experiment. DFT calculations further reveal that intrinsic two-dimensional electron gas (2DEG) forms in both SrO/Ga and Sr/As interfaces, and the Fermi level is pinned to the localized 2DEG states. Interfacial O vacancies can enhance the 2DEG density while it is possible for Ga/As vacancies to unpin the Fermi level from the 2DEG states.

  19. Light-Emitting GaAs Nanowires on a Flexible Substrate.

    PubMed

    Valente, João; Godde, Tillmann; Zhang, Yunyan; Mowbray, David J; Liu, Huiyun

    2018-06-18

    Semiconductor nanowire-based devices are among the most promising structures used to meet the current challenges of electronics, optics and photonics. Due to their high surface-to-volume ratio and excellent optical and electrical properties, devices with low power, high efficiency and high density can be created. This is of major importance for environmental issues and economic impact. Semiconductor nanowires have been used to fabricate high performance devices, including detectors, solar cells and transistors. Here, we demonstrate a technique for transferring large-area nanowire arrays to flexible substrates while retaining their excellent quantum efficiency in emission. Starting with a defect-free self-catalyzed molecular beam epitaxy (MBE) sample grown on a Si substrate, GaAs core-shell nanowires are embedded in a dielectric, removed by reactive ion etching and transferred to a plastic substrate. The original structural and optical properties, including the vertical orientation, of the nanowires are retained in the final plastic substrate structure. Nanowire emission is observed for all stages of the fabrication process, with a higher emission intensity observed for the final transferred structure, consistent with a reduction in nonradiative recombination via the modification of surface states. This transfer process could form the first critical step in the development of flexible nanowire-based light-emitting devices.

  20. GaAs VLSI technology and circuit elements for DSP

    NASA Astrophysics Data System (ADS)

    Mikkelson, James M.

    1990-10-01

    Recent progress in digital GaAs circuit performance and complexity is presented to demonstrate the current capabilities of GaAs components. High density GaAs process technology and circuit design techniques are described and critical issues for achieving favorable complexity speed power and cost tradeoffs are reviewed. Some DSP building blocks are described to provide examples of what types of DSP systems could be implemented with present GaAs technology. DIGITAL GaAs CIRCUIT CAPABILITIES In the past few years the capabilities of digital GaAs circuits have dramatically increased to the VLSI level. Major gains in circuit complexity and power-delay products have been achieved by the use of silicon-like process technologies and simple circuit topologies. The very high speed and low power consumption of digital GaAs VLSI circuits have made GaAs a desirable alternative to high performance silicon in hardware intensive high speed system applications. An example of the performance and integration complexity available with GaAs VLSI circuits is the 64x64 crosspoint switch shown in figure 1. This switch which is the most complex GaAs circuit currently available is designed on a 30 gate GaAs gate array. It operates at 200 MHz and dissipates only 8 watts of power. The reasons for increasing the level of integration of GaAs circuits are similar to the reasons for the continued increase of silicon circuit complexity. The market factors driving GaAs VLSI are system design methodology system cost power and reliability. System designers are hesitant or unwilling to go backwards to previous design techniques and lower levels of integration. A more highly integrated system in a lower performance technology can often approach the performance of a system in a higher performance technology at a lower level of integration. Higher levels of integration also lower the system component count which reduces the system cost size and power consumption while improving the system reliability

  1. Carbon reactivation kinetics in GaAs: Its dependence on dopant precursor, doping level, and layer thickness

    NASA Astrophysics Data System (ADS)

    Mimila-Arroyo, J.; Bland, S.; Barbé, M.

    2002-05-01

    The reactivation kinetics of the acceptor behavior of carbon, its dependence on dopant precursors, doping level, layer thickness, and annealing temperature, as well as the behavior of carbon-hydrogen complexes in GaAs grown by metalorganic chemical vapor deposition are studied. Independent of the carbon source, in the "as grown" material, systematically carbon hydrogen complexes are present and the hole concentration is lower than the corresponding carbon concentration. The carbon reactivation kinetics was achieved by ex situ rapid thermal annealing through a series of multistage annealing experiments and assessed at each annealing stage by infrared absorption, hydrogen secondary ion mass spectroscopy profiling, and hole concentration measurements. Carbon reactivation occurs solely by the debonding of hydrogen from the isolated carbon acceptor and its out-diffusion from the sample. The carbon reactivation kinetics can be treated as a first order one with an activation energy, Ea=1.42±0.01 eV, independent of doping precursors, doping level, and layer thickness. The reactivation constant results to decrease as doping level and layer thickness increase. An empirical formula has been obtained that allows one to calculate the reactivation constant as a function of the carbon doping, layer thickness, and annealing temperature, allowing one to determine the optimal carbon reactivation conditions for any C:GaAs layer.

  2. Insulators obtained by electron cyclotron resonance plasmas on Si or GaAs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Diniz, J.A.; Doi, I.; Swart, J.W

    2003-03-15

    Silicon oxynitride (SiO{sub x}N{sub y}) and nitride (SiN{sub x}) insulators have been deposited or grown (with or without silane in the gas mixture, respectively) by electron cyclotron resonance (ECR) plasmas on Si and/or GaAs substrates at room temperature (20 deg. C) and low pressures (up to 10 mTorr). Chemical bonding characteristics of the SiO{sub x}N{sub y} and SiN{sub x} films were evaluated using Fourier transform infrared spectrometry (FTIR). The profile measurements determined the film thickness, the deposition (or oxidation) rate and the etch rates in buffered HF (BHF). The refractive indexes and the thicknesses were determined by ellipsometry. The effectivemore » interface charge densities were determined by capacitance-voltage (C-V) measurements. With these processes and analyses, different films were obtained and optimized. Suitable gate insulators for metal-insulator-semiconductor (MIS) devices with low interface charge densities were developed: (a) SiN{sub x} films deposited by ECR-chemical vapor deposition (ECR-PECVD) on GaAs substrates; (b) SiO{sub x}N{sub y} insulators obtained by low-energy molecular nitrogen ion ({sup 28}N{sub 2}{sup +}) implantation (energy of 5 keV and dose of 1x10{sup 15}/cm{sup 2}) in Si substrates prior to high-density O{sub 2} ECR plasma oxidation; and (c) SiO{sub x}N{sub y} insulators grown (without silane in the gas mixture) by O{sub 2}/N{sub 2}/Ar ECR plasma 'oxynitridation'. Furthermore, some SiN{sub x} films also present very good masking characteristics for local oxidation of silicon process.« less

  3. The effect of V/III ratio on the morphology and structure of GaAs nanowires by MOCVD

    NASA Astrophysics Data System (ADS)

    Liu, Yan; Peng, Yan; Guo, Jingwei; La, Dongsheng; Xu, Zhaopeng

    2018-05-01

    In this paper, GaAs nanowires with different V/III ratios (70, 140, 280 and 560) were vertically grown from bottom to top on GaAs substrates by using metal organic chemical vapor deposition based on gold assisted vapor-liquid-solid mechanism. It is found that the growth rate of nanowires is inversely proportional to their V/III ratio. And the V/III ratio can also change nanowire growth type. For the nanowire with small V/III ratios (≤280), the reactants are most from those atoms merged in the catalyst. But, for the nanowire with V/III ratio 560, the contribution mainly comes from the diffusions of atoms pyrolyzed on the surface of the nanowire and the substrate. A shrunken neck under the catalyst is observed in TEM characterizations. These results will provide a theoretical basis for potential practical applications of nanowire-based devices.

  4. Formation and photoluminescence of GaAs1-xNx dilute nitride achieved by N-implantation and flash lamp annealing

    NASA Astrophysics Data System (ADS)

    Gao, Kun; Prucnal, S.; Skorupa, W.; Helm, M.; Zhou, Shengqiang

    2014-07-01

    In this paper, we present the fabrication of dilute nitride semiconductor GaAs1-xNx by nitrogen-ion-implantation and flash lamp annealing (FLA). N was implanted into the GaAs wafers with atomic concentration of about ximp1 = 0.38% and ximp2 = 0.76%. The GaAs1-xNx layer is regrown on GaAs during FLA treatment in a solid phase epitaxy process. Room temperature near band-edge photoluminescence (PL) has been observed from the FLA treated GaAs1-xNx samples. According to the redshift of the near band-edge PL peak, up to 80% and 44% of the implanted N atoms have been incorporated into the lattice by FLA for ximp1 = 0.38% and ximp2 = 0.76%, respectively. Our investigation shows that ion implantation followed by ultrashort flash lamp treatment, which allows for large scale production, exhibits a promising prospect on bandgap engineering of GaAs based semiconductors.

  5. Growth and Photovoltaic Properties of High-Quality GaAs Nanowires Prepared by the Two-Source CVD Method.

    PubMed

    Wang, Ying; Yang, Zaixing; Wu, Xiaofeng; Han, Ning; Liu, Hanyu; Wang, Shuobo; Li, Jun; Tse, WaiMan; Yip, SenPo; Chen, Yunfa; Ho, Johnny C

    2016-12-01

    Growing high-quality and low-cost GaAs nanowires (NWs) as well as fabricating high-performance NW solar cells by facile means is an important development towards the cost-effective next-generation photovoltaics. In this work, highly crystalline, dense, and long GaAs NWs are successfully synthesized using a two-source method on non-crystalline SiO2 substrates by a simple solid-source chemical vapor deposition method. The high V/III ratio and precursor concentration enabled by this two-source configuration can significantly benefit the NW growth and suppress the crystal defect formation as compared with the conventional one-source system. Since less NW crystal defects would contribute fewer electrons being trapped by the surface oxides, the p-type conductivity is then greatly enhanced as revealed by the electrical characterization of fabricated NW devices. Furthermore, the individual single NW and high-density NW parallel arrays achieved by contact printing can be effectively fabricated into Schottky barrier solar cells simply by employing asymmetric Ni-Al contacts, along with an open circuit voltage of ~0.3 V. All these results indicate the technological promise of these high-quality two-source grown GaAs NWs, especially for the realization of facile Schottky solar cells utilizing the asymmetric Ni-Al contact.

  6. The electronic and optical properties of quaternary GaAs1-x-y N x Bi y alloy lattice-matched to GaAs: a first-principles study.

    PubMed

    Ma, Xiaoyang; Li, Dechun; Zhao, Shengzhi; Li, Guiqiu; Yang, Kejian

    2014-01-01

    First-principles calculations based on density functional theory have been performed for the quaternary GaAs1-x-y N x Bi y alloy lattice-matched to GaAs. Using the state-of-the-art computational method with the Heyd-Scuseria-Ernzerhof (HSE) hybrid functional, electronic, and optical properties were obtained, including band structures, density of states (DOSs), dielectric function, absorption coefficient, refractive index, energy loss function, and reflectivity. It is found that the lattice constant of GaAs1-x-y N x Bi y alloy with y/x =1.718 can match to GaAs. With the incorporation of N and Bi into GaAs, the band gap of GaAs1-x-y N x Bi y becomes small and remains direct. The calculated optical properties indicate that GaAs1-x-y N x Bi y has higher optical efficiency as it has less energy loss than GaAs. In addition, it is also found that the electronic and optical properties of GaAs1-x-y N x Bi y alloy can be further controlled by tuning the N and Bi compositions in this alloy. These results suggest promising applications of GaAs1-x-y N x Bi y quaternary alloys in optoelectronic devices.

  7. New method for MBE growth of GaAs nanowires on silicon using colloidal Au nanoparticles

    NASA Astrophysics Data System (ADS)

    Bouravleuv, A.; Ilkiv, I.; Reznik, R.; Kotlyar, K.; Soshnikov, I.; Cirlin, G.; Brunkov, P.; Kirilenko, D.; Bondarenko, L.; Nepomnyaschiy, A.; Gruznev, D.; Zotov, A.; Saranin, A.; Dhaka, V.; Lipsanen, H.

    2018-01-01

    We present a new method for the deposition of colloidal Au nanoparticles on the surface of silicon substrates based on short-time Ar plasma treatment without the use of any polymeric layers. The elaborated method is compatible with molecular beam epitaxy, which allowed us to carry out the detailed study of GaAs nanowire synthesis on Si(111) substrates using colloidal Au nanoparticles as seeds for their growth. The results obtained elucidated the causes of the difference between the initial nanoparticle sizes and the diameters of the grown nanowires.

  8. Gallium arsenide (GaAs) (001) after sublimation of arsenic (As) thin-film cap, by XPS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Engelhard, Mark H.; Lyubinetsky, Andre; Baer, Don R.

    2016-12-01

    Survey and high energy resolution spectra are reported for MBE grown GaAs (001) that had been capped with As. The As cap was removed by heating in situ prior to analysis. The current data expands upon the spectral regions previously reported in Surface Science Spectra. High energy resolution spectral features reported include: 2p, 3s, 3p, 3d, and L3M45M45 peaks for As; 2p, 3s, 3p, 3d, and L3M45M45 peaks for Ga; and the valance band region.

  9. Spectrally resolved localized states in GaAs 1– xBi x

    DOE PAGES

    Christian, Theresa M.; Alberi, Kirstin; Beaton, Daniel A.; ...

    2017-02-01

    In this study, the role of localized states and their influence on the broader band structure remains a crucial question in understanding the band structure evolution in GaAs 1-xBi x. Here in this work, we present clear spectroscopic observations of recombination at several localized states in GaAs 1-xBi x. Sharp and recognizable photoluminescence features appear in multiple samples and redshift as a function of GaBi fraction between x = 0.16% and 0.4% at a linearized rate of 34 meV per % Bi, weaker than the redshift associated with band-to-band recombination. Interpreting these results in terms of radiative recombination between localizedmore » holes and free electrons sheds light on the relative movement of the conduction band minimum and the characteristics of localized bismuth-related trap states in GaAs 1-xBi x alloys.« less

  10. Defect studies in one MeV electron irradiated GaAs and in Al/sub x Ga/sub l-x As P-N junction solar cells

    NASA Technical Reports Server (NTRS)

    Li, S. S.; Wang, W. L.; Loo, R. Y.; Rahilly, W. P.

    1984-01-01

    Deep level transient spectroscopy reveals that the main electron traps for one-MeV electron irradiated GaAs cells are E9c)-0.31, E(c)-0.90 eV, and the main hole trap is due to the level. Electron trap density was found to vary from 3/tens-trillion ccm for 2/one quadrillion cm 3/3.7 quadrillion cm for 21 sextillion cm electron fluence for electron fluence; a similar result was also obtained for the hole trap density. As for the grown-in defects in the Al(x)Ga(1-x)As p-n junciton cells, only two electron traps with energies of E(c)-0.20 and E(c)-0.34 eV were observed in samples with x = 0.17, and none was found for x 0.05. Auger analysis on the Al(x)Ga(1-x) As window layer of the GaAs solar cell showed a large amount of oxygen and carbon contaminants near the surface of the AlGaAs epilayer. Thermal annealing experiment performed at 250 C for up to 100 min. showed a reduction in the density of both electron traps.

  11. Influences of ultrathin amorphous buffer layers on GaAs/Si grown by metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Hu, Haiyang; Wang, Jun; Cheng, Zhuo; Yang, Zeyuan; Yin, Haiying; Fan, Yibing; Ma, Xing; Huang, Yongqing; Ren, Xiaomin

    2018-04-01

    In this work, a technique for the growth of GaAs epilayers on Si, combining an ultrathin amorphous Si buffer layer and a three-step growth method, has been developed to achieve high crystalline quality for monolithic integration. The influences of the combined technique for the crystalline quality of GaAs on Si are researched in this article. The crystalline quality of GaAs epilayer on Si with the combined technique is investigated by scanning electron microscopy, double crystal X-ray diffraction (DCXRD), photoluminescence, and transmission electron microscopy measurements. By means of this technique, a 1.8-µm-thick high-quality GaAs/Si epilayer was grown by metal-organic chemical vapor deposition. The full-width at half-maximum of the DCXRD rocking curve in the (400) reflection obtained from the GaAs/Si epilayers is about 163 arcsec. Compared with only using three-step growth method, the current technique reduces etch pit density from 3 × 106 cm-2 to 1.5 × 105 cm-2. The results demonstrate that the combined technique is an effective approach for reducing dislocation density in GaAs epilayers on Si.

  12. Characteristics of GaAs with inverted thermal conversion

    NASA Technical Reports Server (NTRS)

    Kang, C. H.; Lagowski, J.; Gatos, H. C.

    1987-01-01

    GaAs crystals exhibiting inverted thermal conversion (ITC) of resistivity were investigated in conjunction with standard semiinsulating (SI) GaAs regarding characteristics important in device processing. It was established that dislocation density and Si implant activation are unaffected by transformation to the ITC state. However, in ITC GaAs the controlled increase of the EL2 (native midgap donor) concentration during annealing makes it possible to attain resistivities one order of magnitude greater (e.g., about 10 to the 9th ohm cm of 300 K) than those attained in standard SI GaAs (e.g., 10 to the 7th-10 to the 8th ohm cm).

  13. Impact of heavy hole-light hole coupling on optical selection rules in GaAs quantum dots

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Belhadj, T.; Amand, T.; Kunz, S.

    2010-08-02

    We report strong heavy hole-light hole mixing in GaAs quantum dots grown by droplet epitaxy. Using the neutral and charged exciton emission as a monitor we observe the direct consequence of quantum dot symmetry reduction in this strain free system. By fitting the polar diagram of the emission with simple analytical expressions obtained from k{center_dot}p theory we are able to extract the mixing that arises from the heavy-light hole coupling due to the geometrical asymmetry of the quantum dot.

  14. Band offset and electron affinity of MBE-grown SnSe2

    NASA Astrophysics Data System (ADS)

    Zhang, Qin; Li, Mingda Oscar; Lochocki, Edward B.; Vishwanath, Suresh; Liu, Xinyu; Yan, Rusen; Lien, Huai-Hsun; Dobrowolska, Malgorzata; Furdyna, Jacek; Shen, Kyle M.; Cheng, Guangjun; Hight Walker, Angela R.; Gundlach, David J.; Xing, Huili G.; Nguyen, N. V.

    2018-01-01

    SnSe2 is currently considered a potential two-dimensional material that can form a near-broken gap heterojunction in a tunnel field-effect transistor due to its large electron affinity which is experimentally confirmed in this letter. With the results from internal photoemission and angle-resolved photoemission spectroscopy performed on Al/Al2O3/SnSe2/GaAs and SnSe2/GaAs test structures where SnSe2 is grown on GaAs by molecular beam epitaxy, we ascertain a (5.2 ± 0.1) eV electron affinity of SnSe2. The band offset from the SnSe2 Fermi level to the Al2O3 conduction band minimum is found to be (3.3 ± 0.05) eV and SnSe2 is seen to have a high level of intrinsic electron (n-type) doping with the Fermi level positioned at about 0.2 eV above its conduction band minimum. It is concluded that the electron affinity of SnSe2 is larger than that of most semiconductors and can be combined with other appropriate semiconductors to form near broken-gap heterojunctions for the tunnel field-effect transistor that can potentially achieve high on-currents.

  15. Tunneling Spectroscopy of Chemically Treated Surfaces of GaAs(001)

    NASA Astrophysics Data System (ADS)

    Fan, Jia-Fa; Tokumoto, Hiroshi

    1996-03-01

    Effect of surface chemical treatment on the surface electronic properties of GaAs(001) was studied by tunneling spectroscopy. Samples of highly-Si-doped GaAs were first cleaned and etched using conventional processes, then soaked in aqueous solutions of (NH_4)_2Sx and/or NH_4F for few hours, and finally rinsed in ethanol. The constant separation spectroscopy was done under pure N2 ambient at room temperature (295K) with our scanning tunneling microscope (STM). As a result, the sulfide treament lead to electron tunnelings starting typically at the sample voltages of -0.50 V and 0.90 V at initial settings of 1.50 V and 0.20 nA. For etched-only surface, however, the starting voltages were -0.70 V and 0.70 V. Effects of heating, laser-irradiation, and the fluoride treatment will be presented. Also, the mechanism of the shift of the surface Fermi level will be discussed.

  16. Effect of thermal annealing on the photoluminescence of structures with InGaAs/GaAs quantum wells and a low-temperature GaAs layer δ-doped with Mn

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kalentyeva, I. L.; Vikhrova, O. V., E-mail: istery@rambler.ru; Danilov, Yu. A.

    2016-11-15

    The effects of isochronal thermal annealing (at 325–725°C) on the radiative properties of InGaAs/GaAs nanoheterostructures containing a low-temperature GaAs layer δ-doped with Mn grown by laser deposition are studied. A decrease in the photoluminescence intensity and increase in the ground transition energy are observed upon thermal impact for quantum wells located near the low-temperature GaAs layer. The distribution of Mn atoms in the initial and annealed structures is obtained by secondary-ion mass spectrometry. A qualitative model of the observed effects of thermal annealing on the radiative properties of the structures is discussed; this model takes into account two main processes:more » diffusion of point defects (primarily gallium vacancies) from the GaAs coating layer deep into the structure and Mn diffusion in both directions by the dissociation mechanism. Magnetization studies show that, as a result of thermal annealing, an increase in the proportion of the ferromagnetic phase at room temperature (presumably, MnAs clusters) in the low-temperature GaAs coating layer takes place.« less

  17. GaAs Solar Cell Radiation Handbook

    NASA Technical Reports Server (NTRS)

    Anspaugh, B. E.

    1996-01-01

    The handbook discusses the history of GaAs solar cell development, presents equations useful for working with GaAs solar cells, describes commonly used instrumentation techniques for assessing radiation effects in solar cells and fundamental processes occurring in solar cells exposed to ionizing radiation, and explains why radiation decreases the electrical performance of solar cells. Three basic elements required to perform solar array degradation calculations: degradation data for GaAs solar cells after irradiation with 1 MeV electrons at normal incidence; relative damage coefficients for omnidirectional electron and proton exposure; and the definition of the space radiation environment for the orbit of interest, are developed and used to perform a solar array degradation calculation.

  18. Crystal growth of device quality GaAs in space

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.; Lagowski, J.

    1979-01-01

    The optimization of space processing of GaAs is described. The detailed compositional, structural, and electronic characterization of GaAs on a macro- and microscale and the relationships between growth parameters and the properties of GaAs are among the factors discussed. The key parameters limiting device performance are assessed.

  19. EL2 and related defects in GaAs - Challenges and pitfalls. [microdefect introducing a deep donor level

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.; Lagowski, J.

    1985-01-01

    The incorporation process of nonequilibrium vacancies in melt-grown GaAs is strongly complicated by deviations from stoichiometry and the presence of two sublattices. Many of the microdefects originating in these vacancies and their interactions introduce energy levels (shallow and deep) within the energy gap. The direct identification of the chemical or structural signature of these defects and its direct correlation to their electronic behavior is not generally possible. It is necessary, therefore, to rely on indirect methods and phenomenological models and deal with the associated pitfalls. EL2, a microdefect introducing a deep donor level, has been in the limelight in recent years because it is believed to be responsible for the semi-insulating behavior of undoped GaAs. Although much progress has been made towards understanding its origin and nature, some relevant questions remain unanswered. An attempt is made to assess the present status of understanding of EL2 in the light of most recent results.

  20. In 0.35Ga 0.65P light-emitting diodes grown by gas-source MBE

    NASA Astrophysics Data System (ADS)

    Masselink, W. Ted; Zachau, Martin

    1993-02-01

    This paper describes the growth and optical characteristics of In yGa 1- yP with 0.3< y<0.5, and the LED operation of p-i-n structures in the same materials system. The InGaP is grown using gas-source molecular beam epitaxy (GSMBE). The non-lattice-matched In yGa 1- yP grown on GaAs using GSMBE has a specularly smooth surface morphology through the use of unique strained-layer superlattice (SLS) buffer. We have measured the luminescence, luminescence excitation, and Raman spectra of these undoped films and observe strong excitonic luminescence over the entire composition range investigated. The band gap derived from the luminescence excitation spectra corresponds to that of a fully relaxed InGaP film with no residual strain, which is confirmed by the Raman measurements. Light-emitting diodes with peak (300 K) emission centered at less than 590 nm have been fabricated from p-i-n junctions in In 0.35Ga 0.65P. This alloy is close to that with the largest direct band gap in the In yGa 1- y P system and has lattice mismatch from the GaAs substrate of 1%.

  1. Scanning capacitance microscopy of ErAs nanoparticles embedded in GaAs pn junctions

    NASA Astrophysics Data System (ADS)

    Park, K. W.; Nair, H. P.; Crook, A. M.; Bank, S. R.; Yu, E. T.

    2011-09-01

    Scanning capacitance microscopy is used to characterize the electronic properties of ErAs nanoparticles embedded in GaAs pn junctions grown by molecular beam epitaxy. Voltage-dependent capacitance images reveal localized variations in subsurface electronic structure near buried ErAs nanoparticles at lateral length scales of 20-30 nm. Numerical modeling indicates that these variations arise from inhomogeneities in charge modulation due to Fermi level pinning behavior associated with the embedded ErAs nanoparticles. Statistical analysis of image data yields an average particle radius of 6-8 nm—well below the direct resolution limit in scanning capacitance microscopy but discernible via analysis of patterns in nanoscale capacitance images.

  2. Submilliampere continuous-wave room-temperature lasing operation of a GaAs mushroom structure surface-emitting laser

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Y.J.; Dziura, T.G.; Wang, S.C.

    1990-05-07

    We report a GaAs mushroom structure surface-emitting laser at 900 nm with submilliampere (0.2--0.5 mA) threshold under room-temperature cw operation for the first time. The very low threshold current was achieved on devices which consisted of a 2--4 {mu}m diameter active region formed by chemical selective etching, and sandwiched between two Al{sub 0.05}Ga{sub 0.95} As/ Al{sub 0.53}Ga{sub 0.47} As distributed Bragg reflectors of very high reflectivity (98--99%) grown by metalorganic chemical vapor deposition.

  3. Submilliampere continuous-wave room-temperature lasing operation of a GaAs mushroom structure surface-emitting laser

    NASA Astrophysics Data System (ADS)

    Yang, Ying Jay; Dziura, Thaddeus G.; Wang, S. C.; Hsin, Wei; Wang, Shyh

    1990-05-01

    We report a GaAs mushroom structure surface-emitting laser at 900 nm with submilliampere (0.2-0.5 mA) threshold under room-temperature cw operation for the first time. The very low threshold current was achieved on devices which consisted of a 2-4 μm diameter active region formed by chemical selective etching, and sandwiched between two Al0.05Ga0.95 As/ Al0.53Ga0.47 As distributed Bragg reflectors of very high reflectivity (98-99%) grown by metalorganic chemical vapor deposition.

  4. On the optical evaluation of the EL2 deep level concentration in semi-insulating GaAs

    NASA Technical Reports Server (NTRS)

    Walukiewicz, W.; Lagowski, J.; Gatos, H. C.

    1983-01-01

    A practical procedure for the evaluation of the Fermi energy in semi-insulating (SI)GaAs from electrical measurements is presented. This procedure makes it possible to reliably extend the determination of the major deep level (EL2) concentration, by near-infrared absorption measurements, to SIGaAs. Employing this procedure, it is shown that the EL2 concentration in Czochralski-grown GaAs increases monotonically with increasing As/Ga ratio (throughout the conversion from SI n type to semiconducting p-type crystals) rather than abruptly as previously proposed.

  5. Characterisation of semi-insulating GaAs

    NASA Technical Reports Server (NTRS)

    Walukiewicz, W.; Pawlowicz, L.; Lagowski, J.; Gatos, H. C.

    1982-01-01

    Hole and electron mobilities as functions of temperature and ionised impurity concentration are calculated for GaAs. It is shown that these calculations, when used to analyse electrical properties of semi-insulating GaAs, enable an assessment of the Fermi energy position and ionised impurity concentration to be made. In contrast to previous work, the analysis does not require any phenomenological assumptions.

  6. Technology requirements for GaAs photovoltaic arrays

    NASA Technical Reports Server (NTRS)

    Scott-Monck, J.; Rockey, D.

    1981-01-01

    An analysis based on percent GaAs solar cell weight and cost is performed to assess the utility of this cell for future space missions. It is shown that the GaAs substrate cost and the end-of-life (EOL) advantage the cell can provide over the space qualified silicon solar cell are the dominant factors determining potential use. Examples are presented to show that system level advantages resulting from reduction in solar panel area may warrant the use of GaAs at its current weight and projected initial cost provided the EOL advantage over silicon is at least 20 percent.

  7. Crystal Growth of Device Quality Gaas in Space

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.

    1985-01-01

    The GaAs research evolves about these key thrust areas. The overall program combines: (1) studies of crystal growth on novel approaches to engineering of semiconductor material (i.e., GaAs and related compounds); (2) investigation and correlation of materials properties and electronic characteristics on a macro- and microscale; and (3) investigation of electronic properties and phenomena controlling device applications and device performance. This effort is aimed at the essential ground-based program which would insure successful experimentation with and eventually processing of GaAs in near zero gravity environment. It is believed that this program addresses in a unique way materials engineering aspects which bear directly on the future exploitation of the potential of GaAs and related materials in device and systems applications.

  8. Crystal growth of device quality GaAs in space

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.; Lagowski, J.

    1984-01-01

    The crystal growth, device processing and device related properties and phenomena of GaAs are investigated. Our GaAs research evolves about these key thrust areas. The overall program combines: (1) studies of crystal growth on novel approaches to engineering of semiconductor materials (i.e., GaAs and related compounds); (2) investigation and correlation of materials properties and electronic characteristics on a macro- and microscale; (3) investigation of electronic properties and phenomena controlling device applications and device performance. The ground based program is developed which would insure successful experimentation with and eventually processing of GaAs in a near zero gravity environment.

  9. Comparison of OARE Accelerometer Data with Dopant Distribution in Se-Doped GaAs Crystals Grown During USML-1

    NASA Technical Reports Server (NTRS)

    Moskowitz, Milton E.; Bly, Jennifer M.; Matthiesen, David H.

    1997-01-01

    Experiments were conducted in the crystal growth furnace (CGF) during the first United States Microgravity Laboratory (USML-1), the STS-50 flight of the Space Shuttle Columbia, to determine the segregation behavior of selenium in bulk GaAs in a microgravity environment. After the flight, the selenium-doped GaAs crystals were sectioned, polished, and analyzed to determine the free carrier concentration as a function of position, One of the two crystals initially exhibited an axial concentration profile indicative of diffusion controlled growth, but this profile then changed to that predicted for a complete mixing type growth. An analytical model, proposed by Naumann [R.J. Naumann, J. Crystal Growth 142 (1994) 253], was utilized to predict the maximum allowable microgravity disturbances transverse to the growth direction during the two different translation rates used for each of the experiments. The predicted allowable acceleration levels were 4.86 microgram for the 2.5 micrometers/s furnace translation rate and 38.9 microgram for the 5.0 micrometers/s rate. These predicted values were compared to the Orbital Acceleration Research Experiment (OARE) accelerometer data recorded during the crystal growth periods for these experiments. Based on the analysis of the OARE acceleration data and utilizing the predictions from the analytical model, it is concluded that the change in segregation behavior was not caused by any acceleration events in the microgravity environment.

  10. Velocity surface measurements for ZnO films over /001/-cut GaAs

    NASA Technical Reports Server (NTRS)

    Kim, Yoonkee; Hunt, William D.; Liu, Yongsheng; Jen, Cheng-Kuei

    1994-01-01

    A potential application for a piezoelectic film deposited on a GaAs substrate is the monolithic integration of surface acoustic wave (SAW) devices with GaAs electronics. Knowledge of the SAW properties of the filmed structure is critical for the optimum design of such devices. In this article, the measurements of the velocity surface, which directly affects the SAW diffraction, on the bare and metallized ZnO/SiO2 or Si3N4/GaAs /001/-cut samples are reported using two different techniques: (1) knife-edge laser probe, (2) line-focus-beam scanning acoustic microscope. Comparisons, such as measurement accuracy and tradeoffs, between the former (dry) and the latter (wet) method are given. It is found that near the group of zone axes (110) propagation direction the autocollimating SAW property of the bare GaAs changes into a noncollimating one for the layered structure, but a reversed phenomenon exists near the group of zone axes (100) direction. The passivation layer of SiO2 or Si3N4 (less than 0.2 micrometer thick) and the metallization layer change the relative velocity but do not significantly affect the velocity surface. On the other hand, the passivation layer reduces the propagation loss by 0.5-1.3 dB/microseconds at 240 MHz depending upon the ZnO film thickness. Our SAW propagation measurements agree well with theorectical calculations. We have also obtained the anisotropy factors for samples with ZnO films of 1.6, 2.8, and 4.0 micrometer thickness. Comparisons concerning the piezoelectric coupling and acoustic loss between dc triode and rf magnetron sputtered ZnO films are provided.

  11. Supernormal hardness increase of dilute Ga(As, N) thin films

    NASA Astrophysics Data System (ADS)

    Berggren, Jonas; Hanke, Michael; Luna, Esperanza; Trampert, Achim

    2017-03-01

    Hardness of epitaxial GaAs1-xNx films on GaAs(001) with different film thicknesses, varying from 80 to 700 nm, and nitrogen compositions x between zero (pure GaAs) and 0.031, were studied by means of nano-indentation. As a result, a disproportionate and monotonic increase by 17% in hardness was proved in the dilute range from GaAs to GaAs0.969N0.031. We are tracing this observation to solid solution strengthening, an extrinsic effect based on dislocation pinning due to interstitial nitrogen. On the other hand, intrinsic effects related to different electronegativities of As and N (i.e., altered bonding conditions) could be ruled out. Furthermore, in tensilely strained GaAs1-xNx layers, the appearance of cracks acts as the main strain relieving mechanism. A correlation between cracking and hardness reduction is investigated and discussed as a further relaxation pathway.

  12. n-type doping and morphology of GaAs nanowires in Aerotaxy

    DOE PAGES

    Metaferia, Wondwosen; Sivakumar, Sudhakar; Persson, Axel R.; ...

    2018-05-10

    Controlled doping in semiconductor nanowires modifies their electrical and optical properties, which are important for high efficiency optoelectronic devices. We have grown n-type (Sn) doped GaAs nanowires in Aerotaxy, a new continuous gas phase mass production technique. The morphology of Sn doped nanowires is found to be a strong function of dopant, tetraethyltin to trimethylgallium flow ratio, Au-Ga-Sn alloying, and nanowire growth temperatures. High temperature and high flow ratios result in low morphological quality nanowires and in parasitic growth on the wire base and surface. Alloying and growth temperatures of 400 and 530 degrees C, respectively, resulted in good morphologicalmore » quality nanowires for a flow ratio of TESn to TMGa up to 2.25 x 10 -3. The wires are pure Zinc-blende for all investigated growth conditions, whereas nanowires grown by MOVPE with the same growth conditions are usually mainly Wurtzite. The growth rate of the doped wires is found to be dependent more on the TESn flow fraction than on alloying and nanowire growth temperatures. Our photoluminescence measurements, supported by four-point probe resistivity measurements, reveal that the carrier concentration in the doped wires varies only slightly (1- 3) x 10 19 cm -3 with TESn flow fraction and both alloying and growth temperatures, indicating that good morphological quality wires with high carrier density can be grown with low TESn flow. Carrier concentrations lower than 1019 cm-3 can be grown by further reducing the flow fraction of TESn, which may give better morphology wires.« less

  13. n-type doping and morphology of GaAs nanowires in Aerotaxy

    NASA Astrophysics Data System (ADS)

    Metaferia, Wondwosen; Sivakumar, Sudhakar; Persson, Axel R.; Geijselaers, Irene; Reine Wallenberg, L.; Deppert, Knut; Samuelson, Lars; Magnusson, Martin H.

    2018-07-01

    Controlled doping in semiconductor nanowires modifies their electrical and optical properties, which are important for high efficiency optoelectronic devices. We have grown n-type (Sn) doped GaAs nanowires in Aerotaxy, a new continuous gas phase mass production technique. The morphology of Sn doped nanowires is found to be a strong function of dopant, tetraethyltin to trimethylgallium flow ratio, Au–Ga–Sn alloying, and nanowire growth temperatures. High temperature and high flow ratios result in low morphological quality nanowires and in parasitic growth on the wire base and surface. Alloying and growth temperatures of 400 °C and 530 °C, respectively, resulted in good morphological quality nanowires for a flow ratio of TESn to TMGa up to 2.25 × 10‑3. The wires are pure zinc-blende for all investigated growth conditions, whereas nanowires grown by metal-organic vapor phase epitaxy with the same growth conditions are usually mainly Wurtzite. The growth rate of the doped wires is found to be dependent more on the TESn flow fraction than on alloying and nanowire growth temperatures. Our photoluminescence measurements, supported by four-point probe resistivity measurements, reveal that the carrier concentration in the doped wires varies only slightly (1–3) × 1019 cm‑3 with TESn flow fraction and both alloying and growth temperatures, indicating that good morphological quality wires with high carrier density can be grown with low TESn flow. Carrier concentrations lower than 1019 cm‑3 can be grown by further reducing the flow fraction of TESn, which may give better morphology wires.

  14. n-type doping and morphology of GaAs nanowires in Aerotaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Metaferia, Wondwosen; sivakumar, sudhakar; R. Persson, Axel

    2018-04-17

    Controlled doping in semiconductor nanowires modifies their electrical and optical properties, which are important for high efficiency optoelectronic devices. We have grown n-type (Sn) doped GaAs nanowires in Aerotaxy, a new continuous gas phase mass production technique. The morphology of Sn doped nanowires is found to be a strong function of dopant, tetraethyltin to trimethylgallium flow ratio, Au-Ga-Sn alloying, and nanowire growth temperatures. High temperature and high flow ratios result in low morphological quality nanowires and in parasitic growth on the wire base and surface. Alloying and growth temperatures of 400 and 530 degrees C, respectively, resulted in good morphologicalmore » quality nanowires for a flow ratio of TESn to TMGa up to 2.25 x 10-3. The wires are pure Zinc-blende for all investigated growth conditions, whereas nanowires grown by MOVPE with the same growth conditions are usually mainly Wurtzite. The growth rate of the doped wires is found to be dependent more on the TESn flow fraction than on alloying and nanowire growth temperatures. Our photoluminescence measurements, supported by four-point probe resistivity measurements, reveal that the carrier concentration in the doped wires varies only slightly (1- 3) x 1019 cm-3 with TESn flow fraction and both alloying and growth temperatures, indicating that good morphological quality wires with high carrier density can be grown with low TESn flow. Carrier concentrations lower than 1019 cm-3 can be grown by further reducing the flow fraction of TESn, which may give better morphology wires.« less

  15. n-type doping and morphology of GaAs nanowires in Aerotaxy.

    PubMed

    Metaferia, Wondwosen; Sivakumar, Sudhakar; Persson, Axel R; Geijselaers, Irene; Wallenberg, L Reine; Deppert, Knut; Samuelson, Lars; Magnusson, Martin H

    2018-04-17

    Controlled doping in semiconductor nanowires modifies their electrical and optical properties, which are important for high efficiency optoelectronic devices. We have grown n-type (Sn) doped GaAs nanowires in Aerotaxy, a new continuous gas phase mass production technique. The morphology of Sn doped nanowires is found to be a strong function of dopant, tetraethyltin to trimethylgallium flow ratio, Au-Ga-Sn alloying, and nanowire growth temperatures. High temperature and high flow ratios result in low morphological quality nanowires and in parasitic growth on the wire base and surface. Alloying and growth temperatures of 400 °C and 530 °C, respectively, resulted in good morphological quality nanowires for a flow ratio of TESn to TMGa up to 2.25 × 10 -3 . The wires are pure zinc-blende for all investigated growth conditions, whereas nanowires grown by metal-organic vapor phase epitaxy with the same growth conditions are usually mainly Wurtzite. The growth rate of the doped wires is found to be dependent more on the TESn flow fraction than on alloying and nanowire growth temperatures. Our photoluminescence measurements, supported by four-point probe resistivity measurements, reveal that the carrier concentration in the doped wires varies only slightly (1-3) × 10 19 cm -3 with TESn flow fraction and both alloying and growth temperatures, indicating that good morphological quality wires with high carrier density can be grown with low TESn flow. Carrier concentrations lower than 10 19 cm -3 can be grown by further reducing the flow fraction of TESn, which may give better morphology wires.

  16. n-type doping and morphology of GaAs nanowires in Aerotaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Metaferia, Wondwosen; Sivakumar, Sudhakar; Persson, Axel R.

    Controlled doping in semiconductor nanowires modifies their electrical and optical properties, which are important for high efficiency optoelectronic devices. We have grown n-type (Sn) doped GaAs nanowires in Aerotaxy, a new continuous gas phase mass production technique. The morphology of Sn doped nanowires is found to be a strong function of dopant, tetraethyltin to trimethylgallium flow ratio, Au-Ga-Sn alloying, and nanowire growth temperatures. High temperature and high flow ratios result in low morphological quality nanowires and in parasitic growth on the wire base and surface. Alloying and growth temperatures of 400 and 530 degrees C, respectively, resulted in good morphologicalmore » quality nanowires for a flow ratio of TESn to TMGa up to 2.25 x 10 -3. The wires are pure Zinc-blende for all investigated growth conditions, whereas nanowires grown by MOVPE with the same growth conditions are usually mainly Wurtzite. The growth rate of the doped wires is found to be dependent more on the TESn flow fraction than on alloying and nanowire growth temperatures. Our photoluminescence measurements, supported by four-point probe resistivity measurements, reveal that the carrier concentration in the doped wires varies only slightly (1- 3) x 10 19 cm -3 with TESn flow fraction and both alloying and growth temperatures, indicating that good morphological quality wires with high carrier density can be grown with low TESn flow. Carrier concentrations lower than 1019 cm-3 can be grown by further reducing the flow fraction of TESn, which may give better morphology wires.« less

  17. On the behaviour and origin of the major deep level (EL2) in GaAs

    NASA Technical Reports Server (NTRS)

    Lagowski, J.; Parsey, J. M.; Kaminska, M.; Wada, K.; Gatos, H. C.

    1982-01-01

    In an extensive crystal growth and characterization study of Bridgman-grown GaAs it was established that the following factors affect the concentration of the EL2 level: (1) the As pressure during growth; (2) the partial pressure of Ga2O; (3) the concentration of shallow donors and acceptors; and (4) the post-growth cooling cycle. The role of these factors is qualitatively and quantitatively explained by attributing the 0.82 eV donor state to the antisite defect As-sub-Ga formed as a result of Ga-vacancy migration during the post-growth cooling of the crystals.

  18. Expanded GAA repeats impair FXN gene expression and reposition the FXN locus to the nuclear lamina in single cells.

    PubMed

    Silva, Ana M; Brown, Jill M; Buckle, Veronica J; Wade-Martins, Richard; Lufino, Michele M P

    2015-06-15

    Abnormally expanded DNA repeats are associated with several neurodegenerative diseases. In Friedreich's ataxia (FRDA), expanded GAA repeats in intron 1 of the frataxin gene (FXN) reduce FXN mRNA levels in averaged cell samples through a poorly understood mechanism. By visualizing FXN expression and nuclear localization in single cells, we show that GAA-expanded repeats decrease the number of FXN mRNA molecules, slow transcription, and increase FXN localization at the nuclear lamina (NL). Restoring histone acetylation reverses NL positioning. Expanded GAA-FXN loci in FRDA patient cells show increased NL localization with increased silencing of alleles and reduced transcription from alleles positioned peripherally. We also demonstrate inefficiencies in transcription initiation and elongation from the expanded GAA-FXN locus at single-cell resolution. We suggest that repressive epigenetic modifications at the expanded GAA-FXN locus may lead to NL relocation, where further repression may occur. © The Author 2015. Published by Oxford University Press. All rights reserved. For Permissions, please email: journals.permissions@oup.com.

  19. Growth of InAs Quantum Dots on GaAs (511)A Substrates: The Competition between Thermal Dynamics and Kinetics.

    PubMed

    Wen, Lei; Gao, Fangliang; Zhang, Shuguang; Li, Guoqiang

    2016-08-01

    The growth process of InAs quantum dots grown on GaAs (511)A substrates has been studied by atomic force microscopy. According to the atomic force microscopy studies for quantum dots grown with varying InAs coverage, a noncoherent nucleation of quantum dots is observed. Moreover, due to the long migration length of In atoms, the Ostwald ripening process is aggravated, resulting in the bad uniformity of InAs quantum dots on GaAs (511)A. In order to improve the uniformity of nucleation, the growth rate is increased. By studying the effects of increased growth rates on the growth of InAs quantum dots, it is found that the uniformity of InAs quantum dots is greatly improved as the growth rates increase to 0.14 ML s(-1) . However, as the growth rates increase further, the uniformity of InAs quantum dots becomes dual-mode, which can be attributed to the competition between Ostwald ripening and strain relaxation processes. The results in this work provide insights regarding the competition between thermal dynamical barriers and the growth kinetics in the growth of InAs quantum dots, and give guidance to improve the size uniformity of InAs quantum dots on (N11)A substrates. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Photoluminescence of Molecular Beam Epitaxy-Grown Mercury Cadmium Telluride: Comparison of HgCdTe/GaAs and HgCdTe/Si Technologies

    NASA Astrophysics Data System (ADS)

    Mynbaev, K. D.; Bazhenov, N. L.; Dvoretsky, S. A.; Mikhailov, N. N.; Varavin, V. S.; Marin, D. V.; Yakushev, M. V.

    2018-05-01

    Properties of HgCdTe films grown by molecular beam epitaxy on GaAs and Si substrates have been studied by performing variable-temperature photoluminescence (PL) measurements. A substantial difference in defect structure between films grown on GaAs (013) and Si (013) substrates was revealed. HgCdTe/GaAs films were mostly free of defect-related energy levels within the bandgap, which was confirmed by PL and carrier lifetime measurements. By contrast, the properties of HgCdTe/Si films are affected by uncontrolled point defects. These could not be always associated with typical "intrinsic" HgCdTe defects, such as mercury vacancies, so consideration of other defects, possibly inherent in HgCdTe/Si structures, was required. The post-growth annealing was found to have a positive effect on the defect structure by reducing the full-widths at half-maximum of excitonic PL lines for both types of films and lowering the concentration of defects specific to HgCdTe/Si.

  1. Influence of GaAs surface termination on GaSb/GaAs quantum dot structure and band offsets

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zech, E. S.; Chang, A. S.; Martin, A. J.

    2013-08-19

    We have investigated the influence of GaAs surface termination on the nanoscale structure and band offsets of GaSb/GaAs quantum dots (QDs) grown by molecular-beam epitaxy. Transmission electron microscopy reveals both coherent and semi-coherent clusters, as well as misfit dislocations, independent of surface termination. Cross-sectional scanning tunneling microscopy and spectroscopy reveal clustered GaSb QDs with type I band offsets at the GaSb/GaAs interfaces. We discuss the relative influences of strain and QD clustering on the band offsets at GaSb/GaAs interfaces.

  2. GaAs photoconductive semiconductor switch

    DOEpatents

    Loubriel, Guillermo M.; Baca, Albert G.; Zutavern, Fred J.

    1998-01-01

    A high gain, optically triggered, photoconductive semiconductor switch (PCSS) implemented in GaAs as a reverse-biased pin structure with a passivation layer above the intrinsic GaAs substrate in the gap between the two electrodes of the device. The reverse-biased configuration in combination with the addition of the passivation layer greatly reduces surface current leakage that has been a problem for prior PCSS devices and enables employment of the much less expensive and more reliable DC charging systems instead of the pulsed charging systems that needed to be used with prior PCSS devices.

  3. Low defect densities in molecular beam epitaxial GaAs achieved by isoelectronic In doping

    NASA Technical Reports Server (NTRS)

    Bhattacharya, P. K.; Dhar, S.; Berger, P.; Juang, F.-Y.

    1986-01-01

    A study has been made of the effects of adding small amounts of In (0.2-1.2 pct) to GaAs grown by molecular beam epitaxy. The density of four electron traps decreases in concentration by an order of magnitude, and the peak intensities of prominent emissions in the excitonic spectra are reduced with increase in In content. Based on the higher surface migration rate of In, compared to Ga, at the growth temperatures it is apparent that the traps and the excitonic transitions are related to point defects. This agrees with earlier observations by Briones and Collins (1982) and Skromme et al. (1985).

  4. Structure of high-index GaAs surfaces - the discovery of the stable GaAs(2511) surface

    NASA Astrophysics Data System (ADS)

    Jacobi, K.; Geelhaar, L.; Márquez, J.

    We present a brief overview of surface structures of high-index GaAs surfaces, putting emphasis on recent progress in our own laboratory. By adapting a commercial scanning tunneling microscope (STM) to our molecular beam epitaxy and ultra high vacuum analysis chamber system, we have been able to atomically resolve the GaAs( {1} {1} {3})B(8 ×1), (114)Aα2(2×1), (137), (3715), and (2511) surface structures. In cooperation with P. Kratzer and M. Scheffler from the Theory Department of the Fritz-Haber Institute we determined the structure of some of these surfaces by comparing total-energy calculations and STM image simulations with the atomically resolved STM images. We present the results for the {112}, {113}, and {114} surfaces. Then we describe what led us to proceed into the inner parts of the stereographic triangle and to discover the hitherto unknown stable GaAs(2511) surface.

  5. The growth of low band-gap InAs on (111)B GaAs substrates

    NASA Technical Reports Server (NTRS)

    Welser, R. E.; Guido, L. J.

    1995-01-01

    been grown on (111)B GaAs substrates, thereby enabling the measurement of electronic and optical properties.

  6. Microbiological Sampling Methods and Sanitation of Edible Plants Grown on ISS

    NASA Technical Reports Server (NTRS)

    Parrish, Charles H. II; Khodadad, Christina L.; Garland, Nathaniel T.; Larson, Brian D.; Hummreick, Mary E.

    2013-01-01

    Pathogenic microbes on the surfaces of salad crops and growth chambers pose a threat to the health of crew on International Space Station. For astronauts to safely consume spacegrown vegetables produced in NASA's new vegetable production unit, VEGGIE, three technical challenges must be overcome: real-time sampling, microbiological analysis, and sanitation. Raphanus sativus cultivar Cherry Bomb II and Latuca sativa cultivar Outredgeous, two saled crops to be grown in VEGGIE, were inoculated with Salmonella enterica serovar Typhimurium (S. Typhimurium), a bacterium known to cause food-borne illness Tape- and swab-based sampling techniques were optimized for use in microgravity and assessed for effectiveness in recovery of bacteria from crop surfaces: Rapid pathogen detection and molecular analyses were performed via quantitative real-time polymerase chain reactiop using LightCycler® 480 and RAZOR® EX, a scaled-down instrument that is undergoing evaluation and testing for future flight hardware. These methods were compared with conventional, culture-based methods for the recovery of S. Typhimurium colonies. A sterile wipe saturated with a citric acid-based, food-grade sanitizer was applied to two different surface materials used in VEGGIE flight hardware that had been contaminated with the bacterium Pseudomonas aeruginosa,. another known human pathogen. To sanitize surfaces, wipes were saturated with either the sanitizer or sterile deionized water and applied to each surface. Colony forming units of P. aeruginosa grown on tryptic soy agar plates were enumerated from surface samples after sanitization treatments. Depending on the VEGGIE hardware material, 2- to 4.5-log10 reductions in colony-forming units were observed after sanitization. The difference in recovery of S. Typhimurium between tape- and swab- based sampling techniques was insignificant. RAZOR® EX rapidly detected S. Typhimurium present in both raw culture and extracted DNA samples.

  7. Electrode pattern design for GaAs betavoltaic batteries

    NASA Astrophysics Data System (ADS)

    Haiyang, Chen; Jianhua, Yin; Darang, Li

    2011-08-01

    The sensitivities of betavoltaic batteries and photovoltaic batteries to series and parallel resistance are studied. Based on the study, an electrode pattern design principle of GaAs betavoltaic batteries is proposed. GaAs PIN junctions with and without the proposed electrode pattern are fabricated and measured under the illumination of 63Ni. Results show that the proposed electrode can reduce the backscattering and shadowing for the beta particles from 63Ni to increase the GaAs betavoltaic battery short circuit currents effectively but has little impact on the fill factors and ideal factors.

  8. Electrical properties of spin coated ultrathin titanium oxide films on GaAs

    NASA Astrophysics Data System (ADS)

    Dutta, Shankar; Pal, Ramjay; Chatterjee, Ratnamala

    2015-04-01

    In recent years, ultrathin (<50 nm) metal oxide films have been being extensively studied as high-k dielectrics for future metal oxide semiconductor (MOS) technology. This paper discusses deposition of ultrathin TiO2 films (˜10 nm) on GaAs substrates (one sulfur-passivated, another unpassivated) by spin coating technique. The sulfur passivation is done to reduce the surface states of GaAs substrate. After annealing at 400 °C in a nitrogen environment, the TiO2 films are found to be polycrystalline in nature with rutile phase. The TiO2 films exhibit consistent grain size of 10-20 nm with thickness around 10-12 nm. Dielectric constants of the films are found to be 65.4 and 47.1 corresponding to S-passivated and unpassivated substrates, respectively. Corresponding threshold voltages of the MOS structures are measured to be -0.1 V to -0.3 V for the S-passivated and unpassivated samples, respectively. The S-passivated TiO2 film showed improved (lower) leakage current density (5.3 × 10-4 A cm-2 at 3 V) compared to the unpassivated film (1.8 × 10-3 A/cm2 at 3 V). Dielectric breakdown-field of the TiO2 films on S-passivated and unpassivated GaAs samples are found to be 8.4 MV cm-1 and 7.2 MV cm-1 respectively.

  9. Understanding and Curing Structural Defects in Colloidal GaAs Nanocrystals

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Srivastava, Vishwas; Liu, Wenyong; Janke, Eric M.

    2017-02-22

    Nearly three decades since the first report on the synthesis of colloidal GaAs nanocrystals (NCs), the preparation and properties of this material remain highly controversial. Traditional synthetic routes either fail to produce the GaAs phase or result in materials that do not show expected optical properties such as excitonic transitions. In this work, we demonstrate a variety of synthetic routes toward crystalline GaAs NCs. By using a combination of Raman, EXAFS and transient absorption spectroscopies, we conclude that unusual optical properties of 2 colloidal GaAs NCs can be related to the presence of vacancies and lattice disorder. We introduce novelmore » molten salt based annealing approach to alleviate these structural defects and show the emergence of size-dependent excitonic transitions in colloidal GaAs quantum dots.« less

  10. Transverse magnetic focussing of heavy holes in a (100) GaAs quantum well

    NASA Astrophysics Data System (ADS)

    Rendell, M.; Klochan, O.; Srinivasan, A.; Farrer, I.; Ritchie, D. A.; Hamilton, A. R.

    2015-10-01

    We perform magnetic focussing of high mobility holes confined in a shallow GaAs/Al0.33Ga0.67As quantum well grown on a (100) GaAs substrate. We observe ballistic focussing of holes over a path length of up to 4.9 μm with a large number of focussing peaks. We show that additional structure on the focussing peaks can be caused by a combination of the finite width of the injector quantum point contact and Shubnikov-de Haas oscillations. These results pave the way to studies of spin-dependent magnetic focussing and spin relaxation lengths in two-dimentional hole systems without complications of crystal anisotropies and anisotropic g-tensors.

  11. GaAs homojunction solar cell development

    NASA Technical Reports Server (NTRS)

    Flood, D. J.; Swartz, C. K.; Hart, R. E., Jr.

    1980-01-01

    The Lincoln Laboratory n(+)/p/p(+) GaAs shallow homojunction cell structure was successfully demonstrated on 2 by 2 cm GaAs substrates. Air mass zero efficiencies of the seven cells produced to date range from 13.6 to 15.6 percent. Current voltage (I-V) characteristics, spectral response, and measurements were made on all seven cells. Preliminary analysis of 1 MeV electron radiation damage data indicate excellent radiation resistance for these cells.

  12. Cross-sectional scanning thermal microscopy of ErAs/GaAs superlattices grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Park, K. W.; Krivoy, E. M.; Nair, H. P.; Bank, S. R.; Yu, E. T.

    2015-07-01

    Scanning thermal microscopy has been implemented in a cross-sectional geometry, and its application for quantitative, nanoscale analysis of thermal conductivity is demonstrated in studies of an ErAs/GaAs nanocomposite superlattice. Spurious measurement effects, attributable to local thermal transport through air, were observed near large step edges, but could be eliminated by thermocompression bonding to an additional structure. Using this approach, bonding of an ErAs/GaAs superlattice grown on GaAs to a silicon-on-insulator wafer enabled thermal signals to be obtained simultaneously from Si, SiO2, GaAs, and ErAs/GaAs superlattice. When combined with numerical modeling, the thermal conductivity of the ErAs/GaAs superlattice measured using this approach was 11 ± 4 W m-1 K-1.

  13. Cross-sectional scanning thermal microscopy of ErAs/GaAs superlattices grown by molecular beam epitaxy.

    PubMed

    Park, K W; Krivoy, E M; Nair, H P; Bank, S R; Yu, E T

    2015-07-03

    Scanning thermal microscopy has been implemented in a cross-sectional geometry, and its application for quantitative, nanoscale analysis of thermal conductivity is demonstrated in studies of an ErAs/GaAs nanocomposite superlattice. Spurious measurement effects, attributable to local thermal transport through air, were observed near large step edges, but could be eliminated by thermocompression bonding to an additional structure. Using this approach, bonding of an ErAs/GaAs superlattice grown on GaAs to a silicon-on-insulator wafer enabled thermal signals to be obtained simultaneously from Si, SiO2, GaAs, and ErAs/GaAs superlattice. When combined with numerical modeling, the thermal conductivity of the ErAs/GaAs superlattice measured using this approach was 11 ± 4 W m(-1) K(-1).

  14. Somatic mutation frequencies in the stamen hairs of Tradescantia grown in soil samples from the Bikini Island.

    PubMed

    Ichikawa, S; Ishii, C

    1991-02-01

    Somatic pink mutation frequencies in the stamen hairs of Tradescantia BNL 02 clone grown for 76 days in two soil samples taken from the Bikini Island (where a hydrogen bomb explosion test had been conducted in 1954) were investigated. A significantly high mutation frequency (2.58 +/- 0.17 pink mutant events per 10(3) hairs or 1.34 +/- 0.09 pink mutant events per 10(4) hair-cell divisions) was observed for the plant grown in one of the two Bikini soil samples, as compared to the control plants (1.70 +/- 0.14 or 0.88 +/- 0.07, respectively) grown in the field soil of Saitama University. The soil sample which caused the significant increase in mutation frequency contained 6,880 +/- 330 mBq/g 137Cs, 62.5 +/- 4.4 mBq/g 60Co, and some other nuclides; a 150 microR/hr exposure rate being measured on the surface of the soil sample. The effective cumulative external exposures measured for the inflorescences of the plant grown in this soil sample averaged at most 60.8 mR, being too small to explain the significant elevation in mutation frequency observed. On the other hand, internal exposure due to uptake of radioactive nuclides was estimated to be 125 mrad (1.25 mGy) as an accumulated effective dose, mainly based on a gamma-spectrometrical analysis. However, it seemed highly likely that this value of internal exposure was a considerable underestimate, and the internal exposure was considered to be more significant than the external exposure.

  15. Poole-Frenkel effect and phonon-assisted tunneling in GaAs nanowires.

    PubMed

    Katzenmeyer, Aaron M; Léonard, François; Talin, A Alec; Wong, Ping-Show; Huffaker, Diana L

    2010-12-08

    We present electronic transport measurements of GaAs nanowires grown by catalyst-free metal-organic chemical vapor deposition. Despite the nanowires being doped with a relatively high concentration of substitutional impurities, we find them inordinately resistive. By measuring sufficiently high aspect ratio nanowires individually in situ, we decouple the role of the contacts and show that this semi-insulating electrical behavior is the result of trap-mediated carrier transport. We observe Poole-Frenkel transport that crosses over to phonon-assisted tunneling at higher fields, with a tunneling time found to depend predominantly on fundamental physical constants as predicted by theory. By using in situ electron beam irradiation of individual nanowires, we probe the nanowire electronic transport when free carriers are made available, thus revealing the nature of the contacts.

  16. Hydride VPE: the unexpected process for the fast growth of GaAs and GaN nanowires with record aspect ratio and polytypism-free crystalline structure

    NASA Astrophysics Data System (ADS)

    André, Yamina; Trassoudaine, Agnès.; Avit, Geoffrey; Lekhal, Kaddour; Ramdani, Mohammed R.; Leroux, Christine; Monier, Guillaume; Varenne, Christelle; Hoggan, Philip; Castelluci, Dominique; Bougerol, Catherine; Réveret, François; Leymarie, Joël.; Petit, Elodie; Dubrovskii, Vladimir G.; Gil, Evelyne

    2013-12-01

    Hydride Vapor Phase Epitaxy (HVPE) makes use of chloride III-Cl and hydride V-H3 gaseous growth precursors. It is known as a near-equilibrium process, providing the widest range of growth rates from 1 to more than 100 μm/h. When it comes to metal catalyst-assisted VLS (vapor-liquid-solid) growth, the physics of HVPE growth is maintained: high dechlorination frequency, high axial growth rate of nanowires (NWs) up to 170 μm/h. The remarkable features of NWs grown by HVPE are the untapered morphology with constant diameter and the stacking fault-free crystalline phase. Record pure zinc blende cubic phase for 20 μm long GaAs NWs with radii of 10 and 5 nm is shown. The absence of wurtzite phase in GaAs NWs grown by HVPE whatever the diameter is discussed with respect to surface energetic grounds and kinetics. Ni assisted, Ni-Au assisted and catalyst-free HVPE growth of wurtzite GaN NWs is also addressed. Micro-photoluminescence spectroscopy analysis revealed GaN nanowires of great optical quality, with a FWHM of 1 meV at 10 K for the neutral donor bound exciton transition.

  17. Structural ordering and interface morphology in symmetrically strained(GaIn)As/Ga(PAs) superlattices grown on off-oriented GaAs(100)

    NASA Astrophysics Data System (ADS)

    Giannini, C.; Tapfer, L.; Zhuang, Y.; de Caro, L.; Marschner, T.; Stolz, W.

    1997-02-01

    In this work we investigate the structural properties of symmetrically strained (GaIn)As/GaAs/Ga(PAs)/GaAs superlattices by means of x-ray diffraction, reciprocal-space mapping, and x-ray reflectivity. The multilayers were grown by metalorganic vapor-phase epitaxy on (001) GaAs substrates intentionally off-oriented towards one of the nearest <110> directions. High-resolution triple-crystal reciprocal-space maps recorded for different azimuth angles in the vicinity of the (004) Bragg diffraction clearly show a double periodicity of the x-ray peak intensity that can be ascribed to a lateral and a vertical periodicity occurring parallel and perpendicular to the growth surface. Moreover, from the intensity modulation of the satellite peaks, a lateral-strain gradient within the epilayer unit cell is found, varying from a tensile to a compressive strain. Thus, the substrate off-orientation promotes a lateral modulation of the layer thickness (ordered interface roughness) and of the lattice strain, giving rise to laterally ordered macrosteps. In this respect, contour maps of the specular reflected beam in the vicinity of the (000) reciprocal lattice point were recorded in order to inspect the vertical and lateral interface roughness correlation. A semiquantitative analysis of our results shows that the interface morphology and roughness is greatly influenced by the off-orientation angle and the lateral strain distribution. Two mean spatial wavelengths can be determined, one corresponding exactly to the macrostep periodicity and the other indicating a further interface waviness along the macrosteps. The same spatial periodicities were found on the surface by atomic-force-microscopy images confirming the x-ray results and revealing a strong vertical correlation of the interfaces up to the outer surface.

  18. Study of a MHEMT heterostructure with an In{sub 0.4}Ga{sub 0.6}As channel MBE-grown on a GaAs substrate using reciprocal space mapping

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aleshin, A. N., E-mail: a.n.aleshin@mail.ru; Bugaev, A. S.; Ermakova, M. A.

    2015-08-15

    The crystallographic characteristics of the design elements of a metamorphic high-electron-mobility (MHEMT) heterostructure with an In{sub 0.4}Ga{sub 0.6}As channel are determined based on reciprocal space mapping. The heterostructure is grown by molecular beam epitaxy on the vicinal surface of a GaAs substrate with a deviation angle from the (001) plane of 2° and consists of a stepped metamorphic buffer containing six layers including an inverse step, a high-temperature buffer layer with constant composition, and active HEMT layers. The InAs content in the layers of the metamorphic buffer is varied from 0.1 to 0.48. Reciprocal space maps are constructed for themore » (004) symmetric reflection and (224)+ asymmetric reflection. It is found that the heterostructure layers are characterized both by a tilt angle relative to the plane of the (001) substrate and a rotation angle around the [001] axis. The tilt angle of the layer increases as the InAs concentration in the layer increases. It is shown that a high-temperature buffer layer of constant composition has the largest degree of relaxation compared with all other layers of the heterostructure.« less

  19. Gate Drain Underlapped-PNIN-GAA-TFET for Comprehensively Upgraded Analog/RF Performance

    NASA Astrophysics Data System (ADS)

    Madan, Jaya; Chaujar, Rishu

    2017-02-01

    This work integrates the merits of gate-drain underlapping (GDU) and N+ source pocket on cylindrical gate all around tunnel FET (GAA-TFET) to form GDU-PNIN-GAA-TFET. It is analysed that the source pocket located at the source-channel junction narrows the tunneling barrier width at the tunneling junction and thereby enhances the ON-state current of GAA-TFET. Further, it is obtained that the GDU resists the extension of carrier density (built-up under the gated region) towards the drain side (under the underlapped length), thereby suppressing the ambipolar current and reducing the parasitic capacitances of GAA-TFET. Consequently, the amalgamated merits of both engineering schemes are obtained in GDU-PNIN-GAA-TFET that thus conquers the greatest challenges faced by TFET. Thus, GDU-PNIN-GAA-TFET results in an up-gradation in the overall performance of GAA-TFET. Moreover, it is realised that the RF figure of merits FOMs such as cut-off frequency (fT) and maximum oscillation frequency (fMAX) are also considerably improved with integration of source pocket on GAA-TFET. Thus, the improved analog and RF performance of GDU-PNIN-GAA-TFET makes it ideal for low power and high-speed applications.

  20. Use of micro-photoluminescence as a contactless measure of the 2D electron density in a GaAs quantum well

    NASA Astrophysics Data System (ADS)

    Kamburov, D.; Baldwin, K. W.; West, K. W.; Lyon, S.; Pfeiffer, L. N.; Pinczuk, A.

    2017-06-01

    We compare micro-photoluminescence (μPL) as a measure of the electron density in a clean, two-dimensional (2D) system confined in a GaAs quantum well (QW) to the standard magneto-transport technique. Our study explores the PL shape evolution across a number of molecular beam epitaxy-grown samples with different QW widths and 2D electron densities and notes its correspondence with the density obtained in magneto-transport measurements on these samples. We also measure the 2D density in a top-gated quantum well sample using both PL and transport and find that the two techniques agree to within a few percent over a wide range of gate voltages. We find that the PL measurements are sensitive to gate-induced 2D density changes on the order of 109 electrons/cm2. The spatial resolution of the PL density measurement in our experiments is 40 μm, which is already substantially better than the millimeter-scale resolution now possible in spatial density mapping using magneto-transport. Our results establish that μPL can be used as a reliable high spatial resolution technique for future contactless measurements of density variations in a 2D electron system.

  1. Quantum Dots obtained by LPE from under-saturated In-As liquid phases on GaAs substrates

    NASA Astrophysics Data System (ADS)

    Ortiz, F. E.; Mishurnyi, V.; Gorbatchev, A.; De Anda, F.; Prutskij, T.

    2011-01-01

    In this work we inform about quantum dots (QD) obtained by Liquid Phase Epitaxy (LPE) on GaAs substrates from under-saturated In-As liquid phases. In our processes, we have prepared saturated In-rich liquid phases by dissolving an InAs wafer at one of the temperatures interval from 450 to 414 C for 60 minutes. The contact between In-As liquid phase and the GaAs substrate was always done at a constant temperature of 444 C for 5 seconds. Thus, the growth temperature for most of the samples was higher than the liquidus temperature. We think that the growth driving force is related to a transient process that occurs when the system is trying to reach equilibrium. Under the atom force microscope (AFM) we have observed nano-islands on the surfaces of the samples obtained from under-saturated liquid phases prepared at 438, 432 and 426 C. The 25 K photoluminescence spectrum shows a peak at a 1.33 eV, in addition to the GaAs related line.

  2. Improved GaSb-based quantum well laser performance through metamorphic growth on GaAs substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Richardson, Christopher J. K., E-mail: richardson@lps.umd.edu; He, Lei; Apiratikul, Paveen

    The promise of the metamorphic growth paradigm is to enable design freedom of the substrate selection criteria beyond current choices that are limited by lattice matching requirements. A demonstration of this emerging degree of freedom is reported here by directly comparing identical laser structures grown both pseudomorphically on a GaSb substrate and metamorphically on a GaAs substrate. Improved thermal performance of the metamorphic laser material enables a higher output power before thermal roll-over begins. These performance gains are demonstrated in minimally processed gain-guided broad-area type-I lasers emitting close to 2-μm wavelengths and mounted p-side up. Continuous wave measurements at roommore » temperature yield a T{sub 0} of 145 K and peak output power of 192 mW from metamorphic lasers, compared to a T{sub 0} of 96 K and peak output power of 164 mW from identical lasers grown pseudomorphically on GaSb.« less

  3. GaAs photoconductive semiconductor switch

    DOEpatents

    Loubriel, G.M.; Baca, A.G.; Zutavern, F.J.

    1998-09-08

    A high gain, optically triggered, photoconductive semiconductor switch (PCSS) implemented in GaAs as a reverse-biased pin structure with a passivation layer above the intrinsic GaAs substrate in the gap between the two electrodes of the device is disclosed. The reverse-biased configuration in combination with the addition of the passivation layer greatly reduces surface current leakage that has been a problem for prior PCSS devices and enables employment of the much less expensive and more reliable DC charging systems instead of the pulsed charging systems that needed to be used with prior PCSS devices. 5 figs.

  4. Panel fabrication utilizing GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Mardesich, N.

    1984-01-01

    The development of the GaAs solar cells for space applications is described. The activities in the fabrication of GaAs solar panels are outlined. Panels were fabricated while introducing improved quality control, soldering laydown and testing procedures. These panels include LIPS II, San Marco Satellite, and a low concentration panel for Rockwells' evaluation. The panels and their present status are discussed.

  5. Optimization of conditions for thermal smoothing GaAs surfaces

    NASA Astrophysics Data System (ADS)

    Akhundov, I. O.; Kazantsev, D. M.; Kozhuhov, A. S.; Alperovich, V. L.

    2018-03-01

    GaAs thermal smoothing by annealing in conditions which are close to equilibrium between the surface and vapors of As and Ga was earlier proved to be effective for the step-terraced surface formation on epi-ready substrates with a small root-mean-square roughness (Rq ≤ 0.15 nm). In the present study, this technique is further developed in order to reduce the annealing duration and to smooth GaAs samples with a larger initial roughness. To this end, we proposed a two-stage anneal with the first high-temperature stage aimed at smoothing "coarse" relief features and the second stage focused on "fine" smoothing at a lower temperature. The optimal temperatures and durations of two-stage annealing are found by Monte Carlo simulations and adjusted after experimentation. It is proved that the temperature and duration of the first high-temperature stage are restricted by the surface roughening, which occurs due to deviations from equilibrium conditions.

  6. Native oxides formation and surface wettability of epitaxial III-V materials: The case of InP and GaAs

    NASA Astrophysics Data System (ADS)

    Gocalinska, A.; Rubini, S.; Pelucchi, E.

    2016-10-01

    The time dependent transition from hydrophobic to hydrophilic states of the metalorganic vapour phase epitaxy (MOVPE) grown InP, GaAs and InAs is systematically documented by contact angle measurements. Natural oxides forming on the surfaces of air-exposed materials, as well as the results of some typical wet chemical process to remove those oxides, were studied by X-ray photoemission spectroscopy (XPS), revealing, surprisingly, a fundamental lack of strong correlations between the surface oxide composition and the reported systematic changes in hydrophobicity.

  7. Thermodynamic Analysis of Coherently Grown GaAsN/Ge: Effects of Different Gaseous Sources

    NASA Astrophysics Data System (ADS)

    Kawano, Jun; Kangawa, Yoshihiro; Yayama, Tomoe; Kakimoto, Koichi; Koukitu, Akinori

    2013-04-01

    Thermodynamic analysis of coherently grown GaAs1-xNx on Ge with low N content was performed to determine the relationship between solid composition and growth conditions. In this study, a new algorithm for the simulation code, which is applicable to wider combinations of gaseous sources than the traditional algorithm, was developed to determine the influence of different gaseous sources on N incorporation. Using this code, here we successfully compared two cases: one is a system using trimethylgallium (TMG), AsH3, and NH3, and the other uses dimethylhydrazine (DMHy) instead of NH3. It was found that the optimal N/As ratio of input gas in the system using DMHy was much lower than that using NH3. This shows that the newly developed algorithm could be a useful tool for analyzing the N incorporation during the vapor growth of GaAs1-xNx.

  8. Comparisons of single event vulnerability of GaAs SRAMS

    NASA Astrophysics Data System (ADS)

    Weatherford, T. R.; Hauser, J. R.; Diehl, S. E.

    1986-12-01

    A GaAs MESFET/JFET model incorporated into SPICE has been used to accurately describe C-EJFET, E/D MESFET and D MESFET/resistor GaAs memory technologies. These cells have been evaluated for critical charges due to gate-to-drain and drain-to-source charge collection. Low gate-to-drain critical charges limit conventional GaAs SRAM soft error rates to approximately 1E-6 errors/bit-day. SEU hardening approaches including decoupling resistors, diodes, and FETs have been investigated. Results predict GaAs RAM cell critical charges can be increased to over 0.1 pC. Soft error rates in such hardened memories may approach 1E-7 errors/bit-day without significantly reducing memory speed. Tradeoffs between hardening level, performance and fabrication complexity are discussed.

  9. Imaging performance of a Timepix detector based on semi-insulating GaAs

    NASA Astrophysics Data System (ADS)

    Zaťko, B.; Zápražný, Z.; Jakůbek, J.; Šagátová, A.; Boháček, P.; Sekáčová, M.; Korytár, D.; Nečas, V.; Žemlička, J.; Mora, Y.; Pichotka, M.

    2018-01-01

    This work focused on a Timepix chip [1] coupled with a bulk semi-insulating GaAs sensor. The sensor consisted of a matrix of 256 × 256 pixels with a pitch of 55 μm bump-bonded to a Timepix ASIC. The sensor was processed on a 350 μm-thick SI GaAs wafer. We carried out detector adjustment to optimize its performance. This included threshold equalization with setting up parameters of the Timepix chip, such as Ikrum, Pream, Vfbk, and so on. The energy calibration of the GaAs Timepix detector was realized using a 241Am radioisotope in two Timepix detector modes: time-over-threshold and threshold scan. An energy resolution of 4.4 keV in FWHM (Full Width at Half Maximum) was observed for 59.5 keV γ-photons using threshold scan mode. The X-ray imaging quality of the GaAs Timepix detector was tested using various samples irradiated by an X-ray source with a focal spot size smaller than 8 μm and accelerating voltage up to 80 kV. A 700 μm × 700 μm gold testing object (X-500-200-16Au with Siemens star) fabricated with high precision was used for the spatial resolution testing at different values of X-ray image magnification (up to 45). The measured spatial resolution of our X-ray imaging system was about 4 μm.

  10. Prediction of dislocation generation during Bridgman growth of GaAs crystals

    NASA Technical Reports Server (NTRS)

    Tsai, C. T.; Yao, M. W.; Chait, Arnon

    1992-01-01

    Dislocation densities are generated in GaAs single crystals due to the excessive thermal stresses induced by temperature variations during growth. A viscoplastic material model for GaAs, which takes into account the movement and multiplication of dislocations in the plastic deformation, is developed according to Haasen's theory. The dislocation density is expressed as an internal state variable in this dynamic viscoplastic model. The deformation process is a nonlinear function of stress, strain rate, dislocation density and temperature. The dislocation density in the GaAs crystal during vertical Bridgman growth is calculated using a nonlinear finite element model. The dislocation multiplication in GaAs crystals for several temperature fields obtained from thermal modeling of both the GTE GaAs experimental data and artificially designed data are investigated.

  11. Prediction of dislocation generation during Bridgman growth of GaAs crystals

    NASA Astrophysics Data System (ADS)

    Tsai, C. T.; Yao, M. W.; Chait, Arnon

    1992-11-01

    Dislocation densities are generated in GaAs single crystals due to the excessive thermal stresses induced by temperature variations during growth. A viscoplastic material model for GaAs, which takes into account the movement and multiplication of dislocations in the plastic deformation, is developed according to Haasen's theory. The dislocation density is expressed as an internal state variable in this dynamic viscoplastic model. The deformation process is a nonlinear function of stress, strain rate, dislocation density and temperature. The dislocation density in the GaAs crystal during vertical Bridgman growth is calculated using a nonlinear finite element model. The dislocation multiplication in GaAs crystals for several temperature fields obtained from thermal modeling of both the GTE GaAs experimental data and artificially designed data are investigated.

  12. Photoluminescence upconversion at GaAs /InGa P2 interfaces driven by a sequential two-photon absorption mechanism

    NASA Astrophysics Data System (ADS)

    Hylton, N. P.; Hinrichsen, T. F.; Vaquero-Stainer, A. R.; Yoshida, M.; Pusch, A.; Hopkinson, M.; Hess, O.; Phillips, C. C.; Ekins-Daukes, N. J.

    2016-06-01

    This paper reports on the results of an investigation into the nature of photoluminescence upconversion at GaAs /InGa P2 interfaces. Using a dual-beam excitation experiment, we demonstrate that the upconversion in our sample proceeds via a sequential two-photon optical absorption mechanism. Measurements of photoluminescence and upconversion photoluminescence revealed evidence of the spatial localization of carriers in the InGa P2 material, arising from partial ordering of the InGa P2 . We also observed the excitation of a two-dimensional electron gas at the GaAs /InGa P2 heterojunction that manifests as a high-energy shoulder in the GaAs photoluminescence spectrum. Furthermore, the results of upconversion photoluminescence excitation spectroscopy demonstrate that the photon energy onset of upconversion luminescence coincides with the energy of the two-dimensional electron gas at the GaAs /InGa P2 interface, suggesting that charge accumulation at the interface can play a crucial role in the upconversion process.

  13. Ion-beam doping of GaAs with low-energy (100 eV) C + using combined ion-beam and molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Iida, Tsutomu; Makita, Yunosuke; Kimura, Shinji; Winter, Stefan; Yamada, Akimasa; Fons, Paul; Uekusa, Shin-ichiro

    1995-01-01

    A combined ion-beam and molecular-beam-epitaxy (CIBMBE) system has been developed. This system consists of an ion implanter capable of producing ions in the energy range of 30 eV-30 keV and conventional solid-source MBE. As a successful application of CIBMBE, low-energy (100 eV) carbon ion (C+) irradiation during MBE growth of GaAs was carried out at substrate temperatures Tg between 500 and 590 °C. C+-doped layers were characterized by low-temperature (2 K) photoluminescence (PL), Raman scattering, and van der Pauw measurements. PL spectra of undoped GaAs grown by CIBMBE revealed that unintentional impurity incorporation into the epilayer is extremely small and precise doping effects are observable. CAs acceptor-related emissions such as ``g,'' [g-g], and [g-g]β are observed and their spectra are significantly changed with increasing C+ beam current density Ic. PL measurements showed that C atoms were efficiently incorporated during MBE growth by CIBMBE and were optically well activated as an acceptor in the as-grown condition even for Tg as low as 500 °C. Raman measurement showed negligible lattice damage of the epilayer bombarded with 100 eV C+ with no subsequent heat treatment. These results indicate that contamination- and damage-free impurity doping without postgrowth annealing can be achieved by the CIBMBE method.

  14. Ion-beam doping of GaAs with low-energy (100 eV) C(+) using combined ion-beam and molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Lida, Tsutomu; Makita, Yunosuke; Kimura, Shinji; Winter, Stefan; Yamada, Akimasa; Fons, Paul; Uekusa, Shin-Ichiro

    1995-01-01

    A combined ion-beam and molecular-beam-epitaxy (CIBMBE) system has been developed. This system consists of an ion implanter capable of producing ions in the energy range of 30 eV - 30 keV and conventional solid-source MBE. As a successful application of CIBMBE, low-energy (100 eV) carbon ion (C(+)) irradiation during MBE growth of GaAs was carried out at substrate temperatures T(sub g) between 500 and 590 C. C(+)-doped layers were characterized by low-temperature (2 K) photoluminescence (PL), Raman scattering, and van der Pauw measurements. PL spectra of undoped GaAs grown by CIBMBE revealed that unintentional impurity incorporation into the epilayer is extremely small and precise doping effects are observable. C(sub As) acceptor-related emissions such as 'g', (g-g), and (g-g)(sub beta) are observed and their spectra are significantly changed with increasing C(+) beam current density I(sub c). PL measurements showed that C atoms were efficiently incorporated during MBE growth by CIBMBE and were optically well activated as an acceptor in the as-grown condition even for T(sub g) as low as 500 C. Raman measurement showed negligible lattice damage of the epilayer bombarded with 100 eV C(+) with no subsequent heat treatment. These results indicate that contamination- and damage-free impurity doping without postgrowth annealing can be achieved by the CIBMBE method.

  15. Luminescence of III-IV-V thin film alloys grown by metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Jia, Roger; Zhu, Tony; Bulović, Vladimir; Fitzgerald, Eugene A.

    2018-05-01

    III-IV-V heterovalent alloys have the potential to satisfy the need for infrared bandgap materials that also have lattice constants near GaAs. In this work, significant room temperature photoluminescence is reported for the first time in high quality III-IV-V alloys grown by metalorganic chemical vapor deposition. Pronounced phase separation, a characteristic suspected to quench luminescence in the alloys in the past, was successfully inhibited by a modified growth process. Small scale composition fluctuations were observed in the alloys; higher growth temperatures resulted in fluctuations with a striated morphology, while lower growth temperatures resulted in fluctuations with a speckled morphology. The composition fluctuations cause bandgap narrowing in the alloys—measurements of various compositions of (GaAs)1-x(Ge2)x alloys reveal a maximum energy transition of 0.8 eV under 20% Ge composition rather than a continuously increasing transition with the decreasing Ge composition. Additionally, luminescence intensity decreased with the decreasing Ge composition. The alloys appear to act as a Ge-like solid penetrating a GaAs lattice, resulting in optical properties similar to those of Ge but with a direct-bandgap nature; a decrease in the Ge composition corresponds to a reduction in the light-emitting Ge-like material within the lattice. An energy transition larger than 0.8 eV was obtained through the addition of silicon to the (GaAs)1-x(Ge2)x alloy. The results indicate significant promise for III-IV-V alloys as potential materials for small bandgap optical devices with previously unachievable lattice constants.

  16. Crystal growth of device quality GaAs in space

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.; Lagowski, J.

    1983-01-01

    GaAs device technology has recently reached a new phase of rapid advancement, made possible by the improvement of the quality of GaAs bulk crystals. At the same time, the transition to the next generation of GaAs integrated circuits and optoelectronic systems for commercial and government applications hinges on new quantum steps in three interrelated areas: crystal growth, device processing and device-related properties and phenomena. Special emphasis is placed on the establishment of quantitative relationships among crystal growth parameters-material properties-electronic properties and device applications. The overall program combines studies of crystal growth on novel approaches to engineering of semiconductor material (i.e., GaAs and related compounds); investigation and correlation of materials properties and electronic characteristics on a macro- and microscale; and investigation of electronic properties and phenomena controlling device applications and device performance.

  17. Sidewall GaAs tunnel junctions fabricated using molecular layer epitaxy

    PubMed Central

    Ohno, Takeo; Oyama, Yutaka

    2012-01-01

    In this article we review the fundamental properties and applications of sidewall GaAs tunnel junctions. Heavily impurity-doped GaAs epitaxial layers were prepared using molecular layer epitaxy (MLE), in which intermittent injections of precursors in ultrahigh vacuum were applied, and sidewall tunnel junctions were fabricated using a combination of device mesa wet etching of the GaAs MLE layer and low-temperature area-selective regrowth. The fabricated tunnel junctions on the GaAs sidewall with normal mesa orientation showed a record peak current density of 35 000 A cm-2. They can potentially be used as terahertz devices such as a tunnel injection transit time effect diode or an ideal static induction transistor. PMID:27877466

  18. Structural and optical properties of GaAs(100) with a thin surface layer doped with chromium

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Seredin, P. V., E-mail: paul@phys.vsu.ru; Fedyukin, A. V.; Arsentyev, I. N.

    The aim of this study is to explore the structural and optical properties of single-crystal GaAs(100) doped with Cr atoms by burning them into the substrate at high temperatures. The diffusion of chromium into single-crystal GaAs(100) substrates brings about the formation of a thin (~20–40 μm) GaAs:Cr transition layer. In this case, chromium atoms are incorporated into the gallium-arsenide crystal lattice and occupy the regular atomic sites of the metal sublattice. As the chromium diffusion time is increased, such behavior of the dopant impurity yields changes in the energy structure of GaAs, a decrease in the absorption at free chargemore » carriers, and a lowering of the surface recombination rate. As a result, the photoluminescence signal from the sample is significantly enhanced.« less

  19. Effect of gamma-ray irradiation on structural properties of GaAsN films grown by metal organic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Klangtakai, Pawinee; Sanorpim, Sakuntam; Wattanawareekul, Atiwat; Suwanyangyaun, Pattana; Srepusharawoot, Pornjuk; Onabe, Kentaro

    2015-05-01

    The effects of gamma-ray irradiation on the structural properties of GaAs1-xNx films (N concentration=1.9 and 5.1 at%) grown by metal organic vapor phase epitaxy on GaAs (001) substrates were investigated. The GaAs1-xNx films were irradiated by gamma rays with irradiation strength of 0-2.0 MGy. Scanning electron microscopy and atomic force microscopy results showed that a gamma ray with a strength of 0, 0.5, 1.0, 1.5, and 2.0 MGy formed holes with a density of 0.0, 8.8, 9.4, 11.5, and 11.9 μm-2, respectively, on the surface of a GaAs0.981N0.019 film with low N content. On the other hand, the irradiated high-N-content GaAs0.949N0.051 film exhibited a cross-hatch pattern, which was induced by partial strain relaxation at high N levels, with a line density of 0.0, 0.21, 0.37, 0.67, and 0.26 μm-1 corresponding to an irradiation strength of 0, 0.5, 1.0, 1.5, and 2.0 MGy, respectively. The high-resolution X-ray diffraction and Raman scattering results revealed an increase in N incorporation and strain relaxation after irradiation. In addition, the GaAs0.949N0.051 films exhibited phase separation, which took place via N out-diffusion across the interface when the irradiation strength exceeded 1.0 MGy. Based on these results, the main cause of structural change was determined to be the irradiation effects including displacement damage and gamma-ray heating.

  20. Ultra-thin GaAs single-junction solar cells integrated with a reflective back scattering layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Weiquan; Becker, Jacob; Liu, Shi

    2014-05-28

    This paper reports the proposal, design, and demonstration of ultra-thin GaAs single-junction solar cells integrated with a reflective back scattering layer to optimize light management and minimize non-radiative recombination. According to our recently developed semi-analytical model, this design offers one of the highest potential achievable efficiencies for GaAs solar cells possessing typical non-radiative recombination rates found among commercially available III-V arsenide and phosphide materials. The structure of the demonstrated solar cells consists of an In{sub 0.49}Ga{sub 0.51}P/GaAs/In{sub 0.49}Ga{sub 0.51}P double-heterostructure PN junction with an ultra-thin 300 nm thick GaAs absorber, combined with a 5 μm thick Al{sub 0.52}In{sub 0.48}P layer with amore » textured as-grown surface coated with Au used as a reflective back scattering layer. The final devices were fabricated using a substrate-removal and flip-chip bonding process. Solar cells with a top metal contact coverage of 9.7%, and a MgF{sub 2}/ZnS anti-reflective coating demonstrated open-circuit voltages (V{sub oc}) up to 1.00 V, short-circuit current densities (J{sub sc}) up to 24.5 mA/cm{sup 2}, and power conversion efficiencies up to 19.1%; demonstrating the feasibility of this design approach. If a commonly used 2% metal grid coverage is assumed, the anticipated J{sub sc} and conversion efficiency of these devices are expected to reach 26.6 mA/cm{sup 2} and 20.7%, respectively.« less

  1. Long-term radiation effects on GaAs solar cell characteristics

    NASA Technical Reports Server (NTRS)

    Heinbockel, J. H.; Doviak, M. J.

    1978-01-01

    This report investigates preliminary design considerations which should be considered for a space experiment involving Gallium Arsenide (GaAs) solar cells. The electron radiation effects on GaAs solar cells were conducted in a laboratory environment, and a statistical analysis of the data is presented. In order to augment the limited laboratory data, a theoretical investigation of the effect of radiation on GaAs solar cells is also developed. The results of this study are empirical prediction equations which can be used to estimate the actual damage of electrical characteristics in a space environment. The experimental and theoretical studies also indicate how GaAs solar cell parameters should be designed in order to withstand the effects of electron radiation damage.

  2. GaAs thin films and methods of making and using the same

    DOEpatents

    Boettcher, Shannon; Ritenour, Andrew; Boucher, Jason; Greenaway, Ann

    2016-06-14

    Disclosed herein are embodiments of methods for making GaAs thin films, such as photovoltaic GaAs thin films. The methods disclosed herein utilize sources, precursors, and reagents that do not produce (or require) toxic gas and that are readily available and relatively low in cost. In some embodiments, the methods are readily scalable for industrial applications and can provide GaAs thin films having properties that are at least comparable to or potentially superior to GaAs films obtained from conventional methods.

  3. The GAAS metagenomic tool and its estimations of viral and microbial average genome size in four major biomes.

    PubMed

    Angly, Florent E; Willner, Dana; Prieto-Davó, Alejandra; Edwards, Robert A; Schmieder, Robert; Vega-Thurber, Rebecca; Antonopoulos, Dionysios A; Barott, Katie; Cottrell, Matthew T; Desnues, Christelle; Dinsdale, Elizabeth A; Furlan, Mike; Haynes, Matthew; Henn, Matthew R; Hu, Yongfei; Kirchman, David L; McDole, Tracey; McPherson, John D; Meyer, Folker; Miller, R Michael; Mundt, Egbert; Naviaux, Robert K; Rodriguez-Mueller, Beltran; Stevens, Rick; Wegley, Linda; Zhang, Lixin; Zhu, Baoli; Rohwer, Forest

    2009-12-01

    Metagenomic studies characterize both the composition and diversity of uncultured viral and microbial communities. BLAST-based comparisons have typically been used for such analyses; however, sampling biases, high percentages of unknown sequences, and the use of arbitrary thresholds to find significant similarities can decrease the accuracy and validity of estimates. Here, we present Genome relative Abundance and Average Size (GAAS), a complete software package that provides improved estimates of community composition and average genome length for metagenomes in both textual and graphical formats. GAAS implements a novel methodology to control for sampling bias via length normalization, to adjust for multiple BLAST similarities by similarity weighting, and to select significant similarities using relative alignment lengths. In benchmark tests, the GAAS method was robust to both high percentages of unknown sequences and to variations in metagenomic sequence read lengths. Re-analysis of the Sargasso Sea virome using GAAS indicated that standard methodologies for metagenomic analysis may dramatically underestimate the abundance and importance of organisms with small genomes in environmental systems. Using GAAS, we conducted a meta-analysis of microbial and viral average genome lengths in over 150 metagenomes from four biomes to determine whether genome lengths vary consistently between and within biomes, and between microbial and viral communities from the same environment. Significant differences between biomes and within aquatic sub-biomes (oceans, hypersaline systems, freshwater, and microbialites) suggested that average genome length is a fundamental property of environments driven by factors at the sub-biome level. The behavior of paired viral and microbial metagenomes from the same environment indicated that microbial and viral average genome sizes are independent of each other, but indicative of community responses to stressors and environmental conditions.

  4. Stages in molecular beam epitaxy growth of GaAs nanowires studied by x-ray diffraction.

    PubMed

    Mariager, Simon O; Lauridsen, Søren L; Sørensen, Claus B; Dohn, Asmus; Willmott, Phillip R; Nygård, Jesper; Feidenhans'l, Robert

    2010-03-19

    GaAs nanowires were grown by molecular beam epitaxy and studied by glancing-angle x-ray diffraction during five different stages of the growth process. An entire forest of randomly positioned epitaxial nanowires was sampled simultaneously and a large variation in the Au-Ga catalyst was found. Au, AuGa, AuGa(2) and the hexagonal beta phase were all identified in several orientations and in similar amounts. The nanowires are shown to consist of regular zinc blende crystal, its twin and the hexagonal wurtzite. The evolution of the various Au-Ga catalysts and the development in the twin to the wurtzite abundance ratio indicate that the Au catalyst is saturated upon initiation of growth leading to an increased amount of wurtzite structure in the wires. A specular x-ray scan identifies the various Au-Ga alloys, three Au lattice constants and a rough interface between nanowires and catalyst. Reciprocal space maps were obtained around Au Bragg points and show the development of the Au catalyst from a distribution largely oriented with respect to the lattice to a non-uniform distribution with several well-defined lattice constants.

  5. Effects of ion bombardment on bulk GaAs photocathodes with different surface-cleavage planes

    DOE PAGES

    Liu, Wei; Zhang, Shukui; Stutzman, Marcy; ...

    2016-10-24

    Bulk GaAs samples with different surface cleave planes were implanted with 100 and 10 000 V hydrogen ions inside an ultrahigh vacuum test apparatus to simulate ion back-bombardment of the photocathode inside a DC high voltage photogun. The photocathode yield, or quantum efficiency, could easily be recovered following implantation with 100 V hydrogen ions but not for 10 000 V ions. Moreover, the implantation damage with 10 000 V hydrogen ions was more pronounced for GaAs photocathode samples with (100) and (111A) cleave planes, compared to the photocathode with (110) cleave plane. Lastly, this result is consistent with enhanced ionmore » channeling for the (110) cleave plane compared to the other cleave planes, with ions penetrating deeper into the photocathode material beyond the absorption depth of the laser light and beyond the region of the photocathode where the photoemitted electrons originate.« less

  6. Short-period (AlAs)(GaAs) superlattice lasers grown by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Blood, P.; Fletcher, E.D.; Foxon, C.T.

    1988-07-25

    We have used short-period all-binary (AlAs)(GaAs) superlattices with layers as thin as three monolayers to synthesize the barrier and cladding regions of GaAs quantum well lasers grown by molecular beam epitaxy. By studying the threshold current of single- and double-well devices as a function of cavity length and temperature, we conclude that the optical scattering losses are very low, that the gain-current characteristics are similar to alloy barrier devices, and that there is evidence for current leakage by recombination in the barriers.

  7. Metastable bcc phase formation in 3d ferromagnetic transition metal thin films sputter-deposited on GaAs(100) substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Minakawa, Shigeyuki, E-mail: s-minakawa@futamoto.elect.chuo-u.ac.jp; Ohtake, Mitsuru; Futamoto, Masaaki

    2015-05-07

    Co{sub 100−x}Fe{sub x} and Ni{sub 100−y}Fe{sub y} (at. %, x = 0–30, y = 0–60) films of 10 nm thickness are prepared on GaAs(100) substrates at room temperature by using a radio-frequency magnetron sputtering system. The detailed growth behavior is investigated by in-situ reflection high-energy electron diffraction. (100)-oriented Co and Ni single-crystals with metastable bcc structure are formed in the early stage of film growth, where the metastable structure is stabilized through hetero-epitaxial growth. With increasing the thickness up to 2 nm, the Co and the Ni films start to transform into more stable hcp and fcc structures through atomic displacements parallel to bcc(110) slide planes,more » respectively. The stability of bcc phase is improved by adding a small volume of Fe atoms into a Co film. The critical thickness of bcc phase formation is thicker than 10 nm for Co{sub 100−x}Fe{sub x} films with x ≥ 10. On the contrary, the stability of bcc phase for Ni-Fe system is less than that for Co-Fe system. The critical thicknesses for Ni{sub 100−y}Fe{sub y} films with y = 20, 40, and 60 are 1, 3, and 5 nm, respectively. The Co{sub 100−x}Fe{sub x} single-crystal films with metastable bcc structure formed on GaAs(100) substrates show in-plane uniaxial magnetic anisotropies with the easy direction along GaAs[011], similar to the case of Fe film epitaxially grown on GaAs(100) substrate. A Co{sub 100−x}Fe{sub x} film with higher Fe content shows a higher saturation magnetization and a lower coercivity.« less

  8. Growth and characteristics of p-type doped GaAs nanowire

    NASA Astrophysics Data System (ADS)

    Li, Bang; Yan, Xin; Zhang, Xia; Ren, Xiaomin

    2018-05-01

    The growth of p-type GaAs nanowires (NWs) on GaAs (111) B substrates by metal-organic chemical vapor deposition (MOCVD) has been systematically investigated as a function of diethyl zinc (DEZn) flow. The growth rate of GaAs NWs was slightly improved by Zn-doping and kink is observed under high DEZn flow. In addition, the I–V curves of GaAs NWs has been measured and the p-type dope concentration under the II/III ratio of 0.013 and 0.038 approximated to 1019–1020 cm‑3. Project supported by the National Natural Science Foundation of China (Nos. 61376019, 61504010, 61774021) and the Fund of State Key Laboratory of Information Photonics and Optical Communications (Beijing University of Posts and Telecommunications), China (Nos. IPOC2017ZT02, IPOC2017ZZ01).

  9. Bias Dependence of the Electrical Spin Injection into GaAs from Co -Fe -B /MgO Injectors with Different MgO Growth Processes

    NASA Astrophysics Data System (ADS)

    Barate, P.; Liang, S. H.; Zhang, T. T.; Frougier, J.; Xu, B.; Schieffer, P.; Vidal, M.; Jaffrès, H.; Lépine, B.; Tricot, S.; Cadiz, F.; Garandel, T.; George, J. M.; Amand, T.; Devaux, X.; Hehn, M.; Mangin, S.; Tao, B.; Han, X. F.; Wang, Z. G.; Marie, X.; Lu, Y.; Renucci, P.

    2017-11-01

    We investigate the influence of the MgO growth process on the bias dependence of the electrical spin injection from a Co -Fe -B /MgO spin injector into a GaAs-based light-emitting diode (spin LED). With this aim, textured MgO tunnel barriers are fabricated either by sputtering or molecular-beam-epitaxy (MBE) methods. For the given growth parameters used for the two techniques, we observe that the circular polarization of the electroluminescence emitted by spin LEDs is rather stable as a function of the injected current or applied bias for the samples with sputtered tunnel barriers, whereas the corresponding circular polarization decreases abruptly for tunnel barriers grown by MBE. We attribute these different behaviors to the different kinetic energies of the injected carriers linked to differing amplitudes of the parasitic hole current flowing from GaAs to Co-Fe-B in both cases.

  10. The effect of doping on low temperature growth of high quality GaAs nanowires on polycrystalline films

    PubMed Central

    DeJarld, Matt; Teran, Alan; Luengo-Kovac, Marta; Yan, Lifan; Moon, Eun Seong; Beck, Sara; Guillen, Cristina; Sih, Vanessa; Phillips, Jamie; Milunchick, Joanna Mirecki

    2016-01-01

    The increasing demand for miniature autonomous sensors requires low cost integration methods, but to date, material limitations have prevented the direct growth of optically active III-V materials on CMOS devices. We report on the deposition of GaAs nanowires on polycrystalline conductive films to allow for direct integration of optoelectronic devices on dissimilar materials. Undoped, Si-doped, and Be-doped nanowires were grown at Ts=400°C on oxide (indium tin oxide) and metallic (platinum and titanium) films. Be-doping is shown to significantly reduce the nanowire diameter and improve the nanowire aspect ratio to 50:1. Photoluminescence measurements of Be-doped nanowires are 1–2 orders of magnitude stronger than undoped and Si-doped nanowires and have a thermal activation energy of 14meV, which is comparable to nanowires grown on crystalline substrates. Electrical measurements confirm that the metal-semiconductor junction is Ohmic. These results demonstrate the feasibility of integrating nanowire-based optoelectronic devices directly on CMOS chips. PMID:27834310

  11. Sulfur doping of GaAs with (NH4)2Sx solution

    NASA Astrophysics Data System (ADS)

    Lee, Jong-Lam

    1999-01-01

    A novel technique for sulfur doping to GaAs was demonstrated. The surface of GaAs was treated with (NH4)2Sx solution, subsequent to annealing using either furnace or rapid thermal processing. Sulfur atoms adsorbed at the surface of GaAs during the (NH4)2Sx treatment diffuse into GaAs during the annealing. The diffusion profiles of sulfur in both types of annealing treatments show a concave shape from the GaAs surface. Diffusion constants of sulfur determined using the Boltzmann-Matano technique increase with the decrease of sulfur concentration via the depth from the surface of GaAs. This suggests that immobile sulfur donor SAs+ forms at the near surface interacts with a Ga divacancy, and results in the production of mobile As interstitials, IAs. The IAs moves fast toward the inside of GaAs and kickout the SAs+ donor, producing a fast diffusing species of interstitial S atoms. The diffusion coefficients of sulfur determined are 2.5×10-14 cm2/s at 840 °C and 5×10-12 cm2/s at 900 °C. The sulfur doping technique is applied to the fabrication of metal-semiconductor field-effect transistors (MESFETs). The MESFETs with 1.0 μm gate length exhibit transconductance of 190 mS/mm, demonstrating the applicability of this technique to the formation of active channel layer of MESFETs.

  12. Structural and physical properties of InAlAs quantum dots grown on GaAs

    NASA Astrophysics Data System (ADS)

    Vasile, B. S.; Daly, A. Ben; Craciun, D.; Alexandrou, I.; Lazar, S.; Lemaître, A.; Maaref, M. A.; Iacomi, F.; Craciun, V.

    2018-04-01

    Quantum dots (QDs), which have particular physical properties due to the three dimensions confinement effect, could be used in many advanced optoelectronic applications. We investigated the properties of InAlAs/AlGaAs QDs grown by molecular beam epitaxy on GaAs/Al0.5Ga0.5As layers. The optical properties of QDs were studied by low-temperature photoluminescence (PL). Two bandgap transitions corresponding to the X-Sh and X-Ph energy structure were observed. The QDs structure was investigated using high-resolution X-ray diffraction (HRXRD) and high-resolution transmission electron microscopy (HRTEM). HRXRD investigations showed that the layers grew epitaxially on the substrate, with no relaxation. HRTEM investigations confirmed the epitaxial nature of the grown structures. In addition, it was revealed that the In atoms aggregated in some prismatic regions, forming areas of high In concentration, that were still in perfect registry with the substrate.

  13. Relation between trinucleotide GAA repeat length and sensory neuropathy in Friedreich's ataxia.

    PubMed

    Santoro, L; De Michele, G; Perretti, A; Crisci, C; Cocozza, S; Cavalcanti, F; Ragno, M; Monticelli, A; Filla, A; Caruso, G

    1999-01-01

    To verify if GAA expansion size in Friedreich's ataxia could account for the severity of sensory neuropathy. Retrospective study of 56 patients with Friedreich's ataxia selected according to homozygosity for GAA expansion and availability of electrophysiological findings. Orthodromic sensory conduction velocity in the median nerve was available in all patients and that of the tibial nerve in 46 of them. Data of sural nerve biopsy and of a morphometric analysis were available in 12 of the selected patients. The sensory action potential amplitude at the wrist (wSAP) and at the medial malleolus (m mal SAP) and the percentage of myelinated fibres with diameter larger than 7, 9, and 11 microm in the sural nerve were correlated with disease duration and GAA expansion size on the shorter (GAA1) and larger (GAA2) expanded allele in each pair. Pearson's correlation test and stepwise multiple regression were used for statistical analysis. A significant inverse correlation between GAA1 size and wSAP, m mal SAP, and percentage of myelinated fibres was found. Stepwise multiple regression showed that GAA1 size significantly affects electrophysiological and morphometric data, whereas duration of disease has no effect. The data suggest that the severity of the sensory neuropathy is probably genetically determined and that it is not progressive.

  14. Comparison of photoemission characteristics between square and circular wire array GaAs photocathodes.

    PubMed

    Deng, Wenjuan; Peng, Xincun; Zou, Jijun; Wang, Weilu; Liu, Yun; Zhang, Tao; Zhang, Yijun; Zhang, Daoli

    2017-11-10

    Two types of negative electron affinity gallium arsenide (GaAs) wire array photocathodes were fabricated by reactive ion etching and inductively coupled plasma etching of bulk GaAs material. High density GaAs wire arrays with high periodicity and good morphology were verified using scanning electron microscopy, and photoluminescence spectra confirmed the wire arrays had good crystalline quality. Reflection spectra showed that circular GaAs wire arrays had superior light trapping compared with square ones. However, after Cs/O activation, the square GaAs wire array photocathodes showed enhanced spectral response. The integral sensitivity of the square wire array photocathodes was approximately 2.8 times that of the circular arrays.

  15. Highly Oriented Atomically Thin Ambipolar MoSe2 Grown by Molecular Beam Epitaxy

    PubMed Central

    2017-01-01

    Transition metal dichalcogenides (TMDCs), together with other two-dimensional (2D) materials, have attracted great interest due to the unique optical and electrical properties of atomically thin layers. In order to fulfill their potential, developing large-area growth and understanding the properties of TMDCs have become crucial. Here, we have used molecular beam epitaxy (MBE) to grow atomically thin MoSe2 on GaAs(111)B. No intermediate compounds were detected at the interface of as-grown films. Careful optimization of the growth temperature can result in the growth of highly aligned films with only two possible crystalline orientations due to broken inversion symmetry. As-grown films can be transferred onto insulating substrates, allowing their optical and electrical properties to be probed. By using polymer electrolyte gating, we have achieved ambipolar transport in MBE-grown MoSe2. The temperature-dependent transport characteristics can be explained by the 2D variable-range hopping (2D-VRH) model, indicating that the transport is strongly limited by the disorder in the film. PMID:28530829

  16. Two-Photon Pumped Synchronously Mode-Locked Bulk GaAs Laser

    NASA Astrophysics Data System (ADS)

    Cao, W. L.; Vaucher, A. M.; Ling, J. D.; Lee, C. H.

    1982-04-01

    Pulses 7 picoseconds or less in duration have been generated from a bulk GaAs crystal by a synchronous mode-locking technique. The GaAs crystal was optically pumped by two-photon absorption of the emission from a mode-locked Nd:glass laser. Two-photon absorption as the means of excitation increases the volume of the gain medium by increasing the pene-tration depth of the pump intensity, enabling generation of intra-cavity pulses with peak power in the megawatt range. Tuning of the wavelength of the GaAs emission is achieved by varying the temperature. A tuning range covering 840 nm to 885 nm has been observed over a temperature range from 97°K to 260°K. The intensity of the GaAs emission has also been observed to decrease as the temperature of the crystal is increased.

  17. Microwave GaAs Integrated Circuits On Quartz Substrates

    NASA Technical Reports Server (NTRS)

    Siegel, Peter H.; Mehdi, Imran; Wilson, Barbara

    1994-01-01

    Integrated circuits for use in detecting electromagnetic radiation at millimeter and submillimeter wavelengths constructed by bonding GaAs-based integrated circuits onto quartz-substrate-based stripline circuits. Approach offers combined advantages of high-speed semiconductor active devices made only on epitaxially deposited GaAs substrates with low-dielectric-loss, mechanically rugged quartz substrates. Other potential applications include integration of antenna elements with active devices, using carrier substrates other than quartz to meet particular requirements using lifted-off GaAs layer in membrane configuration with quartz substrate supporting edges only, and using lift-off technique to fabricate ultrathin discrete devices diced separately and inserted into predefined larger circuits. In different device concept, quartz substrate utilized as transparent support for GaAs devices excited from back side by optical radiation.

  18. The dependence of the wavelength on MBE growth parameters of GaAs quantum dot in AlGaAs NWs on Si (111) substrate

    NASA Astrophysics Data System (ADS)

    Reznik, R. R.; Shtrom, I. V.; Samsonenko, Yu B.; Khrebtov, A. I.; Soshnikov, I. P.; Cirlin, G. E.

    2017-11-01

    The data on the growth peculiarities and physical properties of GaAs insertions embedded in AlGaAs nanowires grown on Si (111) substrates by Au-assisted molecular beam epitaxy are presented. It is shown that by varying of the growth parameters it is possible to form structures like quantum dots emitting in a wide wavelengths range for both active and barrier parts. The technology proposed opens new possibilities for the integration of direct-band AIIIBV materials on silicon platform.

  19. GaInNAs Structures Grown by MBE for High-Efficiency Solar Cells: Final Report; 25 June 1999--24 August 2002

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tu, C. W.

    The focus of this work is to improve the quality of GaInNAs by advanced thin-film growth techniques, such as digital-alloy growth techniques and migration-enhanced epitaxy (MEE). The other focus is to further investigate the properties of such materials, which are potentially beneficial for high-efficiency, multijunction solar cells. 400-nm-thick strain-compensated Ga0.92In0.08As/GaN0.03As0.97 short-period superlattices (SPSLs) are grown lattice-matched to GaAs substrates. The photoluminescence (PL) intensity of digital alloys is 3 times higher than that of random alloys at room temperature, and the improvement is even greater at low temperature, by a factor of about 12. The room-temperature PL intensity of the GaInNAsmore » quantum well grown by the strained InAs/GaN0.023As SPSL growth mode is higher by a factor 5 as compare to the continuous growth mode. The SPSL growth method allows for independent adjustment of the In-to-Ga ratio without group III competition. MEE reduces the low-energy tail of PL, and PL peaks become more intense and sharper. The twin peaks photoluminescence of GaNAs grown on GaAs was observed at room temperature. The peaks splitting increase with increase in nitrogen alloy content. The strain-induced splitting of light-hole and heavy-hole bands of tensile-strained GaNAs is proposed as an explanation of such behavior.« less

  20. Advanced GaAs Process Modeling. Volume 1

    DTIC Science & Technology

    1989-05-01

    COSATI CODES 18 . SUBJECT TERMS (Continue on reverse if necessary and identify by block number) FIELD GROUP SUB-GROUP Gallium Arsenide, MESFET, Process...Background 9 3.2 Model Calculations 10 3.3 Conclusions 17 IV. ION-IMPLANTATION INTO GaAs PROFILE DETERMINATION 18 4.1 Ion Implantation Profile...Determination in GaAs 18 4.1.1. Background 18 4.1.2. Experimental Measurements 20 4.1.3. Results 22 4.1.3.1 Ion-Energy Dependence 22 4.1.3.2. Tilt and Rotation

  1. Photoconductive antennas based on epitaxial films In0.5Ga0.5As on GaAs (1 1 1)A and (1 0 0)A substrates with a metamorphic buffer

    NASA Astrophysics Data System (ADS)

    Kuznetsov, K. A.; Galiev, G. B.; Kitaeva, G. Kh; Kornienko, V. V.; Klimov, E. A.; Klochkov, A. N.; Leontyev, A. A.; Pushkarev, S. S.; Maltsev, P. P.

    2018-07-01

    The terahertz (THz) wave generation by the spiral photoconductive antennas fabricated on the low-temperature and high-temperature grown undoped and Si-doped In0.5Ga0.5As films is studied by the terahertz time-domain spectroscopy method. The In0.5Ga0.5As layers were grown by molecular beam epitaxy on GaAs substrates with (1 0 0) and (1 1 1)A crystallographic orientations utilizing step-graded In x Ga1‑x As metamorphic buffer. The antennas are excited by radiation of Er3+-fiber laser at 1.56 μm wavelength in two regimes: with pulse durations of 2.5 ps or 100 fs. It is found that the THz wave generation is 3–4 times more effective in the case of InGaAs-based antennas on (1 1 1)A GaAs substrates as compared to the (1 0 0) substrates. Power-voltage characteristic of the LT-InGaAs antenna up to and beyond threshold breakdown voltage are reported.

  2. Failure Mechanisms of GaAs Transistors - A Literature Survey

    DTIC Science & Technology

    1990-03-01

    doping profile cannot be as sharp as with epitaxial methods. This is the result of the statistics of the implantation and the general diffusion that...Speed GaAs Logic Gates 5.1 GaAs PLANAR TRANSITOR STRUCTURES USED IN IC’S Some planar transistor structures used in IC’s with examples of the

  3. Structure characterization of MHEMT heterostructure elements with In0.4Ga0.6As quantum well grown by molecular beam epitaxy on GaAs substrate using reciprocal space mapping

    NASA Astrophysics Data System (ADS)

    Aleshin, A. N.; Bugaev, A. S.; Ermakova, M. A.; Ruban, O. A.

    2016-03-01

    The crystallographic parameters of elements of a metamorphic high-electron-mobility transistor (MHEMT) heterostructure with In0.4Ga0.6As quantum well are determined using reciprocal space mapping. The heterostructure has been grown by molecular-beam epitaxy (MBE) on the vicinal surface of a GaAs substrate with a deviation angle of 2° from the (001) plane. The structure consists of a metamorphic step-graded buffer (composed of six layers, including an inverse step), a high-temperature buffer of constant composition, and active high-electron-mobility transistor (HEMT) layers. The InAs content in the metamorphic buffer layers varies from 0.1 to 0.48. Reciprocal space mapping has been performed for the 004 and 224 reflections (the latter in glancing exit geometry). Based on map processing, the lateral and vertical lattice parameters of In x Ga1- x As ternary solid solutions of variable composition have been determined. The degree of layer lattice relaxation and the compressive stress are found within the linear elasticity theory. The high-temperature buffer layer of constant composition (on which active MHEMT layers are directly formed) is shown to have the highest (close to 100%) degree of relaxation in comparison with all other heterostructure layers and a minimum compressive stress.

  4. Photon counting microstrip X-ray detectors with GaAs sensors

    NASA Astrophysics Data System (ADS)

    Ruat, M.; Andrä, M.; Bergamaschi, A.; Barten, R.; Brückner, M.; Dinapoli, R.; Fröjdh, E.; Greiffenberg, D.; Lopez-Cuenca, C.; Lozinskaya, A. D.; Mezza, D.; Mozzanica, A.; Novikov, V. A.; Ramilli, M.; Redford, S.; Ruder, C.; Schmitt, B.; Shi, X.; Thattil, D.; Tinti, G.; Tolbanov, O. P.; Tyazhev, A.; Vetter, S.; Zarubin, A. N.; Zhang, J.

    2018-01-01

    High-Z sensors are increasingly used to overcome the poor efficiency of Si sensors above 15 keV, and further extend the energy range of synchrotron and FEL experiments. Detector-grade GaAs sensors of 500 μm thickness offer 98% absorption efficiency at 30 keV and 50% at 50 keV . In this work we assess the usability of GaAs sensors in combination with the MYTHEN photon-counting microstrip readout chip developed at PSI. Different strip length and pitch are compared, and the detector performance is evaluated in regard of the sensor material properties. Despite increased leakage current and noise, photon-counting strips mounted with GaAs sensors can be used with photons of energy as low as 5 keV, and exhibit excellent linearity with energy. The charge sharing is doubled as compared to silicon strips, due to the high diffusion coefficient of electrons in GaAs.

  5. Laser Induced Electrodeposition on Polyimide and GaAs Substrates

    DTIC Science & Technology

    1983-10-01

    6 3.1 Laser Gold Plating on Undoped Ga As Substrate ........... 6 3.1.1 Deposit Formation...22 iv LIST OF ILLUSTRATIONS Figure Page 1. Experimental Set-Up . . . . . .................. 4 2. Laser Gold Pla’ting Undoped GaAs (100...9 3. Laser Gold Plating Undoped GaAs (100) Deposit Resistance Measurement ......................... .10 4. Laser Gold Plating on Polyimide

  6. Depth-Resolved Cathodoluminescence Study of Annealed Silicon Implanted Gallium Arsenide.

    DTIC Science & Technology

    1982-12-01

    samples were Cr doped semi-insulat- ing GaAs crystals grown using the horizontal Bridgman method. Nine samples were prepared for this study, four were...function of depth. Cathodoluminescence was the excitation method. The crystals studied were grown using the horizontal Bridgman method. Four samples were...achieved by taking spectral data and successively chemically etching the surface of the crystal in 250 R steps. No new peaks were observed in the

  7. Cathodoluminescence Characterization of Ion Implanted GaAs.

    DTIC Science & Technology

    1980-03-01

    technique that can be used to characterize the semiconductor device "in situ" before further processing can save the Air Force valuable time as well...Patterson Air Force Base,Ohio i! i ill i I ;Wow AFIT/DS/PH/80- I.i1I LEVELOO CATHODOLUMINESCENCE CHARACTERIZATION OF ION IPLANTED GaAs D I SSERUrAT ION...CATODOLUMINESCENCE CHARACTERIZATION .’ a .... OF ION IMPLANTED GaAs’ - .. .. Dtriy’ t’ c:’/ A’: t 1. - Cc;-,P by an i’or Milton L one B.S., M.S. Major USAF Approved

  8. Amplification in Double Heterostructure GaAs Lasers.

    DTIC Science & Technology

    1981-03-15

    done, for example, in the book by Siegman . When the laser signal which is to be amplified is a single mode, it is important to include the possibility...k A’AD-A097 862 AEROSPACE CORP EL SEGUNDO CA ELECTRONICS RESEARCH LAP) P 5 20/5 I AMPLIFICATION IN DOUBLE HETEROSTRUCTURE GAAS LASERS .(U IMAR al E...GARMIRE, M CHANG F04701-80-C-0081I UNCLASSIFIED TR GO81(6930 03)-2 SD-TA8-30 NL Amplification in Double Heterostructure GaAs Lasers E. GARMIRE nd M

  9. GaAs Surface Passivation for Device Applications.

    DTIC Science & Technology

    1981-12-01

    Ga203.’" . QI. a) / b) x 2.5 •• 24 21 18 As3d a) b) x 2. / 0 II 2 46 43 40 BINDING ENERGY (eV) Fig. 3 XPS spectra from a Ga2O3 covered GaAs surface of Ga...wU 24 21 Gas 18 SAs3d As2O3 ) .. 46 43 40 BINDING ENERGY (e) Fig. 4 XPS spectra from a AsJ03- Ga2O3 covered GaAs surface of Ga 3d (upper panel) and As

  10. Inverted thermal conversion - GaAs, a new alternative material for integrated circuits

    NASA Technical Reports Server (NTRS)

    Lagowski, J.; Gatos, H. C.; Kang, C. H.; Skowronski, M.; Ko, K. Y.

    1986-01-01

    A new type of GaAs is developed which exhibits inverted thermal conversion (ITC); i.e., it converts from conducting to semiinsulating upon annealing at about 850 C. In device fabrication, its low resistivity prior to high-temperature processing differentiates ITC GaAs from the standard semiinsulating GaAs. The ITC characteristics are obtained through control of the concentration of the midgap donor EL2 based on heat treatment and crystal-growth modification. Thus EL2 does not exist in the conducting state of ITC GaAs. Conversion to the semiinsulating state during 850 C annealing is caused by the formation of EL2.

  11. Fabrication and characterization of the noble metal nanostructures on the GaAs surface

    NASA Astrophysics Data System (ADS)

    Gladskikh, Polina V.; Gladskikh, Igor A.; Toropov, Nikita A.; Vartanyan, Tigran A.

    2016-04-01

    Self-assembled silver, gold, and copper nanostructures on the monocrystalline GaAs (100) wafer surface were obtained via physical vapor deposition and characterized by optical reflection spectroscopy, scanning electron microscopy, and current-voltage curve measurements. Reflection spectra of the samples with Ag equivalent thicknesses of 2, 5, 7.5, and 10 nm demonstrated wide plasmonic bands in the visible range of spectra. Thermal annealing of the nanostructures led to narrowing of the plasmonic bands of Au and Ag nanostructures caused by major transformations of the film morphology. While the as prepared films predominantly had a small scale labyrinth structure, after annealing well-separated nanoislands are formed on the gallium arsenide surface. A clear correlation between films morphology and their optical and electrical properties is elucidated. Annealing of the GaAs substrate with Ag nanostructures at 100 °C under control of the resistivity allowed us to obtain and fix the structure at the percolation threshold. It is established that the samples at the percolation threshold possess the properties of resistance switching and hysteresis.

  12. Dynamics of reflection high-energy electron diffraction intensity oscillations during molecular beam epitaxial growth of GaAs on (111)B GaAs substrates

    NASA Astrophysics Data System (ADS)

    Yen, M. Y.; Haas, T. W.

    1990-06-01

    We have observed intensity oscillations in reflection high-energy electron diffraction during molecular beam epitaxial growth of GaAs on (111)B GaAs substrates. These oscillations only exist over a narrow range of growth conditions and their behavior is strongly dependent on the migration kinetics of group III and the molecular dissociative reaction of group V elements.

  13. Integration of GaAs vertical-cavity surface emitting laser on Si by substrate removal

    NASA Astrophysics Data System (ADS)

    Yeh, Hsi-Jen J.; Smith, John S.

    1994-03-01

    The successful integration of strained quantum well InGaAs vertical-cavity surface-emitting lasers (VCSELs) on both Si and Cu substrates was described using a GaAs substrate removal technique. The GaAs VCSEL structure was metallized and bonded to the Si substrate after growth. The GaAs substrate was then removed by selective chemical wet etching. Finally, the bonded GaAs film metallized on the top (emitting) side and separate lasers were defined. This is the first time a VCSEL had been integrated on a Si substrate with its substrate removed. The performance enhancement of GaAs VCSELs bonded on good thermal conductors are demonstrated.

  14. Direct observation of anti-phase boundaries in heteroepitaxy of GaSb thin films grown on Si(001) by transmission electron microscopy

    NASA Astrophysics Data System (ADS)

    Woo, S. Y.; Hosseini Vajargah, S.; Ghanad-Tavakoli, S.; Kleiman, R. N.; Botton, G. A.

    2012-10-01

    Unambiguous identification of anti-phase boundaries (APBs) in heteroepitaxial films of GaSb grown on Si has been so far elusive. In this work, we present conventional transmission electron microscopy (TEM) diffraction contrast imaging using superlattice reflections, in conjunction with convergent beam electron diffraction analysis, to determine a change in polarity across APBs in order to confirm the presence of anti-phase disorder. In-depth analysis of anti-phase disorder is further supported with atomic resolution high-angle annular dark-field scanning transmission electron microscopy. The nature of APBs in GaSb is further elucidated by a comparison to previous results for GaAs epilayers grown on Si.

  15. GaAs Substrates for High-Power Diode Lasers

    NASA Astrophysics Data System (ADS)

    Mueller, Georg; Berwian, Patrick; Buhrig, Eberhard; Weinert, Berndt

    GaAs substrate crystals with low dislocation density (Etch-Pit Density (EPD) < 500,^-2) and Si-doping ( ~10^18,^-3) are required for the epitaxial production of high-power diode-lasers. Large-size wafers (= 3 mathrm{in} -> >=3,) are needed for reducing the manufacturing costs. These requirements can be fulfilled by the Vertical Bridgman (VB) and Vertical Gradient Freeze (VGF) techniques. For that purpose we have developed proper VB/VGF furnaces and optimized the thermal as well as the physico-chemical process conditions. This was strongly supported by extensive numerical process simulation. The modeling of the VGF furnaces and processes was made by using a new computer code called CrysVUN++, which was recently developed in the Crystal Growth Laboratory in Erlangen.GaAs crystals with diameters of 2 and 3in were grown in pyrolytic Boron Nitride (pBN) crucibles having a small-diameter seed section and a conical part. Boric oxide was used to fully encapsulate the crystal and the melt. An initial silicon content in the GaAs melt of c (melt) = 3 x10^19,^-3 has to be used in order to achieve a carrier concentration of n = (0.8- 2) x10^18,^-3, which is the substrate specification of the device manufacturer of the diode-laser. The EPD could be reduced to values between 500,^-2 and 50,^-2 with a Si-doping level of 8 x10^17 to 1 x10^18,^-3. Even the 3in wafers have rather large dislocation-free areas. The lowest EPDs ( <100,^-2) are achieved for long seed wells of the crucible.

  16. Superconducting proximity effect in MBE grown Nb-InAs junctions

    NASA Astrophysics Data System (ADS)

    Kan, Carolyn; Xue, Chi; Law, Stephanie; Eckstein, James

    2013-03-01

    Several proposals for the realization of Majorana fermions rely on excellent quality proximity coupling between a superconductor and a high-mobility semiconductor. We examine the long-range proximity coupling between MBE-grown InAs and in situ grown superconducting overlayers by fabricating transport devices, and investigate the effect of substrate choice and growth conditions on the quality of the MBE InAs. GaAs is commonly available as a high quality insulating substrate. Overcoming its lattice mismatch with InAs using GaSb and AlSb layers results in locally smooth terraced surfaces, but global spiral dislocation structures also appear and have a negative impact on the InAs mobility. Growing InAs on homoepitaxial GaSb results in improved morphology and increases the mean free path. We compare the proximity effect in devices made both ways. This material is based upon work supported by the U.S. Department of Energy, Division of Materials Sciences under Award No. DE-FG02 07ER46453, through the Frederick Seitz Materials Research Laboratory at the University of Illinois at Urbana-Champaign.

  17. Terahertz detectors from Be-doped low-temperature grown InGaAs/InAlAs: Interplay of annealing and terahertz performance

    NASA Astrophysics Data System (ADS)

    Globisch, B.; Dietz, R. J. B.; Nellen, S.; Göbel, T.; Schell, M.

    2016-12-01

    The influence of post-growth annealing on the electrical properties, the transient carrier dynamics and the performance as THz photoconductive receiver of Beryllium (Be) doped InGaAs/InAlAs multilayer heterostructures grown at 130 °C in a molecular beam epitaxy (MBE) system was investigated. We studied samples with nominally Be doping concentrations of 8 ×10 17 cm-3 - 1.2 ×1019 cm3 annealed for 15 min. - 120 min. at temperatures between 500 °C - 600 °C. In contrast to previous publications, the results show consistently that annealing increases the electron lifetime of the material. In analogy to the annealing properties of low-temperature grown (LTG) GaAs we explain our findings by the precipitation of arsenic antisite defects. The knowledge of the influence of annealing on the material properties allowed for the fabrication of broadband THz photoconductive receivers with an electron lifetime below 300 fs and varying electrical properties. We found that the noise of the detected THz pulse trace in time-domain spectroscopy (TDS) was directly determined by the resistance of the photoconductive receiver and the peak-to-peak amplitude of the THz pulse correlated with the electron mobility.

  18. Structural phase transitions in GaAs to 108 GPa

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Weir, S.T.; Vohra, Y.K.; Vanderborgh, C.A.

    1989-01-15

    The III-V compound GaAs was studied using energy-dispersive x-ray diffraction with a synchro- tron source up to a pressure of 108 GPa. When the pressure was increased to 16.6 GPa, the GaAs sample transformed from the zinc-blende structure to an orthorhombic structure (GaAs(II)), space group Pmm2, consisting of a primitive orthorhombic lattice with a basis of (0,0,0) and (0,(1/2,..cap alpha..), where ..cap alpha.. = 0.35. Upon a further increase of pressure to 24 +- 1 GPa, GaAs(II) transformed to another orthorhombic structure (GaAs(III)), space group Imm2, consisting of a body-centered orthorhombic lattice with a basis of (0,0,0) and (0, (1/2,..delta..),more » where ..delta.. is 0.425 at 28.1 GPa. With increasing pressure, ..delta.. approached (1/2 and the GaAs(III) structure gradually assumed the symmetry of the simple hexagonal structure. The transition to the simple hexagonal structure (GaAs(IV)) was completed in the vicinity of 60--80 GPa. The structure remains simple hexagonal up to at least 108 GPa, the highest pressure reached in this study.« less

  19. Lightweight, Light-Trapped, Thin GaAs Solar Cells for Spacecraft Applications.

    DTIC Science & Technology

    1995-10-05

    improve the efficiency of this type of cell. 2 The high efficiency and light weight of the cover glass supported GaAs solar cell can have a significant...is a 3-mil cover glass and 1-mil silicone adhesive on the front surface of the GaAs solar cell. Power Output 3000 400 -{ 2400 { N 300 S18200 W/m2...the ultra-thin, light-trapped GaAs solar ceill 3. Incorporate light trapping. 0 external quantum efficiency at 850 nm increased by 5.2% 4. Develop

  20. The mismatch repair system protects against intergenerational GAA repeat instability in a Friedreich ataxia mouse model.

    PubMed

    Ezzatizadeh, Vahid; Pinto, Ricardo Mouro; Sandi, Chiranjeevi; Sandi, Madhavi; Al-Mahdawi, Sahar; Te Riele, Hein; Pook, Mark A

    2012-04-01

    Friedreich ataxia (FRDA) is an autosomal recessive neurodegenerative disorder caused by a dynamic GAA repeat expansion mutation within intron 1 of the FXN gene. Studies of mouse models for other trinucleotide repeat (TNR) disorders have revealed an important role of mismatch repair (MMR) proteins in TNR instability. To explore the potential role of MMR proteins on intergenerational GAA repeat instability in FRDA, we have analyzed the transmission of unstable GAA repeat expansions from FXN transgenic mice which have been crossed with mice that are deficient for Msh2, Msh3, Msh6 or Pms2. We find in all cases that absence of parental MMR protein not only maintains transmission of GAA expansions and contractions, but also increases GAA repeat mutability (expansions and/or contractions) in the offspring. This indicates that Msh2, Msh3, Msh6 and Pms2 proteins are not the cause of intergenerational GAA expansions or contractions, but act in their canonical MMR capacity to protect against GAA repeat instability. We further identified differential modes of action for the four MMR proteins. Thus, Msh2 and Msh3 protect against GAA repeat contractions, while Msh6 protects against both GAA repeat expansions and contractions, and Pms2 protects against GAA repeat expansions and also promotes contractions. Furthermore, we detected enhanced occupancy of Msh2 and Msh3 proteins downstream of the FXN expanded GAA repeat, suggesting a model in which Msh2/3 dimers are recruited to this region to repair mismatches that would otherwise produce intergenerational GAA contractions. These findings reveal substantial differences in the intergenerational dynamics of expanded GAA repeat sequences compared with expanded CAG/CTG repeats, where Msh2 and Msh3 are thought to actively promote repeat expansions. Copyright © 2012 Elsevier Inc. All rights reserved.

  1. The mismatch repair system protects against intergenerational GAA repeat instability in a Friedreich ataxia mouse model

    PubMed Central

    Ezzatizadeh, Vahid; Pinto, Ricardo Mouro; Sandi, Chiranjeevi; Sandi, Madhavi; Al-Mahdawi, Sahar; te Riele, Hein; Pook, Mark A.

    2013-01-01

    Friedreich ataxia (FRDA) is an autosomal recessive neurodegenerative disorder caused by a dynamic GAA repeat expansion mutation within intron 1 of the FXN gene. Studies of mouse models for other trinucleotide repeat (TNR) disorders have revealed an important role of mismatch repair (MMR) proteins in TNR instability. To explore the potential role of MMR proteins on intergenerational GAA repeat instability in FRDA, we have analyzed the transmission of unstable GAA repeat expansions from FXN transgenic mice which have been crossed with mice that are deficient for Msh2, Msh3, Msh6 or Pms2. We find in all cases that absence of parental MMR protein not only maintains transmission of GAA expansions and contractions, but also increases GAA repeat mutability (expansions and/or contractions) in the offspring. This indicates that Msh2, Msh3, Msh6 and Pms2 proteins are not the cause of intergenerational GAA expansions or contractions, but act in their canonical MMR capacity to protect against GAA repeat instability. We further identified differential modes of action for the four MMR proteins. Thus, Msh2 and Msh3 protect against GAA repeat contractions, while Msh6 protects against both GAA repeat expansions and contractions, and Pms2 protects against GAA repeat expansions and also promotes contractions. Furthermore, we detected enhanced occupancy of Msh2 and Msh3 proteins downstream of the FXN expanded GAA repeat, suggesting a model in which Msh2/3 dimers are recruited to this region to repair mismatches that would otherwise produce intergenerational GAA contractions. These findings reveal substantial differences in the intergenerational dynamics of expanded GAA repeat sequences compared with expanded CAG/CTG repeats, where Msh2 and Msh3 are thought to actively promote repeat expansions. PMID:22289650

  2. The effect of (NH4)2Sx passivation on the (311)A GaAs surface and its use in AlGaAs/GaAs heterostructure devices.

    PubMed

    Carrad, D J; Burke, A M; Reece, P J; Lyttleton, R W; Waddington, D E J; Rai, A; Reuter, D; Wieck, A D; Micolich, A P

    2013-08-14

    We have studied the efficacy of (NH4)2Sx surface passivation on the (311)A GaAs surface. We report XPS studies of simultaneously-grown (311)A and (100) heterostructures showing that the (NH4)2Sx solution removes surface oxide and sulfidizes both surfaces. Passivation is often characterized using photoluminescence measurements; we show that while (NH4)2Sx treatment gives a 40-60 ×  increase in photoluminescence intensity for the (100) surface, an increase of only 2-3 ×  is obtained for the (311)A surface. A corresponding lack of reproducible improvement in the gate hysteresis of (311)A heterostructure transistor devices made with the passivation treatment performed immediately prior to gate deposition is also found. We discuss possible reasons why sulfur passivation is ineffective for (311)A GaAs, and propose alternative strategies for passivation of this surface.

  3. Spatial structure of single and interacting Mn acceptors in GaAs

    NASA Astrophysics Data System (ADS)

    Koenraad, Paul

    2005-03-01

    Ferromagnetic semiconductors such as Ga1-xMnxAs are receiving a lot of attention at the moment because of their application in spintronic devices. However, despite intense study of deep acceptors in III-V semiconductors such as MnGa, little information has been obtained on their electronic properties at the atomic scale. Yet the spatial shape of the Mn acceptor state will influence the hole-mediated Mn-Mn coupling and thus all of the magnetic properties of ferromagnetic semiconductors such as Ga1-xMnxAs. This study presents an experimental and theoretical description of the spatial symmetry of the Mn acceptor wave-function in GaAs. We present measurements of the spatial mapping of the anisotropic wavefunction of a hole localized at a Mn acceptor. To achieve this, we have used the STM tip not only to image the Mn acceptor but also to manipulate its charge state A^0/A^- at room temperature. Within an envelope function effective mass model (EFM) the anisotropy in the acceptor wave-function can be traced to the influence of the cubic symmetry of the GaAs crystal which selects specific d-states that mix into the ground state due to the spin-orbit interaction in the valence band. Comparison with calculations based on a tight-binding model (TBM) for the Mn acceptor structure supports this conclusion. Using the same experimental and theoretical approach we furthermore explored the interaction between Mn acceptors directly by analyzing close Mn-Mn pairs, which were separated by less than 2 nm. We will discuss some implications of these results for Mn delta-doped layers grown on differently oriented growth surfaces.

  4. Performance and temperature dependencies of proton irradiated n/p GaAs and n/p silicon cells

    NASA Technical Reports Server (NTRS)

    Weinberg, I.; Swartz, C. K.; Hart, R. E., Jr.

    1985-01-01

    The n/p homojunction GaAs cell is found to be more radiation resistant than p/nheteroface GaAs under 10 MeV proton irradiation. Both GaAs cell types outperform conventional silicon n/p cells under the same conditions. An increase temperature dependency of maximum power for the GaAs n/p cells is attributed largely to differences in Voc between the two GaAs cell types. These results and diffusion length considerations are consistent with the conclusion that p-type GaAs is more radiation resistant than n-type and therefore that the n/p configuration is possibly favored for use in the space radiation environment. However, it is concluded that additional work is required in order to choose between the two GaAs cell configurations.

  5. GaAs Spectrometer for Electron Spectroscopy at Europa

    NASA Astrophysics Data System (ADS)

    Lioliou, G.; Barnett, A. M.

    2016-12-01

    We propose a GaAs based electron spectrometer for a hypothetical future mission orbiting Europa. Previous observations at Europa's South Pole with the Hubble Space Telescope of hydrogen Lyman-α and oxygen OI 130.4 nm emissions were consistent with water vapor plumes [Roth et al., 2014, Science 343, 171]. Future observations and analysis of plumes on Europa could provide information about its subsurface structure and the distribution of liquid water within its icy shells [Rhoden at al. 2015, Icarus 253, 169]. In situ low energy (1keV - 100keV) electron spectroscopy along with UV imaging either in situ or with the Hubble Space Telescope Wide Field Camera 3 or similar would allow verification of the auroral observations being due to electron impact excitation of water vapor plumes. The proposed spectrometer includes a novel GaAs p+-i-n+ photodiode and a custom-made charge-sensitive preamplifier. The use of an early prototype GaAs detector for direct electron spectroscopy has already been demonstrated in ground based applications [Barnett et al., 2012, J. Instrum. 7, P09012]. Based on previous radiation hardness measurements of GaAs, the expected duration of the mission without degradation of the detector performance is estimated to be 4 months. Simulations and laboratory experiments characterising the detection performance of the proposed system are presented.

  6. The recombination velocity at III-V compound heterojunctions with applications to Al (x) Ga(1-x)As-GaAs(1-y)Sb(y)

    NASA Technical Reports Server (NTRS)

    Kim, J. S.

    1978-01-01

    Interface recombination velocity in AlxGa1-xAs-GaAs and A10.85 Ga0.15As-GaAs1-ySby heterojunction systems was studied as a function of lattice mismatch. The results are applied to the design of highly efficient III-V heterojunction solar cells. A horizontal liquid-phase epitaxial growth system was used to prepare p-p-p and p-p-n double heterojunction test samples with specified values of x and y. Samples were grown at each composition, with different GaAs and GaAsSb layer thicknesses. A method was developed to obtain the lattice mismatch and lattice constants in mixed single crystals grown on (100) and (111)B oriented GaAs substrates.

  7. Photo-recovery of electron-irradiated GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Meulenberg, Andrew

    1995-01-01

    The first long-term (3000 hours) UV testing of unirradiated and 1 MeV electron-irradiated GaAs solar cells, with multilayer-coated coverslides to reduce solar array operating temperature, has produced some unexpected and important results. Two results, independent of the coverslide coatings, are of particular importance in terms of the predictability of GaAs solar-array lifetime in space: ( 1) The GaAs/Ge solar cells used for this series of tests displayed a much higher radiation degradation than that predicted based on JPL Solar Cell Radiation Handbook data. Covered cells degraded more in Isc than did bare cells. Short-term illumination at 60 C did not produce significant recovery (-1%) of the radiation damage. (2) However, electron radiation damage to these GaAs solar celIs anneals at 40 C when exposed to approximately 1 sun AM0 UV light sources for extended periods. The effect appears to be roughly linear with time (-1% of lsc per 1000 UVSH), is large (greater than or equal to 3%), and has not yet saturated (at 3000 hours). This photo-recovery of radiation damage to GaAs solar cells is a new effect and potentially important to the spacecraft community. The figure compares the effects of extended UV on irradiated and unirradiated GaAs solar cells with INTELSAT-6 Si cells. The effect and its generality, the extent of and conditions for photo-recovery, and the implications of such recovery for missions in radiation environments have not yet been determined.

  8. Effect of Annealing on the Density of Defects in Epitaxial CdTe (211)/GaAs

    NASA Astrophysics Data System (ADS)

    Bakali, Emine; Selamet, Yusuf; Tarhan, Enver

    2018-05-01

    CdTe thin films were grown on GaAs (211) wafers by molecular beam epitaxy as the buffer layer for HgCdTe infrared detector applications. We studied the effect of annealing on the density of dislocation of these CdTe thin films under varying annealing parameters such as annealing temperature, annealing duration, and number of cycles. Annealings were carried out using a homemade annealing reactor possessing a special heater element made of a Si wafer for rapid heating. The density of dislocations, which were made observable with a scanning electron microscope after etching with an Everson solution, were calculated by counting the number of dislocations per unit surface area, hence the term etch pit density (EPD). We were able to decrease EPD values by one order of magnitude after annealing. For example, the best EPD value after a 20-min annealing at 400°C was ˜ 2 × 107 cm-2 for a 1.63-μm CdTe thin film which was about 9.5 × 107 cm-2 before annealing. We also employed Raman scattering measurements to see the changes in the structural quality of the samples. From the Raman measurements, we were able to see improvements in the quality of our samples from the annealing by studying the ratio of 2LO/LO phonon mode Raman intensities. We also observed a clear decrease in the intensity of Te precipitations-related modes, indicating a decrease in the size and number of these precipitations.

  9. Radiation effects on p+n InP junctions grown by MOCVD

    NASA Technical Reports Server (NTRS)

    Messenger, Scott R.; Walters, Robert J.; Panunto, M. J.; Summers, Geoffrey P.

    1994-01-01

    The superior radiation resistance of InP over other solar cell materials such as Si or GaAs has prompted the development of InP cells for space applications. The early research on radiation effects in InP was performed by Yamaguchi and co-workers who showed that, in diffused p-InP junctions, radiation-induced defects were readily annealed both thermally and by injection, which was accompanied by significant cell recovery. More recent research efforts have been made using p-InP grown by metalorganic chemical vapor deposition (MOCVD). While similar deep level transient spectroscopy (DLTS) results were found for radiation induced defects in these cells and in diffused junctions, significant differences existed in the annealing characteristics. After injection annealing at room temperature, Yamaguchi noticed an almost complete recovery of the photovoltaic parameters, while the MOCVD samples showed only minimal annealing. In searching for an explanation of the different annealing behavior of diffused junctions and those grown by MOCVD, several possibilities have been considered. One possibility is the difference in the emitter structure. The diffused junctions have S-doped graded emitters with widths of approximately 0.3 micrometers, while the MOCVD emitters are often doped with Si and have widths of approximately 300A (0.03 micrometers). The difference in the emitter thickness can have important effects, e.g. a larger fraction of the total photocurrent is generated in the n-type material for thicker emitters. Therefore the properties of the n-InP material may explain the difference in the observed overall annealing behavior of the cells.

  10. Chemical Composition of Nanoporous Layer Formed by Electrochemical Etching of p-Type GaAs.

    PubMed

    Bioud, Youcef A; Boucherif, Abderraouf; Belarouci, Ali; Paradis, Etienne; Drouin, Dominique; Arès, Richard

    2016-12-01

    We have performed a detailed characterization study of electrochemically etched p-type GaAs in a hydrofluoric acid-based electrolyte. The samples were investigated and characterized through cathodoluminescence (CL), X-ray diffraction (XRD), energy-dispersive X-ray spectroscopy (EDX), and X-ray photoelectron spectroscopy (XPS). It was found that after electrochemical etching, the porous layer showed a major decrease in the CL intensity and a change in chemical composition and in the crystalline phase. Contrary to previous reports on p-GaAs porosification, which stated that the formed layer is composed of porous GaAs, we report evidence that the porous layer is in fact mainly constituted of porous As 2 O 3 . Finally, a qualitative model is proposed to explain the porous As 2 O 3 layer formation on p-GaAs substrate.

  11. Heterojunction photovoltaics using GaAs nanowires and conjugated polymers.

    PubMed

    Ren, Shenqiang; Zhao, Ni; Crawford, Samuel C; Tambe, Michael; Bulović, Vladimir; Gradecak, Silvija

    2011-02-09

    We demonstrate an organic/inorganic solar cell architecture based on a blend of poly(3-hexylthiophene) (P3HT) and narrow bandgap GaAs nanowires. The measured increase of device photocurrent with increased nanowire loading is correlated with structural ordering within the active layer that enhances charge transport. Coating the GaAs nanowires with TiO(x) shells passivates nanowire surface states and further improves the photovoltaic performance. We find that the P3HT/nanowire cells yield power conversion efficiencies of 2.36% under white LED illumination for devices containing 50 wt % of TiO(x)-coated GaAs nanowires. Our results constitute important progress for the use of nanowires in large area solution processed hybrid photovoltaic cells and provide insight into the role of structural ordering in the device performance.

  12. Cryogenic scintillation properties of n-type GaAs for the direct detection of MeV/c2 dark matter

    NASA Astrophysics Data System (ADS)

    Derenzo, S.; Bourret, E.; Hanrahan, S.; Bizarri, G.

    2018-03-01

    This paper is the first report of n-type GaAs as a cryogenic scintillation radiation detector for the detection of electron recoils from interacting dark matter (DM) particles in the poorly explored MeV/c2 mass range. Seven GaAs samples from two commercial suppliers and with different silicon and boron concentrations were studied for their low temperature optical and scintillation properties. All samples are n-type even at low temperatures and exhibit emission between silicon donors and boron acceptors that peaks at 1.33 eV (930 nm). The lowest excitation band peaks at 1.44 eV (860 nm), and the overlap between the emission and excitation bands is small. The X-ray excited luminosities range from 7 to 43 photons/keV. Thermally stimulated luminescence measurements show that n-type GaAs does not accumulate metastable radiative states that could cause afterglow. Further development and use with cryogenic photodetectors promises a remarkable combination of large target size, ultra-low backgrounds, and a sensitivity to electron recoils of a few eV that would be produced by DM particles as light as a few MeV/c2.

  13. Transient GaAs plasmonic metasurfaces at terahertz frequencies

    DOE PAGES

    Yang, Yuanmu; Kamaraju, N.; Campione, Salvatore; ...

    2016-12-09

    Here we demonstrate the ultrafast formation of terahertz (THz) metasurfaces through all-optical creation of spatially modulated carrier density profiles in a deep-subwavelength GaAs film. The switch-on of the transient plasmon mode, governed by the GaAs effective electron mass and electron–phonon interactions, is revealed by structured-optical pump THz probe spectroscopy, on a time scale of 500 fs. By modulating the carrier density using different pump fluences, we observe a wide tuning of the electric dipole resonance of the transient GaAs metasurface from 0.5 THz to 1.7 THz. Furthermore, we numerically demonstrate that the metasurface presented here can be generalized to moremore » complex architectures for realizing functionalities such as perfect absorption, leading to a 30 dB modulation depth. In conclusion, the platform also provides a pathway to achieve ultrafast manipulation of infrared beams in the linear and, potentially, nonlinear regime.« less

  14. GaAs QWIP Array Containing More Than a Million Pixels

    NASA Technical Reports Server (NTRS)

    Jhabvala, Murzy; Choi, K. K.; Gunapala, Sarath

    2005-01-01

    A 1,024 x 1,024-pixel array of quantum-well infrared photodetectors (QWIPs) has been built on a 1.8 x 1.8- cm GaAs chip. In tests, the array was found to perform well in detecting images at wavelengths from 8 to 9 m in operation at temperatures between 60 and 70 K. The largest-format QWIP prior array that performed successfully in tests contained 512 x 640 pixels. There is continuing development effort directed toward satisfying actual and anticipated demands to increase numbers of pixels and pixel sizes in order to increase the imaging resolution of infrared photodetector arrays. A 1,024 x 1,024-pixel and even larger formats have been achieved in the InSb and HgCdTe material systems, but photodetector arrays in these material systems are very expensive and manufactured by fewer than half a dozen large companies. In contrast, GaAs-photodetector-array technology is very mature, and photodetectors in the GaAs material system can be readily manufactured by a wide range of industrial technologists, by universities, and government laboratories. There is much similarity between processing in the GaAs industry and processing in the pervasive silicon industry. With respect to yield and cost, the performance of GaAs technology substantially exceeds that of InSb and HgCdTe technologies. In addition, GaAs detectors can be designed to respond to any portion of the wavelength range from 3 to about 16 micrometers - a feature that is very desirable for infrared imaging. GaAs QWIP arrays, like the present one, have potential for use as imaging sensors in infrared measuring instruments, infrared medical imaging systems, and infrared cameras.

  15. Low defect InGaAs quantum well selectively grown by metal organic chemical vapor deposition on Si(100) 300 mm wafers for next generation non planar devices

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cipro, R.; Gorbenko, V.; Univ. Grenoble Alpes, F-38000, France CEA-LETI, MINATEC Campus, F-38054 Grenoble

    2014-06-30

    Metal organic chemical vapor deposition of GaAs, InGaAs, and AlGaAs on nominal 300 mm Si(100) at temperatures below 550 °C was studied using the selective aspect ratio trapping method. We clearly show that growing directly GaAs on a flat Si surface in a SiO{sub 2} cavity with an aspect ratio as low as 1.3 is efficient to completely annihilate the anti-phase boundary domains. InGaAs quantum wells were grown on a GaAs buffer and exhibit room temperature micro-photoluminescence. Cathodoluminescence reveals the presence of dark spots which could be associated with the presence of emerging dislocation in a direction parallel to the cavity. Themore » InGaAs layers obtained with no antiphase boundaries are perfect candidates for being integrated as channels in n-type metal oxide semiconductor field effect transistor (MOSFET), while the low temperatures used allow the co-integration of p-type MOSFET.« less

  16. Spin-lattice relaxation of optically polarized nuclei in p -type GaAs

    NASA Astrophysics Data System (ADS)

    Kotur, M.; Dzhioev, R. I.; Vladimirova, M.; Cherbunin, R. V.; Sokolov, P. S.; Yakovlev, D. R.; Bayer, M.; Suter, D.; Kavokin, K. V.

    2018-04-01

    Spin-lattice relaxation of the nuclear spin system in p -type GaAs is studied using a three-stage experimental protocol including optical pumping and measuring the difference of the nuclear spin polarization before and after a dark interval of variable length. This method allows us to measure the spin-lattice relaxation time T1 of optically pumped nuclei "in the dark," that is, in the absence of illumination. The measured T1 values fall into the subsecond time range, being three orders of magnitude shorter than in earlier studied n -type GaAs. The drastic difference is further emphasized by magnetic-field and temperature dependencies of T1 in p -GaAs, showing no similarity to those in n -GaAs. This unexpected behavior finds its explanation in the spatial selectivity of the optical pumping in p -GaAs, that is only efficient in the vicinity of shallow donors, together with the quadrupole relaxation of nuclear spins, which is induced by electric fields within closely spaced donor-acceptor pairs. The developed theoretical model explains the whole set of experimental results.

  17. Multilayer self-organization of InGaAs quantum wires on GaAs surfaces

    NASA Astrophysics Data System (ADS)

    Wang, Zhiming M.; Kunets, Vasyl P.; Xie, Yanze Z.; Schmidbauer, Martin; Dorogan, Vitaliy G.; Mazur, Yuriy I.; Salamo, Gregory J.

    2010-12-01

    Molecular-Beam Epitaxy growth of multiple In 0.4Ga 0.6As layers on GaAs (311)A and GaAs (331)A has been investigated by Atomic Force Microscopy and Photoluminescence. On GaAs (311)A, uniformly distributed In 0.4Ga 0.6As quantum wires (QWRs) with wider lateral separation were achieved, presenting a significant improvement in comparison with the result on single layer [H. Wen, Z.M. Wang, G.J. Salamo, Appl. Phys. Lett. 84 (2004) 1756]. On GaAs (331)A, In 0.4Ga 0.6As QWRs were revealed to be much straighter than in the previous report on multilayer growth [Z. Gong, Z. Niu, Z. Fang, Nanotechnology 17 (2006) 1140]. These observations are discussed in terms of the strain-field interaction among multilayers, enhancement of surface mobility at high temperature, and surface stability of GaAs (311)A and (331)A surfaces.

  18. Implementation and Performance of GaAs Digital Signal Processing ASICs

    NASA Technical Reports Server (NTRS)

    Whitaker, William D.; Buchanan, Jeffrey R.; Burke, Gary R.; Chow, Terrance W.; Graham, J. Scott; Kowalski, James E.; Lam, Barbara; Siavoshi, Fardad; Thompson, Matthew S.; Johnson, Robert A.

    1993-01-01

    The feasibility of performing high speed digital signal processing in GaAs gate array technology has been demonstrated with the successful implementation of a VLSI communications chip set for NASA's Deep Space Network. This paper describes the techniques developed to solve some of the technology and implementation problems associated with large scale integration of GaAs gate arrays.

  19. Paths to light trapping in thin film GaAs solar cells.

    PubMed

    Xiao, Jianling; Fang, Hanlin; Su, Rongbin; Li, Kezheng; Song, Jindong; Krauss, Thomas F; Li, Juntao; Martins, Emiliano R

    2018-03-19

    It is now well established that light trapping is an essential element of thin film solar cell design. Numerous light trapping geometries have already been applied to thin film cells, especially to silicon-based devices. Less attention has been paid to light trapping in GaAs thin film cells, mainly because light trapping is considered less attractive due to the material's direct bandgap and the fact that GaAs suffers from strong surface recombination, which particularly affects etched nanostructures. Here, we study light trapping structures that are implemented in a high-bandgap material on the back of the GaAs active layer, thereby not perturbing the integrity of the GaAs active layer. We study photonic crystal and quasi-random nanostructures both by simulation and by experiment and find that the photonic crystal structures are superior because they exhibit fewer but stronger resonances that are better matched to the narrow wavelength range where GaAs benefits from light trapping. In fact, we show that a 1500 nm thick cell with photonic crystals achieves the same short circuit current as an unpatterned 4000 nm thick cell. These findings are significant because they afford a sizeable reduction in active layer thickness, and therefore a reduction in expensive epitaxial growth time and cost, yet without compromising performance.

  20. Combinatorial control of gene expression in Aspergillus niger grown on sugar beet pectin.

    PubMed

    Kowalczyk, Joanna E; Lubbers, Ronnie J M; Peng, Mao; Battaglia, Evy; Visser, Jaap; de Vries, Ronald P

    2017-09-27

    Aspergillus niger produces an arsenal of extracellular enzymes that allow synergistic degradation of plant biomass found in its environment. Pectin is a heteropolymer abundantly present in the primary cell wall of plants. The complex structure of pectin requires multiple enzymes to act together. Production of pectinolytic enzymes in A. niger is highly regulated, which allows flexible and efficient capture of nutrients. So far, three transcriptional activators have been linked to regulation of pectin degradation in A. niger. The L-rhamnose-responsive regulator RhaR controls the production of enzymes that degrade rhamnogalacturonan-I. The L-arabinose-responsive regulator AraR controls the production of enzymes that decompose the arabinan and arabinogalactan side chains of rhamnogalacturonan-II. The D-galacturonic acid-responsive regulator GaaR controls the production of enzymes that act on the polygalacturonic acid backbone of pectin. This project aims to better understand how RhaR, AraR and GaaR co-regulate pectin degradation. For that reason, we constructed single, double and triple disruptant strains of these regulators and analyzed their growth phenotype and pectinolytic gene expression in A. niger grown on sugar beet pectin.

  1. Structural and Optical Studies of ZnCdSe/ZnSe/ZnMgSSe Separate Confinement Heterostructures with Different Buffer Layers

    NASA Astrophysics Data System (ADS)

    Tu, Ru-Chin; Su, Yan-Kuin; Huang, Ying-Sheng; Chen, Giin-Sang; Chou, Shu-Tsun

    1998-09-01

    Detailed structural and optical studies of ZnCdSe/ZnSe/ZnMgSSe separate confinementheterostructures (SCH) grown on ZnSe, ZnSe/ZnSSe strained-layer superlattices (SLS),and GaAs buffer layers at the II VI/GaAs interface have been carried out by employingtransmission electron microscopy, variable temperature photoluminescence (PL), andcontactless electroreflectance (CER) measurements. A significant improvement onthe defect reduction and the optical quality has been observed by using either theZnSe/ZnSSe SLS or GaAs as the buffer layers when compared to that of the sample usingonly ZnSe as the buffer layer. However, the sample grown with the SLS buffer layersreveals a room temperature PL intensity higher than that of the sample grown witha GaAs buffer layer, which may still suffer from the great ionic differences betweenthe II V and III V atoms. Using 15 K CER spectra, we have also studied variousexcitonic transitions originating from strained Zn0.80Cd0.20Se/ZnSe single quantumwell in SCH with different buffer layers. An analysis of the CER spectra has ledto the identification of various excitonic transitions, mnH (L), between the mthconduction band state and the nth heavy (light)-hole band state. An excellentagreement between experiments and theoretical calculations based on the envelopefunction approximation model has been achieved.

  2. Heterostructures of metamorphic GaInAs photovoltaic converters fabricated by MOCVD on GaAs substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mintairov, S. A., E-mail: mintairov@scell.ioffe.ru; Emelyanov, V. M.; Rybalchenko, D. V.

    Heterostructures of metamorphic GaInAs photovoltaic converters (PVCs) are on GaAs substrates by the metal-organic chemical vapor deposition (MOCVD) method. It is shown that using a multilayer metamorphic buffer with a step of 2.5% in indium content and layer thicknesses of 120 nm provides the high quality of bulk layers subsequently grown on the buffer up to an indium content of 24%. PVCs with a long-wavelength photosensitivity edge up to 1300 nm and a quantum efficiency of ~80% in the spectral range 1050–1100 nm are fabricated. Analysis of the open-circuit voltage of the PVCs and diffusion lengths of minority carriers inmore » the layers demonstrates that the density of misfit dislocations penetrating into the bulk layers increases at an indium content exceeding 10%.« less

  3. Crystal growth of GaAs in space

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.; Lagowski, J.; Pawlowicz, L. M.; Dabkowski, F.; Li, C. J.

    1984-01-01

    It is shown that stoichiometry variations in the GaAs melt during growth constitute the most critical parameter regarding defect formations and their interactions; this defect structure determines all relevant characteristics of GaAs. Convection in the melt leads to stoichiometric variations. Growth in axial magnetic fields reduces convection and permits the study of defect structure. In order to control stoichiometry in space and to accommodate expansion during solidification, a partially confined configuration was developed. A triangular prism is employed to contain the growth melt. This configuration permits the presence of the desired vapor phase in contact with the melt for controlling the melt stoichiometry.

  4. Photoreflectance measurements of unintentional impurity concentrations in undoped GaAs

    NASA Astrophysics Data System (ADS)

    Sydor, Michael; Angelo, James; Mitchel, William; Haas, T. W.; Yen, Ming-Yuan

    1989-07-01

    Modulated photoreflectance is used to measure the unintentional impurity concentrations in undoped epitaxial GaAs. A photoreflectance signal above the band gap spreads with the unintentional impurity concentrations and shows well-defined Franz-Keldysh peaks whose separation provide a good measure of the current carrier concentrations. In samples less than 3-micron thick, a photoreflectance signal at the band edge contains a substrate-epilayer interface effect which precludes the analysis of the data by using the customary third derivative functional fits for low electric fields.

  5. A model for proton-irradiated GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Wilson, J. W.; Walker, G. H.; Outlaw, R. A.; Stock, L. V.

    1982-01-01

    A simple model for proton radiation damage in GaAs heteroface solar cells is developed. The model includes the effects of spatial nonuniformity of low energy proton damage. Agreement between the model and experimental proton damage data for GaAs heteroface solar cells is satisfactory. An extension of the model to include angular isotropy, as is appropriate for protons in space, is shown to result in significantly less cell damage than for normal proton incidence.

  6. High efficiency thin-film GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Stirn, R. J.

    1977-01-01

    Several oxidation techniques are discussed which have been found to increase the open circuit (V sub oc) of metal-GaAs Schottky barrier solar cells, the oxide chemistry, attempts to measure surface state parameters, the evolving characteristics of the solar cell as background contamination (has been decreased, but not eliminated), results of focused Nd/YAG laser beam recrystallization of Ge films evaporated onto tungsten, and studies of AMOS solar cells fabricated on sliced polycrystalline GaAs wafers. Also discussed are projected materials availability and costs for GaAs thin-film solar cells.

  7. Temporal behavior of RHEED intensity oscillations during molecular beam epitaxial growth of GaAs and AlGaAs on (111)B GaAs substrates

    NASA Astrophysics Data System (ADS)

    Yen, Ming Y.; Haas, T. W.

    1990-10-01

    We present the temporal behavior of intensity oscillations in reflection high-energy electron diffraction (RHEED) during molecular beam epitaxial (MBE) growth of GaAs and A1GaAs on (1 1 1)B GaAs substrates. The RHEED intensity oscillations were examined as a function of growth parameters in order to provide the insight into the dynamic characteristics and to identify the optimal condition for the two-dimensional layer-by-layer growth. The most intense RHEED oscillation was found to occur within a very narrow temperature range which seems to optimize the surface migration kinetics of the arriving group III elements and the molecular dissodiative reaction of the group V elements. The appearance of an initial transient of the intensity upon commencement of the growth and its implications are described.

  8. Morphology- and orientation-controlled gallium arsenide nanowires on silicon substrates.

    PubMed

    Ihn, Soo-Ghang; Song, Jong-In; Kim, Tae-Wook; Leem, Dong-Seok; Lee, Takhee; Lee, Sang-Geul; Koh, Eui Kwan; Song, Kyung

    2007-01-01

    GaAs nanowires were epitaxially grown on Si(001) and Si(111) substrates by using Au-catalyzed vapor-liquid-solid (VLS) growth in a solid source molecular beam epitaxy system. Scanning electron microscopy analysis revealed that almost all the GaAs nanowires were grown along <111> directions on both Si substrates for growth conditions investigated. The GaAs nanowires had a very uniform diameter along the growth direction. X-ray diffraction data and transmission electron microscopy analysis revealed that the GaAs<111> nanowires had a mixed crystal structure of the hexagonal wurtzite and the cubic zinc-blende. Current-voltage characteristics of junctions formed by the epitaxially grown GaAs nanowires and the Si substrate were investigated by using a current-sensing atomic force microscopy.

  9. DFT algorithms for bit-serial GaAs array processor architectures

    NASA Technical Reports Server (NTRS)

    Mcmillan, Gary B.

    1988-01-01

    Systems and Processes Engineering Corporation (SPEC) has developed an innovative array processor architecture for computing Fourier transforms and other commonly used signal processing algorithms. This architecture is designed to extract the highest possible array performance from state-of-the-art GaAs technology. SPEC's architectural design includes a high performance RISC processor implemented in GaAs, along with a Floating Point Coprocessor and a unique Array Communications Coprocessor, also implemented in GaAs technology. Together, these data processors represent the latest in technology, both from an architectural and implementation viewpoint. SPEC has examined numerous algorithms and parallel processing architectures to determine the optimum array processor architecture. SPEC has developed an array processor architecture with integral communications ability to provide maximum node connectivity. The Array Communications Coprocessor embeds communications operations directly in the core of the processor architecture. A Floating Point Coprocessor architecture has been defined that utilizes Bit-Serial arithmetic units, operating at very high frequency, to perform floating point operations. These Bit-Serial devices reduce the device integration level and complexity to a level compatible with state-of-the-art GaAs device technology.

  10. High-efficiency, radiation-resistant GaAs space cells

    NASA Technical Reports Server (NTRS)

    Bertness, K. A.; Ristow, M. Ladle; Grounner, M.; Kuryla, M. S.; Werthen, J. G.

    1991-01-01

    Although many GaAs solar cells are intended for space applicatons, few measurements of cell degradation after radiation are available, particularly for cells with efficiencies exceeding 20 percent (one-sun, AMO). Often the cell performance is optimized for the highest beginning-of-life (BOL) efficiency, despite the unknown effect of such design on end-of-life (EOL) efficiencies. The results of a study of the radiation effects on p-n GaAs cells are presented. The EOL efficiency of GaAs space cell can be increased by adjusting materials growth parameters, resulting in a demonstration of 16 percent EOL efficiency at one-sun, AMO. Reducing base doping levels to below 3 x 10(exp 17)/cu m and decreasing emitter thickness to 0.3 to 0.5 micron for p-n cells led to significant improvements in radiation hardness as measured by EOL/BOL efficiency ratios for irradiation of 10(exp -15)/sq cm electrons at 1 MeV. BOL efficiency was not affected by changes in emitter thickness but did improve with lower base doping.

  11. Influence of GaAs substrate properties on the congruent evaporation temperature

    NASA Astrophysics Data System (ADS)

    Spirina, A. A.; Nastovjak, A. G.; Shwartz, N. L.

    2018-03-01

    High-temperature annealing of GaAs(111)A and GaAs(111)B substrates under Langmuir evaporation conditions was studied using Monte Carlo simulation. The maximal value of the congruent evaporation temperature was estimated. The congruent evaporation temperature was demonstrated to be dependent on the surface orientation and concentration of surface defects.

  12. Formation of embedded plasmonic Ga nanoparticle arrays and their influence on GaAs photoluminescence

    NASA Astrophysics Data System (ADS)

    Kang, M.; Jeon, S.; Jen, T.; Lee, J.-E.; Sih, V.; Goldman, R. S.

    2017-07-01

    We introduce a novel approach to the seamless integration of plasmonic nanoparticle (NP) arrays into semiconductor layers and demonstrate their enhanced photoluminescence (PL) efficiency. Our approach utilizes focused ion beam-induced self-assembly of close-packed arrays of Ga NPs with tailorable NP diameters, followed by overgrowth of GaAs layers using molecular beam epitaxy. Using a combination of PL spectroscopy and electromagnetic computations, we identify a regime of Ga NP diameter and overgrown GaAs layer thickness where NP-array-enhanced absorption in GaAs leads to enhanced GaAs near-band-edge (NBE) PL efficiency, surpassing that of high-quality epitaxial GaAs layers. As the NP array depth and size are increased, the reduction in spontaneous emission rate overwhelms the NP-array-enhanced absorption, leading to a reduced NBE PL efficiency. This approach provides an opportunity to enhance the PL efficiency of a wide variety of semiconductor heterostructures.

  13. Detection of picosecond electrical pulses using the intrinsic Franz{endash}Keldysh effect

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lampin, J. F.; Desplanque, L.; Mollot, F.

    2001-06-25

    We report time-resolved measurements of ultrafast electrical pulses propagating on a coplanar transmission line using the intrinsic Franz{endash}Keldysh effect. A low-temperature-grown GaAs layer deposited on a GaAs substrate allows generation and also detection of ps pulses via electroabsorption sampling (EAS). This all-optical method does not require any external sampling probe. A typical rise time of 1.1 ps has been measured. EAS is a good candidate for use in THz characterization of ultrafast devices. {copyright} 2001 American Institute of Physics.

  14. Self-assembled InAs quantum dot formation on GaAs ring-like nanostructure templates

    PubMed Central

    Strom, NW; Wang, Zh M; AbuWaar, ZY; Mazur, Yu I; Salamo, GJ

    2007-01-01

    The evolution of InAs quantum dot (QD) formation is studied on GaAs ring-like nanostructures fabricated by droplet homo-epitaxy. This growth mode, exclusively performed by a hybrid approach of droplet homo-epitaxy and Stransky-Krastanor (S-K) based QD self-assembly, enables one to form new QD morphologies that may find use in optoelectronic applications. Increased deposition of InAs on the GaAs ring first produced a QD in the hole followed by QDs around the GaAs ring and on the GaAs (100) surface. This behavior indicates that the QDs prefer to nucleate at locations of high monolayer (ML) step density.

  15. GaAs optoelectronic neuron arrays

    NASA Technical Reports Server (NTRS)

    Lin, Steven; Grot, Annette; Luo, Jiafu; Psaltis, Demetri

    1993-01-01

    A simple optoelectronic circuit integrated monolithically in GaAs to implement sigmoidal neuron responses is presented. The circuit integrates a light-emitting diode with one or two transistors and one or two photodetectors. The design considerations for building arrays with densities of up to 10,000/sq cm are discussed.

  16. Investigation of high efficiency GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Olsen, Larry C.; Dunham, Glen; Addis, F. W.; Huber, Dan; Linden, Kurt

    1989-01-01

    Investigations of basic mechanisms which limit the performance of high efficiency GaAs solar cells are discussed. P/N heteroface structures have been fabricated from MOCVD epiwafers. Typical AM1 efficiencies are in the 21 to 22 percent range, with a SERI measurement for one cell being 21.5 percent. The cells are nominally 1.5 x 1.5 cm in size. Studies have involved photoresponse, T-I-V analyses, and interpretation of data in terms of appropriate models to determine key cell parameters. Results of these studies are utilized to determine future approaches for increasing GaAs solar cell efficiencies.

  17. Response of GaAs charge storage devices to transient ionizing radiation

    NASA Astrophysics Data System (ADS)

    Hetherington, D. L.; Klem, J. F.; Hughes, R. C.; Weaver, H. T.

    Charge storage devices in which non-equilibrium depletion regions represent stored charge are sensitive to ionizing radiation. This results since the radiation generates electron-hole pairs that neutralize excess ionized dopant charge. Silicon structures, such as dynamic RAM or CCD cells are particularly sensitive to radiation since carrier diffusion lengths in this material are often much longer than the depletion width, allowing collection of significant quantities of charge from quasi-neutral sections of the device. For GaAs the situation is somewhat different in that minority carrier diffusion lengths are shorter than in silicon, and although mobilities are higher, we expect a reduction of radiation sensitivity as suggested by observations of reduced quantum efficiency in GaAs solar cells. Dynamic memory cells in GaAs have potential increased retention times. In this paper, we report the response of a novel GaAs dynamic memory element to transient ionizing radiation. The charge readout technique is nondestructive over a reasonable applied voltage range and is more sensitive to stored charge than a simple capacitor.

  18. Enhancement of conductance of GaAs sub-microwires under external stimuli

    NASA Astrophysics Data System (ADS)

    Qu, Xianlin; Deng, Qingsong; Zheng, Kun

    2018-03-01

    Semiconductors with one dimension on the micro-nanometer scale have many unique physical properties that are remarkably different from those of their bulk counterparts. Moreover, changes in the external field will further modulate the properties of the semiconductor micro-nanomaterials. In this study, we used focused ion beam technology to prepare freestanding ⟨111⟩-oriented GaAs sub-microwires from a GaAs substrate. The effects of laser irradiation and bending or buckling deformation induced by compression on the electrical transport properties of an individual GaAs sub-microwire were studied. The experimental results indicate that both laser irradiation and bending deformation can enhance their electrical transport properties, the laser irradiation resulted in a conductance enhancement of ˜30% compared to the result with no irradiation, and in addition, bending deformation changed the conductance by as much as ˜180% when the average strain was approximately 1%. The corresponding mechanisms are also discussed. This study provides beneficial insight into the fabrication of electronic and optoelectronic devices based on GaAs micro/nano-wires.

  19. Crystal growth of device quality GaAs in space

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.; Lagowski, J.

    1985-01-01

    The present program has been aimed at solving the fundamental and technological problems associated with Crystal Growth of Device Quality in Space. The initial stage of the program was devoted strictly to ground-based research. The unsolved problems associated with the growth of bulk GaAs in the presence of gravitational forces were explored. Reliable chemical, structural and electronic characterization methods were developed which would permit the direct relation of the salient materials parameters (particularly those affected by zero gravity conditions) to the electronic characteristics of single crystal GaAs, in turn to device performance. These relationships are essential for the development of optimum approaches and techniques. It was concluded that the findings on elemental semiconductors Ge and Si regarding crystal growth, segregation, chemical composition, defect interactions, and materials properties-electronic properties relationships are not necessarily applicable to GaAs (and to other semiconductor compounds). In many instances totally unexpected relationships were found to prevail.

  20. Blueish green photoluminescence from nitrided GaAs(100) surfaces

    NASA Astrophysics Data System (ADS)

    Shimaoka, Goro; Udagawa, Takashi

    1999-04-01

    Optical and structural studies were made on the Si-doped (100)GaAs surfaces nitrided at a temperature between 650° and 750°C for 15 min in the flowing NH 3 gas. The wavelength of photoluminescence (PL) spectra were observed to be shortened from 820 nm of the GaAs nitrided at 650°C with increasing nitridation temperature. Blueish green PL with wavelengths of approx. 490 nm and 470 nm were emitted from the nitrided surfaces at 700° and 750°C, respectively. Results of AES and SIMS indicated that the surfaces are nitrided as GaAs 1- xN x, (0< x≤1) alloy layer, and the nitrided region also tended to increase as the temperature raised. High-resolution transmission electron microscopic (HRTEM), transmission electron diffraction (TED) and energy dispersive X-ray (EDX) results showed that films peeled off from the nitrided surfaces consisted mainly of hexagonal, wurtzite-type gallium nitride (GaN) with stacking faults and microtwins.

  1. GaAs High Breakdown Voltage Front and Back Side Processed Schottky Detectors for X-Ray Detection

    DTIC Science & Technology

    2007-11-01

    front and back side processed, unintentionally doped bulk gallium -arsenic (GaAs) Schottky detectors and determined that GaAs detectors with a large...a few materials that fulfill these requirements are gallium -arsenic (GaAs) and cadmium-zinc-tellurium (CdZnTe or CZT). They are viable alternative...Whitehill, C.; Pospíšil, S.; Wilhem, I.; Doležal, Z.; Juergensen, H.; Heuken, M. Development of low-pressure vapour -phase epitaxial GaAs for medical imaging

  2. GaAs nanopillar-array solar cells employing in situ surface passivation

    PubMed Central

    Mariani, Giacomo; Scofield, Adam C.; Hung, Chung-Hong; Huffaker, Diana L.

    2013-01-01

    Arrays of III–V direct-bandgap semiconductor nanopillars represent promising photovoltaic candidates due to their inherent high optical absorption coefficients and minimized reflection arising from light trapping, efficient charge collection in the radial direction and the ability to synthesize them on low-cost platforms. However, the increased surface area results in surface states that hamper the power conversion efficiency. Here, we report the first demonstration of GaAs nanopillar-array photovoltaics employing epitaxial passivation with air mass 1.5 global power conversion efficiencies of 6.63%. High-bandgap epitaxial InGaP shells are grown in situ and cap the radial p–n junctions to alleviate surface-state effects. Under light, the photovoltaic devices exhibit open-circuit voltages of 0.44 V, short-circuit current densities of 24.3 mA cm−2 and fill factors of 62% with high external quantum efficiencies >70% across the spectral regime of interest. A novel titanium/indium tin oxide annealed alloy is exploited as transparent ohmic anode. PMID:23422665

  3. ZnO Films on {001}-Cut <110>-Propagating GaAs Substrates for Surface Acoustic Wave Device Applications

    NASA Technical Reports Server (NTRS)

    Kim, Yoonkee; Hunt, William D.; Hickernell, Frederick S.; Higgins, Robert J.; Jen, Cheng-Kuei

    1995-01-01

    A potential application for piezoelectric films on GaAs substrates is the monolithic integration of surface acoustic wave (SAW) devices with GaAs electronics. Knowledge of the SAW properties of the layered structure is critical for the optimum and accurate design of such devices. The acoustic properties of ZnO films sputtered on {001}-cut <110> -propagating GaAs substrates are investigated in this article, including SAW Velocity effective piezoelectric coupling constant, propagation loss. diffraction, velocity surface, and reflectivity of shorted and open metallic gratings. The measurements of these essential SAW properties for the frequency range between 180 and 360 MHz have been performed using a knife-edge laser probe for film thicknesses over the range of 1.6-4 micron and with films or different grain sizes. The high quality of dc triode sputtered films was observed as evidenced by high K(exp 2) and low attenuation. The measurements of the velocity surface, which directly affects the SAW diffraction, on the bare and metalized ZnO on SiO2, or Si3N4 on {001}-cut GaAs samples are reported using two different techniques: 1) knife-edge laser probe, 2) line-focus-beam scanning acoustic microscope. It was found that near the <110> propagation direction, the focusing SAW property of the bare GaAs changes into a nonfocusing one for the layered structure, but a reversed phenomenon exists near the <100> direction. Furthermore, to some extent the diffraction of the substrate can be controlled with the film thickness. The reflectivity of shorted and open gratings are also analyzed and measured. Zero reflectivity is observed for a shorted grating. There is good agreement between the measured data and theoretical values.

  4. Comparison of as-grown and annealed GaN/InGaN : Mg samples

    NASA Astrophysics Data System (ADS)

    Deng, Qingwen; Wang, Xiaoliang; Xiao, Hongling; Wang, Cuimei; Yin, Haibo; Chen, Hong; Lin, Defeng; Jiang, Lijuan; Feng, Chun; Li, Jinmin; Wang, Zhanguo; Hou, Xun

    2011-08-01

    Mg-doped InGaN was grown on unintentionally doped GaN layer, and Mg and defect behaviours in both GaN and InGaN : Mg were investigated through photoluminescence measurement at 7 K. Mg acceptor was found in unintentionally doped GaN after thermal annealing in N2 ambient, and Mg activation energy was estimated to be 200 meV and 110 meV for GaN and InGaN, respectively. Particularly, the ultraviolet band (3.0-3.2 eV) in the GaN layer was infrequently observed in the unannealed sample but quenched in the annealed sample; this band may be associated with oxygen-substituted nitrogen defects. Moreover, the measurement errors of photoluminescence and x-ray diffraction originated from strain were taken into account.

  5. Study of structural properties of cubic InN films on GaAs(001) substrates by molecular beam epitaxy and migration enhanced epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Casallas-Moreno, Y. L.; Perez-Caro, M.; Gallardo-Hernandez, S.

    InN epitaxial films with cubic phase were grown by rf-plasma-assisted molecular beam epitaxy (RF-MBE) on GaAs(001) substrates employing two methods: migration-enhanced epitaxy (MEE) and conventional MBE technique. The films were synthesized at different growth temperatures ranging from 490 to 550 Degree-Sign C, and different In beam fluxes (BEP{sub In}) ranging from 5.9 Multiplication-Sign 10{sup -7} to 9.7 Multiplication-Sign 10{sup -7} Torr. We found the optimum conditions for the nucleation of the cubic phase of the InN using a buffer composed of several thin layers, according to reflection high-energy electron diffraction (RHEED) patterns. Crystallographic analysis by high resolution X-ray diffraction (HR-XRD)more » and RHEED confirmed the growth of c-InN by the two methods. We achieved with the MEE method a higher crystal quality and higher cubic phase purity. The ratio of cubic to hexagonal components in InN films was estimated from the ratio of the integrated X-ray diffraction intensities of the cubic (002) and hexagonal (1011) planes measured by X-ray reciprocal space mapping (RSM). For MEE samples, the cubic phase of InN increases employing higher In beam fluxes and higher growth temperatures. We have obtained a cubic purity phase of 96.4% for a film grown at 510 Degree-Sign C by MEE.« less

  6. Electrical and band structural analyses of Ti1-x Al x O y films grown by atomic layer deposition on p-type GaAs

    NASA Astrophysics Data System (ADS)

    An, Youngseo; Mahata, Chandreswar; Lee, Changmin; Choi, Sungho; Byun, Young-Chul; Kang, Yu-Seon; Lee, Taeyoon; Kim, Jiyoung; Cho, Mann-Ho; Kim, Hyoungsub

    2015-10-01

    Amorphous Ti1-x Al x O y films in the Ti-oxide-rich regime (x  <  0.5) were deposited on p-type GaAs via atomic layer deposition with titanium isopropoxide, trimethylaluminum, and H2O precursor chemistry. The electrical properties and energy band alignments were examined for the resulting materials with their underlying substrates, and significant frequency dispersion was observed in the accumulation region of the Ti-oxide-rich Ti1-x Al x O y films. Although a further reduction in the frequency dispersion and leakage current (under gate electron injection) could be somewhat achieved through a greater addition of Al-oxide in the Ti1-x Al x O y film, the simultaneous decrease in the dielectric constant proved problematic in finding an optimal composition for application as a gate dielectric on GaAs. The spectroscopic band alignment measurements of the Ti-oxide-rich Ti1-x Al x O y films indicated that the band gaps had a rather slow increase with the addition of Al-oxide, which was primarily compensated for by an increase in the valance band offset, while a nearly-constant conduction band offset with a negative electron barrier height was maintained.

  7. Electrical characterization of plasma-grown oxides on gallium arsenide

    NASA Technical Reports Server (NTRS)

    Hshieh, F. I.; Bhat, K. N.; Ghandhi, S. K.; Borrego, J. M.

    1985-01-01

    Plasma-grown GaAs oxides and their interfaces have been characterized by measuring the electrical properties of metal-oxide-semiconductor capacitors and of Schottky junctions. The current transport mechanism in the oxide at high electrical field was found to be Frankel-Poole emission, with an electron trap center at 0.47 eV below the conduction band of the oxide. The interface-state density, evaluated from capacitance and conductance measurements, exhibits a U-shaped interface-state continuum extending over the entire band gap. Two discrete deep states with high concentration are superimposed on this continuum at 0.40 and 0.70 eV below the conduction band. The results obtained from measurements on Schottky junctions have excluded the possibility that these two deep states originate from plasma damage. Possible origins of these states are discussed in this paper.

  8. Maskless micro/nanofabrication on GaAs surface by friction-induced selective etching

    PubMed Central

    2014-01-01

    In the present study, a friction-induced selective etching method was developed to produce nanostructures on GaAs surface. Without any resist mask, the nanofabrication can be achieved by scratching and post-etching in sulfuric acid solution. The effects of the applied normal load and etching period on the formation of the nanostructure were studied. Results showed that the height of the nanostructure increased with the normal load or the etching period. XPS and Raman detection demonstrated that residual compressive stress and lattice densification were probably the main reason for selective etching, which eventually led to the protrusive nanostructures from the scratched area on the GaAs surface. Through a homemade multi-probe instrument, the capability of this fabrication method was demonstrated by producing various nanostructures on the GaAs surface, such as linear array, intersecting parallel, surface mesas, and special letters. In summary, the proposed method provided a straightforward and more maneuverable micro/nanofabrication method on the GaAs surface. PMID:24495647

  9. A study of the nature of the emission centres and mechanisms of radiative recombination in semi-insulating GaAs crystals (in English)

    NASA Astrophysics Data System (ADS)

    Komarov, V. G.; Motsnyi, F. V.; Motsnyi, V. F.; Zinets, O. S.

    The low temperature photoluminescence spectra of semi-insulating GaAs crystals grown by Czochralski method at different technological conditions have been studied. One of the main background impurities in such materials is carbon. The traditional high temperature annealing of semi-insulating GaAs wafers significantly aggravates their structure perfection because near the surface the creation of conductive layers with the thickness of several microns takes place. The fine structure of the bands of 1.514 and 1.490 eV has been registered. This structure caused by a) polariton emission from upper and low polariton branches; b) radiative recombination of free holes on shallow neutral donors (D^0, h); c) radiative recombination of excitons bound to shallow neutral donors (D^0, X) and to shallow carbon acceptors (C^0_{As}, X); d) excitons bound to the point structure defects (d, X); e) electron transitions between the conduction band and shallow neutral carbon acceptor; f) the electron transitions between donor-acceptor pairs in which carbon and possibly zinc are acceptors in the ground 1S_{3/2} state. The lux-intensity dependencies of the polariton emission from upper polariton branch and photoluminescence of (D^0, h), (C^0_{As}, X), (d, X) complexes are in good agreement with the theory. It is shown that one of the best available semi-insulating GaAs materials is a new commercial AGCP-5V material which differs from others by considerable concentration of shallow donors and new acceptors alongside of the known shallow C^0_{As} acceptor centres.

  10. Structural modifications of silicon-implanted GaAs induced by the athermal annealing technique

    NASA Astrophysics Data System (ADS)

    Qadri, S. B.; Yousuf, M.; Kendziora, C. A.; Nachumi, B.; Fischer, R.; Grun, J.; Rao, M. V.; Tucker, J.; Siddiqui, S.; Ridgway, M. C.

    2004-12-01

    We have used high-resolution X-ray diffraction and Raman spectroscopy to investigate structural modifications inside and outside the focal region of Si-implanted GaAs samples that have been irradiated at high power by a focused short-pulse laser. Si atoms implanted into the GaAs matrix generate exciton-induced local lattice expansion, resulting in a satellite on the lower-angle side of the Bragg peak. After the laser pulse irradiation, surface features inside and outside the focal spot suggest the presence of Bernard convection cells, indicating that a rapid melting and re-crystallization has taken place. Moreover, the laser irradiation induces a compressive strain inside the focal spot, since the satellite appears on the higher-angle side of the Bragg peak. The stress maximizes at the center of the focal spot and extends far outside the irradiated area (approximately 2.5-mm away from the bull’s eye), suggesting the propagation of a laser-induced mechanical wave. The maximum compressive stress inside the focal spot corresponds to 2.7 GPa. Raman spectra inside the focal spot resemble that of pristine GaAs, indicating that rapid melting has introduced significant heterogeneity, with zones of high and low Si concentration. X-ray measurements indicate that areas inside the focal spot and annealed areas outside of the focal spot contain overtones of a minor tetragonal distortion of the lattice, consistent with the observed relaxation of Raman selection rules when compared with the parent zinc-blende structure.

  11. Performance and temperature dependencies of proton irradiated n/p and p/n GaAs and n/p silicon cells

    NASA Technical Reports Server (NTRS)

    Weinberg, I.; Swartz, C. K.; Hart, R. E., Jr.

    1985-01-01

    n/p homojunction GaAs cells are found to be more radiation resistant than p/n heteroface GaAs under 10 MeV proton irradiation. Both GaAs cell types outperform conventional silicon n/p cells under the same conditions. An increased temperature dependency of maximum power for the GaAs n/p cells is attributed to differences in Voc between the two GaAs cell types. These results and diffusion length considerations are consistent with the conclusion that p-type GaAs is more radiation resistant than n-type and therefore that the n/p configuration is possibly favored for use in the space radiation environment. However, it is concluded that additional work is required in order to choose between the two GaAs cell configurations.

  12. GaAs laser diode pumped Nd:YAG laser

    NASA Technical Reports Server (NTRS)

    Conant, L. C.; Reno, C. W.

    1974-01-01

    A 1.5-mm by 3-cm neodymium-ion doped YAG laser rod has been side pumped using a GaAs laser diode array tuned to the 8680-A absorption line, achieving a multimode average output power of 120 mW for a total input power of 20 W to the final-stage laser diode drivers. The pumped arrangement was designed to take advantage of the high brightness of a conventional GaAs array as a linear source by introducing the pump light through a slit into a close-wrapped gold coated pump cavity. This cavity forms an integrating chamber for the pump light.

  13. Effects of ultrathin oxides in conducting MIS structures on GaAs

    NASA Technical Reports Server (NTRS)

    Childs, R. B.; Ruths, J. M.; Sullivan, T. E.; Fonash, S. J.

    1978-01-01

    Schottky barrier-type GaAs baseline devices (semiconductor surface etched and then immediately metalized) and GaAs conducting metal oxide-semiconductor devices are fabricated and characterized. The baseline surfaces (no purposeful oxide) are prepared by a basic or an acidic etch, while the surface for the MIS devices are prepared by oxidizing after the etch step. The metallizations used are thin-film Au, Ag, Pd, and Al. It is shown that the introduction of purposeful oxide into these Schottky barrier-type structures examined on n-type GaAs modifies the barrier formation, and that thin interfacial layers can modify barrier formation through trapping and perhaps chemical reactions. For Au- and Pd-devices, enhanced photovoltaic performance of the MIS configuration is due to increased barrier height.

  14. Inductively coupled plasma etching of GaAs low loss waveguides for a traveling waveguide polarization converter, using chlorine chemistry

    NASA Astrophysics Data System (ADS)

    Lu, J.; Meng, X.; Springthorpe, A. J.; Shepherd, F. R.; Poirier, M.

    2004-05-01

    A traveling waveguide polarization converter [M. Poirier et al.] has been developed, which involves long, low loss, weakly confined waveguides etched in GaAs (epitaxially grown by molecular beam epitaxy), with electroplated ``T electrodes'' distributed along the etched floor adjacent to the ridge walls, and airbridge interconnect metallization. This article describes the development of the waveguide fabrication, based on inductively coupled plasma (ICP) etching of GaAs using Cl2 chemistry; the special processes required to fabricate the electrodes and metallization [X. Meng et al.], and the device characteristics [M. Poirier et al.], are described elsewhere. The required waveguide has dimensions nominally 4 μm wide and 2.1 μm deep, with dimensional tolerances ~0.1 μm across the wafer and wafer to wafer. A vertical etch profile with very smooth sidewalls and floors is required to enable the plated metal electrodes to be fabricated within 0.1 μm of the ridge. The ridges were fabricated using Cl2 ICP etching and a photoresist mask patterned with an I-line stepper; He backside cooling, combined with an electrostatic chuck, was employed to ensure good heat transfer to prevent resist reticulation. The experimental results showed that the ridge profile is very sensitive to ICP power and platen rf power. High ICP power and low platen power tend to result in more isotropic etching, whereas increasing platen power increases the photoresist etch rate, which causes rougher ridge sidewalls. No strong dependence of GaAs etch rate and ridge profile were observed with small changes in process temperature (chuck temperature). However, when the chuck temperature was decreased from 25 to 0 °C, etch uniformity across a 3 in. wafer improved from 6% to 3%. Photoresist and polymer residues present after the ICP etch were removed using a combination of wet and dry processes. .

  15. Structure characterization of MHEMT heterostructure elements with In{sub 0.4}Ga{sub 0.6}As quantum well grown by molecular beam epitaxy on GaAs substrate using reciprocal space mapping

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aleshin, A. N., E-mail: a.n.aleshin@mail.ru; Bugaev, A. S.; Ermakova, M. A.

    2016-03-15

    The crystallographic parameters of elements of a metamorphic high-electron-mobility transistor (MHEMT) heterostructure with In{sub 0.4}Ga{sub 0.6}As quantum well are determined using reciprocal space mapping. The heterostructure has been grown by molecular-beam epitaxy (MBE) on the vicinal surface of a GaAs substrate with a deviation angle of 2° from the (001) plane. The structure consists of a metamorphic step-graded buffer (composed of six layers, including an inverse step), a high-temperature buffer of constant composition, and active high-electron-mobility transistor (HEMT) layers. The InAs content in the metamorphic buffer layers varies from 0.1 to 0.48. Reciprocal space mapping has been performed for themore » 004 and 224 reflections (the latter in glancing exit geometry). Based on map processing, the lateral and vertical lattice parameters of In{sub x}Ga{sub 1–x}As ternary solid solutions of variable composition have been determined. The degree of layer lattice relaxation and the compressive stress are found within the linear elasticity theory. The high-temperature buffer layer of constant composition (on which active MHEMT layers are directly formed) is shown to have the highest (close to 100%) degree of relaxation in comparison with all other heterostructure layers and a minimum compressive stress.« less

  16. GaAs metal-oxide-semiconductor based non-volatile flash memory devices with InAs quantum dots as charge storage nodes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Islam, Sk Masiul, E-mail: masiulelt@gmail.com; Chowdhury, Sisir; Sarkar, Krishnendu

    2015-06-24

    Ultra-thin InP passivated GaAs metal-oxide-semiconductor based non-volatile flash memory devices were fabricated using InAs quantum dots (QDs) as charge storing elements by metal organic chemical vapor deposition technique to study the efficacy of the QDs as charge storage elements. The grown QDs were embedded between two high-k dielectric such as HfO{sub 2} and ZrO{sub 2}, which were used for tunneling and control oxide layers, respectively. The size and density of the QDs were found to be 5 nm and 1.8×10{sup 11} cm{sup −2}, respectively. The device with a structure Metal/ZrO{sub 2}/InAs QDs/HfO{sub 2}/GaAs/Metal shows maximum memory window equivalent to 6.87 V. Themore » device also exhibits low leakage current density of the order of 10{sup −6} A/cm{sup 2} and reasonably good charge retention characteristics. The low value of leakage current in the fabricated memory device is attributed to the Coulomb blockade effect influenced by quantum confinement as well as reduction of interface trap states by ultra-thin InP passivation on GaAs prior to HfO{sub 2} deposition.« less

  17. Surface segregation and the Al problem in GaAs quantum wells

    NASA Astrophysics Data System (ADS)

    Chung, Yoon Jang; Baldwin, K. W.; West, K. W.; Shayegan, M.; Pfeiffer, L. N.

    2018-03-01

    Low-defect two-dimensional electron systems (2DESs) are essential for studies of fragile many-body interactions that only emerge in nearly-ideal systems. As a result, numerous efforts have been made to improve the quality of modulation-doped AlxGa1 -xAs /GaAs quantum wells (QWs), with an emphasis on purifying the source material of the QW itself or achieving better vacuum in the deposition chamber. However, this approach overlooks another crucial component that comprises such QWs, the AlxGa1 -xAs barrier. Here we show that having a clean Al source and hence a clean barrier is instrumental to obtain a high-quality GaAs 2DES in a QW. We observe that the mobility of the 2DES in GaAs QWs declines as the thickness or Al content of the AlxGa1 -xAs barrier beneath the QW is increased, which we attribute to the surface segregation of oxygen atoms that originate from the Al source. This conjecture is supported by the improved mobility in the GaAs QWs as the Al cell is cleaned out by baking.

  18. Large Signal Modeling and Analysis of the GaAs MESFET.

    DTIC Science & Technology

    1986-07-09

    various dimensions and physical parameters. A powerful computer aided design system can be developed by automating the circuit element and parameter...circuit model of the GaAs MESFET to aid in the designs of microwave MESFET circuits. The circuit elements of this model are obtained either directly...34. -. ’ Abstract The purpose of this work is to develop a large signal signal lumped circuit model of the GaAs MESFET to aid In the designs of microwave MESFET

  19. Molecular beam epitaxy-grown wurtzite MgS thin films for solar-blind ultra-violet detection

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lai, Y. H.; He, Q. L.; Department of Physics and William Mong Institute of Nano Science and Technology, The Hong Kong University of Science and Technology, HKSAR, People's Republic of China

    2013-04-29

    Molecular beam epitaxy grown MgS on GaAs(111)B substrate was resulted in wurtzite phase, as demonstrated by detailed structural characterizations. Phenomenological arguments were used to account for why wurtzite phase is preferred over zincblende phase or its most stable rocksalt phase. Results of photoresponse and reflectance measurements performed on wurtzite MgS photodiodes suggest a direct bandgap at around 5.1 eV. Their response peaks at 245 nm with quantum efficiency of 9.9% and enjoys rejection of more than three orders at 320 nm and close to five orders at longer wavelengths, proving the photodiodes highly competitive in solar-blind ultraviolet detection.

  20. Testing a GaAs cathode in SRF gun

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, E.; Kewisch, J.; Ben-Zvi, I.

    RF electron guns with a strained superlattice GaAs cathode are expected to generate polarized electron beams of higher brightness and lower emittance than do DC guns, due to their higher field gradient at the cathode's surface and lower cathode temperature. We plan to install a bulk GaAs:Cs in a SRF gun to evaluate the performance of both the gun and the cathode in this environment. The status of this project is: In our 1.3 GHz 1/2 cell SRF gun, the vacuum can be maintained at nearly 10{sup -12} Torr because of cryo-pumping at 2K. With conventional activation of bulk GaAs,more » we obtained a QE of 10% at 532 nm, with lifetime of more than 3 days in the preparation chamber and have shown that it can survive in transport from the preparation chamber to the gun. The beam line has been assembled and we are exploring the best conditions for baking the cathode under vacuum. We report here the progress of our test of the GaAs cathode in the SRF gun. Future particle accelerators, such as eRHIC and the ILC require high-brightness, high-current polarized electrons. Strained superlattice GaAs:Cs has been shown to be an efficient cathode for producing polarized electrons. Activation of GaAs with Cs,O(F) lowers the electron affinity and makes it energetically possible for all the electrons, excited into the conduction band that drift or diffuse to the emission surface, to escape into the vacuum. Presently, all operating polarized electron sources, such as the CEBAF, are DC guns. In these devices, the excellent ultra-high vacuum extends the lifetime of the cathode. However, the low field gradient on the photocathode's emission surface of the DC guns limits the beam quality. The higher accelerating gradients, possible in the RF guns, generate a far better beam. Until recently, most RF guns operated at room temperature, limiting the vacuum to {approx}10{sup -9} Torr. This destroys the GaAs's NEA surface. The SRF guns combine the excellent vacuum conditions of DC guns and the

  1. Design of quantum efficiency measurement system for variable doping GaAs photocathode

    NASA Astrophysics Data System (ADS)

    Chen, Liang; Yang, Kai; Liu, HongLin; Chang, Benkang

    2008-03-01

    To achieve high quantum efficiency and good stability has been a main direction to develop GaAs photocathode recently. Through early research, we proved that variable doping structure is executable and practical, and has great potential. In order to optimize variable doping GaAs photocathode preparation techniques and study the variable doping theory deeply, a real-time quantum efficiency measurement system for GaAs Photocathode has been designed. The system uses FPGA (Field-programmable gate array) device, and high speed A/D converter to design a high signal noise ratio and high speed data acquisition card. ARM (Advanced RISC Machines) core processor s3c2410 and real-time embedded system are used to obtain and show measurement results. The measurement precision of photocurrent could reach 1nA, and measurement range of spectral response curve is within 400~1000nm. GaAs photocathode preparation process can be real-time monitored by using this system. This system could easily be added other functions to show the physic variation of photocathode during the preparation process more roundly in the future.

  2. Limiting scattering processes in high-mobility InSb quantum wells grown on GaSb buffer systems

    NASA Astrophysics Data System (ADS)

    Lehner, Ch. A.; Tschirky, T.; Ihn, T.; Dietsche, W.; Keller, J.; Fält, S.; Wegscheider, W.

    2018-05-01

    We present molecular beam epitaxial grown single- and double-side δ -doped InAlSb/InSb quantum wells with varying distances down to 50 nm to the surface on GaSb metamorphic buffers. We analyze the surface morphology as well as the impact of the crystalline quality on the electron transport. Comparing growth on GaSb and GaAs substrates indicates that the structural integrity of our InSb quantum wells is solely determined by the growth conditions at the GaSb/InAlSb transition and the InAlSb barrier growth. The two-dimensional electron gas samples show high mobilities of up to 349 000 cm2/Vs at cryogenic temperatures and 58 000 cm2/Vs at room temperature. With the calculated Dingle ratio and a transport lifetime model, ionized impurities predominantly remote from the quantum well are identified as the dominant source of scattering events. The analysis of the well-pronounced Shubnikov-de Haas oscillations reveals a high spin-orbit coupling with an effective g -factor of -38.4 in our samples. Along with the smooth surfaces and long mean free paths demonstrated, our InSb quantum wells are increasingly competitive for nanoscale implementations of Majorana mode devices.

  3. Epitaxial lateral overgrowth of GaAs: effect of doping on LPE growth behaviour

    NASA Astrophysics Data System (ADS)

    Zytkiewicz, Z. R.; Dobosz, D.; Pawlowska, M.

    1999-05-01

    Results of epitaxial lateral overgrowth (ELO) of GaAs on (001) GaAs substrates by liquid phase epitaxy are reported. We show that by introducing Si, Sn or Te impurities to the Ga-As solution the vertical growth rate is reduced while the lateral growth rate is significantly enhanced, which leads to a growth habit modification. Furthermore, the impurity incorporation into the growing layer is different on the upper and side surfaces of the ELO, reflecting the fundamental differences between the lateral and vertical growth modes. This phenomenon can be applied for studying the temporal development of ELO layers.

  4. Electric field effects on the optical properties of buckled GaAs monolayer

    NASA Astrophysics Data System (ADS)

    Bahuguna, Bhagwati Prasad; Saini, L. K.; Sharma, Rajesh O.

    2018-04-01

    Buckled GaAs monolayer has a direct band gap semiconductor with energy gap of 1.31 eV in the absence of electric field. When we applied transverse electric field, the value of band gap decreases with increasing of electric field strength. In our previous work [1], it is observed that the buckled GaAs monolayer becomes metallic at 1.3 V/Å. In the present work, we investigate the optical properties such as photon energy-dependent dielectric functions, extinction coefficient, refractive index, absorption spectrum and reflectivity of buckled GaAs monolayer in the semiconducting phase i.e. absence of external electric field and metallic phase i.e. presence of external electric field using density functional theory.

  5. Growth and Properties of Lattice Matched GaAsSbN Epilayer on GaAs for Solar Cell Applications

    NASA Technical Reports Server (NTRS)

    Bharatan, Sudhakar; Iyer, Shanthi; Matney, Kevin; Collis, Ward J.; Nunna Kalyan; Li, Jia; Wu, Liangjin; McGuire, Kristopher; McNeil, Laurie E.

    2006-01-01

    The growth and properties of GaAsSbN single quantum wells (SQWs) are investigated in this work. The heterostructures were grown on GaAs substrates in an elemental solid source molecular beam epitaxy (MBE) system assisted with a RF plasma nitrogen source. A systematic study has been carried out to determine the influence of various growth conditions, such as the growth temperature and the source shutter-opening sequence, on the quality of the grown layers and the incorporation of N and Sb. The effects of ex situ and in situ annealing under As overpressure on the optical properties of the layers have also been investigated. Substrate temperature in the range of 450-470 C was found to be optimum. Simultaneous opening of the source shutters was found to yield sharper QW interfaces. N and Sb incorporations were found to depend strongly upon substrate temperatures and source shutter opening sequences. A significant increase in PL intensity with a narrowing of PL line shape and blue shift in emission energy were observed on annealing the GaAsSbN/GaAs SQW, with in situ annealing under As overpressure providing better results, compared to ex situ annealing.

  6. Nuclear spin warm up in bulk n -GaAs

    NASA Astrophysics Data System (ADS)

    Kotur, M.; Dzhioev, R. I.; Vladimirova, M.; Jouault, B.; Korenev, V. L.; Kavokin, K. V.

    2016-08-01

    We show that the spin-lattice relaxation in n -type insulating GaAs is dramatically accelerated at low magnetic fields. The origin of this effect, which cannot be explained in terms of well-known diffusion-limited hyperfine relaxation, is found in the quadrupole relaxation, induced by fluctuating donor charges. Therefore, quadrupole relaxation, which governs low field nuclear spin relaxation in semiconductor quantum dots, but was so far supposed to be harmless to bulk nuclei spins in the absence of optical pumping, can be studied and harnessed in the much simpler model environment of n -GaAs bulk crystal.

  7. 46 CFR Sec. 7 - Operation under current GAA/MSTS Southeast Asia Program.

    Code of Federal Regulations, 2013 CFR

    2013-10-01

    ... provisions of NSA Order 35 (OPR-2) to the particular circumstances of the present GAA/MSTS Southeast Asia... General Agency operations not related to the current GAA/MSTS Southeast Asia Program, NSA Order 35 (OPR-2... lieu of those appearing in sections 3 and 4 of NSA Order 35 (OPR-2). Continental United States ports do...

  8. 46 CFR Sec. 7 - Operation under current GAA/MSTS Southeast Asia Program.

    Code of Federal Regulations, 2012 CFR

    2012-10-01

    ... provisions of NSA Order 35 (OPR-2) to the particular circumstances of the present GAA/MSTS Southeast Asia... General Agency operations not related to the current GAA/MSTS Southeast Asia Program, NSA Order 35 (OPR-2... lieu of those appearing in sections 3 and 4 of NSA Order 35 (OPR-2). Continental United States ports do...

  9. 46 CFR Sec. 7 - Operation under current GAA/MSTS Southeast Asia Program.

    Code of Federal Regulations, 2010 CFR

    2010-10-01

    ... provisions of NSA Order 35 (OPR-2) to the particular circumstances of the present GAA/MSTS Southeast Asia... General Agency operations not related to the current GAA/MSTS Southeast Asia Program, NSA Order 35 (OPR-2... lieu of those appearing in sections 3 and 4 of NSA Order 35 (OPR-2). Continental United States ports do...

  10. 46 CFR Sec. 7 - Operation under current GAA/MSTS Southeast Asia Program.

    Code of Federal Regulations, 2014 CFR

    2014-10-01

    ... provisions of NSA Order 35 (OPR-2) to the particular circumstances of the present GAA/MSTS Southeast Asia... General Agency operations not related to the current GAA/MSTS Southeast Asia Program, NSA Order 35 (OPR-2... lieu of those appearing in sections 3 and 4 of NSA Order 35 (OPR-2). Continental United States ports do...

  11. 46 CFR Sec. 7 - Operation under current GAA/MSTS Southeast Asia Program.

    Code of Federal Regulations, 2011 CFR

    2011-10-01

    ... provisions of NSA Order 35 (OPR-2) to the particular circumstances of the present GAA/MSTS Southeast Asia... General Agency operations not related to the current GAA/MSTS Southeast Asia Program, NSA Order 35 (OPR-2... lieu of those appearing in sections 3 and 4 of NSA Order 35 (OPR-2). Continental United States ports do...

  12. GaAs Solar Cell Radiation Handbook

    NASA Technical Reports Server (NTRS)

    Anspaugh, B. E.

    1996-01-01

    History of GaAs solar cell development is provided. Photovoltaic equations are described along with instrumentation techniques for measuring solar cells. Radiation effects in solar cells, electrical performance, and spacecraft flight data for solar cells are discussed. The space radiation environment and solar array degradation calculations are addressed.

  13. Periodic annealing of radiation damage in GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Loo, R. Y.; Knechtli, R. C.; Kamath, G. S.

    1980-01-01

    Continuous annealing of GaAs solar cells is compared with periodic annealing to determine their relative effectiveness in minimizing proton radiation damage. It is concluded that continuous annealing of the cells in space at 150 C can effectively reduce the proton radiation damage to the GaAs solar cells. Periodic annealing is most effective if it can be initiated at relatively low fluences (approximating continuous annealing), especially if low temperatures of less than 200 C are to be used. If annealing is started only after the fluence of the damaging protons has accumulated to a high value 10 to the 11th power sq/pcm), effective annealing is still possible at relatively high temperatures. Finally, since electron radiation damage anneals even more easily than proton radiation damage, substantial improvements in GaAs solar cell life can be achieved by incorporating the proper annealing capabilities in solar panels for practical space missions where both electron and proton radiation damage have to be minimized.

  14. Disruption of Higher Order DNA Structures in Friedreich’s Ataxia (GAA)n Repeats by PNA or LNA Targeting

    PubMed Central

    Bergquist, Helen; Rocha, Cristina S. J.; Álvarez-Asencio, Rubén; Nguyen, Chi-Hung; Rutland, Mark. W.; Smith, C. I. Edvard; Good, Liam; Nielsen, Peter E.; Zain, Rula

    2016-01-01

    Expansion of (GAA)n repeats in the first intron of the Frataxin gene is associated with reduced mRNA and protein levels and the development of Friedreich’s ataxia. (GAA)n expansions form non-canonical structures, including intramolecular triplex (H-DNA), and R-loops and are associated with epigenetic modifications. With the aim of interfering with higher order H-DNA (like) DNA structures within pathological (GAA)n expansions, we examined sequence-specific interaction of peptide nucleic acid (PNA) with (GAA)n repeats of different lengths (short: n=9, medium: n=75 or long: n=115) by chemical probing of triple helical and single stranded regions. We found that a triplex structure (H-DNA) forms at GAA repeats of different lengths; however, single stranded regions were not detected within the medium size pathological repeat, suggesting the presence of a more complex structure. Furthermore, (GAA)4-PNA binding of the repeat abolished all detectable triplex DNA structures, whereas (CTT)5-PNA did not. We present evidence that (GAA)4-PNA can invade the DNA at the repeat region by binding the DNA CTT strand, thereby preventing non-canonical-DNA formation, and that triplex invasion complexes by (CTT)5-PNA form at the GAA repeats. Locked nucleic acid (LNA) oligonucleotides also inhibited triplex formation at GAA repeat expansions, and atomic force microscopy analysis showed significant relaxation of plasmid morphology in the presence of GAA-LNA. Thus, by inhibiting disease related higher order DNA structures in the Frataxin gene, such PNA and LNA oligomers may have potential for discovery of drugs aiming at recovering Frataxin expression. PMID:27846236

  15. Structural evolution of dilute magnetic (Sn,Mn)Se films grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Kanzyuba, Vasily; Dong, Sining; Liu, Xinyu; Li, Xiang; Rouvimov, Sergei; Okuno, Hanako; Mariette, Henri; Zhang, Xueqiang; Ptasinska, Sylwia; Tracy, Brian D.; Smith, David J.; Dobrowolska, Margaret; Furdyna, Jacek K.

    2017-02-01

    We describe the structural evolution of dilute magnetic (Sn,Mn)Se films grown by molecular beam epitaxy on GaAs (111) substrates, as revealed by transmission electron microscopy, X-ray diffraction, and X-ray photoelectron spectroscopy. When the Mn concentration is increased, the lattice of the ternary (Sn,Mn)Se films evolves quasi-coherently from a SnSe2 two-dimensional (2D) crystal structure into a more complex quasi-2D lattice rearrangement, ultimately transforming into the magnetically concentrated antiferromagnetic MnSe 3D rock-salt structure as Mn approaches 50 at. % of this material. These structural transformations are expected to underlie the evolution of magnetic properties of this ternary system reported earlier in the literature.

  16. A comparison of GaAs and Si hybrid solar power systems

    NASA Technical Reports Server (NTRS)

    Heinbockel, J. H.; Roberts, A. S., Jr.

    1977-01-01

    Five different hybrid solar power systems using silicon solar cells to produce thermal and electric power are modeled and compared with a hybrid system using a GaAs cell. Among the indices determined are capital cost per unit electric power plus mechanical power, annual cost per unit electric energy, and annual cost per unit electric plus mechanical work. Current costs are taken to be $35,000/sq m for GaAs cells with an efficiency of 15% and $1000/sq m for Si cells with an efficiency of 10%. It is shown that hybrid systems can be competitive with existing methods of practical energy conversion. Limiting values for annual costs of Si and GaAs cells are calculated to be 10.3 cents/kWh and 6.8 cents/kWh, respectively. Results for both systems indicate that for a given flow rate there is an optimal operating condition for minimum cost photovoltaic output. For Si cell costs of $50/sq m optimal performance can be achieved at concentrations of about 10; for GaAs cells costing 1000/sq m, optimal performance can be obtained at concentrations of around 100. High concentration hybrid systems offer a distinct cost advantage over flat systems.

  17. Chip-Scale Controlled Storage All-Optical Memory

    DTIC Science & Technology

    2007-02-01

    half width at half maximum KHZ kilo Hertz KK Kramers-Kronig LH light hole MBE molecular beam epitaxy MHz mega Hertz MZI Mach-Zehnder...waveguide geometry. The sample used in experiments 1 and 2 consists of 15 GaAs (135Å)/Al0.3Ga0.7As(150 Å) QWs grown by molecular beam epitaxy (MBE...We developed the capability to grow GaAs QWs on (110)-oriented substrates using molecular beam epitaxy in a very short amount of time. The very

  18. Observed circuit limits to time resolution in correlation measurements with Si-on-sapphire, GaAs, and InP picosecond photoconductors

    NASA Astrophysics Data System (ADS)

    Hammond, R. B.; Paulter, N. G.; Wagner, R. S.

    1984-08-01

    Cross-correlation measurements of the response of photoconductor pulsers and sampling gates excited by a femtosecond laser are reported. The photoconductors were fabricated in microstrip transmission line structures on Si-on-sapphire, semiinsulating GaAs, and semiinsulating InP wafers. The photoconductor sampling gates were ion beam-damaged to produce short carrier lifetimes (less than 3 ps in one case). Damage was introduced with 6 MeV Ne-20 on the Si-on-sapphire, 2 MeV H-2 on the GaAs, and 2 MeV He-4 on the InP. Doses in the range 10 to the 12th - 10 to the 15th were used. Results show circuit limits to the time resolution in correlation measurements from two sources: (1) RC time constants due to photoconductor gap capacitance and transmission line characteristic impedance and (2) dispersion in microstrip transmission lines.

  19. Dual-junction GaAs solar cells and their application to smart stacked III–V//Si multijunction solar cells

    NASA Astrophysics Data System (ADS)

    Sugaya, Takeyoshi; Tayagaki, Takeshi; Aihara, Taketo; Makita, Kikuo; Oshima, Ryuji; Mizuno, Hidenori; Nagato, Yuki; Nakamoto, Takashi; Okano, Yoshinobu

    2018-05-01

    We report high-quality dual-junction GaAs solar cells grown using solid-source molecular beam epitaxy and their application to smart stacked III–V//Si quadruple-junction solar cells with a two-terminal configuration for the first time. A high open-circuit voltage of 2.94 eV was obtained in an InGaP/GaAs/GaAs triple-junction top cell that was stacked to a Si bottom cell. The short-circuit current density of a smart stacked InGaP/GaAs/GaAs//Si solar cell was in good agreement with that estimated from external quantum efficiency measurements. An efficiency of 18.5% with a high open-circuit voltage of 3.3 V was obtained in InGaP/GaAs/GaAs//Si two-terminal solar cells.

  20. Basic mechanisms study for MIS solar cell structures on GaAs

    NASA Technical Reports Server (NTRS)

    Fonash, S. J.

    1978-01-01

    The solar cell structure examined is the MIS configuration on (n) GaAs. The metal room temperature oxide/(n) GaAs materials system was studied. Metals with electronegativities varying from 2.4 (Au) to 1.5 (Al) were used as the upper electrode. The thinnest metallization that did not interfere with the measurement techniques (by introducing essentially transmission line series resistance problems across a device) was used. Photovoltaic response was not optimized.

  1. Chemical beam epitaxy of GaAs1-xNx using MMHy and DMHy precursors, modeled by ab initio study of GaAs(100) surfaces stability over As2, H2 and N2

    NASA Astrophysics Data System (ADS)

    Valencia, Hubert; Kangawa, Yoshihiro; Kakimoto, Koichi

    2017-06-01

    Using ab initio calculations, a simple model for GaAs1-xNx vapor-phase epitaxy on (100) surface of GaAs was created. By studying As2 and H2 molecules adsorptions and As/N atom substitutions on (100) GaAs surfaces, we obtain a relative stability diagram of all stable surfaces under varying As2, H2, and N2 conditions. We previously proved that this model could describe the vapor-phase epitaxy of GaAs1-x Nx with simple, fully decomposed, precursors. In this paper, we show that in more complex reaction conditions using monomethylhydrazine (MMHy), and dimethylhydrazine (DMHy), it is still possible to use our model to obtain an accurate description of the temperature and pressure stability domains for each surfaces, linked to chemical beam epitaxy (CBE) growth conditions. Moreover, the different N-incorporation regimes observed experimentally at different temperature can be explain and predict by our model. The use of MMHy and DMHy precursors can also be rationalized. Our model should then help to better understand the conditions needed to obtain an high quality GaAs1-xNx using vapor-phase epitaxy.

  2. Monolithic optical integrated control circuitry for GaAs MMIC-based phased arrays

    NASA Technical Reports Server (NTRS)

    Bhasin, K. B.; Ponchak, G. E.; Kascak, T. J.

    1985-01-01

    Gallium arsenide (GaAs) monolithic microwave integrated circuits (MMIC's) show promise in phased-array antenna applications for future space communications systems. Their efficient usage will depend on the control of amplitude and phase signals for each MMIC element in the phased array and in the low-loss radiofrequency feed. For a phased array contining several MMIC elements a complex system is required to control and feed each element. The characteristics of GaAs MMIC's for 20/30-GHz phased-array systems are discussed. The optical/MMIC interface and the desired characteristics of optical integrated circuits (OIC's) for such an interface are described. Anticipated fabrication considerations for eventual full monolithic integration of optical integrated circuits with MMIC's on a GaAs substrate are presented.

  3. Nitride surface passivation of GaAs nanowires: impact on surface state density.

    PubMed

    Alekseev, Prokhor A; Dunaevskiy, Mikhail S; Ulin, Vladimir P; Lvova, Tatiana V; Filatov, Dmitriy O; Nezhdanov, Alexey V; Mashin, Aleksander I; Berkovits, Vladimir L

    2015-01-14

    Surface nitridation by hydrazine-sulfide solution, which is known to produce surface passivation of GaAs crystals, was applied to GaAs nanowires (NWs). We studied the effect of nitridation on conductivity and microphotoluminescence (μ-PL) of individual GaAs NWs using conductive atomic force microscopy (CAFM) and confocal luminescent microscopy (CLM), respectively. Nitridation is found to produce an essential increase in the NW conductivity and the μ-PL intensity as well evidence of surface passivation. Estimations show that the nitride passivation reduces the surface state density by a factor of 6, which is of the same order as that found for GaAs/AlGaAs nanowires. The effects of the nitride passivation are also stable under atmospheric ambient conditions for six months.

  4. Dielectric functions, chemical and atomic compositions of the near surface layers of implanted GaAs by In+ ions

    NASA Astrophysics Data System (ADS)

    Kulik, M.; Kołodyńska, D.; Bayramov, A.; Drozdziel, A.; Olejniczak, A.; Żuk, J.

    2018-06-01

    The surfaces of (100) GaAs were irradiated with In+ ions. The implanted samples were isobaric annealed at 800 °C and then of dielectric function, the surface atomic concentrations of atoms and also the chemical composition of the near surface layers in these implanted semiconductor samples were obtained. The following investigation methods were used: spectroscopic ellipsometry (SE), Rutherford backscattering spectrometry analyses (RBSA) and X-ray photoelectron spectroscopy (XPS) in the study of the above mentioned quantities, respectively. The change of the shape spectra of the dielectric functions at about 3.0 eV phonon energy, diffusion of In+ ions as well as chemical composition changes were observed after ion implantation and the thermal treatment. Due to displacement of Ga ions from GaAs by the In+ ions the new chemical compound InAs was formed. The relative amounts Ga2O3 and As2O3 ratio increase in the native oxide layers with the fluences increase after the thermal treatment of the samples. Additionally, it was noticed that the quantities of InO2 increase with the increasing values of the irradiated ions before thermal treatment.

  5. Nonlinear absorption in AlGaAs/GaAs multiple quantum well structures grown by metalorganic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Lee, H. C.; Hariz, A.; Dapkus, P. D.; Kost, A.; Kawase, M.

    1987-01-01

    This paper reports the study of growth conditions for achieving the sharp exciton resonances and low-intensity saturation of these resonances in AlGaAs-GaAs multiple quantum well structures grown by metalorganic chemical vapor deposition. Low growth temperature is necessary to observe this sharp resonance feature at room temperature. The optimal growth conditions are a tradeoff between the high temperatures required for high quality AlGaAs and low temperatures required for high-purity GaAs. A strong optical saturation of the excitonic absorption has been observed. A saturation density as low as 250 W/sq cm is reported.

  6. High purity low dislocation GaAs single crystals

    NASA Technical Reports Server (NTRS)

    Chen, R. T.; Holmes, D. E.; Kirkpatrick, C. G.

    1982-01-01

    Recent advances in GaAs bulk crystal growth using the LEC (liquid encapsulated Czochralski) technique are described. The dependence of the background impurity concentration and the dislocation density distribution on the materials synthesis and growth conditions were investigated. Background impurity concentrations as low as 4 x 10 to the 15th power were observed in undoped LEC GaAs. The dislocation density in selected regions of individual ingots was very low, below the 3000 cm .3000/sq cm threshold. The average dislocation density over a large annular ring on the wafers fell below the 10000/sq cm level for 3 inch diameter ingots. The diameter control during the program advanced to a diameter variation along a 3 inch ingot less than 2 mm.

  7. Insertion of GaAs MMICs into EW systems

    NASA Astrophysics Data System (ADS)

    Schineller, E. R.; Pospishil, A.; Grzyb, J.

    1989-09-01

    Development activities on a microwave/mm-wave monolithic IC (MIMIC) program are described, as well as the methodology for inserting these GaAs IC chips into several EW systems. The generic EW chip set developed on the MIMIC program consists of 23 broadband chip types, including amplifiers, oscillators, mixers, switches, variable attenuators, power dividers, and power combiners. These chips are being designed for fabrication using the multifunction self-aligned gate process. The benefits from GaAs IC insertion are quantified by a comparison of hardware units fabricated with existing MIC and digital ECL technology and the same units manufactured with monolithic technology. It is found that major improvements in cost, reliability, size, weight, and performance can be realized. Examples illustrating the methodology for technology insertion are presented.

  8. Highly efficient single-junction GaAs thin-film solar cell on flexible substrate.

    PubMed

    Moon, Sunghyun; Kim, Kangho; Kim, Youngjo; Heo, Junseok; Lee, Jaejin

    2016-07-20

    There has been much interest in developing a thin-film solar cell because it is lightweight and flexible. The GaAs thin-film solar cell is a top contender in the thin-film solar cell market in that it has a high power conversion efficiency (PCE) compared to that of other thin-film solar cells. There are two common structures for the GaAs solar cell: n (emitter)-on-p (base) and p-on-n. The former performs better due to its high collection efficiency because the electron diffusion length of the p-type base region is much longer than the hole diffusion length of the n-type base region. However, it has been limited to fabricate highly efficient n-on-p single-junction GaAs thin film solar cell on a flexible substrate due to technical obstacles. We investigated a simple and fast epitaxial lift-off (ELO) method that uses a stress originating from a Cr/Au bilayer on a 125-μm-thick flexible substrate. A metal combination of AuBe/Pt/Au is employed as a new p-type ohmic contact with which an n-on-p single-junction GaAs thin-film solar cell on flexible substrate was successfully fabricated. The PCE of the fabricated single-junction GaAs thin-film solar cells reached 22.08% under air mass 1.5 global illumination.

  9. SEMICONDUCTOR TECHNOLOGY: GaAs surface wet cleaning by a novel treatment in revolving ultrasonic atomization solution

    NASA Astrophysics Data System (ADS)

    Zaijin, Li; Liming, Hu; Ye, Wang; Ye, Yang; Hangyu, Peng; Jinlong, Zhang; Li, Qin; Yun, Liu; Lijun, Wang

    2010-03-01

    A novel process for the wet cleaning of GaAs surface is presented. It is designed for technological simplicity and minimum damage generated within the GaAs surface. It combines GaAs cleaning with three conditions consisting of (1) removal of thermodynamically unstable species and (2) surface oxide layers must be completely removed after thermal cleaning, and (3) a smooth surface must be provided. Revolving ultrasonic atomization technology is adopted in the cleaning process. At first impurity removal is achieved by organic solvents; second NH4OH:H2O2:H2O = 1:1:10 solution and HCl: H2O2:H2O = 1:1:20 solution in succession to etch a very thin GaAs layer, the goal of the step is removing metallic contaminants and forming a very thin oxidation layer on the GaAs wafer surface; NH4OH:H2O = 1:5 solution is used as the removed oxide layers in the end. The effectiveness of the process is demonstrated by the operation of the GaAs wafer. Characterization of the oxide composition was carried out by X-ray photoelectron spectroscopy. Metal-contamination and surface morphology was observed by a total reflection X-ray fluorescence spectroscopy and atomic force microscope. The research results show that the cleaned surface is without contamination or metal contamination. Also, the GaAs substrates surface is very smooth for epitaxial growth using the rotary ultrasonic atomization technology.

  10. Radiation testing of GaAs on CRRES and LIPS experiment

    NASA Technical Reports Server (NTRS)

    Trumble, T. M.; Masloski, K.

    1984-01-01

    The radiation damage of solar cells has become a prime concern to the U.S. Air Force due to longer satellite lifetime requirements. Flight experiments were undertaken on the Navy Living Plume Shield (LPS) satellite and the NASA/Air Force Combined Release and Radiation Effects Satellite (CRRES) to complement existing radiation testing. Each experiment, the rationale behind it, and its approach and status are presented. The effect of space radiation on gallium arsenide (GaAs) solar cells was the central parameter investigated. Specifications of the GaAs solar cells are given.

  11. Core-level photoemission investigation of atomic-fluorine adsorption on GaAs(110)

    NASA Astrophysics Data System (ADS)

    McLean, A. B.; Terminello, L. J.; McFeely, F. R.

    1989-12-01

    The adsorption of atomic F on the cleaved GaAs(110) surface has been studied with use of high-resolution core-level photoelectron spectroscopy by exposing the GaAs(110) surfaces to XeF2, which adsorbs dissociatively, leaving atomic F behind. This surface reaction produces two chemically shifted components in the Ga 3d core-level emission which are attributed to an interfacial monofluoride and a stable trifluoride reaction product, respectively. The As 3d core level develops only one chemically shifted component and from its exposure-dependent behavior it is attributed to an interfacial monofluoride. Least-squares analysis of the core-level line shapes revealed that (i) the F bonds to both the anion and the cation , (ii) the GaF3 component (characteristic of strong interfacial reaction) and the surface core-level shifted component (characteristic of a well ordered, atomically clean surface) are present together over a relatively large range of XeF2 exposures, and (iii) it is the initial disruption of the GaAs(110) surface that is the rate-limiting step in this surface reaction. These results are compared with similar studies of Cl and O adsorption on GaAs(110).

  12. Self-catalyzed GaAs nanowires on silicon by hydride vapor phase epitaxy.

    PubMed

    Dong, Zhenning; André, Yamina; Dubrovskii, Vladimir G; Bougerol, Catherine; Leroux, Christine; Ramdani, Mohammed R; Monier, Guillaume; Trassoudaine, Agnès; Castelluci, Dominique; Gil, Evelyne

    2017-03-24

    Gold-free GaAs nanowires on silicon substrates can pave the way for monolithic integration of photonic nanodevices with silicon electronic platforms. It is extensively documented that the self-catalyzed approach works well in molecular beam epitaxy but is much more difficult to implement in vapor phase epitaxies. Here, we report the first gallium-catalyzed hydride vapor phase epitaxy growth of long (more than 10 μm) GaAs nanowires on Si(111) substrates with a high integrated growth rate up to 60 μm h -1 and pure zincblende crystal structure. The growth is achieved by combining a low temperature of 600 °C with high gaseous GaCl/As flow ratios to enable dechlorination and formation of gallium droplets. GaAs nanowires exhibit an interesting bottle-like shape with strongly tapered bases, followed by straight tops with radii as small as 5 nm. We present a model that explains the peculiar growth mechanism in which the gallium droplets nucleate and rapidly swell on the silicon surface but then are gradually consumed to reach a stationary size. Our results unravel the necessary conditions for obtaining gallium-catalyzed GaAs nanowires by vapor phase epitaxy techniques.

  13. Enhanced second-harmonic generation from resonant GaAs gratings.

    PubMed

    de Ceglia, D; D'Aguanno, G; Mattiucci, N; Vincenti, M A; Scalora, M

    2011-03-01

    We theoretically study second harmonic generation in nonlinear, GaAs gratings. We find large enhancement of conversion efficiency when the pump field excites the guided mode resonances of the grating. Under these circumstances the spectrum near the pump wavelength displays sharp resonances characterized by dramatic enhancements of local fields and favorable conditions for second-harmonic generation, even in regimes of strong linear absorption at the harmonic wavelength. In particular, in a GaAs grating pumped at 1064 nm, we predict second-harmonic conversion efficiencies approximately 5 orders of magnitude larger than conversion rates achievable in either bulk or etalon structures of the same material.

  14. Fermi level pinning at epitaxial Si on GaAs(100) interfaces

    NASA Astrophysics Data System (ADS)

    Silberman, J. A.; de Lyon, T. J.; Woodall, J. M.

    1991-12-01

    GaAs Schottky barrier contacts and metal-insulator-semiconductor structures that include thin epitaxial Si interfacial layers operate in a manner consistent with an unpinned Fermi level at the GaAs interface. These findings raise the question of whether this effect is an intrinsic property of the epitaxial GaAs(100)-Si interface. We have used x-ray photoemission spectroscopy to monitor the Fermi level position during in situ growth of thin epitaxial Si layers. In particular, films formed on heavily doped n- and p-type substrates were compared so as to use the large depletion layer fields available with high impurity concentration as a field-effect probe of the interface state density. The results demonstrate that epitaxial bonding at the interface alone is insufficient to eliminate Fermi level pinning, indicating that other mechanisms affect the interfacial charge balance in the devices that utilize Si interlayers.

  15. GaAs VLSI for aerospace electronics

    NASA Technical Reports Server (NTRS)

    Larue, G.; Chan, P.

    1990-01-01

    Advanced aerospace electronics systems require high-speed, low-power, radiation-hard, digital components for signal processing, control, and communication applications. GaAs VLSI devices provide a number of advantages over silicon devices including higher carrier velocities, ability to integrate with high performance optical devices, and high-resistivity substrates that provide very short gate delays, good isolation, and tolerance to many forms of radiation. However, III-V technologies also have disadvantages, such as lower yield compared to silicon MOS technology. Achieving very large scale integration (VLSI) is particularly important for fast complex systems. At very short gate delays (less than 100 ps), chip-to-chip interconnects severely degrade circuit clock rates. Complex systems, therefore, benefit greatly when as many gates as possible are placed on a single chip. To fully exploit the advantages of GaAs circuits, attention must be focused on achieving high integration levels by reducing power dissipation, reducing the number of devices per logic function, and providing circuit designs that are more tolerant to process and environmental variations. In addition, adequate noise margin must be maintained to ensure a practical yield.

  16. A new structure for comparing surface passivation materials of GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Desalvo, Gregory C.; Barnett, Allen M.

    1989-01-01

    The surface recombination velocity (S sub rec) for bare GaAs is typically as high as 10 to the 6th power to 10 to the 7th power cm/sec, which dramatically lowers the efficiency of GaAs solar cells. Early attempts to circumvent this problem by making an ultra thin junction (xj less than .1 micron) proved unsuccessful when compared to lowering S sub rec by surface passivation. Present day GaAs solar cells use an GaAlAs window layer to passivate the top surface. The advantages of GaAlAs in surface passivation are its high bandgap energy and lattice matching to GaAs. Although GaAlAs is successful in reducing the surface recombination velocity, it has other inherent problems of chemical instability (Al readily oxidizes) and ohmic contact formation. The search for new, more stable window layer materials requires a means to compare their surface passivation ability. Therefore, a device structure is needed to easily test the performance of different passivating candidates. Such a test device is described.

  17. Covalent attachment of TAT peptides and thiolated alkyl molecules on GaAs surfaces.

    PubMed

    Cho, Youngnam; Ivanisevic, Albena

    2005-07-07

    Four TAT peptide fragments were used to functionalize GaAs surfaces by adsorption from solution. In addition, two well-studied alkylthiols, mercaptohexadecanoic acid (MHA) and 1-octadecanethiol (ODT) were utilized as references to understand the structure of the TAT peptide monolayer on GaAs. The different sequences of TAT peptides were employed in recognition experiments where a synthetic RNA sequence was tested to verify the specific interaction with the TAT peptide. The modified GaAs surfaces were characterized by atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS), and Fourier transform infrared reflection absorption spectroscopy (FT-IRRAS). AFM studies were used to compare the surface roughness before and after functionalization. XPS allowed us to characterize the chemical composition of the GaAs surface and conclude that the monolayers composed of different sequences of peptides have similar surface chemistries. Finally, FT-IRRAS experiments enabled us to deduce that the TAT peptide monolayers have a fairly ordered and densely packed alkyl chain structure. The recognition experiments showed preferred interaction of the RNA sequence toward peptides with high arginine content.

  18. Divacancy complexes induced by Cu diffusion in Zn-doped GaAs

    NASA Astrophysics Data System (ADS)

    Elsayed, M.; Krause-Rehberg, R.; Korff, B.; Ratschinski, I.; Leipner, H. S.

    2013-08-01

    Positron annihilation spectroscopy was applied to investigate the nature and thermal behavior of defects induced by Cu diffusion in Zn-doped p-type GaAs crystals. Cu atoms were intentionally introduced in the GaAs lattice through thermally activated diffusion from a thin Cu capping layer at 1100 °C under defined arsenic vapor pressure. During isochronal annealing of the obtained Cu-diffused GaAs in the temperature range of 450-850 K, vacancy clusters were found to form, grow and finally disappear. We found that annealing at 650 K triggers the formation of divacancies, whereas further increasing in the annealing temperature up to 750 K leads to the formation of divacancy-copper complexes. The observations suggest that the formation of these vacancy-like defects in GaAs is related to the out-diffusion of Cu. Two kinds of acceptors are detected with a concentration of about 1016 - 1017 cm-3, negative ions and arsenic vacancy copper complexes. Transmission electron microscopy showed the presence of voids and Cu precipitates which are not observed by positron measurements. The positron binding energy to shallow traps is estimated using the positron trapping model. Coincidence Doppler broadening spectroscopy showed the presence of Cu in the immediate vicinity of the detected vacancies. Theoretical calculations suggested that the detected defect is VGaVAs-2CuGa.

  19. Aging behavior of Au-based ohmic contacts to GaAs

    NASA Technical Reports Server (NTRS)

    Fatemi, Navid S.

    1989-01-01

    Gold based alloys, commonly used as ohmic contacts for solar cells, are known to react readily with GaAs. It is shown that the contact interaction with the underlying GaAs can continue even at room temperature upon aging, altering both the electrical characteristics of the contacts and the nearby pn junction. Au-Ge-Ni as-deposited (no heat-treatment) contacts made to thin emitter (0.15 microns) GaAs diodes have shown severe shunting of the pn junction upon aging for several months at room temperature. The heat-treated contacts, despite showing degradation in contact resistance, did not affect the underlying pn junction. Au-Zn-Au contacts to p-GaAs emitter (0.2 microns) diodes, however, showed slight improvement in contact resistance upon 200 C isothermal annealing for several months, without degrading the pn junction. The effect of aging on electrical characteristics of the as-deposited and heat-treated contacts and the nearby pn junction, as well as on the surface morphology of the contacts are presented.

  20. Aging behavior of Au-based ohmic contacts to GaAs

    NASA Technical Reports Server (NTRS)

    Fatemi, Navid S.

    1988-01-01

    Gold based alloys, commonly used as ohmic contacts for solar cells, are known to react readily with GaAs. It is shown that the contact interaction with the underlying GaAs can continue even at room temperature upon aging, altering both the electrical characteristics of the contacts and the nearby pn junction. Au-Ge-Ni as-deposited (no heat treatment) contacts made to thin emitter (0.15 micrometer) GaAs diodes have shown severe shunting of the pn junction upon aging for several months at room temperature. The heat-treated contacts, despite showing degradation in contact resistance did not affect the underlying pn junction. Au-Zn-Au contacts to p-GaAs emitter (0.2 micrometer) diodes, however, showed slight improvement in contact resistance upon 200 C isothermal annealing for several months, without degrading the pn junction. The effect of aging on electrical characteristics of the as-deposited and heat-treated contacts and the nearby pn junction, as well as on the surface morphology of the contacts are presented.

  1. I-V curve hysteresis induced by gate-free charging of GaAs nanowires' surface oxide

    NASA Astrophysics Data System (ADS)

    Alekseev, P. A.; Geydt, P.; Dunaevskiy, M. S.; Lähderanta, E.; Haggrén, T.; Kakko, J.-P.; Lipsanen, H.

    2017-09-01

    The control of nanowire-based device performance requires knowledge about the transport of charge carriers and its limiting factors. We present the experimental and modeled results of a study of electrical properties of GaAs nanowires (NWs), considering their native oxide cover. Measurements of individual vertical NWs were performed by conductive atomic force microscopy (C-AFM). Experimental C-AFM observations with numerical simulations revealed the complex resistive behavior of NWs. A hysteresis of current-voltage characteristics of the p-doped NWs as-grown on substrates with different types of doping was registered. The emergence of hysteresis was explained by the trapping of majority carriers in the surface oxide layer near the reverse-biased barriers under the source-drain current. It was found that the accumulation of charge increases the current for highly doped p+-NWs on n+-substrates, while for moderately doped p-NWs on p+-substrates, charge accumulation decreases the current due to blocking of the conductive channel of NWs.

  2. Design Optimization of Ge/GaAs-Based Heterojunction Gate-All-Around (GAA) Arch-Shaped Tunneling Field-Effect Transistor (A-TFET).

    PubMed

    Seo, Jae Hwa; Yoon, Young Jun; Kang, In Man

    2018-09-01

    The Ge/GaAs-based heterojunction gate-all-around (GAA) arch-shaped tunneling field-effect transistor (A-TFET) have been designed and optimized using technology computer-aided design (TCAD) simulations. In our previous work, the silicon-based A-TFET was designed and demonstrated. However, to progress the electrical characteristics of A-TFET, the III-V compound heterojunction structures which has enhanced electrical properties must be adopted. Thus, the germanium with gallium arsenide (Ge/GaAs) is considered as key materials of A-TFET. The proposed device has a Ge-based p-doped source, GaAs-based i-doped channel and GaAs-based n-doped drain. Due to the critical issues of device performances, the doping concentration of source and channel region (Dsource, Dchannel), height of source region (Hsource) and epitaxially grown thickness of channel (tepi) was selected as design optimization variables of Ge/GaAs-based GAA A-TFET. The DC characteristics such as on-state current (ion), off-state current (ioff), subthreshold-swing (S) were of extracted and analyzed. Finally, the proposed device has a gate length (LG) of 90 nm, Dsource 5 × 1019 cm-3, Dchannel of 1018 cm-3, tepi of 4 nm, Hsource of 90 nm, R of 10 nm and demonstrate an ion of 2 mA/μm, S of 12.9 mV/dec.

  3. Study of strain boundary conditions and GaAs buffer sizes in InGaAs quantum dots

    NASA Technical Reports Server (NTRS)

    Oyafuso, F.; Klimeck, G.; Boykin, T. B.; Bowen, R. C.; Allmen, P. von

    2003-01-01

    NEMO 3-D has been developed for the simulation of electronic structure in self-assembled InGaAs quantum dots on GaAs substrates. Typical self-assembled quantum dots in that material system contain about 0.5 to 1 million atoms. Effects of strain by the surrounding GaAs buffer modify the electronic structure inside the quantum dot significantly and a large GaAs buffer must be included in the strain and electronic structure.

  4. Alternatives to Arsine: The Atmospheric Pressure Organometallic Chemical Vapor Deposition Growth of GaAs Using Triethylarsenic.

    DTIC Science & Technology

    1987-08-15

    SUPPLEMENTARY NOTATION 17. COSATI CODES 18 SUBJECT TERMS (Corinue on reverse if necessary and identify by block number) FIELD GROUP SUB-GROUP Epitaxy GaAs 9...Zr leiK m I141’ FIGURES 1 . Effect of Growth Parameters on Residual Doping Type ................... 7 2. Photoluminescence Spectrum of a GaAs Epilayer... 1 3 Successful homoepitaxial growth of high purity, unintentionally doped GaAs epilayers by organometallic chemical vapor deposition (OMCVD) has

  5. Study on the high-power semi-insulating GaAs PCSS with quantum well structure

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Luan, Chongbiao; Wang, Bo; Huang, Yupeng

    A high-power semi-insulating GaAs photoconductive semiconductor switch (PCSS) with quantum well structure was fabricated. The AlGaAs layer was deposited on the surface of the GaAs material, and the reflecting film and the antireflection film have been made on the surface of the GaAs and AlGaAs, respectively. When the prepared PCSS worked at a bias voltage of 9.8 kV and triggered by a laser pulse with an incident optical energy of 5.4 mJ, a wavelength of 1064 nm and an optical pulse width of 25 ns, the on-state resistance of the AlGaAs/GaAs PCSS was only 0.45 Ω, and the longevity ofmore » the AlGaAs/GaAs PCSS was larger than 10{sup 6} shots. The results show that this structure reduces the on-state resistance and extends the longevity of the GaAs PCSS.« less

  6. Dielectric Spectroscopy Study of ZnSe Grown by Physical Vapor Transport

    NASA Technical Reports Server (NTRS)

    Kokan, J.; Gerhardt, R.; Su, Ching-Hua

    1997-01-01

    The dielectric properties of ZnSe samples grown by physical vapor transport were measured as a function of frequency. Differences can be seen in the dielectric properties of samples grown under different conditions. The spectra of heat treated samples were also acquired and were found to exhibit significant deviations from those of the as grown crystals.

  7. Origin and enhancement of the 1.3 μm luminescence from GaAs treated by ion-implantation and flash lamp annealing

    NASA Astrophysics Data System (ADS)

    Gao, Kun; Prucnal, S.; Skorupa, W.; Helm, M.; Zhou, Shengqiang

    2013-09-01

    GaAs and GaAs based materials have outstanding optoelectronic properties and are widely used as light emitting media in devices. Many approaches have been applied to GaAs to generate luminescence at 0.88, 1.30, and 1.55 μm which are transmission windows of optical fibers. In this paper, we present the photoluminescence at 1.30 μm from deep level defects in GaAs treated by ion-implantation and flash lamp annealing (FLA). Such emission, which exhibits superior temperature stability, can be obtained from FLA treated virgin GaAs as well as doped GaAs. Indium-doping in GaAs can greatly enhance the luminescence. By photoluminescence, Raman measurements, and positron annihilation spectroscopy, we conclude that the origin of the 1.30 μm emission is from transitions between the VAs-donor and X-acceptor pairs.

  8. Measurement of electron beam polarization produced by photoemission from bulk GaAs using twisted light

    NASA Astrophysics Data System (ADS)

    Clayburn, Nathan; Dreiling, Joan; McCarter, James; Ryan, Dominic; Poelker, Matt; Gay, Timothy

    2012-06-01

    GaAs photocathodes produce spin polarized electron beams when illuminated with circularly polarized light with photon energy approximately equal to the bandgap energy [1, 2]. A typical polarization value obtained with bulk GaAs and conventional circularly polarized light is 35%. This study investigated the spin polarization of electron beams emitted from GaAs illuminated with ``twisted light,'' an expression that describes a beam of light having orbital angular momentum (OAM). In the experiment, 790nm laser light was focused to a near diffraction-limited spot size on the surface of the GaAs photocathode to determine if OAM might couple to valence band electron spin mediated by the GaAs lattice. Our polarization measurements using a compact retarding-field micro-Mott polarimeter [3] have established an upper bound on the polarization of the emitted electron beam of 2.5%. [4pt] [1] D.T. Pierce, F. Meier, P. Zurcher, Appl. Phys. Lett. 26 670 (1975).[0pt] [2] C.K. Sinclair, et al., PRSTAB 10 023501 (2007).[0pt] [3] J.L. McCarter, M.L. Stutzman, K.W. Trantham, T.G. Anderson, A.M. Cook, and T.J. Gay Nucl. Instrum. and Meth. A (2010).

  9. Nanoscale Footprints of Self-Running Gallium Droplets on GaAs Surface

    PubMed Central

    Wu, Jiang; Wang, Zhiming M.; Li, Alvason Z.; Benamara, Mourad; Li, Shibin; Salamo, Gregory J.

    2011-01-01

    In this work, the nanoscale footprints of self-driven liquid gallium droplet movement on a GaAs (001) surface will be presented and analyzed. The nanoscale footprints of a primary droplet trail and ordered secondary droplets along primary droplet trails are observed on the GaAs surface. A well ordered nanoterrace from the trail is left behind by a running droplet. In addition, collision events between two running droplets are investigated. The exposed fresh surface after a collision demonstrates a superior evaporation property. Based on the observation of droplet evolution at different stages as well as nanoscale footprints, a schematic diagram of droplet evolution is outlined in an attempt to understand the phenomenon of stick-slip droplet motion on the GaAs surface. The present study adds another piece of work to obtain the physical picture of a stick-slip self-driven mechanism in nanoscale, bridging nano and micro systems. PMID:21673965

  10. Negative differential velocity in ultradilute GaAs1-xNx alloys

    NASA Astrophysics Data System (ADS)

    Vogiatzis, N.; Rorison, J. M.

    2011-04-01

    We present theoretical results on steady state characteristics in bulk GaAs1-xNx alloys (x ≤ 0.2) using the single electron Monte-Carlo method. Two approaches have been used; the first assumes a GaAs band with a strong nitrogen scattering resonance and the second uses the band anti-crossing model, in which the localized N level interacts with the GaAs band strongly perturbing the conduction band. In the first model we observe two negative differential velocity peaks, the lower one associated with nitrogen scattering while the higher one with polar optical phonon emission accounting for the nonparabolicity effect. In the second model one negative differential velocity peak is observed associated with polar optical phonon emission. Good agreement with experimental low field mobility is obtained from the first model. We also comment on the results from both Models when the intervalley Г → L transfer is accounted for.

  11. Intrinsic Spin-Hall Effect in n-Doped Bulk GaAs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bernevig, B.Andrei; Zhang, Shou-Cheng; /Stanford U., Phys. Dept.

    2010-01-15

    We show that the bulk Dresselhauss (k{sup 3}) spin-orbit coupling term leads to an intrinsic spin-Hall effect in n-doped bulk GaAs, but without the appearance of uniform magnetization. The spin-Hall effect in strained and unstrained bulk GaAs has been recently observed experimentally by Kato et. al. [1]. We show that the experimental result is quantitatively consistent with the intrinsic spin-Hall effect due to the Dresselhauss term, when lifetime broadening is taken into account. On the other hand, extrinsic contribution to the spin-Hall effect is several orders of magnitude smaller than the observed effect.

  12. Ab initio study of GaAs(100) surface stability over As2, H2 and N2 as a model for vapor-phase epitaxy of GaAs1-xNx

    NASA Astrophysics Data System (ADS)

    Valencia, Hubert; Kangawa, Yoshihiro; Kakimoto, Koichi

    2015-12-01

    GaAs(100) c(4×4) surfaces were examined by ab initio calculations, under As2, H2 and N2 gas mixed conditions as a model for GaAs1-xNx vapor-phase epitaxy (VPE) on GaAs(100). Using a simple model consisting of As2 and H2 molecules adsorptions and As/N atom substitutions, it was shown to be possible to examine the crystal growth behavior considering the relative stability of the resulting surfaces against the chemical potential of As2, H2 and N2 gases. Such simple model allows us to draw a picture of the temperature and pressure stability domains for each surfaces that can be linked to specific growth conditions, directly. We found that, using this simple model, it is possible to explain the different N-incorporation regimes observed experimentally at different temperatures, and to predict the transition temperature between these regimes. Additionally, a rational explanation of N-incorporation ratio for each of these regimes is provided. Our model should then lead to a better comprehension and control of the experimental conditions needed to realize a high quality VPE of GaAs1-xNx.

  13. Terahertz pulse induced intervalley scattering in photoexcited GaAs.

    PubMed

    Su, F H; Blanchard, F; Sharma, G; Razzari, L; Ayesheshim, A; Cocker, T L; Titova, L V; Ozaki, T; Kieffer, J-C; Morandotti, R; Reid, M; Hegmann, F A

    2009-06-08

    Nonlinear transient absorption bleaching of intense few-cycle terahertz (THz) pulses is observed in photoexcited GaAs using opticalpump--THz-probe techniques. A simple model of the electron transport dynamics shows that the observed nonlinear response is due to THz-electric- field-induced intervalley scattering over sub-picosecond time scales as well as an increase in the intravalley scattering rate attributed to carrier heating. Furthermore, the nonlinear nature of the THz pulse transmission at high peak fields leads to a measured terahertz conductivity in the photoexcited GaAs that deviates significantly from the Drude behavior observed at low THz fields, emphasizing the need to explore nonlinear THz pulse interactions with materials in the time domain.

  14. Crystal growth of device quality GaAs in space

    NASA Technical Reports Server (NTRS)

    Gatos, Harry C.; Lagowski, Jacek

    1989-01-01

    The program on Crystal Growth of Device Quality GaAs in Space was initiated in 1977. The initial stage covering 1977 to 1984 was devoted strictly to ground-based research. By 1985 the program had evolved into its next logical stage aimed at space growth experiments; however, since the Challenger disaster, the program has been maintained as a ground-based program awaiting activation of experimentation in space. The overall prgram has produced some 80 original scientific publications on GaAs crystal growth, crystal characterization, and new approaches to space processing. Publication completed in the last three years are listed. Their key results are outlined and discussed in the twelve publications included as part of the report.

  15. High-Performance GaAs Nanowire Solar Cells for Flexible and Transparent Photovoltaics.

    PubMed

    Han, Ning; Yang, Zai-xing; Wang, Fengyun; Dong, Guofa; Yip, SenPo; Liang, Xiaoguang; Hung, Tak Fu; Chen, Yunfa; Ho, Johnny C

    2015-09-16

    Among many available photovoltaic technologies at present, gallium arsenide (GaAs) is one of the recognized leaders for performance and reliability; however, it is still a great challenge to achieve cost-effective GaAs solar cells for smart systems such as transparent and flexible photovoltaics. In this study, highly crystalline long GaAs nanowires (NWs) with minimal crystal defects are synthesized economically by chemical vapor deposition and configured into novel Schottky photovoltaic structures by simply using asymmetric Au-Al contacts. Without any doping profiles such as p-n junction and complicated coaxial junction structures, the single NW Schottky device shows a record high apparent energy conversion efficiency of 16% under air mass 1.5 global illumination by normalizing to the projection area of the NW. The corresponding photovoltaic output can be further enhanced by connecting individual cells in series and in parallel as well as by fabricating NW array solar cells via contact printing showing an overall efficiency of 1.6%. Importantly, these Schottky cells can be easily integrated on the glass and plastic substrates for transparent and flexible photovoltaics, which explicitly demonstrate the outstanding versatility and promising perspective of these GaAs NW Schottky photovoltaics for next-generation smart solar energy harvesting devices.

  16. Spontaneous perpendicular exchange bias effect in L10-MnGa/FeMn bilayers grown by molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Zhao, X. P.; Lu, J.; Mao, S. W.; Yu, Z. F.; Wei, D. H.; Zhao, J. H.

    2018-01-01

    We report on the spontaneous perpendicular exchange bias effect in as-grown L10-MnGa/FeMn bilayers. An FeMn layer with different thicknesses is introduced as an antiferromagnetic layer to couple with single-crystalline ferromagnetic L10-MnGa, which is epitaxially grown on a GaAs (001) substrate by molecular-beam epitaxy. The perpendicular exchange bias shows a strong dependence on both the thickness of the FeMn layer and the measurement temperature. A large spontaneous perpendicular exchange bias up to 8.9 kOe is achieved in L10-MnGa/FeMn bilayers at 5 K without any external magnetic treatment. The corresponding effective interfacial exchange energy Jeff is estimated to be 1.4 mJ/m2. The spontaneous perpendicular exchange bias effect in the (001) textured L10-MnGa/FeMn bilayers paves the way for spintronic devices based on exchange biased perpendicularly magnetized materials.

  17. Experimental investigation of millimeter-wave GaAs TED oscillators cooled to cryogenic temperatures

    NASA Astrophysics Data System (ADS)

    Rydberg, Anders; Kollberg, Erik

    1988-03-01

    The output power and operating (bias) point for 80-100-GHz GaAs TED oscillators have been investigated for temperatures between 300 and 40 K. It is shown experimentally that the power can be increased by as much as nearly four times by cooling the oscillator. The thermal design of the oscillator was studied for GaAs and InP TED oscillators.

  18. Single crystal and polycrystalline GaAs solar cells using AMOS technology

    NASA Technical Reports Server (NTRS)

    Stirn, R. J.; Yeh, Y. C. M.

    1976-01-01

    A description is given of current technology for fabricating single AMOS (antireflection-coated metal oxide semiconductor) solar cells, with attention given to thermal, plasma, and anodic oxidation, native oxide stripping, and X-ray photoelectron spectroscopy results. Some preliminary results are presented on the chemistry and electrical characterization of such cells, and the characteristics of cells fabricated on sliced polycrystalline GaAs wafers are examined. Consideration is also given to the recrystallization of evaporated Ge films for use as low-cost substrates for polycrystalline GaAs solar cells.

  19. ZnO films on /001/-cut (110)-propagating GaAs substrates for surface acoustic wave device applications

    NASA Technical Reports Server (NTRS)

    Hickernell, Frederick S.; Higgins, Robert J.; Jen, Cheng-Kuei; Kim, Yoonkee; Hunt, William D.

    1995-01-01

    A potential application for piezoelectric films substrates is the monolithic integration of surface acoustic wave (SAW) devices with GaAs electronics. Knowledge of the SAW properties of the layered structure is critical for the optimum and accurate design of such devices. The acoustic properties of ZnO films sputtered on /001/-cut group of (110) zone axes-propagating GaAs substrates are investigated in this article, including SAW velocity, effective piezoelectric coupling constant, propagation loss, diffraction, velocity surface, and reflectivity of shorted and open metallic gratings. The measurements of these essential SAW properties for the frequency range between 180 and 360 MHz have been performed using a knife-edge laser probe for film thicknesses over the range of 1.6-4 micron and with films of different grain sizes. The high quality of dc triode sputtered films was observed as evidenced by high K(sup 2) and low attenuation. The measurements of the velocity surface, which directly affects the SAW diffraction, on the bare and metalized ZnO on SiO2 or Si3N4 on /001/-cut GaAs samples are reported using two different techniques: (1) knife-edge laser probe, (2) line-focus-beam scanning acoustic microscope. It was found that near the group of (110) zone axes propagation direction, the focusing SAW property of the bare GaAs changes into a nonfocusing one for the layered structure, but a reversed phenomenon exists near the (100) direction. Furthermore, to some extent the diffraction of the substrate can be controlled with the film thickness. The reflectivity of shorted and open gratings are also analyzed and measured. Zero reflectivity is observed for a shorted grating. There is good agreement between the measured data and theoretical values.

  20. In-plane InSb nanowires grown by selective area molecular beam epitaxy on semi-insulating substrate.

    PubMed

    Desplanque, L; Bucamp, A; Troadec, D; Patriarche, G; Wallart, X

    2018-07-27

    In-plane InSb nanostructures are grown on a semi-insulating GaAs substrate using an AlGaSb buffer layer covered with a patterned SiO 2 mask and selective area molecular beam epitaxy. The shape of these nanostructures is defined by the aperture in the silicon dioxide layer used as a selective mask thanks to the use of an atomic hydrogen flux during the growth. Transmission electron microscopy reveals that the mismatch accommodation between InSb and GaAs is obtained in two steps via the formation of an array of misfit dislocations both at the AlGaSb buffer layer/GaAs and at the InSb nanostructures/AlGaSb interfaces. Several micron long in-plane nanowires (NWs) can be achieved as well as more complex nanostructures such as branched NWs. The electrical properties of the material are investigated by the characterization of an InSb NW MOSFET down to 77 K. The resulting room temperature field effect mobility values are comparable with those reported on back-gated MOSFETs based on InSb NWs obtained by vapor liquid solid growth or electrodeposition. This growth method paves the way to the fabrication of complex InSb-based nanostructures.

  1. Analysis of GAA/TTC DNA triplexes using nuclear magnetic resonance and electrospray ionization mass spectrometry.

    PubMed

    Mariappan, S V Santhana; Cheng, Xun; van Breemen, Richard B; Silks, Louis A; Gupta, Goutam

    2004-11-15

    The formation of a GAA/TTC DNA triplex has been implicated in Friedreich's ataxia. The destabilization of GAA/TTC DNA triplexes either by pH or by binding to appropriate ligands was analyzed by nuclear magnetic resonance (NMR) and positive-ion electrospray mass spectrometry. The triplexes and duplexes were identified by changes in the NMR chemical shifts of H8, H1, H4, 15N7, and 15N4. The lowest pH at which the duplex is detectable depends upon the overall stability and the relative number of Hoogsteen C composite function G to T composite function A basepairs. A melting pH (pHm) of 7.6 was observed for the destabilization of the (GAA)2T4(TTC)2T4(CTT)2 triplex to the corresponding Watson-Crick duplex and the T4(CTT)2 overhang. The mass spectrometric analyses of (TTC)6.(GAA)6 composite function(TTC)6 triplex detected ions due to both triplex and single-stranded oligonucleotides under acidic conditions. The triplex ions disappeared completely at alkaline pH. Duplex and single strands were detectable only at neutral and alkaline pH values. Mass spectrometric analyses also showed that minor groove-binding ligands berenil, netropsin, and distamycin and the intercalating ligand acridine orange destabilize the (TTC)6.(GAA)6 composite function (TTC)6 triplex. These NMR and mass spectrometric methods may function as screening assays for the discovery of agents that destabilize GAA/TTC triplexes and as general methods for the characterization of structure, dynamics, and stability of DNA and DNA-ligand complexes.

  2. High-efficiency thin-film GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Stirn, R. J.

    1979-01-01

    GaAs chemical vapor deposition (CVD) growth on single-crystal GaAs substrates was investigated over a temperature range of 600 to 750 C, As/GA mole-ratio range of 3 to 11, and gas molefraction range 5 x 10 to the minus 9th power to 7x 10 to the minus 7th power for H2S doping. GasAs CVD growth on recrystallized Ge films was investigated for a temperature range of 550 to 700 C, an As/GA mole ratio of 5, and for various H2S mole fraction. The highest efficiency cell observed on these films with 2 mm dots was 4.8% (8% when AR-coated). Improvements in fill factor and opencircuit voltage by about 40% each are required in order to obtain efficiencies of 15% or greater.

  3. Arsenic ambient conditions preventing surface degradation of GaAs during capless annealing at high temperatures

    NASA Technical Reports Server (NTRS)

    Kang, C. H.; Kondo, K.; Lagowski, J.; Gatos, H. C.

    1987-01-01

    Changes in surface morphology and composition caused by capless annealing of GaAs were studied as a function of annealing temperature, T(GaAs), and the ambient arsenic pressure controlled by the temperature, T(As), of an arsenic source in the annealing ampul. It was established that any degradation of the GaAs surface morphology could be completely prevented, providing that T(As) was more than about 0.315T(GaAs) + 227 C. This empirical relationship is valid up to the melting point temperature of GaAs (1238 C), and it may be useful in some device-processing steps.

  4. Optical detectors for GaAs MMIC integration: Technology assessment

    NASA Technical Reports Server (NTRS)

    Claspy, P. C.; Bhasin, K. B.

    1989-01-01

    Fiber optic links are being considered to transmit digital and analog signals in phased array antenna feed networks in space communications systems. The radiating elements in these arrays will be GaAs monolithic microwave integrated circuits (MMIC's) in numbers ranging from a few hundred to several thousand. If such optical interconnects are to be practical it appears essential that the associated components, including detectors, be monolithically integrated on the same chip as the microwave circuitry. The general issue of monolithic integration of microwave and optoelectronic components is addressed from the point of view of fabrication technology and compatibility. Particular attention is given to the fabrication technology of various types of GaAs optical detectors that are designed to operate at a wavelength of 830 nm.

  5. A semi-empirical model for the complete orientation dependence of the growth rate for vapor phase epitaxy - Chloride VPE of GaAs

    NASA Technical Reports Server (NTRS)

    Seidel-Salinas, L. K.; Jones, S. H.; Duva, J. M.

    1992-01-01

    A semi-empirical model has been developed to determine the complete crystallographic orientation dependence of the growth rate for vapor phase epitaxy (VPE). Previous researchers have been able to determine this dependence for a limited range of orientations; however, our model yields relative growth rate information for any orientation. This model for diamond and zincblende structure materials is based on experimental growth rate data, gas phase diffusion, and surface reactions. Data for GaAs chloride VPE is used to illustrate the model. The resulting growth rate polar diagrams are used in conjunction with Wulff constructions to simulate epitaxial layer shapes as grown on patterned substrates. In general, this model can be applied to a variety of materials and vapor phase epitaxy systems.

  6. Dopant diffusion and segregation in semiconductor heterostructures: Part III, diffusion of Si into GaAs

    NASA Astrophysics Data System (ADS)

    Chen, C.-H.; Gösele, U. M.; Tan, T. Y.

    We have mentioned previously that in the third part of the present series of papers, a variety of n-doping associated phenomena will be treated. Instead, we have decided that this paper, in which the subject treated is diffusion of Si into GaAs, shall be the third paper of the series. This choice is arrived at because this subject is a most relevent heterostructure problem, and also because of space and timing considerations. The main n-type dopant Si in GaAs is amphoteric which may be incorporated as shallow donor species SiGa+ and as shallow acceptor species SiAs-. The solubility of SiAs- is much lower than that of SiGa+ except at very high Si concentration levels. Hence, a severe electrical self-compensation occurs at very high Si concentrations. In this study we have modeled the Si distribution process in GaAs by assuming that the diffusing species is SiGa+ which will convert into SiAs- in accordance with their solubilities and that the point defect species governing the diffusion of SiGa+ are triply-negatively-charged Ga vacancies VGa3-. The outstanding features of the Si indiffusion profiles near the Si/GaAs interface have been quantitatively explained for the first time. Deposited on the GaAs crystal surface, the Si source material is a polycrystalline Si layer which may be undoped or n+-doped using As or P. Without the use of an As vapor phase in the ambient, the As- and P-doped source materials effectively render the GaAs crystals into an As-rich composition, which leads to a much more efficient Si indiffusion process than for the case of using undoped source materials which maintains the GaAs crystals in a relatively As-poor condition. The source material and the GaAs crystal together form a heterostructure with its junction influencing the electron distribution in the region, which, in turn, affects the Si indiffusion process prominently.

  7. Technology of GaAs metal-oxide-semiconductor solar cells

    NASA Technical Reports Server (NTRS)

    Stirn, R. J.; Yeh, Y. C. M.

    1977-01-01

    The growth of an oxide interfacial layer was recently found to increase the open-circuit voltage (OCV) and efficiency by up to 60 per cent in GaAs metal-semiconductor solar cells. Details of oxidation techniques to provide the necessary oxide thickness and chemical structure and using ozone, water-vapor-saturated oxygen, or oxygen gas discharges are described, as well as apparent crystallographic orientation effects. Preliminary results of the oxide chemistry obtained from X-ray, photoelectron spectroscopy are given. Ratios of arsenic oxide to gallium oxide of unity or less seem to be preferable. Samples with the highest OVC predominantly have As(+3) in the arsenic oxide rather than As(+5). A major difficulty at this time is a reduction in OCV by 100-200 mV when the antireflection coating is vacuum deposited.

  8. The 20 GHz power GaAs FET development

    NASA Technical Reports Server (NTRS)

    Crandell, M.

    1986-01-01

    The development of power Field Effect Transistors (FET) operating in the 20 GHz frequency band is described. The major efforts include GaAs FET device development (both 1 W and 2 W devices), and the development of an amplifier module using these devices.

  9. Electrical isolation, thermal stability and rf loss in a multilayer GaAs planar doped barrier diode structure bombarded by H+ and Fe+ ions

    NASA Astrophysics Data System (ADS)

    Vo, V. T.; Koon, K. L.; Hu, Z. R.; Dharmasiri, C. N.; Subramaniam, S. C.; Rezazadeh, A. A.

    2004-04-01

    Electrical isolation in multilayer GaAs planar doped barrier (PDB) diode structures produced by H+ and Fe+ ion implantation were investigated. For an H+ bombardment with a dose of 1×1015cm-2, a sheet resistivity as high as 3×108 Ω/sq and thermal stability up to 400 °C has been achieved. For samples bombarded by Fe+ ions, a similar high sheet resistivity has also been achieved although a longer annealing time (15 min) and a higher annealing temperature (550 °C) were needed. The rf dissipation losses of coplanar waveguide (CPW) "thru" lines fabricated on bombarded multilayer PDBD structure samples were also examined. The measured rf losses were 1.65 dB/cm at 10 GHz and 3 dB/cm at 40 GHz, similar to the values that a CPW line exhibits on a semi-isolating GaAs substrate.

  10. Temperature dependence of stacking faults in catalyst-free GaAs nanopillars.

    PubMed

    Shapiro, Joshua N; Lin, Andrew; Ratsch, Christian; Huffaker, D L

    2013-11-29

    Impressive opto-electronic devices and transistors have recently been fabricated from GaAs nanopillars grown by catalyst-free selective-area epitaxy, but this growth technique has always resulted in high densities of stacking faults. A stacking fault occurs when atoms on the growing (111) surface occupy the sites of a hexagonal-close-pack (hcp) lattice instead of the normal face-centered-cubic (fcc) lattice sites. When stacking faults occur consecutively, the crystal structure is locally wurtzite instead of zinc-blende, and the resulting band offsets are known to negatively impact device performance. Here we present experimental and theoretical evidence that indicate stacking fault formation is related to the size of the critical nucleus, which is temperature dependent. The difference in energy between the hcp and fcc orientation of small nuclei is computed using density-function theory. The minimum energy difference of 0.22 eV is calculated for a nucleus with 21 atoms, so the population of nuclei in the hcp orientation is expected to decrease as the nucleus grows larger. The experiment shows that stacking fault occurrence is dramatically reduced from 22% to 3% by raising the growth temperature from 730 to 790 ° C. These data are interpreted using classical nucleation theory which dictates a larger critical nucleus at higher growth temperature.

  11. Cryogenic measurements of aerojet GaAs n-JFETs

    NASA Technical Reports Server (NTRS)

    Goebel, John H.; Weber, Theodore T.

    1993-01-01

    The spectral noise characteristics of Aerojet gallium arsenide (GaAs) junction field effect transistors (JFET's) have been investigated down to liquid-helium temperatures. Noise characterization was performed with the field effect transistor (FET) in the floating-gate mode, in the grounded-gate mode to determine the lowest noise readings possible, and with an extrinsic silicon photodetector at various detector bias voltages to determine optimum operating conditions. The measurements indicate that the Aerojet GaAs JFET is a quiet and stable device at liquid helium temperatures. Hence, it can be considered a readout line driver or infrared detector preamplifier as well as a host of other cryogenic applications. Its noise performance is superior to silicon (Si) metal oxide semiconductor field effect transistor (MOSFET's) operating at liquid helium temperatures, and is equal to the best Si n channel junction field effect transistor (n-JFET's) operating at 300 K.

  12. GaAs nanowire array solar cells with axial p-i-n junctions.

    PubMed

    Yao, Maoqing; Huang, Ningfeng; Cong, Sen; Chi, Chun-Yung; Seyedi, M Ashkan; Lin, Yen-Ting; Cao, Yu; Povinelli, Michelle L; Dapkus, P Daniel; Zhou, Chongwu

    2014-06-11

    Because of unique structural, optical, and electrical properties, solar cells based on semiconductor nanowires are a rapidly evolving scientific enterprise. Various approaches employing III-V nanowires have emerged, among which GaAs, especially, is under intense research and development. Most reported GaAs nanowire solar cells form p-n junctions in the radial direction; however, nanowires using axial junction may enable the attainment of high open circuit voltage (Voc) and integration into multijunction solar cells. Here, we report GaAs nanowire solar cells with axial p-i-n junctions that achieve 7.58% efficiency. Simulations show that axial junctions are more tolerant to doping variation than radial junctions and lead to higher Voc under certain conditions. We further study the effect of wire diameter and junction depth using electrical characterization and cathodoluminescence. The results show that large diameter and shallow junctions are essential for a high extraction efficiency. Our approach opens up great opportunity for future low-cost, high-efficiency photovoltaics.

  13. Elastomeric nanoparticle composites covalently bound to Al2O3/GaAs surfaces.

    PubMed

    Song, Hyon Min; Ye, Peide D; Ivanisevic, Albena

    2007-08-28

    This article reports the modification of Al2O3/GaAs surfaces with multifunctional soft materials. Siloxane elastomers were covalently bound to dopamine-modified Al2O3/GaAs semiconductor surfaces using MPt (M = Fe, Ni) nanoparticles. The sizes of the monodisperse FePt and NiPt nanoparticles were less than 5 nm. The surfaces of the nanoparticles as well as the Al2O3/GaAs substrates were modified with allyl-functionalized dopamine that utilized a dihydroxy group as a strong ligand. The immobilization of the elastomers was performed via a hydrosilation reaction of the allyl-functionalized dopamines with the siloxane backbones. X-ray photoelectron spectroscopy (XPS) experiments confirmed the covalent bonding of the siloxane elastomers to the oxide layer on the semiconductor surface. Fourier transform-infrared reflection absorption spectroscopy (FT-IRRAS) measurements revealed that the allyl functional groups are bonded to the siloxane backbones. The FT-IRRAS data also showed that the density of the allyl groups on the surface was lower than that of the siloxane backbones. The mechanical properties of the surface-bound nanocomposites were tested using nanoindentation experiments. The nanoindentation data showed that the soft matrix composed of the elastomeric coating on the surfaces behaves differently from the inner, hard Al2O3/GaAs substrate.

  14. Growth of GaAs crystals from the melt in a partially confined configuration

    NASA Technical Reports Server (NTRS)

    Gatos, Harry C.; Lagowski, Jacek

    1988-01-01

    The experimental approach was directed along two main goals: (1) the implementation of an approach to melt growth in a partially confined configuration; and (2) the investigation of point defect interaction and electronic characteristics as related to thermal treatment following solidification and stoichiometry. Significant progress was made along both fronts. Crystal growth of GaAs in triangular ampuls was already carried out successfully and consistent with the model. In fact, pronounced surface tension phenomena which cannot be observed in ordinary confinement system were identified and should premit the assessment of Maragoni effects prior to space processing. Regarding thermal treatment, it was discovered that the rate of cooling from elevated temperatures is primarily responsible for a whole class of defect interactions affecting the electronic characteristics of GaAs and that stoichiometry plays a critical role in the quality of GaAs.

  15. Quantum-confinement effects on conduction band structure of rectangular cross-sectional GaAs nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tanaka, H., E-mail: tanaka@semicon.kuee.kyoto-u.ac.jp; Morioka, N.; Mori, S.

    2014-02-07

    The conduction band structure and electron effective mass of GaAs nanowires with various cross-sectional shapes and orientations were calculated by two methods, a tight-binding method and an effective mass equation taking the bulk full-band structure into account. The effective mass of nanowires increases as the cross-sectional size decreases, and this increase in effective mass depends on the orientations and substrate faces of nanowires. Among [001], [110], and [111]-oriented rectangular cross-sectional GaAs nanowires, [110]-oriented nanowires with wider width along the [001] direction showed the lightest effective mass. This dependence originates from the anisotropy of the Γ valley of bulk GaAs. Themore » relationship between effective mass and bulk band structure is discussed.« less

  16. Interface states and internal photoemission in p-type GaAs metal-oxide-semiconductor surfaces

    NASA Technical Reports Server (NTRS)

    Kashkarov, P. K.; Kazior, T. E.; Lagowski, J.; Gatos, H. C.

    1983-01-01

    An interface photodischarge study of p-type GaAs metal-oxide-semiconductor (MOS) structures revealed the presence of deep interface states and shallow donors and acceptors which were previously observed in n-type GaAs MOS through sub-band-gap photoionization transitions. For higher photon energies, internal photoemission was observed, i.e., injection of electrons to the conduction band of the oxide from either the metal (Au) or from the GaAs valence band; the threshold energies were found to be 3.25 and 3.7 + or - 0.1 eV, respectively. The measured photoemission current exhibited a thermal activation energy of about 0.06 eV, which is consistent with a hopping mechanism of electron transport in the oxide.

  17. Reduced interface spin polarization by antiferromagnetically coupled Mn segregated to the C o2MnSi /GaAs (001) interface

    NASA Astrophysics Data System (ADS)

    Rath, Ashutosh; Sivakumar, Chockalingam; Sun, C.; Patel, Sahil J.; Jeong, Jong Seok; Feng, J.; Stecklein, G.; Crowell, Paul A.; Palmstrøm, Chris J.; Butler, William H.; Voyles, Paul M.

    2018-01-01

    We have investigated the interfacial structure and its correlation with the calculated spin polarization in C o2MnSi /GaAs(001) lateral spin valves. C o2MnSi (CMS) films were grown on As-terminated c(4 ×4 ) GaAs(100) by molecular beam epitaxy using different first atomic layers: MnSi, Co, and Mn. Atomically resolved Z -contrast scanning transmission electron microscopy (STEM) imaging and electron energy loss spectroscopy (EELS) were used to develop atomic structural models of the CMS/GaAs interfaces that were used as inputs for first-principles calculations to understand the magnetic and electronic properties of the interface. First-principles structures were relaxed and then validated by comparing experimental and simulated high-resolution STEM images. STEM-EELS results show that all three films have similar six atomic layer thick, Mn- and As-rich multilayer interfaces. However, the Co-initiated interface contains a M n2As -like layer, which is antiferromagnetic, and which is not present in the other two interfaces. Density functional theory calculations show a higher degree of interface spin polarization in the Mn- and MnSi-initiated cases, compared to the Co-initiated case, although none of the interfaces are half-metallic. The loss of half-metallicity is attributed, at least in part, to the segregation of Mn at the interface, which leads to the formation of interface states. The implications for the performance of lateral spin valves based on these interfaces are discussed briefly.

  18. New dynamic FET logic and serial memory circuits for VLSI GaAs technology

    NASA Technical Reports Server (NTRS)

    Eldin, A. G.

    1991-01-01

    The complexity of GaAs field effect transistor (FET) very large scale integration (VLSI) circuits is limited by the maximum power dissipation while the uniformity of the device parameters determines the functional yield. In this work, digital GaAs FET circuits are presented that eliminate the DC power dissipation and reduce the area to 50% of that of the conventional static circuits. Its larger tolerance to device parameter variations results in higher functional yield.

  19. Photoreflectance from GaAs and GaAs/GaAs interfaces

    NASA Astrophysics Data System (ADS)

    Sydor, Michael; Angelo, James; Wilson, Jerome J.; Mitchel, W. C.; Yen, M. Y.

    1989-10-01

    Photoreflectance from semi-insulating GaAs, and GaAs/GaAs interfaces, is discussed in terms of its behavior with temperature, doping, epilayer thickness, and laser intensity. Semi-insulating substrates show an exciton-related band-edge signal below 200 K and an impurity-related photoreflectance above 400 K. At intermediate temperatures the band-edge signal from thin GaAs epilayers contains a contribution from the epilayer-substrate interface. The interface effect depends on the epilayer's thickness, doping, and carrier mobility. The effect broadens the band-edge photoreflectance by 5-10 meV, and artifically lowers the estimates for the critical-point energy, ECP, obtained through the customary third-derivative functional fit to the data.

  20. Electronic and optical properties of HEMT heterostructures with δ-Si doped GaAs/AlGaAs quantum rings — quantum well system

    NASA Astrophysics Data System (ADS)

    Sibirmovsky, Y. D.; Vasil'evskii, I. S.; Vinichenko, A. N.; Zhigunov, D. M.; Eremin, I. S.; Kolentsova, O. S.; Safonov, D. A.; Kargin, N. I.

    2017-11-01

    Samples of δ-Si doped AlGaAs/GaAs/AlGaAs HEMT heterostructures with GaAs quantum rings (QRs) on top of the quantum well (QW) were grown by molecular beam epitaxy and their properties were compared to the reference samples without QRs. The thickness of the QW was 6 - 10 nm for the samples with QRs and 20 nm for the reference samples. Photoluminescence measurements at low temperatures for all samples show at least two distinct lines in addition to the bulk GaAs line. The Hall effect and low temperature magnetotransport measurements at 4 - 320 K show that conductivity with and without illumination decreases significantly with QRs introduction, however the relative photoconductivity increases. Samples with 6 nm QW are insulating, which could be caused by the strong localization of the charge carriers in the QRs.

  1. Numerical analysis of the output waveguide design for 1.55 μm square microcavity lasers directly grown on GaAs substrates

    NASA Astrophysics Data System (ADS)

    Ma, Xing; Wang, Jun; Cheng, Zhuo; Yang, Zeyuan; Hu, Haiyang; Wang, Wei; Yin, Haiying; Huang, Yongqing; Ren, Xiaomin

    2018-07-01

    We report a structure design of 1.55 μm square microcavity lasers monolithically integrated on GaAs substrates. The mode characteristics of the microcavity lasers are numerically investigated by three-dimensional finite-difference time-domain method. The dependences of the high-quality factor modes on the side length of the microcavity, the width of the output waveguide and the etching depth are investigated in detail. The results demonstrate, for the microcavity structure with the side length of 12 μm, the output waveguide width of 1.0 μm and the etching depth of 3.55 μm, it is optimal to excite high-quality factor modes around wavelength of 1.55 μm. The mode wavelength and the mode quality factor are 1547.46 nm and 2416.28, respectively. The quality factor degrades rapidly with the waveguide width increasing, and increases with increasing etching depth.

  2. Surface reflectance anisotropy of indium-terminated GaAs(100) surfaces

    NASA Astrophysics Data System (ADS)

    Springer, C.; Resch-Esser, U.; Goletti, C.; Richter, W.; Fimland, B. O.

    1997-04-01

    The growth of thin indium-layers on the GaAs(100) As-rich {(2 × 4)}/{c(2 × 8) } surface has been investigated by reflectance anisotropy spectroscopy (RAS), LEED and AES. Clean surfaces of the {(2 × 4)}/{c(2 × 8) } reconstruction were prepared in UHV by thermal desorption of a protective arsenic layer deposited on homoepitaxially grown MBE layers. Room temperature deposition of indium on the {(2 × 4)}/{c(2 × 8) } surface and subsequent annealing at 450°C leads to a 90° rotation of symmetry in the LEED pattern at a threshold coverage of 0.5 monolayers, i.e. a change from the {(2 × 4)}/{c(2 × 8) } to the {(4 × 2)}/{c(8 × 2) } reconstruction. The RAS spectra show the evolution of a distinct negative feature at 1.8 eV, that shifts to 2.1 eV after annealing, corresponding to optical transitions attributed to In-dimers orientated along the [011]-direction. AES analysis shows a change in growth mode beyond 0.5 ML indicating saturation of all available adsorption sites at this coverage and RAS spectra show a contribution from additional disordered In. The AES spectra display no evidence of a surface exchange reaction between gallium and arsenic atoms, thus indicating a surface termination by In-dimers adsorbed on a layer of As.

  3. Gallium arsenide (GaAs) power conversion concept

    NASA Technical Reports Server (NTRS)

    Nussberger, A. A.

    1980-01-01

    A summary design analysis of a GaAs power conversion system for the solar power satellite (SPS) is presented. Eight different satellite configuration options for the solar arrays are compared. Solar cell annealing effects after proton irradiation are considered. Mass estimates for the SPS and the effect of solar cell parameters on SPS array design are discussed.

  4. Effects of in-situ UV irradiation on the uniformity and optical properties of GaAsBi epi-layers grown by MBE

    NASA Astrophysics Data System (ADS)

    Beaton, Daniel A.; Steger, M.; Christian, T.; Mascarenhas, A.

    2018-02-01

    In-situ UV illumination influences the incorporation dynamics of bismuth adatom in GaAs. Here we use the inherent variation of the fluence across the sample to explore the role of the incident irradiation. With illumination it is found that steady state growth processes are achieved more quickly resulting in more abrupt interfaces, as well as uniform GaAs1-xBix epi-layers. Comparisons of low temperature photoluminescence spectra show an increasing density of clusters of incorporated bismuth atoms with decreasing incident fluence.

  5. Effects of in-situ UV irradiation on the uniformity and optical properties of GaAsBi epi-layers grown by MBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Beaton, Daniel A.; Steger, M.; Christian, T.

    In-situ UV illumination influences the incorporation dynamics of bismuth adatom in GaAs. Here we use the inherent variation of the fluence across the sample to explore the role of the incident irradiation. With illumination it is found that steady state growth processes are achieved more quickly resulting in more abrupt interfaces, as well as uniform GaAs 1-xBi x epi-layers. Comparisons of low temperature photoluminescence spectra show an increasing density of clusters of incorporated bismuth atoms with decreasing incident fluence.

  6. Effects of in-situ UV irradiation on the uniformity and optical properties of GaAsBi epi-layers grown by MBE

    DOE PAGES

    Beaton, Daniel A.; Steger, M.; Christian, T.; ...

    2017-12-14

    In-situ UV illumination influences the incorporation dynamics of bismuth adatom in GaAs. Here we use the inherent variation of the fluence across the sample to explore the role of the incident irradiation. With illumination it is found that steady state growth processes are achieved more quickly resulting in more abrupt interfaces, as well as uniform GaAs 1-xBi x epi-layers. Comparisons of low temperature photoluminescence spectra show an increasing density of clusters of incorporated bismuth atoms with decreasing incident fluence.

  7. Printed assemblies of GaAs photoelectrodes with decoupled optical and reactive interfaces for unassisted solar water splitting

    DOE PAGES

    Kang, Dongseok; Young, James L.; Lim, Haneol; ...

    2017-03-27

    Despite their excellent photophysical properties and record-high solar-to-hydrogen conversion efficiency, the high cost and limited stability of III-V compound semiconductors prohibit their practical application in solar-driven photoelectrochemical water splitting. Here in this paper we present a strategy for III-V photocatalysis that can circumvent these difficulties via printed assemblies of epitaxially grown compound semiconductors. A thin film stack of GaAs-based epitaxial materials is released from the growth wafer and printed onto a non-native transparent substrate to form an integrated photocatalytic electrode for solar hydrogen generation. The heterogeneously integrated electrode configuration together with specialized epitaxial design serve to decouple the material interfacesmore » for illumination and electrocatalysis. Subsequently, this allows independent control and optimization of light absorption, carrier transport, charge transfer, and material stability. Using this approach, we construct a series-connected wireless tandem system of GaAs photoelectrodes and demonstrate 13.1% solar-to-hydrogen conversion efficiency of unassisted-mode water splitting.« less

  8. Characterization of individual stacking faults in a wurtzite GaAs nanowire by nanobeam X-ray diffraction.

    PubMed

    Davtyan, Arman; Lehmann, Sebastian; Kriegner, Dominik; Zamani, Reza R; Dick, Kimberly A; Bahrami, Danial; Al-Hassan, Ali; Leake, Steven J; Pietsch, Ullrich; Holý, Václav

    2017-09-01

    Coherent X-ray diffraction was used to measure the type, quantity and the relative distances between stacking faults along the growth direction of two individual wurtzite GaAs nanowires grown by metalorganic vapour epitaxy. The presented approach is based on the general property of the Patterson function, which is the autocorrelation of the electron density as well as the Fourier transformation of the diffracted intensity distribution of an object. Partial Patterson functions were extracted from the diffracted intensity measured along the [000\\bar{1}] direction in the vicinity of the wurtzite 00\\bar{1}\\bar{5} Bragg peak. The maxima of the Patterson function encode both the distances between the fault planes and the type of the fault planes with the sensitivity of a single atomic bilayer. The positions of the fault planes are deduced from the positions and shapes of the maxima of the Patterson function and they are in excellent agreement with the positions found with transmission electron microscopy of the same nanowire.

  9. Characterization of individual stacking faults in a wurtzite GaAs nanowire by nanobeam X-ray diffraction

    PubMed Central

    Davtyan, Arman; Lehmann, Sebastian; Zamani, Reza R.; Dick, Kimberly A.; Bahrami, Danial; Al-Hassan, Ali; Leake, Steven J.; Pietsch, Ullrich; Holý, Václav

    2017-01-01

    Coherent X-ray diffraction was used to measure the type, quantity and the relative distances between stacking faults along the growth direction of two individual wurtzite GaAs nanowires grown by metalorganic vapour epitaxy. The presented approach is based on the general property of the Patterson function, which is the autocorrelation of the electron density as well as the Fourier transformation of the diffracted intensity distribution of an object. Partial Patterson functions were extracted from the diffracted intensity measured along the direction in the vicinity of the wurtzite Bragg peak. The maxima of the Patterson function encode both the distances between the fault planes and the type of the fault planes with the sensitivity of a single atomic bilayer. The positions of the fault planes are deduced from the positions and shapes of the maxima of the Patterson function and they are in excellent agreement with the positions found with transmission electron microscopy of the same nanowire. PMID:28862620

  10. Printed assemblies of GaAs photoelectrodes with decoupled optical and reactive interfaces for unassisted solar water splitting

    NASA Astrophysics Data System (ADS)

    Kang, Dongseok; Young, James L.; Lim, Haneol; Klein, Walter E.; Chen, Huandong; Xi, Yuzhou; Gai, Boju; Deutsch, Todd G.; Yoon, Jongseung

    2017-03-01

    Despite their excellent photophysical properties and record-high solar-to-hydrogen conversion efficiency, the high cost and limited stability of III-V compound semiconductors prohibit their practical application in solar-driven photoelectrochemical water splitting. Here we present a strategy for III-V photocatalysis that can circumvent these difficulties via printed assemblies of epitaxially grown compound semiconductors. A thin film stack of GaAs-based epitaxial materials is released from the growth wafer and printed onto a non-native transparent substrate to form an integrated photocatalytic electrode for solar hydrogen generation. The heterogeneously integrated electrode configuration together with specialized epitaxial design serve to decouple the material interfaces for illumination and electrocatalysis. Subsequently, this allows independent control and optimization of light absorption, carrier transport, charge transfer, and material stability. Using this approach, we construct a series-connected wireless tandem system of GaAs photoelectrodes and demonstrate 13.1% solar-to-hydrogen conversion efficiency of unassisted-mode water splitting.

  11. Possibility of a quasi-liquid layer of As on GaAs substrate grown by MBE as observed by enhancement of Ga desorption at high As pressure

    NASA Astrophysics Data System (ADS)

    Asai, K.; Feng, J. M.; Vaccaro, P. O.; Fujita, K.; Ohachi, T.

    2000-06-01

    The As vapor pressure dependence of the Ga desorption rate during molecular beam epitaxy (MBE) growth on GaAs( n11)A ( n=1-4 hereafter) substrates was studied by photoluminescence (PL) measurements at 12 K for undoped AlGaAs/GaAs asymmetric double quantum wells (ADQWs). Reflection high energy electron diffraction (RHEED) oscillation measurements on a GaAs(100) surface were also used. Two K-cells of As solid sources (corresponding to beam equivalent pressures (BEPs) of 9.0×10 -6 and 4.5×10 -5 Torr) were used to change the As pressure rapidly. The Ga flux and substrate temperature were kept constant at 0.76 ML/s and 12 K, respectively, while the As flux changed from 7.6 (BEP 9.0×10 -6 Torr) to 32 ML/s (4.5×10 -5 Torr). With increasing As pressure, two separated PL peaks for the wide well (WW) of high index substrates were observed. This peak separation is attributed to a reduced well depth from an increasing Ga desorption rate. The energy differences of the PL peak depending on the off-angle from (111)A to (100) plane indicates an orientation-dependent Ga desorption rate. Moreover, amongst all ( n11)A and (100) planes, the Ga desorption rate was smallest from the (111)A surface. The increase of Ga desorption from the surface at high As pressures probably arose from an increasing coverage with a quasi-liquid layer (QLL).

  12. Hb Beograd [beta121(GH4)Glu-->Val, GAA-->GTA] in the Turkish population.

    PubMed

    Atalay, Ayfer; Koyuncu, Hasan; Köseler, Aylin; Ozkan, Anzel; Atalay, Erol O

    2007-01-01

    Hb Beograd [beta121(GH4)Glu-->Val, GAA-->GTA] is a rare variant first reported in Yugoslavia and then in Turkey, Australia and New Zealand. We report two further unrelated cases from Turkey. The importance of identifying Hb Beograd at the molecular level, especially in regions where Hb D-Los Angeles [beta121(GH4)Glu-->Gln, GAA-->CAA] is prevalent, is emphasized.

  13. Damage effect and mechanism of the GaAs high electron mobility transistor induced by high power microwave

    NASA Astrophysics Data System (ADS)

    Yang, Liu; Chang-Chun, Chai; Yin-Tang, Yang; Jing, Sun; Zhi-Peng, Li

    2016-04-01

    In this paper, we present the damage effect and mechanism of high power microwave (HPM) on AlGaAs/GaAs pseudomorphic high-electron-mobility transistor (pHEMT) of low-noise amplifier (LNA). A detailed investigation is carried out by simulation and experiment study. A two-dimensional electro-thermal model of the typical GaAs pHEMT induced by HPM is established in this paper. The simulation result reveals that avalanche breakdown, intrinsic excitation, and thermal breakdown all contribute to damage process. Heat accumulation occurs during the positive half cycle and the cylinder under the gate near the source side is most susceptible to burn-out. Experiment is carried out by injecting high power microwave into GaAs pHEMT LNA samples. It is found that the damage to LNA is because of the burn-out at first stage pHEMT. The interiors of the damaged samples are observed by scanning electron microscopy (SEM) and energy dispersive spectrometer (EDS). Experimental results accord well with the simulation of our model. Project supported by the National Basic Research Program of China (Grant No. 2014CB339900) and the Open Fund of Key Laboratory of Complex Electromagnetic Environment Science and Technology, China Academy of Engineering Physics (Grant No. 2015-0214.XY.K).

  14. Electrophilic surface sites as precondition for the chemisorption of pyrrole on GaAs(001) surfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bruhn, Thomas; Leibniz-Institut für Analytische Wissenschaften - ISAS - e.V., Albert-Einstein-Str.9, 12489 Berlin; Fimland, Bjørn-Ove

    We report how the presence of electrophilic surface sites influences the adsorption mechanism of pyrrole on GaAs(001) surfaces. For this purpose, we have investigated the adsorption behavior of pyrrole on different GaAs(001) reconstructions with different stoichiometries and thus different surface chemistries. The interfaces were characterized by x-ray photoelectron spectroscopy, scanning tunneling microscopy, and by reflectance anisotropy spectroscopy in a spectral range between 1.5 and 5 eV. On the As-rich c(4 × 4) reconstruction that exhibits only nucleophilic surface sites, pyrrole was found to physisorb on the surface without any significant modification of the structural and electronic properties of the surface. Onmore » the Ga-rich GaAs(001)-(4 × 2)/(6 × 6) reconstructions which exhibit nucleophilic as well as electrophilic surface sites, pyrrole was found to form stable covalent bonds mainly to the electrophilic (charge deficient) Ga atoms of the surface. These results clearly demonstrate that the existence of electrophilic surface sites is a crucial precondition for the chemisorption of pyrrole on GaAs(001) surfaces.« less

  15. Overcoming Ehrlich-Schwöbel barrier in (1 1 1)A GaAs molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Ritzmann, Julian; Schott, Rüdiger; Gross, Katherine; Reuter, Dirk; Ludwig, Arne; Wieck, Andreas D.

    2018-01-01

    In this work, we first study the effect of different growth parameters on the molecular beam epitaxy (MBE) growth of GaAs layers on (1 1 1)A oriented substrates. After that we present a method for the MBE growth of atomically smooth layers by sequences of growth and annealing phases. The samples exhibit low surface roughness and good electrical properties shown by atomic force microscopy (AFM), scanning electron microscopy (SEM) and van-der-Pauw Hall measurements.

  16. Thermal stress cycling of GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Janousek, B. K.; Francis, R. W.; Wendt, J. P.

    1985-01-01

    A thermal cycling experiment was performed on GaAs solar cells to establish the electrical and structural integrity of these cells under the temperature conditions of a simulated low-Earth orbit of 3-year duration. Thirty single junction GaAs cells were obtained and tests were performed to establish the beginning-of-life characteristics of these cells. The tests consisted of cell I-V power output curves, from which were obtained short-circuit current, open circuit voltage, fill factor, and cell efficiency, and optical micrographs, spectral response, and ion microprobe mass analysis (IMMA) depth profiles on both the front surfaces and the front metallic contacts of the cells. Following 5,000 thermal cycles, the performance of the cells was reexamined in addition to any factors which might contribute to performance degradation. It is established that, after 5,000 thermal cycles, the cells retain their power output with no loss of structural integrity or change in physical appearance.

  17. Sn nanothreads in GaAs: experiment and simulation

    NASA Astrophysics Data System (ADS)

    Semenikhin, I.; Vyurkov, V.; Bugaev, A.; Khabibullin, R.; Ponomarev, D.; Yachmenev, A.; Maltsev, P.; Ryzhii, M.; Otsuji, T.; Ryzhii, V.

    2016-12-01

    The gated GaAs structures like the field-effect transistor with the array of the Sn nanothreads was fabricated via delta-doping of vicinal GaAs surface by Sn atoms with a subsequent regrowth. That results in the formation of the chains of Sn atoms at the terrace edges. Two device models were developed. The quantum model accounts for the quantization of the electron energy spectrum in the self-consistent two-dimensional electric potential, herewith the electron density distribution in nanothread arrays for different gate voltages is calculated. The classical model ignores the quantization and electrons are distributed in space according to 3D density of states and Fermi-Dirac statistics. It turned out that qualitatively both models demonstrate similar behavior, nevertheless, the classical one is in better quantitative agreement with experimental data. Plausibly, the quantization could be ignored because Sn atoms are randomly placed along the thread axis. The terahertz hot-electron bolometers (HEBs) could be based on the structure under consideration.

  18. Monolithic GaAs dual-gate FET phase shifter

    NASA Astrophysics Data System (ADS)

    Kumar, M.; Subbarao, S. N.; Menna, R.

    1981-09-01

    The objective of this program is to develop a monolithic GaAs dual-gate FET phase shifter, operating over the 4- to 8-GHz frequency band and capable of a continuously programmable phase shift from 0 deg through N times 360 deg where N is an integer. The phase shift is to be controllable to within +3 deg. This phase shifter will be capable of delivering an output power up to 0 dBm with an input and output VSWR of less than 1.5:1. Progress 1: The photomask of a 0 to 90 deg monolithic GaAs dual-gate FET phase shifter has been procured, and we are in the process of fabricating the phase shifter. 2: We have designed and fabricated a 50 ohm, 4-line interdigitated coupler. Also, we have designed and fabricated a 25-ohm, 6-line interdigitated coupler. The performance of both couplers agrees quite well with the theoretical results. Technical Problems: there was no major problem during this period.

  19. 28 percent efficient GaAs concentrator solar cells

    NASA Technical Reports Server (NTRS)

    Macmillan, H. F.; Hamaker, H. C.; Kaminar, N. R.; Kuryla, M. S.; Ladle Ristow, M.

    1988-01-01

    AlGaAs/GaAs heteroface solar concentrator cells which exhibit efficiencies in excess of 27 percent at high solar concentrations (over 400 suns, AM1.5D, 100 mW/sq cm) have been fabricated with both n/p and p/n configurations. The best n/p cell achieved an efficiency of 28.1 percent around 400 suns, and the best p/n cell achieved an efficiency of 27.5 percent around 1000 suns. The high performance of these GaAs concentrator cells compared to earlier high-efficiency cells was due to improved control of the metal-organic chemical vapor deposition growth conditions and improved cell fabrication procedures (gridline definition and edge passivation). The design parameters of the solar cell structures and optimized grid pattern were determined with a realistic computer modeling program. An evaluation of the device characteristics and a discussion of future GaAs concentrator cell development are presented.

  20. Use of a corrugated surface to enhance radiation tolerance in a GaAs solar cell

    NASA Technical Reports Server (NTRS)

    Leon, Rosa P.; Piszczor, Michael F., Jr.

    1985-01-01

    The use of a corrugated surface on a GaAs solar cell and its effects on radiation resistance were studied. A compute code was developed to determine the performance of the cell for various geometric parameters. The large optical absorption coefficient of GaAs allows grooves to be only 4-5 micrometers deep. Using accepted material parameters for GaAs solar cells the theoretical performances were compared for various corrugated cells before and after minority carrier diffusion length degradation. The total power output was maximized for both n(+)/p and p(+)/n cells. Optimum values of 1.0-1.5 and 5.0 micrometers for groove and ridge widths respectively were determined.