Sample records for galactinol synthase activity

  1. Evolutionary diversification of galactinol synthases in Rosaceae: adaptive roles of galactinol and raffinose during apple bud dormancy.

    PubMed

    Falavigna, Vítor da Silveira; Porto, Diogo Denardi; Miotto, Yohanna Evelyn; Santos, Henrique Pessoa Dos; Oliveira, Paulo Ricardo Dias de; Margis-Pinheiro, Márcia; Pasquali, Giancarlo; Revers, Luís Fernando

    2018-01-24

    Galactinol synthase (GolS) is a key enzyme in the biosynthetic pathway of raffinose family oligosaccharides (RFOs), which play roles in carbon storage, signal transduction, and osmoprotection. The present work assessed the evolutionary history of GolS genes across the Rosaceae using several bioinformatic tools. Apple (Malus × domestica) GolS genes were transcriptionally characterized during bud dormancy, in parallel with galactinol and raffinose measurements. Additionally, MdGolS2, a candidate to regulate seasonal galactinol and RFO content during apple bud dormancy, was functionally characterized in Arabidopsis. Evolutionary analyses revealed that whole genome duplications have driven GolS gene evolution and diversification in Rosaceae speciation. The strong purifying selection identified in duplicated GolS genes suggests that differential gene expression might define gene function better than protein structure. Interestingly, MdGolS2 was differentially expressed during bud dormancy, concomitantly with the highest galactinol and raffinose levels. One of the intrinsic adaptive features of bud dormancy is limited availability of free water; therefore, we generated transgenic Arabidopsis plants expressing MdGolS2. They showed higher galactinol and raffinose contents and increased tolerance to water deficit. Our results suggest that MdGolS2 is the major GolS responsible for RFO accumulation during apple dormancy, and these carbohydrates help to protect dormant buds against limited water supply. © The Author(s) 2018. Published by Oxford University Press on behalf of the Society for Experimental Biology. All rights reserved. For permissions, please email: journals.permissions@oup.com.

  2. Molecular cloning and expression of an encoding galactinol synthase gene (AnGolS1) in seedling of Ammopiptanthus nanus.

    PubMed

    Liu, YuDong; Zhang, Li; Chen, LiJing; Ma, Hui; Ruan, YanYe; Xu, Tao; Xu, ChuanQiang; He, Yi; Qi, MingFang

    2016-10-27

    Based on the galactinol synthase (AnGolS1) fragment sequence from a cold-induced Suppression Subtractive Hybridization (SSH) library derived from Ammopiptanthus nanus (A. nanus) seedlings, AnGolS1 mRNA (including the 5' UTR and 3' UTR) (GenBank accession number: GU942748) was isolated and characterized by rapid amplification of cDNA ends polymerase chain reaction (RACE-PCR). A substrate reaction test revealed that AnGolS1 possessed galactinol synthase activity in vitro and could potentially be an early-responsive gene. Furthermore, quantitative real-time PCR (qRT-PCR) indicated that AnGolS1 was responded to cold, salts and drought stresses, however, significantly up-regulated in all origans by low temperatures, especially in plant stems. In addition, the hybridization signals in the fascicular cambium were strongest in all cells under low temperature. Thus, we propose that AnGolS1 plays critical roles in A. nanus low-temperature stress resistance and that fascicular cambium cells could be involved in AnGolS1 mRNA transcription, galactinol transportation and coordination under low-temperature stress.

  3. Galactinol synthase transcriptional profile in two genotypes of Coffea canephora with contrasting tolerance to drought.

    PubMed

    Santos, Tiago Benedito Dos; de Lima, Rogério Barbosa; Nagashima, Getúlio Takashi; Petkowicz, Carmen Lucia de Oliveira; Carpentieri-Pípolo, Valéria; Pereira, Luiz Filipe Protasio; Domingues, Douglas Silva; Vieira, Luiz Gonzaga Esteves

    2015-05-01

    Increased synthesis of galactinol and raffinose family oligosaccharides (RFOs) has been reported in vegetative tissues in response to a range of abiotic stresses. In this work, we evaluated the transcriptional profile of a Coffea canephora galactinol synthase gene (CcGolS1) in two clones that differed in tolerance to water deficit in order to assess the contribution of this gene to drought tolerance. The expression of CcGolS1 in leaves was differentially regulated by water deficit, depending on the intensity of stress and the genotype. In clone 109A (drought-susceptible), the abundance of CcGolS1 transcripts decreased upon exposure to drought, reaching minimum values during recovery from severe water deficit and stress. In contrast, CcGolS1 gene expression in clone 14 (drought-tolerant) was stimulated by water deficit. Changes in galactinol and RFO content did not correlate with variation in the steady-state transcript level. However, the magnitude of increase in RFO accumulation was higher in the tolerant cultivar, mainly under severe water deficit. The finding that the drought-tolerant coffee clone showed enhanced accumulation of CcGolS1 transcripts and RFOs under water deficit suggests the possibility of using this gene to improve drought tolerance in this important crop.

  4. Galactinol synthase transcriptional profile in two genotypes of Coffea canephora with contrasting tolerance to drought

    PubMed Central

    Santos, Tiago Benedito Dos; de Lima, Rogério Barbosa; Nagashima, Getúlio Takashi; Petkowicz, Carmen Lucia de Oliveira; Carpentieri-Pípolo, Valéria; Pereira, Luiz Filipe Protasio; Domingues, Douglas Silva; Vieira, Luiz Gonzaga Esteves

    2015-01-01

    Increased synthesis of galactinol and raffinose family oligosaccharides (RFOs) has been reported in vegetative tissues in response to a range of abiotic stresses. In this work, we evaluated the transcriptional profile of a Coffea canephora galactinol synthase gene (CcGolS1) in two clones that differed in tolerance to water deficit in order to assess the contribution of this gene to drought tolerance. The expression of CcGolS1 in leaves was differentially regulated by water deficit, depending on the intensity of stress and the genotype. In clone 109A (drought-susceptible), the abundance of CcGolS1 transcripts decreased upon exposure to drought, reaching minimum values during recovery from severe water deficit and stress. In contrast, CcGolS1 gene expression in clone 14 (drought-tolerant) was stimulated by water deficit. Changes in galactinol and RFO content did not correlate with variation in the steady-state transcript level. However, the magnitude of increase in RFO accumulation was higher in the tolerant cultivar, mainly under severe water deficit. The finding that the drought-tolerant coffee clone showed enhanced accumulation of CcGolS1 transcripts and RFOs under water deficit suggests the possibility of using this gene to improve drought tolerance in this important crop. PMID:26273221

  5. Overexpression of an Arabidopsis thaliana galactinol synthase gene improves drought tolerance in transgenic rice and increased grain yield in the field.

    PubMed

    Selvaraj, Michael Gomez; Ishizaki, Takuma; Valencia, Milton; Ogawa, Satoshi; Dedicova, Beata; Ogata, Takuya; Yoshiwara, Kyouko; Maruyama, Kyonoshin; Kusano, Miyako; Saito, Kazuki; Takahashi, Fuminori; Shinozaki, Kazuo; Nakashima, Kazuo; Ishitani, Manabu

    2017-11-01

    Drought stress has often caused significant decreases in crop production which could be associated with global warming. Enhancing drought tolerance without a grain yield penalty has been a great challenge in crop improvement. Here, we report the Arabidopsis thaliana galactinol synthase 2 gene (AtGolS2) was able to confer drought tolerance and increase grain yield in two different rice (Oryza sativa) genotypes under dry field conditions. The developed transgenic lines expressing AtGolS2 under the control of the constitutive maize ubiquitin promoter (Ubi:AtGolS2) also had higher levels of galactinol than the non-transgenic control. The increased grain yield of the transgenic rice under drought conditions was related to a higher number of panicles, grain fertility and biomass. Extensive confined field trials using Ubi:AtGolS2 transgenic lines in Curinga, tropical japonica and NERICA4, interspecific hybrid across two different seasons and environments revealed the verified lines have the proven field drought tolerance of the Ubi:AtGolS2 transgenic rice. The amended drought tolerance was associated with higher relative water content of leaves, higher photosynthesis activity, lesser reduction in plant growth and faster recovering ability. Collectively, our results provide strong evidence that AtGolS2 is a useful biotechnological tool to reduce grain yield losses in rice beyond genetic differences under field drought stress. © 2017 The Authors. Plant Biotechnology Journal published by Society for Experimental Biology and The Association of Applied Biologists and John Wiley & Sons Ltd.

  6. Galactinol synthase from kidney bean cotyledon and zucchini leaf. Purification and N-terminal sequences.

    PubMed Central

    Liu, J J; Odegard, W; de Lumen, B O

    1995-01-01

    Galactinol synthase (GS) was purified 1591-fold with a 3.9% recovery from the cotyledon of kidney bean (Phaseolus vulgaris) by a novel scheme consisting of ammonium sulfate fractionation followed by diethylaminoethyl, Affi-Gel Blue, and UDP-hexanolamine affinity chromatography. The purified enzyme had a specific activity of 8.75 mumol mg-1 min-1, a pH optimum of 7.0, and requirements for manganese ion and DTT. The enzyme exhibited a Km = 0.4 mM for UDP-galactose and a Km = 4.5 mM for myo-inositol. It was identified as a 38-kD peptide that co-purified with a 41- and a 43-kD peptide as shown by sodium dodecyl sulfate-polyacrylamide gel electrophoresis (SDS-PAGE). Purification to homogeneity was achieved by isolating the 38-kD peptide from the SDS-PAGE gel. To clarify conflicting reports in the literature about the relative molecular mass of purified GS from zucchini leaf (Cucurbita pepo), a similar scheme with modified eluting conditions was used to purify GS from this source. Zucchini leaf GS was purified to homogeneity and identified as a 36-kD peptide on SDS-PAGE. Partial N-terminal sequences of the 38-kD peptide from kidney bean cotyledon and the 36-kD peptide from zucchini leaf were obtained. To facilitate identification of GS during the purification, an assay utilizing thin-layer chromatography and an isotopic analytic imaging scanner was developed. PMID:7480343

  7. A WRKY transcription factor participates in dehydration tolerance in Boea hygrometrica by binding to the W-box elements of the galactinol synthase (BhGolS1) promoter.

    PubMed

    Wang, Zhi; Zhu, Yan; Wang, Lili; Liu, Xia; Liu, Yongxiu; Phillips, Jonathan; Deng, Xin

    2009-11-01

    Accumulation of compatible osmolytes, such as soluble sugars, in plants is an important osmoprotective mechanism. Sugars play a role in osmotic adjustment and are associated with stabilization of proteins and cell structures, reactive oxygen species scavenging, signaling functions or induction of adaptive pathways. Galactinol is the galactosyl donor for the synthesis of raffinose family oligosaccharides (RFOs) and its synthesis by galactinol synthase (GolS) is the first committed step of the RFOs biosynthetic pathway. GolS genes are induced by a variety of stresses in both stress-sensitive and tolerant-plant species; however, the mechanism of transcriptional regulation is not fully established. In this paper, we characterized a GolS gene (BhGolS1) that was dehydration and ABA-inducible in the resurrection plant Boea hygrometrica and conferred dehydration tolerance in a transgenic tobacco system. Four W-box cis-elements were identified in the BhGolS1 promoter and shown to be bound by an early dehydration and ABA-inducible WRKY gene (BhWRKY1). These data suggest a mechanism where BhWRKY1 is likely to function in an ABA-dependent signal pathway to regulate BhGolS1 expression, which leads to the accumulation of RFOs in desiccation-tolerant B. hygrometrica leaves.

  8. Genome-wide identification of galactinol synthase (GolS) genes in Solanum lycopersicum and Brachypodium distachyon.

    PubMed

    Filiz, Ertugrul; Ozyigit, Ibrahim Ilker; Vatansever, Recep

    2015-10-01

    GolS genes stand as potential candidate genes for molecular breeding and/or engineering programs in order for improving abiotic stress tolerance in plant species. In this study, a total of six galactinol synthase (GolS) genes/proteins were retrieved for Solanum lycopersicum and Brachypodium distachyon. GolS protein sequences were identified to include glyco_transf_8 (PF01501) domain structure, and to have a close molecular weight (36.40-39.59kDa) and amino acid length (318-347 aa) with a slightly acidic pI (5.35-6.40). The sub-cellular location was mainly predicted as cytoplasmic. S. lycopersicum genes located on chr 1 and 2, and included one segmental duplication while genes of B. distachyon were only on chr 1 with one tandem duplication. GolS sequences were found to have well conserved motif structures. Cis-acting analysis was performed for three abiotic stress responsive elements, including ABA responsive element (ABRE), dehydration and cold responsive elements (DRE/CRT) and low-temperature responsive element (LTRE). ABRE elements were found in all GolS genes, except for SlGolS4; DRE/CRT was not detected in any GolS genes and LTRE element found in SlGolS1 and BdGolS1 genes. AU analysis in UTR and ORF regions indicated that SlGolS and BdGolS mRNAs may have a short half-life. SlGolS3 and SlGolS4 genes may generate more stable transcripts since they included AATTAAA motif for polyadenylation signal POLASIG2. Seconder structures of SlGolS proteins were well conserved than that of BdGolS. Some structural divergences were detected in 3D structures and predicted binding sites exhibited various patterns in GolS proteins. Copyright © 2015 Elsevier Ltd. All rights reserved.

  9. Analysis of the Raffinose Family Oligosaccharide Pathway in Pea Seeds with Contrasting Carbohydrate Composition1

    PubMed Central

    Peterbauer, Thomas; Lahuta, Leslaw B.; Blöchl, Andreas; Mucha, Jan; Jones, David A.; Hedley, Cliff L.; Gòrecki, Richard J.; Richter, Andreas

    2001-01-01

    Raffinose family oligosaccharides (RFOs) are synthesized by a set of galactosyltransferases, which sequentially add galactose units from galactinol to sucrose. The accumulation of RFOs was studied in maturing seeds of two pea (Pisum sativum) lines with contrasting RFO composition. Seeds of the line SD1 accumulated stachyose as the predominant RFO, whereas verbascose, the next higher homolog of stachyose, was almost absent. In seeds of the line RRRbRb, a high level of verbascose was accumulated alongside with stachyose. The increase in verbascose in developing RRRbRb seeds was associated with galactinol-dependent verbascose synthase activity. In addition, a galactinol-independent enzyme activity was detected, which catalyzed transfer of a galactose residue from one stachyose molecule to another. The two enzyme activities synthesizing verbascose showed an optimum at pH 7.0. Both activities were almost undetectable in SD1. Maximum activity of stachyose synthase was about 4-fold higher in RRRbRb compared with SD1, whereas the activities of galactinol synthase and raffinose synthase were only about 1.5-fold higher in RRRbRb. The levels of galactinol synthase and stachyose synthase activity were reflected by steady-state levels of corresponding mRNAs. We suggest that the accumulation of verbascose in RRRbRb was controlled by a coordinated up-regulation of the last steps of verbascose biosynthesis. PMID:11743119

  10. Expression of a GALACTINOL SYNTHASE Gene in Tomato Seeds Is Up-Regulated before Maturation Desiccation and Again after Imbibition whenever Radicle Protrusion Is Prevented1

    PubMed Central

    Downie, Bruce; Gurusinghe, Sunitha; Dahal, Petambar; Thacker, Richard R.; Snyder, John C.; Nonogaki, Hiroyuki; Yim, Kyuock; Fukanaga, Keith; Alvarado, Veria; Bradford, Kent J.

    2003-01-01

    Raffinose family oligosaccharides (RFOs) have been implicated in mitigating the effects of environmental stresses on plants. In seeds, proposed roles for RFOs include protecting cellular integrity during desiccation and/or imbibition, extending longevity in the dehydrated state, and providing substrates for energy generation during germination. A gene encoding galactinol synthase (GOLS), the first committed enzyme in the biosynthesis of RFOs, was cloned from tomato (Lycopersicon esculentum Mill. cv Moneymaker) seeds, and its expression was characterized in tomato seeds and seedlings. GOLS (LeGOLS-1) mRNA accumulated in developing tomato seeds concomitant with maximum dry weight deposition and the acquisition of desiccation tolerance. LeGOLS-1 mRNA was present in mature, desiccated seeds but declined within 8 h of imbibition in wild-type seeds. However, LeGOLS-1 mRNA accumulated again in imbibed seeds prevented from completing germination by dormancy or water deficit. Gibberellin-deficient (gib-1) seeds maintained LeGOLS-1 mRNA amounts after imbibition unless supplied with gibberellin, whereas abscisic acid (ABA) did not prevent the loss of LeGOLS-1 mRNA from wild-type seeds. The presence of LeGOLS-1 mRNA in ABA-deficient (sitiens) tomato seeds indicated that wild-type amounts of ABA are not necessary for its accumulation during seed development. In all cases, LeGOLS-1 mRNA was most prevalent in the radicle tip. LeGOLS-1 mRNA accumulation was induced by dehydration but not by cold in germinating seeds, whereas both stresses induced LeGOLS-1 mRNA accumulation in seedling leaves. The physiological implications of LeGOLS-1 expression patterns in seeds and leaves are discussed in light of the hypothesized role of RFOs in plant stress tolerance. PMID:12644684

  11. Glycogen synthase activation by sugars in isolated hepatocytes.

    PubMed

    Ciudad, C J; Carabaza, A; Bosch, F; Gòmez I Foix, A M; Guinovart, J J

    1988-07-01

    We have investigated the activation by sugars of glycogen synthase in relation to (i) phosphorylase a activity and (ii) changes in the intracellular concentration of glucose 6-phosphate and adenine nucleotides. All the sugars tested in this work present the common denominator of activating glycogen synthase. On the other hand, phosphorylase a activity is decreased by mannose and glucose, unchanged by galactose and xylitol, and increased by tagatose, glyceraldehyde, and fructose. Dihydroxyacetone exerts a biphasic effect on phosphorylase. These findings provide additional evidence proving that glycogen synthase can be activated regardless of the levels of phosphorylase a, clearly establishing that a nonsequential mechanism for the activation of glycogen synthase occurs in liver cells. The glycogen synthase activation state is related to the concentrations of glucose 6-phosphate and adenine nucleotides. In this respect, tagatose, glyceraldehyde, and fructose deplete ATP and increase AMP contents, whereas glucose, mannose, galactose, xylitol, and dihydroxyacetone do not alter the concentration of these nucleotides. In addition, all these sugars, except glyceraldehyde, increase the intracellular content of glucose 6-phosphate. The activation of glycogen synthase by sugars is reflected in decreases on both kinetic constants of the enzyme, M0.5 (for glucose 6-phosphate) and S0.5 (for UDP-glucose). We propose that hepatocyte glycogen synthase is activated by monosaccharides by a mechanism triggered by changes in glucose 6-phosphate and adenine nucleotide concentrations which have been described to modify glycogen synthase phosphatase activity. This mechanism represents a metabolite control of the sugar-induced activation of hepatocyte glycogen synthase.

  12. Stachyose synthesis in seeds of adzuki bean (Vigna angularis): molecular cloning and functional expression of stachyose synthase.

    PubMed

    Peterbauer, T; Mucha, J; Mayer, U; Popp, M; Glössl, J; Richter, A

    1999-12-01

    Stachyose is the major soluble carbohydrate in seeds of a number of important crop species. It is synthesized from raffinose and galactinol by the action of stachyose synthase (EC 2.4.1.67). We report here on the identification of a cDNA encoding stachyose synthase from seeds of adzuki bean (Vigna angularis Ohwi et Ohashi). Based on internal amino acid sequences of the enzyme purified from adzuki bean, oligonucleotides were designed and used to amplify corresponding sequences from adzuki bean cDNA by RT-PCR, followed by rapid amplification of cDNA ends (RACE-PCR). The complete cDNA sequence comprised 3046 nucleotides and included an open reading frame which encoded a polypeptide of 857 amino acid residues. The entire coding region was amplified by PCR, engineered into the baculovirus expression vector pVL1393 and introduced into Spodoptera frugiperda (Sf21) insect cells for heterologous expression. The recombinant protein was immunologically reactive with polyclonal antibodies raised against stachyose synthase purified from adzuki bean and was shown to be a functional stachyose synthase with the same catalytic properties as its native counterpart. High levels of stachyose synthase mRNA were transiently accumulated midway through seed development, and the enzyme was also present in mature seeds and during germination.

  13. Feedback inhibition of nitric oxide synthase activity by nitric oxide.

    PubMed Central

    Assreuy, J.; Cunha, F. Q.; Liew, F. Y.; Moncada, S.

    1993-01-01

    1. A murine macrophage cell line, J774, expressed nitric oxide (NO) synthase activity in response to interferon-gamma (IFN-gamma, 10 u ml-1) plus lipopolysaccharide (LPS, 10 ng ml-1). The enzyme activity was first detectable 6 h after incubation, peaked at 12 h and became undetectable after 48 h. 2. The decline in the NO synthase activity was not due to inhibition by stable substances secreted by the cells into the culture supernatant. 3. The decline in the NO synthase activity was significantly slowed down in cells cultured in a low L-arginine medium or with added haemoglobin, suggesting that NO may be involved in a feedback inhibitory mechanism. 4. The addition of NO generators, S-nitroso-acetyl-penicillamine (SNAP) or S-nitroso-glutathione (GSNO) markedly inhibited the NO synthase activity in a dose-dependent manner. The effect of NO on the enzyme was not due to the inhibition of de novo protein synthesis. 5. SNAP directly inhibited the inducible NO synthase extracted from activated J774 cells, as well as the constitutive NO synthase extracted from the rat brain. 6. The enzyme activity of J774 cells was not restored after the removal of SNAP by gel filtration, suggesting that NO inhibits NO synthase irreversibly. PMID:7682140

  14. Heterologous expression of an active chitin synthase from Rhizopus oryzae.

    PubMed

    Salgado-Lugo, Holjes; Sánchez-Arreguín, Alejandro; Ruiz-Herrera, José

    2016-12-01

    Chitin synthases are highly important enzymes in nature, where they synthesize structural components in species belonging to different eukaryotic kingdoms, including kingdom Fungi. Unfortunately, their structure and the molecular mechanism of synthesis of their microfibrilar product remain largely unknown, probably because no fungal active chitin synthases have been isolated, possibly due to their extreme hydrophobicity. In this study we have turned to the heterologous expression of the transcript from a small chitin synthase of Rhizopus oryzae (RO3G_00942, Chs1) in Escherichia coli. The enzyme was active, but accumulated mostly in inclusion bodies. High concentrations of arginine or urea solubilized the enzyme, but their dilution led to its denaturation and precipitation. Nevertheless, use of urea permitted the purification of small amounts of the enzyme. The properties of Chs1 (Km, optimum temperature and pH, effect of GlcNAc) were abnormal, probably because it lacks the hydrophobic transmembrane regions characteristic of chitin synthases. The product of the enzyme showed that, contrasting with chitin made by membrane-bound Chs's and chitosomes, was only partially in the form of short microfibrils of low crystallinity. This approach may lead to future developments to obtain active chitin synthases that permit understanding their molecular mechanism of activity, and microfibril assembly. Copyright © 2016. Published by Elsevier Inc.

  15. Bisabosquals, novel squalene synthase inhibitors. I. Taxonomy, fermentation, isolation and biological activities.

    PubMed

    Minagawa, K; Kouzuki, S; Nomura, K; Yamaguchi, T; Kawamura, Y; Matsushima, K; Tani, H; Ishii, K; Tanimoto, T; Kamigauchi, T

    2001-11-01

    In the course of screening for yeast squalene synthase inhibitors, bisabosqual A was isolated from the culture broth of Stachybotrys sp. RF-7260. The related compounds bisabosquals B, C and D were also isolated from Stachybotrys ruwenzoriensis RF-6853. Bisabosquals inhibited squalene synthases. IC50 values of bisabosqual A against the microsomal squalene synthases from Saccharomyces cerevisiae, Candida albicans, HepG2 cell and rat liver were 0.43, 0.25, 0.95 and 2.5 microg/ml, respectively. Bisabosqual C exhibited inhibitory activities similar to bisabosqual A. Bisabosqual A showed broad spectrum antifungal activity in vitro.

  16. Homocysteine threshold value based on cystathionine beta synthase and paraoxonase 1 activities in mice.

    PubMed

    Hamelet, J; Aït-Yahya-Graison, E; Matulewicz, E; Noll, C; Badel-Chagnon, A; Camproux, A-C; Demuth, K; Paul, J-L; Delabar, J M; Janel, N

    2007-12-01

    Hyperhomocysteinaemia is a metabolic disorder associated with the development of premature atherosclerosis. Among the determinants which predispose to premature thromboembolic and atherothrombotic events, serum activity of paraoxonase 1, mainly synthesized in the liver, has been shown to be a predictor of cardiovascular disease and to be negatively correlated with serum homocysteine levels in human. Even though treatments of hyperhomocysteinaemic patients ongoing cardiovascular complications are commonly used, it still remains unclear above which homocysteine level a preventive therapy should be started. In order to establish a threshold of plasma homocysteine concentration we have analyzed the hepatic cystathionine beta synthase and paraoxonase 1 activities in a moderate to intermediate murine model of hyperhomocysteinaemia. Using wild type and heterozygous cystathionine beta synthase deficient mice fed a methionine enriched diet or a control diet, we first studied the link between cystathionine beta synthase and paraoxonase 1 activities and plasma homocysteine concentration. Among the animals used in this study, we observed a negative correlation between plasma homocysteine level and cystathionine beta synthase activity (rho=-0.52, P=0.0008) or paraoxonase 1 activity (rho=-0.49, P=0.002). Starting from these results, a homocysteine cut-off value of 15 microm has been found for both cystathionine beta synthase (P=0.0003) and paraoxonase 1 (P=0.0007) activities. Our results suggest that both cystathionine beta synthase and paraoxonase 1 activities are significantly decreased in mice with a plasma homocysteine value greater than 15 microm. In an attempt to set up preventive treatment for cardiovascular disease our results indicate that treatments should be started from 15 microm of plasma homocysteine.

  17. Multiple defects in muscle glycogen synthase activity contribute to reduced glycogen synthesis in non-insulin dependent diabetes mellitus.

    PubMed Central

    Thorburn, A W; Gumbiner, B; Bulacan, F; Brechtel, G; Henry, R R

    1991-01-01

    To define the mechanisms of impaired muscle glycogen synthase and reduced glycogen formation in non-insulin dependent diabetes mellitus (NIDDM), glycogen synthase activity was kinetically analyzed during the basal state and three glucose clamp studies (insulin approximately equal to 300, 700, and 33,400 pmol/liter) in eight matched nonobese NIDDM and eight control subjects. Muscle glycogen content was measured in the basal state and following clamps at insulin levels of 33,400 pmol/liter. NIDDM subjects had glucose uptake matched to controls in each clamp by raising serum glucose to 15-20 mmol/liter. The insulin concentration required to half-maximally activate glycogen synthase (ED50) was approximately fourfold greater for NIDDM than control subjects (1,004 +/- 264 vs. 257 +/- 110 pmol/liter, P less than 0.02) but the maximal insulin effect was similar. Total glycogen synthase activity was reduced approximately 38% and glycogen content was approximately 30% lower in NIDDM. A positive correlation was present between glycogen content and glycogen synthase activity (r = 0.51, P less than 0.01). In summary, defects in muscle glycogen synthase activity and reduced glycogen content are present in NIDDM. NIDDM subjects also have less total glycogen synthase activity consistent with reduced functional mass of the enzyme. These findings and the correlation between glycogen synthase activity and glycogen content support the theory that multiple defects in glycogen synthase activity combine to cause reduced glycogen formation in NIDDM. PMID:1899428

  18. Promotion of beta-glucan synthase activity in corn microsomal membranes by calcium and protein phosphorylation

    NASA Technical Reports Server (NTRS)

    Paliyath, G.; Poovaiah, B. W.

    1988-01-01

    Regulation of the activity of beta-glucan synthase was studied using microsomal preparations from corn coleoptiles. The specific activity as measured by the incorporation of glucose from uridine diphospho-D-[U-14C]glucose varied between 5 to 15 pmol (mg protein)-1 min-1. Calcium promoted beta-glucan synthase activity and the promotion was observed at free calcium concentrations as low as 1 micromole. Kinetic analysis of substrate-velocity curve showed an apparent Km of 1.92 x 10(-4) M for UDPG. Calcium increased the Vmax from 5.88 x 10(-7) mol liter-1 min-1 in the absence of calcium to 9.52 x 10(-7) mol liter-1 min-1 and 1.66 x 10(-6) mol liter-1 min-1 in the presence of 0.5 mM and 1 mM calcium, respectively. The Km values remained the same under these conditions. Addition of ATP further increased the activity above the calcium-promoted level. Sodium fluoride, a phosphoprotein phosphatase inhibitor, promoted glucan synthase activity indicating that phosphorylation and dephosphorylation are involved in the regulation of the enzyme activity. Increasing the concentration of sodium fluoride from 0.25 mM to 10 mM increased glucan synthase activity five-fold over the + calcium + ATP control. Phosphorylation of membrane proteins also showed a similar increase under these conditions. Calmodulin, in the presence of calcium and ATP stimulated glucan synthase activity substantially, indicating that calmodulin could be involved in the calcium-dependent phosphorylation and promotion of beta-glucan synthase activity. The role of calcium in mediating auxin action is discussed.

  19. Reprogramming the Chemodiversity of Terpenoid Cyclization by Remolding the Active Site Contour of epi-Isozizaene Synthase

    PubMed Central

    2015-01-01

    The class I terpenoid cyclase epi-isozizaene synthase (EIZS) utilizes the universal achiral isoprenoid substrate, farnesyl diphosphate, to generate epi-isozizaene as the predominant sesquiterpene cyclization product and at least five minor sesquiterpene products, making EIZS an ideal platform for the exploration of fidelity and promiscuity in a terpenoid cyclization reaction. The hydrophobic active site contour of EIZS serves as a template that enforces a single substrate conformation, and chaperones subsequently formed carbocation intermediates through a well-defined mechanistic sequence. Here, we have used the crystal structure of EIZS as a guide to systematically remold the hydrophobic active site contour in a library of 26 site-specific mutants. Remolded cyclization templates reprogram the reaction cascade not only by reproportioning products generated by the wild-type enzyme but also by generating completely new products of diverse structure. Specifically, we have tripled the overall number of characterized products generated by EIZS. Moreover, we have converted EIZS into six different sesquiterpene synthases: F96A EIZS is an (E)-β-farnesene synthase, F96W EIZS is a zizaene synthase, F95H EIZS is a β-curcumene synthase, F95M EIZS is a β-acoradiene synthase, F198L EIZS is a β-cedrene synthase, and F96V EIZS and W203F EIZS are (Z)-γ-bisabolene synthases. Active site aromatic residues appear to be hot spots for reprogramming the cyclization cascade by manipulating the stability and conformation of critical carbocation intermediates. A majority of mutant enzymes exhibit only relatively modest 2–100-fold losses of catalytic activity, suggesting that residues responsible for triggering substrate ionization readily tolerate mutations deeper in the active site cavity. PMID:24517311

  20. NOpiates: Novel Dual Action Neuronal Nitric Oxide Synthase Inhibitors with μ-Opioid Agonist Activity.

    PubMed

    Renton, Paul; Green, Brenda; Maddaford, Shawn; Rakhit, Suman; Andrews, John S

    2012-03-08

    A novel series of benzimidazole designed multiple ligands (DMLs) with activity at the neuronal nitric oxide synthase (nNOS) enzyme and the μ-opioid receptor was developed. Targeting of the structurally dissimilar heme-containing enzyme and the μ-opioid GPCR was predicated on the modulatory role of nitric oxide on μ-opioid receptor function. Structure-activity relationship studies yielded lead compound 24 with excellent nNOS inhibitory activity (IC50 = 0.44 μM), selectivity over both endothelial nitric oxide synthase (10-fold) and inducible nitric oxide synthase (125-fold), and potent μ-opioid binding affinity, K i = 5.4 nM. The functional activity as measured in the cyclic adenosine monosphospate secondary messenger assay resulted in full agonist activity (EC50 = 0.34 μM). This work represents a novel approach in the development of new analgesics for the treatment of pain.

  1. Expression and Activity of Nitric Oxide Synthase Isoforms in Methamphetamine-Induced Striatal Dopamine Toxicity

    PubMed Central

    Friend, Danielle M.; Son, Jong H.; Keefe, Kristen A.

    2013-01-01

    Nitric oxide is implicated in methamphetamine (METH)-induced neurotoxicity; however, the source of the nitric oxide has not been identified. Previous work has also revealed that animals with partial dopamine loss induced by a neurotoxic regimen of methamphetamine fail to exhibit further decreases in striatal dopamine when re-exposed to methamphetamine 7–30 days later. The current study examined nitric oxide synthase expression and activity and protein nitration in striata of animals administered saline or neurotoxic regimens of methamphetamine at postnatal days 60 and/or 90, resulting in four treatment groups: Saline:Saline, METH:Saline, Saline:METH, and METH:METH. Acute administration of methamphetamine on postnatal day 90 (Saline:METH and METH:METH) increased nitric oxide production, as evidenced by increased protein nitration. Methamphetamine did not, however, change the expression of endothelial or inducible isoforms of nitric oxide synthase, nor did it change the number of cells positive for neuronal nitric oxide synthase mRNA expression or the amount of neuronal nitric oxide synthase mRNA per cell. However, nitric oxide synthase activity in striatal interneurons was increased in the Saline:METH and METH:METH animals. These data suggest that increased nitric oxide production after a neurotoxic regimen of methamphetamine results from increased nitric oxide synthase activity, rather than an induction of mRNA, and that constitutively expressed neuronal nitric oxide synthase is the most likely source of nitric oxide after methamphetamine administration. Of interest, animals rendered resistant to further methamphetamine-induced dopamine depletions still show equivalent degrees of methamphetamine-induced nitric oxide production, suggesting that nitric oxide production alone in response to methamphetamine is not sufficient to induce acute neurotoxic injury. PMID:23230214

  2. NOpiates: Novel Dual Action Neuronal Nitric Oxide Synthase Inhibitors with μ-Opioid Agonist Activity

    PubMed Central

    2012-01-01

    A novel series of benzimidazole designed multiple ligands (DMLs) with activity at the neuronal nitric oxide synthase (nNOS) enzyme and the μ-opioid receptor was developed. Targeting of the structurally dissimilar heme-containing enzyme and the μ-opioid GPCR was predicated on the modulatory role of nitric oxide on μ-opioid receptor function. Structure–activity relationship studies yielded lead compound 24 with excellent nNOS inhibitory activity (IC50 = 0.44 μM), selectivity over both endothelial nitric oxide synthase (10-fold) and inducible nitric oxide synthase (125-fold), and potent μ-opioid binding affinity, Ki = 5.4 nM. The functional activity as measured in the cyclic adenosine monosphospate secondary messenger assay resulted in full agonist activity (EC50 = 0.34 μM). This work represents a novel approach in the development of new analgesics for the treatment of pain. PMID:24900459

  3. Biochemical Characterization and Homology Modeling of Methylbutenol Synthase and Implications for Understanding Hemiterpene Synthase Evolution in Plants*

    PubMed Central

    Gray, Dennis W.; Breneman, Steven R.; Topper, Lauren A.; Sharkey, Thomas D.

    2011-01-01

    2-Methyl-3-buten-2-ol (MBO) is a five-carbon alcohol produced and emitted in large quantities by many species of pine native to western North America. MBO is structurally and biosynthetically related to isoprene and can have an important impact on regional atmospheric chemistry. The gene for MBO synthase was identified from Pinus sabiniana, and the protein encoded was functionally characterized. MBO synthase is a bifunctional enzyme that produces both MBO and isoprene in a ratio of ∼90:1. Divalent cations are required for activity, whereas monovalent cations are not. MBO production is enhanced by K+, whereas isoprene production is inhibited by K+ such that, at physiologically relevant [K+], little or no isoprene emission should be detected from MBO-emitting trees. The Km of MBO synthase for dimethylallyl diphosphate (20 mm) is comparable with that observed for angiosperm isoprene synthases and 3 orders of magnitude higher than that observed for monoterpene and sesquiterpene synthases. Phylogenetic analysis showed that MBO synthase falls into the TPS-d1 group (gymnosperm monoterpene synthases) and is most closely related to linalool synthase from Picea abies. Structural modeling showed that up to three phenylalanine residues restrict the size of the active site and may be responsible for making this a hemiterpene synthase rather than a monoterpene synthase. One of these residues is homologous to a Phe residue found in the active site of isoprene synthases. The remaining two Phe residues do not have homologs in isoprene synthases but occupy the same space as a second Phe residue that closes off the isoprene synthase active site. PMID:21504898

  4. β-Glucoside Activators of Mung Bean UDP-Glucose: β-Glucan Synthase 1

    PubMed Central

    Callaghan, Theresa; Ross, Peter; Weinberger-Ohana, Patricia; Benziman, Moshe

    1988-01-01

    n-Alkyl (C6-C12) β-d-monoglucopyranosides have been found to be highly potent activators of mung bean β-glucan synthase in vitro, increasing the Vmax of the enzyme as much as 60-fold and with Ka values as low as 10 micromolar. Activation is highly specific for the β-linked terminal glucose residue; other alkyl glycosides such as, octyl-α-glucoside, dodecyl β-maltoside, 6-lauryl sucrose, 6-lauryl glucose, which lack this structure, are ineffective as activators. Based on the similarities in their structure and effects on β-glucan synthesis under a variety of conditions, it is proposed that the alkyl β-glucosides are structural analogs of the native glucolipid activator of β-glucan synthase isolated from mung bean extracts. PMID:16666039

  5. Transmembrane myosin chitin synthase involved in mollusc shell formation produced in Dictyostelium is active

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schoenitzer, Veronika; Universitaet Regensburg, Biochemie I, Universitaetsstrasse 31, D-93053 Regensburg; Eichner, Norbert

    Highlights: Black-Right-Pointing-Pointer Dictyostelium produces the 264 kDa myosin chitin synthase of bivalve mollusc Atrina. Black-Right-Pointing-Pointer Chitin synthase activity releases chitin, partly associated with the cell surface. Black-Right-Pointing-Pointer Membrane extracts of transgenic slime molds produce radiolabeled chitin in vitro. Black-Right-Pointing-Pointer Chitin producing Dictyostelium cells can be characterized by atomic force microscopy. Black-Right-Pointing-Pointer This model system enables us to study initial processes of chitin biomineralization. -- Abstract: Several mollusc shells contain chitin, which is formed by a transmembrane myosin motor enzyme. This protein could be involved in sensing mechanical and structural changes of the forming, mineralizing extracellular matrix. Here we report themore » heterologous expression of the transmembrane myosin chitin synthase Ar-CS1 of the bivalve mollusc Atrina rigida (2286 amino acid residues, M.W. 264 kDa/monomer) in Dictyostelium discoideum, a model organism for myosin motor proteins. Confocal laser scanning immunofluorescence microscopy (CLSM), chitin binding GFP detection of chitin on cells and released to the cell culture medium, and a radiochemical activity assay of membrane extracts revealed expression and enzymatic activity of the mollusc chitin synthase in transgenic slime mold cells. First high-resolution atomic force microscopy (AFM) images of Ar-CS1 transformed cellulose synthase deficient D. discoideumdcsA{sup -} cell lines are shown.« less

  6. Differences in the efficiency of reductive activation of methionine synthase and exogenous electron acceptors between the common polymorphic variants of human methionine synthase reductase.

    PubMed

    Olteanu, Horatiu; Munson, Troy; Banerjee, Ruma

    2002-11-12

    Methionine synthase reductase (MSR) catalyzes the conversion of the inactive form of human methionine synthase to the active state of the enzyme. This reaction is of paramount physiological importance since methionine synthase is an essential enzyme that plays a key role in the methionine and folate cycles. A common polymorphism in human MSR has been identified (66A --> G) that leads to replacement of isoleucine with methionine at residue 22 and has an allele frequency of 0.5. Another polymorphism is 524C --> T, which leads to the substitution of serine 175 with leucine, but its allele frequency is not known. The I22M polymorphism is a genetic determinant for mild hyperhomocysteinemia, a risk factor for cardiovascular disease. In this study, we have examined the kinetic properties of the M22/S175 and I22/S175 and the I22/L175 and I22/S175 pairs of variants. EPR spectra of the semiquinone forms of variants I22/S175 and M22/S175 are indistinguishable and exhibit an isotropic signal at g = 2.00. In addition, the electronic absorption and reduction stoichiometries with NADPH are identical in these variants. Significantly, the variants activate methionine synthase with the same V(max); however, a 3-4-fold higher ratio of MSR to methionine synthase is required to elicit maximal activity with the M22/S175 and I22/L175 variant versus the I22/S175 enzyme. Differences are also observed between the variants in the efficacies of reduction of the artificial electron acceptors: ferricyanide, 2,6-dichloroindophenol, 3-acetylpyridine adenine dinucleotide phosphate, menadione, and the anticancer drug doxorubicin. These results reveal differences in the interactions between the natural and artificial electron acceptors and MSR variants in vitro, which are predicted to result in less efficient reductive repair of methionine synthase in vivo.

  7. Structural basis for glucose-6-phosphate activation of glycogen synthase

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Baskaran, Sulochanadevi; Roach, Peter J.; DePaoli-Roach, Anna A.

    2010-11-22

    Regulation of the storage of glycogen, one of the major energy reserves, is of utmost metabolic importance. In eukaryotes, this regulation is accomplished through glucose-6-phosphate levels and protein phosphorylation. Glycogen synthase homologs in bacteria and archaea lack regulation, while the eukaryotic enzymes are inhibited by protein kinase mediated phosphorylation and activated by protein phosphatases and glucose-6-phosphate binding. We determined the crystal structures corresponding to the basal activity state and glucose-6-phosphate activated state of yeast glycogen synthase-2. The enzyme is assembled into an unusual tetramer by an insertion unique to the eukaryotic enzymes, and this subunit interface is rearranged by themore » binding of glucose-6-phosphate, which frees the active site cleft and facilitates catalysis. Using both mutagenesis and intein-mediated phospho-peptide ligation experiments, we demonstrate that the enzyme's response to glucose-6-phosphate is controlled by Arg583 and Arg587, while four additional arginine residues present within the same regulatory helix regulate the response to phosphorylation.« less

  8. Modulation of hyaluronan synthase activity in cellular membrane fractions.

    PubMed

    Vigetti, Davide; Genasetti, Anna; Karousou, Evgenia; Viola, Manuela; Clerici, Moira; Bartolini, Barbara; Moretto, Paola; De Luca, Giancarlo; Hascall, Vincent C; Passi, Alberto

    2009-10-30

    Hyaluronan (HA), the only non-sulfated glycosaminoglycan, is involved in morphogenesis, wound healing, inflammation, angiogenesis, and cancer. In mammals, HA is synthesized by three homologous HA synthases, HAS1, HAS2, and HAS3, that polymerize the HA chain using UDP-glucuronic acid and UDP-N-acetylglucosamine as precursors. Since the amount of HA is critical in several pathophysiological conditions, we developed a non-radioactive assay for measuring the activity of HA synthases (HASs) in eukaryotic cells and addressed the question of HAS activity during intracellular protein trafficking. We prepared three cellular fractions: plasma membrane, cytosol (containing membrane proteins mainly from the endoplasmic reticulum and Golgi), and nuclei. After incubation with UDP-sugar precursors, newly synthesized HA was quantified by polyacrylamide gel electrophoresis of fluorophore-labeled saccharides and high performance liquid chromatography. This new method measured HAS activity not only in the plasma membrane fraction but also in the cytosolic membranes. This new technique was used to evaluate the effects of 4-methylumbeliferone, phorbol 12-myristate 13-acetate, interleukin 1beta, platelet-derived growth factor BB, and tunicamycin on HAS activities. We found that HAS activity can be modulated by post-translational modification, such as phosphorylation and N-glycosylation. Interestingly, we detected a significant increase in HAS activity in the cytosolic membrane fraction after tunicamycin treatment. Since this compound is known to induce HA cable structures, this result links HAS activity alteration with the capability of the cell to promote HA cable formation.

  9. Aromatic Polyketide Synthases (Purification, Characterization, and Antibody Development to Benzalacetone Synthase from Raspberry Fruits).

    PubMed Central

    Borejsza-Wysocki, W.; Hrazdina, G.

    1996-01-01

    p-Hydroxyphenylbutan-2-one, the characteristic aroma compound of raspberries (Rubus idaeus L.), is synthesized from p-coumaryl-coenzyme A and malonyl-coenzyme A in a two-step reaction sequence that is catalyzed by benzalacetone synthase and benzalacetone reductase (W. Borejsza-Wysocki and G. Hrazdina [1994] Phytochemistry 35: 623-628). Benzalacetone synthase condenses one malonate with p-coumarate to form the pathway intermediate p-hydroxyphenylbut-3-ene-2-one (p-hydroxybenzalacetone) in a reaction that is similar to those catalyzed by chalcone and stilbene synthases. We have obtained an enzyme preparation from ripe raspberries that was preferentially enriched in benzalacetone synthase (approximately 170-fold) over chalcone synthase (approximately 14-fold) activity. This preparation was used to characterize benzalacetone synthase and to develop polyclonal antibodies in rabbits. Benzalacetone synthase showed similarity in its molecular properties to chalcone synthase but differed distinctly in its substrate specificity, response to 2-mercaptoethanol and ethylene glycol, and induction in cell-suspension cultures. The product of the enzyme, p-hydroxybenzalacetone, inhibited mycelial growth of the raspberry pathogen Phytophthora fragariae var rubi at 250 [mu]M. We do not know whether the dual activity in the benzalacetone synthase preparation is the result of a bifunctional enzyme or is caused by contamination with chalcone synthase that was also present. The rapid induction of the enzyme in cell-suspension cultures upon addition of yeast extract and the toxicity of its product, p-hydroxybenzalacetone, to phytopathogenic fungi also suggest that the pathway may be part of a plant defense response. PMID:12226219

  10. Evaluation of synthase and hemisynthase activities of glucosamine-6-phosphate synthase by matrix-assisted laser desorption/ionization time-of-flight mass spectrometry.

    PubMed

    Gaucher-Wieczorek, Florence; Guérineau, Vincent; Touboul, David; Thétiot-Laurent, Sophie; Pelissier, Franck; Badet-Denisot, Marie-Ange; Badet, Bernard; Durand, Philippe

    2014-08-01

    Glucosamine-6-phosphate synthase (GlmS, EC 2.6.1.16) catalyzes the first and rate-limiting step in the hexosamine biosynthetic pathway, leading to the synthesis of uridine-5'-diphospho-N-acetyl-D-glucosamine, the major building block for the edification of peptidoglycan in bacteria, chitin in fungi, and glycoproteins in mammals. This bisubstrate enzyme converts D-fructose-6-phosphate (Fru-6P) and L-glutamine (Gln) into D-glucosamine-6-phosphate (GlcN-6P) and L-glutamate (Glu), respectively. We previously demonstrated that matrix-assisted laser desorption/ionization time-of-flight mass spectrometry (MALDI-TOF-MS) allows determination of the kinetic parameters of the synthase activity. We propose here to refine the experimental protocol to quantify Glu and GlcN-6P, allowing determination of both hemisynthase and synthase parameters from a single assay kinetic experiment, while avoiding interferences encountered in other assays. It is the first time that MALDI-MS is used to survey the activity of a bisubstrate enzyme. Copyright © 2014 Elsevier Inc. All rights reserved.

  11. In Planta Recapitulation of Isoprene Synthase Evolution from Ocimene Synthases

    PubMed Central

    Li, Mingai; Xu, Jia; Algarra Alarcon, Alberto; Carlin, Silvia; Barbaro, Enrico; Cappellin, Luca; Velikova, Violeta; Vrhovsek, Urska; Loreto, Francesco; Varotto, Claudio

    2017-01-01

    Abstract Isoprene is the most abundant biogenic volatile hydrocarbon compound naturally emitted by plants and plays a major role in atmospheric chemistry. It has been proposed that isoprene synthases (IspS) may readily evolve from other terpene synthases, but this hypothesis has not been experimentally investigated. We isolated and functionally validated in Arabidopsis the first isoprene synthase gene, AdoIspS, from a monocotyledonous species (Arundo donax L., Poaceae). Phylogenetic reconstruction indicates that AdoIspS and dicots isoprene synthases most likely originated by parallel evolution from TPS-b monoterpene synthases. Site-directed mutagenesis demonstrated invivo the functional and evolutionary relevance of the residues considered diagnostic for IspS function. One of these positions was identified by saturating mutagenesis as a major determinant of substrate specificity in AdoIspS able to cause invivo a dramatic change in total volatile emission from hemi- to monoterpenes and supporting evolution of isoprene synthases from ocimene synthases. The mechanism responsible for IspS neofunctionalization by active site size modulation by a single amino acid mutation demonstrated in this study might be general, as the very same amino acidic position is implicated in the parallel evolution of different short-chain terpene synthases from both angiosperms and gymnosperms. Based on these results, we present a model reconciling in a unified conceptual framework the apparently contrasting patterns previously observed for isoprene synthase evolution in plants. These results indicate that parallel evolution may be driven by relatively simple biophysical constraints, and illustrate the intimate molecular evolutionary links between the structural and functional bases of traits with global relevance. PMID:28637270

  12. Converting S-limonene synthase to pinene or phellandrene synthases reveals the plasticity of the active site.

    PubMed

    Xu, Jinkun; Ai, Ying; Wang, Jianhui; Xu, Jingwei; Zhang, Yongkang; Yang, Dong

    2017-05-01

    S-limonene synthase is a model monoterpene synthase that cyclizes geranyl pyrophosphate (GPP) to form S-limonene. It is a relatively specific enzyme as the majority of its products are composed of limonene. In this study, we converted it to pinene or phellandrene synthases after introducing N345A/L423A/S454A or N345I mutations. Further studies on N345 suggest the polarity of this residue plays a critical role in limonene production by stabilizing the terpinyl cation intermediate. If it is mutated to a non-polar residue, further cyclization or hydride shifts occurs so the carbocation migrates towards the pyrophosphate, leading to the production of pinene or phellandrene. On the other hand, mutant enzymes that still possess a polar residue at this position produce limonene as the major product. N345 is not the only polar residue that may stabilize the terpinyl cation because it is not strictly conserved among limonene synthases across species and there are also several other polar residues in this area. These residues could form a "polar pocket" that may collectively play this stabilizing role. Our study provides important insights into the catalytic mechanism of limonene synthases. Furthermore, it also has wider implications on the evolution of terpene synthases. Copyright © 2017 Elsevier Ltd. All rights reserved.

  13. In Planta Recapitulation of Isoprene Synthase Evolution from Ocimene Synthases.

    PubMed

    Li, Mingai; Xu, Jia; Algarra Alarcon, Alberto; Carlin, Silvia; Barbaro, Enrico; Cappellin, Luca; Velikova, Violeta; Vrhovsek, Urska; Loreto, Francesco; Varotto, Claudio

    2017-10-01

    Isoprene is the most abundant biogenic volatile hydrocarbon compound naturally emitted by plants and plays a major role in atmospheric chemistry. It has been proposed that isoprene synthases (IspS) may readily evolve from other terpene synthases, but this hypothesis has not been experimentally investigated. We isolated and functionally validated in Arabidopsis the first isoprene synthase gene, AdoIspS, from a monocotyledonous species (Arundo donax L., Poaceae). Phylogenetic reconstruction indicates that AdoIspS and dicots isoprene synthases most likely originated by parallel evolution from TPS-b monoterpene synthases. Site-directed mutagenesis demonstrated invivo the functional and evolutionary relevance of the residues considered diagnostic for IspS function. One of these positions was identified by saturating mutagenesis as a major determinant of substrate specificity in AdoIspS able to cause invivo a dramatic change in total volatile emission from hemi- to monoterpenes and supporting evolution of isoprene synthases from ocimene synthases. The mechanism responsible for IspS neofunctionalization by active site size modulation by a single amino acid mutation demonstrated in this study might be general, as the very same amino acidic position is implicated in the parallel evolution of different short-chain terpene synthases from both angiosperms and gymnosperms. Based on these results, we present a model reconciling in a unified conceptual framework the apparently contrasting patterns previously observed for isoprene synthase evolution in plants. These results indicate that parallel evolution may be driven by relatively simple biophysical constraints, and illustrate the intimate molecular evolutionary links between the structural and functional bases of traits with global relevance. © The Author 2017. Published by Oxford University Press on behalf of the Society for Molecular Biology and Evolution.

  14. The effect of high pressure on the intracellular trehalose synthase activity of Thermus aquaticus.

    PubMed

    Dong, Yongsheng; Ma, Lei; Duan, Yuanliang

    2016-01-01

    To understand the effect of high pressure on the intracellular trehalose synthase activity, Thermus aquaticus (T. aquaticus) in the logarithmic growth phase was treated with high-pressure air, and its intracellular trehalose synthase (TSase) activity was determined. Our results indicated that pressure is a factor strongly affecting the cell growth. High pressure significantly attenuated the growth rate of T. aquaticus and shortened the duration of stationary phase. However, after 2 h of culture under 1.0 MPa pressure, the activity of intracellular TSase in T. aquaticus reached its maximum value, indicating that pressure can significantly increase the activity of intracellular TSase in T. aquaticus. Thus the present study provides an important guide for the enzymatic production of trehalose.

  15. Inhibition of glycogen-synthase kinase 3 stimulates glycogen synthase and glucose transport by distinct mechanisms in 3T3-L1 adipocytes.

    PubMed

    Oreña, S J; Torchia, A J; Garofalo, R S

    2000-05-26

    The role of glycogen-synthase kinase 3 (GSK3) in insulin-stimulated glucose transport and glycogen synthase activation was investigated in 3T3-L1 adipocytes. GSK3 protein was clearly present in adipocytes and was found to be more abundant than in muscle and liver cell lines. The selective GSK3 inhibitor, LiCl, stimulated glucose transport and glycogen synthase activity (20 and 65%, respectively, of the maximal (1 microm) insulin response) and potentiated the responses to a submaximal concentration (1 nm) of insulin. LiCl- and insulin-stimulated glucose transport were abolished by the phosphatidylinositol 3-kinase (PI3-kinase) inhibitor, wortmannin; however, LiCl stimulation of glycogen synthase was not. In contrast to the rapid stimulation of glucose transport by insulin, transport stimulated by LiCl increased gradually over 3-5 h reaching 40% of the maximal insulin-stimulated level. Both LiCl- and insulin-stimulated glycogen synthase activity were maximal at 25 min. However, insulin-stimulated glycogen synthase activity returned to basal after 2 h, coincident with reactivation of GSK3. After a 2-h exposure to insulin, glycogen synthase was refractory to restimulation with insulin, indicating selective desensitization of this pathway. However, LiCl could partially stimulate glycogen synthase in desensitized cells. Furthermore, coincubation with LiCl during the 2 h exposure to insulin completely blocked desensitization of glycogen synthase activity. In summary, inhibition of GSK3 by LiCl: 1) stimulated glycogen synthase activity directly and independently of PI3-kinase, 2) stimulated glucose transport at a point upstream of PI3-kinase, 3) stimulated glycogen synthase activity in desensitized cells, and 4) prevented desensitization of glycogen synthase due to chronic insulin treatment. These data are consistent with GSK3 playing a central role in the regulation of glycogen synthase activity and a contributing factor in the regulation of glucose transport in 3T3-L1

  16. Bovine protoporphyria: documentation of autosomal recessive inheritance and comparison with the human disease through measurement of heme synthase activity.

    PubMed Central

    Bloomer, J R; Morton, K O; Reuter, R J; Ruth, G R

    1982-01-01

    Protoporphyria is an autosomal dominant disease in man in which protoporphyrin accumulated because of a defect in heme synthase (ferrochelatase) activity. A disease has been described in cattle that has the same manifestations as does the human disease. We measured heme synthase activity in sonicates of cultured skin fibroblasts and whole liver homogenates from animals with protoporphyria, their unaffected parents, and normal cattle in order to examine the mode of inheritance and compare it with human protoporphyria. The mean activity (+/- SEM) in fibroblasts from the three groups was 2.0 +/- 0.4, 47 +/- 12, and 149 +/- 10 pmol heme formed/mg protein per hr, respectively, consistent with autosomal recessive inheritance. Similarly, the levels of heme synthase activity in livers of the parents were intermediate to those of normal animals and of animals with protoporphyria. When compared with normal human fibroblasts and liver, the specific activity of heme synthase in normal bovine tissue was significantly higher. These studies indicate that manifestations of protoporphyria do not occur in cattle unless the animal is homozygous for the gene defect, whereas in humans, the heterozygous condition is sufficient. This is probably because the specific activity of heme synthase in cells of heterozygous animals is not reduced to a level that significantly alters heme metabolism. PMID:7072720

  17. HAEM SYNTHASE AND COBALT PORPHYRIN SYNTHASE IN VARIOUS MICRO-ORGANISMS.

    PubMed

    PORRA, R J; ROSS, B D

    1965-03-01

    1. The preparation of a crude extract of Clostridium tetanomorphum containing cobalt porphyrin synthase but little haem-synthase activity is described. 2. The properties of cobalt porphyrin synthase in the clostridial extracts is compared with the properties of a haem synthase present in crude extracts of the yeast Torulopsis utilis. 3. Cobalt porphyrin synthase in extracts of C. tetanomorphum inserts Co(2+) ions into the following dicarboxylic porphyrins in descending order of rate of insertion: meso-, deutero- and proto-porphyrins. Esterification renders meso- and deutero-porphyrins inactive as substrates. Neither the tetracarboxylic (coproporphyrin III) nor the octacarboxylic (uroporphyrin III) compounds are converted into cobalt porphyrins by the extract, but the non-enzymic incorporation of Co(2+) ions into these two porphyrins is rapid. These extracts are unable to insert Mn(2+), Zn(2+), Mg(2+) or Cu(2+) ions into mesoporphyrin. 4. Crude extracts of T. utilis readily insert both Co(2+) and Fe(2+) ions into deutero-, meso, and proto-porphyrins. Unlike the extracts of C. tetanomorphum, these preparations catalyse the insertion of Co(2+) ions into deuteroporphyrin more rapidly than into mesoporphyrin. This parallels the formation of haems by the T. utilis extract. 5. Cobalt porphyrin synthase is present in the particulate fraction of the extracts of C. tetanomorphum but requires a heat-stable factor present in the soluble fraction. This soluble factor can be replaced by GSH. 6. Cobalt porphyrin synthase in the clostridial extract is inhibited by iodoacetamide and to a smaller extent by p-chloromercuribenzoate and N-ethylmaleimide. The haem synthases of T. utilis and Micrococcus denitrificans are also inhibited by various thiol reagents.

  18. Unusual features of a recombinant apple alpha-farnesene synthase.

    PubMed

    Green, Sol; Friel, Ellen N; Matich, Adam; Beuning, Lesley L; Cooney, Janine M; Rowan, Daryl D; MacRae, Elspeth

    2007-01-01

    A recombinant alpha-farnesene synthase from apple (Malus x domestica), expressed in Escherichia coli, showed features not previously reported. Activity was enhanced 5-fold by K(+) and all four isomers of alpha-farnesene, as well as beta-farnesene, were produced from an isomeric mixture of farnesyl diphosphate (FDP). Monoterpenes, linalool, (Z)- and (E)-beta-ocimene and beta-myrcene, were synthesised from geranyl diphosphate (GDP), but at 18% of the optimised rate for alpha-farnesene synthesis from FDP. Addition of K(+) reduced monoterpene synthase activity. The enzyme also produced alpha-farnesene by a reaction involving coupling of GDP and isoprenyl diphosphate but at <1% of the rate with FDP. Mutagenesis of active site aspartate residues removed sesquiterpene, monoterpene and prenyltransferase activities suggesting catalysis through the same active site. Phylogenetic analysis clusters this enzyme with isoprene synthases rather than with other sesquiterpene synthases, suggesting that it has evolved differently from other plant sesquiterpene synthases. This is the first demonstration of a sesquiterpene synthase possessing prenyltransferase activity.

  19. Circadian variation in the effects of nitric oxide synthase inhibitors on body temperature, feeding and activity in rats.

    PubMed

    Kamerman, Peter; Mitchell, Duncan; Laburn, Helen

    2002-02-01

    We have investigated whether there is circadian variation in the effects of nitric oxide synthase inhibitors on body temperature, physical activity and feeding. We used nocturnally active Sprague-Dawley rats, housed at approximately 24 degrees C with a 12:12 h light:dark cycle (lights on 07:00 hours) and provided with food and water ad libitum. Nitric oxide synthesis was inhibited by intraperitoneal injection of the unspecific nitric oxide synthase inhibitor N-nitro- L-arginine methyl ester ( L-NAME, 100, 50, 25, 10 mg/kg), or the relatively selective inducible nitric oxide synthase inhibitor aminoguanidine (100, 50 mg/kg), during the day ( approximately 09:00 hours) or night ( approximately 21:00 hours). Body temperature and physical activity were measured using radiotelemetry, while food intake was calculated by weighing each animal's food before as well as 12 and 24 h after each injection. We found that daytime injection of L-NAME and aminoguanidine had no effect on daytime body temperature. However, daytime injection of both drugs did decrease nocturnal food intake ( P<0.05) and activity ( P<0.05). When injected at night, L-NAME reduced night-time body temperature ( P<0.01), activity ( P<0.05) and food intake ( P<0.05) in a dose-dependent manner, but night-time injection of aminoguanidine inhibited only night-time activity ( P<0.05). The effects of nitric oxide synthase inhibition on body temperature, feeding and activity therefore are primarily a consequence of inhibiting constitutively expressed nitric oxide synthase, and are subject to circadian variation.

  20. The role of prostacyclin synthase and thromboxane synthase signaling in the development and progression of cancer.

    PubMed

    Cathcart, Mary-Clare; Reynolds, John V; O'Byrne, Kenneth J; Pidgeon, Graham P

    2010-04-01

    Prostacyclin synthase and thromboxane synthase signaling via arachidonic acid metabolism affects a number of tumor cell survival pathways such as cell proliferation, apoptosis, tumor cell invasion and metastasis, and angiogenesis. However, the effects of these respective synthases differ considerably with respect to the pathways described. While prostacyclin synthase is generally believed to be anti-tumor, a pro-carcinogenic role for thromboxane synthase has been demonstrated in a variety of cancers. The balance of oppositely-acting COX-derived prostanoids influences many processes throughout the body, such as blood pressure regulation, clotting, and inflammation. The PGI(2)/TXA(2) ratio is of particular interest in-vivo, with the corresponding synthases shown to be differentially regulated in a variety of disease states. Pharmacological inhibition of thromboxane synthase has been shown to significantly inhibit tumor cell growth, invasion, metastasis and angiogenesis in a range of experimental models. In direct contrast, prostacyclin synthase overexpression has been shown to be chemopreventive in a murine model of the disease, suggesting that the expression and activity of this enzyme may protect against tumor development. In this review, we discuss the aberrant expression and known functions of both prostacyclin synthase and thromboxane synthase in cancer. We discuss the effects of these enzymes on a range of tumor cell survival pathways, such as tumor cell proliferation, induction of apoptosis, invasion and metastasis, and tumor cell angiogenesis. As downstream signaling pathways of these enzymes have also been implicated in cancer states, we examine the role of downstream effectors of PGIS and TXS activity in tumor growth and progression. Finally, we discuss current therapeutic strategies aimed at targeting these enzymes for the prevention/treatment of cancer.

  1. Glycogen synthase kinase 3β promotes liver innate immune activation by restraining AMP-activated protein kinase activation.

    PubMed

    Zhou, Haoming; Wang, Han; Ni, Ming; Yue, Shi; Xia, Yongxiang; Busuttil, Ronald W; Kupiec-Weglinski, Jerzy W; Lu, Ling; Wang, Xuehao; Zhai, Yuan

    2018-07-01

    Glycogen synthase kinase 3β (Gsk3β [Gsk3b]) is a ubiquitously expressed kinase with distinctive functions in different types of cells. Although its roles in regulating innate immune activation and ischaemia and reperfusion injuries (IRIs) have been well documented, the underlying mechanisms remain ambiguous, in part because of the lack of cell-specific tools in vivo. We created a myeloid-specific Gsk3b knockout (KO) strain to study the function of Gsk3β in macrophages in a murine liver partial warm ischaemia model. Compared with controls, myeloid Gsk3b KO mice were protected from IRI, with diminished proinflammatory but enhanced anti-inflammatory immune responses in livers. In bone marrow-derived macrophages, Gsk3β deficiency resulted in an early reduction of Tnf gene transcription but sustained increase of Il10 gene transcription on Toll-like receptor 4 stimulation in vitro. These effects were associated with enhanced AMP-activated protein kinase (AMPK) activation, which led to an accelerated and higher level of induction of the novel innate immune negative regulator small heterodimer partner (SHP [Nr0b2]). The regulatory function of Gsk3β on AMPK activation and SHP induction was confirmed in wild-type bone marrow-derived macrophages with a Gsk3 inhibitor. Furthermore, we found that this immune regulatory mechanism was independent of Gsk3β Ser9 phosphorylation and the phosphoinositide 3-kinase-Akt signalling pathway. In vivo, myeloid Gsk3β deficiency facilitated SHP upregulation by ischaemia-reperfusion in liver macrophages. Treatment of Gsk3b KO mice with either AMPK inhibitor or SHP small interfering RNA before the onset of liver ischaemia restored liver proinflammatory immune activation and IRI in these otherwise protected hosts. Additionally, pharmacological activation of AMPK protected wild-type mice from liver IRI, with reduced proinflammatory immune activation. Inhibition of the AMPK-SHP pathway by liver ischaemia was demonstrated in tumour resection

  2. Engineering Isoprene Synthase Expression and Activity in Cyanobacteria.

    PubMed

    Chaves, Julie E; Rueda-Romero, Paloma; Kirst, Henning; Melis, Anastasios

    2017-12-15

    Efforts to heterologously produce quantities of isoprene hydrocarbons (C 5 H 8 ) renewably from CO 2 and H 2 O through the photosynthesis of cyanobacteria face barriers, including low levels of recombinant enzyme accumulation compounded by their slow innate catalytic activity. The present work sought to alleviate the "expression level" barrier upon placing the isoprene synthase (IspS) enzyme in different fusion configurations with the cpcB protein, the highly expressed β-subunit of phycocyanin. Different cpcB*IspS fusion constructs were made, distinguished by the absence or presence of linker amino acids between the two proteins. Composition of linker amino acids was variable with lengths of 7, 10, 16, and 65 amino acids designed to test for optimal activity of the IspS through spatial positioning between the cpcB and IspS. Results showed that fusion constructs with the highly expressed cpcB gene, as the leader sequence, improved transgene expression in the range of 61 to 275-fold over what was measured with the unfused IspS control. However, the specific activity of the IspS enzyme was attenuated in all fusion transformants, possibly because of allosteric effects exerted by the leader cpcB fusion protein. This inhibition varied depending on the nature of the linker amino acids between the cpcB and IspS proteins. In terms of isoprene production, the results further showed a trade-off between specific activity and transgenic enzyme accumulation. For example, the cpcB*L7*IspS strain showed only about 10% the isoprene synthase specific-activity of the unfused cpcB-IspS control, but it accumulated 254-fold more IspS enzyme. The latter more than countered the slower specific activity and made the cpcB*L7*IspS transformant the best isoprene producing strain in this work. Isoprene to biomass yield ratios improved from 0.2 mg g -1 in the unfused cpcB-IspS control to 5.4 mg g -1 in the cpcB*L7*IspS strain, a 27-fold improvement.

  3. A non-synonymous nucleotide substitution can account for one evolutionary route to sesquiterpene synthase activity in the TPS-b subgroup.

    PubMed

    Green, Sol; Baker, Edward N; Laing, William

    2011-06-23

    Plant sesquiterpene and hemiterpene synthases in the monoterpene synthase dominated TPS-b subgroup are thought to have evolved independently from a monoterpene synthase ancestor. A TPS-b sesquiterpene synthase from apple (MdAFS1), which predominantly produces α-farnesene, can also synthesize the monoterpene (E)-β-ocimene. The dual activity offered a functional link to an ancestral MdAFS1 enzyme and a rational basis for investigation of the evolution of TPS-b sesquiterpene enzymes. Protein modelling and mutagenesis analysis of the MdAFS1 active site identified a non-synonymous nucleotide substitution that could account for the requisite shift in substrate specificity necessary for the emergence of its sesquiterpene activity during the evolution of the TPS-b enzymes. Copyright © 2011 Federation of European Biochemical Societies. Published by Elsevier B.V. All rights reserved.

  4. Mitochondrial F1Fo-ATP synthase translocates to cell surface in hepatocytes and has high activity in tumor-like acidic and hypoxic environment.

    PubMed

    Ma, Zhan; Cao, Manlin; Liu, Yiwen; He, Yiqing; Wang, Yingzhi; Yang, Cuixia; Wang, Wenjuan; Du, Yan; Zhou, Muqing; Gao, Feng

    2010-08-01

    F1Fo-ATP synthase was originally thought to exclusively locate in the inner membrane of the mitochondria. However, recent studies prove the existence of ectopic F1Fo-ATP synthase on the outside of the cell membrane. Ectopic ATP synthase was proposed as a marker for tumor target therapy. Nevertheless, the protein transport mechanism of the ectopic ATP synthase is still unclear. The specificity of the ectopic ATP synthase, with regard to tumors, is questioned because of its widespread expression. In the current study, we constructed green fluorescent protein-ATP5B fusion protein and introduced it into HepG2 cells to study the localization of the ATP synthase. The expression of ATP5B was analyzed in six cell lines with different 'malignancies'. These cells were cultured in both normal and tumor-like acidic and hypoxic conditions. The results suggested that the ectopic expression of ATP synthase is a consequence of translocation from the mitochondria. The expression and catalytic activity of ectopic ATP synthase were similar on the surface of malignant cells as on the surface of less malignant cells. Interestingly, the expression of ectopic ATP synthase was not up-regulated in tumor-like acidic and hypoxic microenvironments. However, the catalytic activity of ectopic ATP synthase was up-regulated in tumor-like microenvironments. Therefore, the specificity of ectopic ATP synthase for tumor target therapy relies on the high level of catalytic activity that is observed in acidic and hypoxic microenvironments in tumor tissues.

  5. Glyphosate sensitivity of 5-enol-pyruvylshikimate-3-phosphate synthase from Bacillus subtilis depends upon state of activation induced by monovalent cations.

    PubMed

    Fischer, R S; Rubin, J L; Gaines, C G; Jensen, R A

    1987-07-01

    The 5-enol-pyruvylshikimate-3-phosphate (EPSP) synthase from Bacillus subtilis was activated by monovalent cations, catalytic activity being negligible in the absence of monovalent cations. The order of cation effectiveness (NH4+ greater than K+ greater than Rb+ greater than Na+ = Cs+ = Li+) indicated that the extent of activation was directly related to the unhydrated cation radius. Ammonium salts, at physiological concentrations, were dramatically more effective than other cations. Activation by ammonium was instantaneous, was not influenced by the counter ion, and gave a hyperbolic saturation curve. Hill plots did not show detectable cooperativity in the binding of ammonium. Double-reciprocal plots indicated that ammonium increases the maximal velocity and decreases the apparent Michaelis constants of EPSP synthase with respect to both phosphoenol pyruvate (PEP) and shikimate 3-phosphate (S3P). A direct relationship between sensitivity to inhibition by glyphosate and the activation state of EPSP synthase was demonstrated. Hill plots indicated a single value for glyphosate binding throughout the range of ammonium activation. Double-reciprocal plots of substrate saturation data obtained with ammonium-activated enzyme in the presence of glyphosate showed glyphosate to behave as a competitive inhibitor with respect to PEP and as a mixed-type inhibitor relative to S3P. The increased glyphosate sensitivity of ammonium-activated EPSP synthase is attributed to a lowering of the inhibitor constant of glyphosate with respect to PEP. Erroneous underestimates of sensitivities of some bacterial EPSP synthases to inhibition by glyphosate may result from failure to recognize cation requirements of EPSP synthases.

  6. PhaM is the physiological activator of poly(3-hydroxybutyrate) (PHB) synthase (PhaC1) in Ralstonia eutropha.

    PubMed

    Pfeiffer, Daniel; Jendrossek, Dieter

    2014-01-01

    Poly(3-hydroxybutyrate) (PHB) synthase (PhaC1) is the key enzyme of PHB synthesis in Ralstonia eutropha and other PHB-accumulating bacteria and catalyzes the polymerization of 3-hydroxybutyryl-CoA to PHB. Activity assays of R. eutropha PHB synthase are characterized by the presence of lag phases and by low specific activity. It is assumed that the lag phase is caused by the time necessary to convert the inactive PhaC1 monomer into the active dimeric form by an unknown priming process. The lag phase can be reduced by addition of nonionic detergents such as hecameg [6-O-(N-heptyl-carbamoyl)-methyl-α-D-glucopyranoside], which apparently accelerates the formation of PhaC1 dimers. We identified the PHB granule-associated protein (PGAP) PhaM as the natural primer (activator) of PHB synthase activity. PhaM was recently discovered as a novel type of PGAP with multiple functions in PHB metabolism. Addition of PhaM to PHB synthase assays resulted in immediate polymerization of 3HB coenzyme A with high specific activity and without a significant lag phase. The effect of PhaM on (i) PhaC1 activity, (ii) oligomerization of PhaC1, (iii) complex formation with PhaC1, and (iv) PHB granule formation in vitro and in vivo was shown by cross-linking experiments of purified proteins (PhaM, PhaC1) with glutardialdehyde, by size exclusion chromatography, and by fluorescence microscopic detection of de novo-synthesized PHB granules.

  7. BcsA and BcsB form the catalytically active core of bacterial cellulose synthase sufficient for in vitro cellulose synthesis.

    PubMed

    Omadjela, Okako; Narahari, Adishesh; Strumillo, Joanna; Mélida, Hugo; Mazur, Olga; Bulone, Vincent; Zimmer, Jochen

    2013-10-29

    Cellulose is a linear extracellular polysaccharide. It is synthesized by membrane-embedded glycosyltransferases that processively polymerize UDP-activated glucose. Polymer synthesis is coupled to membrane translocation through a channel formed by the cellulose synthase. Although eukaryotic cellulose synthases function in macromolecular complexes containing several different enzyme isoforms, prokaryotic synthases associate with additional subunits to bridge the periplasm and the outer membrane. In bacteria, cellulose synthesis and translocation is catalyzed by the inner membrane-associated bacterial cellulose synthase (Bcs)A and BcsB subunits. Similar to alginate and poly-β-1,6 N-acetylglucosamine, bacterial cellulose is implicated in the formation of sessile bacterial communities, termed biofilms, and its synthesis is likewise stimulated by cyclic-di-GMP. Biochemical studies of exopolysaccharide synthesis are hampered by difficulties in purifying and reconstituting functional enzymes. We demonstrate robust in vitro cellulose synthesis reconstituted from purified BcsA and BcsB proteins from Rhodobacter sphaeroides. Although BcsA is the catalytically active subunit, the membrane-anchored BcsB subunit is essential for catalysis. The purified BcsA-B complex produces cellulose chains of a degree of polymerization in the range 200-300. Catalytic activity critically depends on the presence of the allosteric activator cyclic-di-GMP, but is independent of lipid-linked reactants. Our data reveal feedback inhibition of cellulose synthase by UDP but not by the accumulating cellulose polymer and highlight the strict substrate specificity of cellulose synthase for UDP-glucose. A truncation analysis of BcsB localizes the region required for activity of BcsA within its C-terminal membrane-associated domain. The reconstituted reaction provides a foundation for the synthesis of biofilm exopolysaccharides, as well as its activation by cyclic-di-GMP.

  8. BcsA and BcsB form the catalytically active core of bacterial cellulose synthase sufficient for in vitro cellulose synthesis

    PubMed Central

    Omadjela, Okako; Narahari, Adishesh; Strumillo, Joanna; Mélida, Hugo; Mazur, Olga; Bulone, Vincent; Zimmer, Jochen

    2013-01-01

    Cellulose is a linear extracellular polysaccharide. It is synthesized by membrane-embedded glycosyltransferases that processively polymerize UDP-activated glucose. Polymer synthesis is coupled to membrane translocation through a channel formed by the cellulose synthase. Although eukaryotic cellulose synthases function in macromolecular complexes containing several different enzyme isoforms, prokaryotic synthases associate with additional subunits to bridge the periplasm and the outer membrane. In bacteria, cellulose synthesis and translocation is catalyzed by the inner membrane-associated bacterial cellulose synthase (Bcs)A and BcsB subunits. Similar to alginate and poly-β-1,6 N-acetylglucosamine, bacterial cellulose is implicated in the formation of sessile bacterial communities, termed biofilms, and its synthesis is likewise stimulated by cyclic-di-GMP. Biochemical studies of exopolysaccharide synthesis are hampered by difficulties in purifying and reconstituting functional enzymes. We demonstrate robust in vitro cellulose synthesis reconstituted from purified BcsA and BcsB proteins from Rhodobacter sphaeroides. Although BcsA is the catalytically active subunit, the membrane-anchored BcsB subunit is essential for catalysis. The purified BcsA-B complex produces cellulose chains of a degree of polymerization in the range 200–300. Catalytic activity critically depends on the presence of the allosteric activator cyclic-di-GMP, but is independent of lipid-linked reactants. Our data reveal feedback inhibition of cellulose synthase by UDP but not by the accumulating cellulose polymer and highlight the strict substrate specificity of cellulose synthase for UDP-glucose. A truncation analysis of BcsB localizes the region required for activity of BcsA within its C-terminal membrane-associated domain. The reconstituted reaction provides a foundation for the synthesis of biofilm exopolysaccharides, as well as its activation by cyclic-di-GMP. PMID:24127606

  9. Functional Identification of Valerena-1,10-diene Synthase, a Terpene Synthase Catalyzing a Unique Chemical Cascade in the Biosynthesis of Biologically Active Sesquiterpenes in Valeriana officinalis*

    PubMed Central

    Yeo, Yun-Soo; Nybo, S. Eric; Chittiboyina, Amar G.; Weerasooriya, Aruna D.; Wang, Yan-Hong; Góngora-Castillo, Elsa; Vaillancourt, Brieanne; Buell, C. Robin; DellaPenna, Dean; Celiz, Mary Dawn; Jones, A. Daniel; Wurtele, Eve Syrkin; Ransom, Nick; Dudareva, Natalia; Shaaban, Khaled A.; Tibrewal, Nidhi; Chandra, Suman; Smillie, Troy; Khan, Ikhlas A.; Coates, Robert M.; Watt, David S.; Chappell, Joe

    2013-01-01

    Valerian is an herbal preparation from the roots of Valeriana officinalis used as an anxiolytic and sedative and in the treatment of insomnia. The biological activities of valerian are attributed to valerenic acid and its putative biosynthetic precursor valerenadiene, sesquiterpenes, found in V. officinalis roots. These sesquiterpenes retain an isobutenyl side chain whose origin has been long recognized as enigmatic because a chemical rationalization for their biosynthesis has not been obvious. Using recently developed metabolomic and transcriptomic resources, we identified seven V. officinalis terpene synthase genes (VoTPSs), two that were functionally characterized as monoterpene synthases and three that preferred farnesyl diphosphate, the substrate for sesquiterpene synthases. The reaction products for two of the sesquiterpene synthases exhibiting root-specific expression were characterized by a combination of GC-MS and NMR in comparison to the terpenes accumulating in planta. VoTPS7 encodes for a synthase that biosynthesizes predominately germacrene C, whereas VoTPS1 catalyzes the conversion of farnesyl diphosphate to valerena-1,10-diene. Using a yeast expression system, specific labeled [13C]acetate, and NMR, we investigated the catalytic mechanism for VoTPS1 and provide evidence for the involvement of a caryophyllenyl carbocation, a cyclobutyl intermediate, in the biosynthesis of valerena-1,10-diene. We suggest a similar mechanism for the biosynthesis of several other biologically related isobutenyl-containing sesquiterpenes. PMID:23243312

  10. Functional identification of valerena-1,10-diene synthase, a terpene synthase catalyzing a unique chemical cascade in the biosynthesis of biologically active sesquiterpenes in Valeriana officinalis.

    PubMed

    Yeo, Yun-Soo; Nybo, S Eric; Chittiboyina, Amar G; Weerasooriya, Aruna D; Wang, Yan-Hong; Góngora-Castillo, Elsa; Vaillancourt, Brieanne; Buell, C Robin; DellaPenna, Dean; Celiz, Mary Dawn; Jones, A Daniel; Wurtele, Eve Syrkin; Ransom, Nick; Dudareva, Natalia; Shaaban, Khaled A; Tibrewal, Nidhi; Chandra, Suman; Smillie, Troy; Khan, Ikhlas A; Coates, Robert M; Watt, David S; Chappell, Joe

    2013-02-01

    Valerian is an herbal preparation from the roots of Valeriana officinalis used as an anxiolytic and sedative and in the treatment of insomnia. The biological activities of valerian are attributed to valerenic acid and its putative biosynthetic precursor valerenadiene, sesquiterpenes, found in V. officinalis roots. These sesquiterpenes retain an isobutenyl side chain whose origin has been long recognized as enigmatic because a chemical rationalization for their biosynthesis has not been obvious. Using recently developed metabolomic and transcriptomic resources, we identified seven V. officinalis terpene synthase genes (VoTPSs), two that were functionally characterized as monoterpene synthases and three that preferred farnesyl diphosphate, the substrate for sesquiterpene synthases. The reaction products for two of the sesquiterpene synthases exhibiting root-specific expression were characterized by a combination of GC-MS and NMR in comparison to the terpenes accumulating in planta. VoTPS7 encodes for a synthase that biosynthesizes predominately germacrene C, whereas VoTPS1 catalyzes the conversion of farnesyl diphosphate to valerena-1,10-diene. Using a yeast expression system, specific labeled [(13)C]acetate, and NMR, we investigated the catalytic mechanism for VoTPS1 and provide evidence for the involvement of a caryophyllenyl carbocation, a cyclobutyl intermediate, in the biosynthesis of valerena-1,10-diene. We suggest a similar mechanism for the biosynthesis of several other biologically related isobutenyl-containing sesquiterpenes.

  11. Analysis of polyhydroxyalkanoate (PHA) synthase gene and PHA-producing bacteria in activated sludge that produces PHA containing 3-hydroxydodecanoate.

    PubMed

    Yang, Chao; Zhang, Wei; Liu, Ruihua; Zhang, Chi; Gong, Ting; Li, Qiang; Wang, Shufang; Song, Cunjiang

    2013-09-01

    Activated sludge is an alternative to pure cultures for polyhydroxyalkanoate (PHA) production due to the presence of many PHA-producing bacteria in activated sludge community. In this study, activated sludge was submitted to aerobic dynamic feeding in a sequencing batch reactor. During domestication, the changes of bacterial community structure were observed by terminal restriction fragment length polymorphism analysis. Furthermore, some potential PHA-producing bacteria, such as Thauera, Acinetobacter and Pseudomonas, were identified by denaturing gradient gel electrophoresis analysis. The constructed PHA synthase gene library was analyzed by DNA sequencing. Of the 80 phaC genes obtained, 76 belonged to the Class I PHA synthase, and four to the Class II PHA synthase. Gas chromatography-mass spectrometry analysis showed that PHA produced by activated sludge was composed of three types of monomers: 3-hydroxybutyrate, 3-hydroxyvalerate and 3-hydroxydodecanoate (3HDD). This is the first report of production of medium-chain-length PHAs (PHAMCL ) containing 3HDD by activated sludge. Further studies suggested that a Pseudomonas strain may play an important role in the production of PHAMCL containing 3HDD. Moreover, a Class II PHA synthase was found to have a correlation with the production of 3HDD-containing PHAMCL . © 2013 Federation of European Microbiological Societies. Published by John Wiley & Sons Ltd. All rights reserved.

  12. Efficient Production of Active Polyhydroxyalkanoate Synthase in Escherichia coli by Coexpression of Molecular Chaperones

    PubMed Central

    Thomson, Nicholas M.; Saika, Azusa; Ushimaru, Kazunori; Sangiambut, Smith; Tsuge, Takeharu; Summers, David K.

    2013-01-01

    The type I polyhydroxyalkanoate synthase from Cupriavidus necator was heterologously expressed in Escherichia coli with simultaneous overexpression of chaperone proteins. Compared to expression of synthase alone (14.55 mg liter−1), coexpression with chaperones resulted in the production of larger total quantities of enzyme, including a larger proportion in the soluble fraction. The largest increase was seen when the GroEL/GroES system was coexpressed, resulting in approximately 6-fold-greater enzyme yields (82.37 mg liter−1) than in the absence of coexpressed chaperones. The specific activity of the purified enzyme was unaffected by coexpression with chaperones. Therefore, the increase in yield was attributed to an enhanced soluble fraction of synthase. Chaperones were also coexpressed with a polyhydroxyalkanoate production operon, resulting in the production of polymers with generally reduced molecular weights. This suggests a potential use for chaperones to control the physical properties of the polymer. PMID:23335776

  13. PhaM Is the Physiological Activator of Poly(3-Hydroxybutyrate) (PHB) Synthase (PhaC1) in Ralstonia eutropha

    PubMed Central

    Pfeiffer, Daniel

    2014-01-01

    Poly(3-hydroxybutyrate) (PHB) synthase (PhaC1) is the key enzyme of PHB synthesis in Ralstonia eutropha and other PHB-accumulating bacteria and catalyzes the polymerization of 3-hydroxybutyryl-CoA to PHB. Activity assays of R. eutropha PHB synthase are characterized by the presence of lag phases and by low specific activity. It is assumed that the lag phase is caused by the time necessary to convert the inactive PhaC1 monomer into the active dimeric form by an unknown priming process. The lag phase can be reduced by addition of nonionic detergents such as hecameg [6-O-(N-heptyl-carbamoyl)-methyl-α-d-glucopyranoside], which apparently accelerates the formation of PhaC1 dimers. We identified the PHB granule-associated protein (PGAP) PhaM as the natural primer (activator) of PHB synthase activity. PhaM was recently discovered as a novel type of PGAP with multiple functions in PHB metabolism. Addition of PhaM to PHB synthase assays resulted in immediate polymerization of 3HB coenzyme A with high specific activity and without a significant lag phase. The effect of PhaM on (i) PhaC1 activity, (ii) oligomerization of PhaC1, (iii) complex formation with PhaC1, and (iv) PHB granule formation in vitro and in vivo was shown by cross-linking experiments of purified proteins (PhaM, PhaC1) with glutardialdehyde, by size exclusion chromatography, and by fluorescence microscopic detection of de novo-synthesized PHB granules. PMID:24212577

  14. Phasin Proteins Activate Aeromonas caviae Polyhydroxyalkanoate (PHA) Synthase but Not Ralstonia eutropha PHA Synthase

    PubMed Central

    Ushimaru, Kazunori; Motoda, Yoko; Numata, Keiji

    2014-01-01

    In this study, we performed in vitro and in vivo activity assays of polyhydroxyalkanoate (PHA) synthases (PhaCs) in the presence of phasin proteins (PhaPs), which revealed that PhaPs are activators of PhaC derived from Aeromonas caviae (PhaCAc). In in vitro assays, among the three PhaCs tested, PhaCAc was significantly activated when PhaPs were added at the beginning of polymerization (prepolymerization PhaCAc), whereas the prepolymerization PhaCRe (derived from Ralstonia eutropha) and PhaCDa (Delftia acidovorans) showed reduced activity with PhaPs. The PhaP-activated PhaCAc showed a slight shift of substrate preference toward 3-hydroxyhexanoyl-CoA (C6). PhaPAc also activated PhaCAc when it was added during polymerization (polymer-elongating PhaCAc), while this effect was not observed for PhaCRe. In an in vivo assay using Escherichia coli TOP10 as the host strain, the effect of PhaPAc expression on PHA synthesis by PhaCAc or PhaCRe was examined. As PhaPAc expression increased, PHA production was increased by up to 2.3-fold in the PhaCAc-expressing strain, whereas it was slightly increased in the PhaCRe-expressing strain. Taken together, this study provides evidence that PhaPs function as activators for PhaCAc both in vitro and in vivo but do not activate PhaCRe. This activating effect may be attributed to the new role of PhaPs in the polymerization reaction by PhaCAc. PMID:24584238

  15. Growth, sucrose synthase, and invertase activities of developing Phaseolus vulgaris L. fruits

    Treesearch

    Shi-Jean S. Sung; W.J. Sheih; D.R. Geiger; C.C. Black

    1994-01-01

    Activities of sucrose-cleaving enzymes, acid and neutral invertase and sucrose synthase, were measured in pods and seeds of developing snap bean (Phaseolus vulgaris L.) fruits, and compared with 14C-import, elongation and dry weight accumulation. The data supports the association of specific sucrose-cleaving enzymes with the specific processes that occur in the...

  16. Intersubunit structure within heterodimers of medium-chain prenyl diphosphate synthases. Formation of a hybrid-type heptaprenyl diphosphate synthase.

    PubMed

    Koike-Takeshita, A; Koyama, T; Ogura, K

    1998-10-01

    Among prenyltransferases that catalyze the sequential condensation of isopentenyl diphosphate with allylic diphosphate to produce prenyl diphosphates with various chain lengths and stereochemistries, medium-chain prenyl diphosphate synthases are exceptional in that they comprise two dissociable heteromeric protein components. These components exist without binding with each other under physiological conditions, and neither of them has any prenyltransferase activity by itself. In order to elucidate the precise molecular mechanism underlying expression of the catalytic function by such a unique two-component system, we examined the possibility of forming a hybrid between two of the components of three different medium-chain prenyl diphosphate synthases, components I and II of heptaprenyl diphosphate synthase from Bacillus subtilis, components I' and II' of heptaprenyl diphosphate synthase from Bacillus stearothermophilus, and components A and B of hexaprenyl diphosphate synthase from Micrococcus luteus B-P 26. As a result, only the hybrid-type combination of component I and component II' gave distinct prenyltransferase activity. The hybrid-type enzyme catalyzed the synthesis of heptaprenyl diphosphate and showed moderate heat stability, which lay between those of the natural enzymes from B. subtilis and B. stearothermophilus. There is no possibility of forming a hybrid between the heptaprenyl and hexaprenyl diphosphate synthases.

  17. Placental Vesicles Carry Active Endothelial Nitric Oxide Synthase and Their Activity is Reduced in Preeclampsia.

    PubMed

    Motta-Mejia, Carolina; Kandzija, Neva; Zhang, Wei; Mhlomi, Vuyane; Cerdeira, Ana Sofia; Burdujan, Alexandra; Tannetta, Dionne; Dragovic, Rebecca; Sargent, Ian L; Redman, Christopher W; Kishore, Uday; Vatish, Manu

    2017-08-01

    Preeclampsia, a multisystem hypertensive disorder of pregnancy, is associated with increased systemic vascular resistance. Placentae from patients with preeclampsia have reduced levels of endothelial nitric oxide synthase (eNOS) and, thus, less nitric oxide (NO). Syncytiotrophoblast extracellular vesicles (STBEV), comprising microvesicles (STBMV) and exosomes, carry signals from the syncytiotrophoblast to the mother. We hypothesized that STBEV-bound eNOS (STBEV-eNOS), capable of producing NO, are released into the maternal circulation. Dual-lobe ex vivo placental perfusion and differential centrifugation was used to isolate STBEV from preeclampsia (n=8) and normal pregnancies (NP; n=11). Plasma samples of gestational age-matched preeclampsia and NP (n=6) were used to isolate circulating STBMV. STBEV expressed placental alkaline phosphatase, confirming placental origin. STBEV coexpressed eNOS, but not inducible nitric oxide synthase, confirmed using Western blot, flow cytometry, and immunodepletion. STBEV-eNOS produced NO, which was significantly inhibited by N   G -nitro-l-arginine methyl ester (eNOS inhibitor; P <0.05) but not by N -(3-(aminomethyl) bezyl) acetamidine) (inducible nitric oxide synthase inhibitor). STBEV-eNOS catalytic activity was confirmed by visualizing eNOS dimerization. STBEV-eNOS was more abundant in uterine vein compared with peripheral blood, indicating placental origin. STBEV isolated from preeclampsia-perfused placentae had lower levels of STBEV-eNOS (STBMV; P <0.05) and overall lower NO activity (STBMV, not significant; syncytiotrophoblast extracellular exosomes, P <0.05) compared with those from NP. Circulating plasma STBMV from preeclampsia women had lower STBEV-eNOS expression compared with that from NP women ( P <0.01). This is the first observation of functional eNOS expressed on STBEV from NP and preeclampsia placentae, as well as in plasma. The lower STBEV-eNOS NO production seen in preeclampsia may contribute to the decreased NO

  18. Isolation and functional characterization of a τ-cadinol synthase, a new sesquiterpene synthase from Lavandula angustifolia.

    PubMed

    Jullien, Frédéric; Moja, Sandrine; Bony, Aurélie; Legrand, Sylvain; Petit, Cécile; Benabdelkader, Tarek; Poirot, Kévin; Fiorucci, Sébastien; Guitton, Yann; Nicolè, Florence; Baudino, Sylvie; Magnard, Jean-Louis

    2014-01-01

    In this paper we characterize three sTPSs: a germacrene D (LaGERDS), a (E)-β-caryophyllene (LaCARS) and a τ-cadinol synthase (LaCADS). τ-cadinol synthase is reported here for the first time and its activity was studied in several biological models including transiently or stably transformed tobacco species. Three dimensional structure models of LaCADS and Ocimum basilicum γ-cadinene synthase were built by homology modeling using the template structure of Gossypium arboreum δ-cadinene synthase. The depiction of their active site organization provides evidence of the global influence of the enzymes on the formation of τ-cadinol: instead of a unique amino-acid, the electrostatic properties and solvent accessibility of the whole active site in LaCADS may explain the stabilization of the cadinyl cation intermediate. Quantitative PCR performed from leaves and inflorescences showed two patterns of expression. LaGERDS and LaCARS were mainly expressed during early stages of flower development and, at these stages, transcript levels paralleled the accumulation of the corresponding terpene products (germacrene D and (E)-β-caryophyllene). By contrast, the expression level of LaCADS was constant in leaves and flowers. Phylogenetic analysis provided informative results on potential duplication process leading to sTPS diversification in lavender.

  19. Exogenous thyroid hormones regulate the activity of citrate synthase and cytochrome c oxidase in warm- but not cold-acclimated lake whitefish (Coregonus clupeaformis)

    USGS Publications Warehouse

    Zak, Megan A.; Regish, Amy M.; McCormick, Stephen; Manzon, Richard G.

    2017-01-01

    Thermal acclimation is known to elicit metabolic adjustments in ectotherms, but the cellular mechanisms and endocrine control of these shifts have not been fully elucidated. Here we examined the relationship between thermal acclimation, thyroid hormones and oxidative metabolism in juvenile lake whitefish. Impacts of thermal acclimation above (19 °C) or below (8 °C) the thermal optimum (13 °C) and exposure to exogenous thyroid hormone (60 µg T4/g body weight) were assessed by quantifying citrate synthase and cytochrome c oxidase activities in liver, red muscle, white muscle and heart. Warm acclimation decreased citrate synthase activity in liver and elevated both citrate synthase and cytochrome c oxidase activities in red muscle. In contrast, induction of hyperthyroidism in warm-acclimated fish stimulated a significant increase in liver citrate synthase and heart cytochrome c oxidase activities, and a decrease in the activity of both enzymes in red muscle. No change in citrate synthase or cytochrome c oxidase activities was observed following cold acclimation in either the presence or absence of exogenous thyroid hormones. Collectively, our results indicate that thyroid hormones influence the activity of oxidative enzymes more strongly in warm-acclimated than in cold-acclimated lake whitefish, and they may play a role in mediating metabolic adjustments observed during thermal acclimation.

  20. Exogenous thyroid hormones regulate the activity of citrate synthase and cytochrome c oxidase in warm- but not cold-acclimated lake whitefish (Coregonus clupeaformis).

    PubMed

    Zak, Megan A; Regish, Amy M; McCormick, Stephen D; Manzon, Richard G

    2017-06-01

    Thermal acclimation is known to elicit metabolic adjustments in ectotherms, but the cellular mechanisms and endocrine control of these shifts have not been fully elucidated. Here we examined the relationship between thermal acclimation, thyroid hormones and oxidative metabolism in juvenile lake whitefish. Impacts of thermal acclimation above (19°C) or below (8°C) the thermal optimum (13°C) and exposure to exogenous thyroid hormone (60µg T 4 /g body weight) were assessed by quantifying citrate synthase and cytochrome c oxidase activities in liver, red muscle, white muscle and heart. Warm acclimation decreased citrate synthase activity in liver and elevated both citrate synthase and cytochrome c oxidase activities in red muscle. In contrast, induction of hyperthyroidism in warm-acclimated fish stimulated a significant increase in liver citrate synthase and heart cytochrome c oxidase activities, and a decrease in the activity of both enzymes in red muscle. No change in citrate synthase or cytochrome c oxidase activities was observed following cold acclimation in either the presence or absence of exogenous thyroid hormones. Collectively, our results indicate that thyroid hormones influence the activity of oxidative enzymes more strongly in warm-acclimated than in cold-acclimated lake whitefish, and they may play a role in mediating metabolic adjustments observed during thermal acclimation. Copyright © 2017 Elsevier Inc. All rights reserved.

  1. Activation and inhibition of CTP synthase from Trypanosoma brucei, the causative agent of African sleeping sickness.

    PubMed

    Steeves, Craig H; Bearne, Stephen L

    2011-09-15

    CTP Synthase from Trypanosoma brucei (TbCTPS) catalyzes the conversion of UTP to CTP and is a recognized target for the development of antiprotozoal agents. GTP activates glutamine-dependent CTP formation catalyzed by TbCTPS at concentrations below 0.2 mM, but inhibits this activity at concentrations above 0.2 mM. TbCTPS catalyzes ammonia-dependent CTP formation, which is inhibited by purine derivatives such as GTP, guanosine, caffeine, and uric acid with IC(50) values of 460, 380, 480, and 100 μM, respectively. These observations suggest that the purine ring may serve as a useful scaffold for the development of inhibitors of trypanosomal CTP synthase. Copyright © 2011 Elsevier Ltd. All rights reserved.

  2. Molecular architectures of benzoic acid-specific type III polyketide synthases

    PubMed Central

    Stewart, Charles; Woods, Kate; Macias, Greg; Allan, Andrew C.; Noel, Joseph P.

    2017-01-01

    Biphenyl synthase and benzophenone synthase constitute an evolutionarily distinct clade of type III polyketide synthases (PKSs) that use benzoic acid-derived substrates to produce defense metabolites in plants. The use of benzoyl-CoA as an endogenous substrate is unusual for type III PKSs. Moreover, sequence analyses indicate that the residues responsible for the functional diversification of type III PKSs are mutated in benzoic acid-specific type III PKSs. In order to gain a better understanding of structure–function relationships within the type III PKS family, the crystal structures of biphenyl synthase from Malus × domestica and benzophenone synthase from Hypericum androsaemum were compared with the structure of an archetypal type III PKS: chalcone synthase from Malus × domestica. Both biphenyl synthase and benzophenone synthase contain mutations that reshape their active-site cavities to prevent the binding of 4-coumaroyl-CoA and to favor the binding of small hydrophobic substrates. The active-site cavities of biphenyl synthase and benzophenone synthase also contain a novel pocket associated with their chain-elongation and cyclization reactions. Collectively, these results illuminate structural determinants of benzoic acid-specific type III PKSs and expand the understanding of the evolution of specialized metabolic pathways in plants. PMID:29199980

  3. Studies of UMP synthase in orotic aciduria fibroblasts

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Perry, M.E.; Jones, M.E.

    UMP synthase catalyzes the final two reactions of de novo pyrimidine biosynthesis in mammals. UMP synthase activities are low in fibroblasts from a patient with hereditary orotic aciduria, but increase 80-100 fold to normal levels when the cells are incubated in the presence of 6-azauridine (6-azaU). Normal fibroblasts exhibit at most a two-fold increase in UMP synthase activities in response to 6-azaU. The increase in mutant cell enzyme activity is accompanied by increased UMP synthase protein in immunoprecipitates from (/sup 3//sub 5/S)-methionine-labeled cell extracts. This 6-azaU-dependent protein is precipitated by several monoclonal antibodies and polyclonal antibody raised against pure humanmore » UMP synthase. UMP synthase from normal and mutant fibroblasts comigrate on SDS gels and are stable for at least 2 1/2 hrs at 37/sup 0/C in the presence of a substrate, OMP. However, in the absence of substrate, at 57/sup 0/C, they have different inactivation patterns. Stability of the enzyme derived from normal cells > that of the enzyme from mutant cells cultured with 6-azaU > that of the enzyme from mutant cells. Southern blots of DNA from normal and mutant cells show identical restriction patterns with five enzymes. These results are consistent with the theory that the low level of UMP synthase in mutant cells reflects an increased susceptibility to proteolytic degradation which can be blocked by administration of 6-azaU to the cells in culture.« less

  4. Isoprene synthase genes form a monophyletic clade of acyclic terpene synthases in the TPS-B terpene synthase family.

    PubMed

    Sharkey, Thomas D; Gray, Dennis W; Pell, Heather K; Breneman, Steven R; Topper, Lauren

    2013-04-01

    Many plants emit significant amounts of isoprene, which is hypothesized to help leaves tolerate short episodes of high temperature. Isoprene emission is found in all major groups of land plants including mosses, ferns, gymnosperms, and angiosperms; however, within these groups isoprene emission is variable. The patchy distribution of isoprene emission implies an evolutionary pattern characterized by many origins or many losses. To better understand the evolution of isoprene emission, we examine the phylogenetic relationships among isoprene synthase and monoterpene synthase genes in the angiosperms. In this study we identify nine new isoprene synthases within the rosid angiosperms. We also document the capacity of a myrcene synthase in Humulus lupulus to produce isoprene. Isoprene synthases and (E)-β-ocimene synthases form a monophyletic group within the Tps-b clade of terpene synthases. No asterid genes fall within this clade. The chemistry of isoprene synthase and ocimene synthase is similar and likely affects the apparent relationships among Tps-b enzymes. The chronology of rosid evolution suggests a Cretaceous origin followed by many losses of isoprene synthase over the course of evolutionary history. The phylogenetic pattern of Tps-b genes indicates that isoprene emission from non-rosid angiosperms likely arose independently. © 2012 The Author(s). Evolution© 2012 The Society for the Study of Evolution.

  5. Treatment of rats with glucagon or mannoheptulose increases mitochondrial 3-hydroxy-3-methylglutaryl-CoA synthase activity and decreases succinyl-CoA content in liver.

    PubMed Central

    Quant, P A; Tubbs, P K; Brand, M D

    1989-01-01

    1. The activity of 3-hydroxy-3-methylglutaryl-CoA (HMG-CoA) synthase (EC 4.1.3.5) in extracts of rapidly frozen rat livers was doubled in animals treated in various ways to increase ketogenic flux. 2. Some 90% of the activity measured was mitochondrial, and changes in mitochondrial activity dominated changes in total enzyme activity. 3. The elevated HMG-CoA synthase activities persisted throughout the isolation of liver mitochondria. 4. Intramitochondrial succinyl-CoA content was lower in whole liver homogenates and in mitochondria isolated from animals treated with glucagon or mannoheptulose. 5. HMG-CoA synthase activity in mitochondria from both ox and rat liver was negatively correlated with intramitochondrial succinyl-CoA levels when these were manipulated artificially. Under these conditions, the differences between mitochondria from control and hormone-treated rats were abolished. 6. These findings show that glucagon can decrease intramitochondrial succinyl-CoA concentration, and that this in turn can regulate mitochondrial HMG-CoA synthase. They support the hypothesis that the formation of ketone bodies from acetyl-CoA may be regulated by the extent of succinylation of mitochondrial HMG-CoA synthase. PMID:2573345

  6. Novel family of terpene synthases evolved from trans-isoprenyl diphosphate synthases in a flea beetle

    PubMed Central

    Beran, Franziska; Rahfeld, Peter; Luck, Katrin; Nagel, Raimund; Vogel, Heiko; Wielsch, Natalie; Irmisch, Sandra; Ramasamy, Srinivasan; Gershenzon, Jonathan; Heckel, David G.; Köllner, Tobias G.

    2016-01-01

    Sesquiterpenes play important roles in insect communication, for example as pheromones. However, no sesquiterpene synthases, the enzymes involved in construction of the basic carbon skeleton, have been identified in insects to date. We investigated the biosynthesis of the sesquiterpene (6R,7S)-himachala-9,11-diene in the crucifer flea beetle Phyllotreta striolata, a compound previously identified as a male-produced aggregation pheromone in several Phyllotreta species. A (6R,7S)-himachala-9,11-diene–producing sesquiterpene synthase activity was detected in crude beetle protein extracts, but only when (Z,E)-farnesyl diphosphate [(Z,E)-FPP] was offered as a substrate. No sequences resembling sesquiterpene synthases from plants, fungi, or bacteria were found in the P. striolata transcriptome, but we identified nine divergent putative trans-isoprenyl diphosphate synthase (trans-IDS) transcripts. Four of these putative trans-IDSs exhibited terpene synthase (TPS) activity when heterologously expressed. Recombinant PsTPS1 converted (Z,E)-FPP to (6R,7S)-himachala-9,11-diene and other sesquiterpenes observed in beetle extracts. RNAi-mediated knockdown of PsTPS1 mRNA in P. striolata males led to reduced emission of aggregation pheromone, confirming a significant role of PsTPS1 in pheromone biosynthesis. Two expressed enzymes showed genuine IDS activity, with PsIDS1 synthesizing (E,E)-FPP, whereas PsIDS3 produced neryl diphosphate, (Z,Z)-FPP, and (Z,E)-FPP. In a phylogenetic analysis, the PsTPS enzymes and PsIDS3 were clearly separated from a clade of known coleopteran trans-IDS enzymes including PsIDS1 and PsIDS2. However, the exon–intron structures of IDS and TPS genes in P. striolata are conserved, suggesting that this TPS gene family evolved from trans-IDS ancestors. PMID:26936952

  7. Reduced peroxisomal citrate synthase activity increases substrate availability for polyhydroxyalkanoate biosynthesis in plant peroxisomes.

    PubMed

    Tilbrook, Kimberley; Poirier, Yves; Gebbie, Leigh; Schenk, Peer M; McQualter, Richard B; Brumbley, Stevens M

    2014-10-01

    Polyhydroxyalkanoates (PHAs) are bacterial carbon storage polymers used as renewable, biodegradable plastics. PHA production in plants may be a way to reduce industrial PHA production costs. We recently demonstrated a promising level of peroxisomal PHA production in the high biomass crop species sugarcane. However, further production strategies are needed to boost PHA accumulation closer to commercial targets. Through exogenous fatty acid feeding of Arabidopsis thaliana plants that contain peroxisome-targeted PhaA, PhaB and PhaC enzymes from Cupriavidus necator, we show here that the availability of substrates derived from the β-oxidation cycle limits peroxisomal polyhydroxybutyrate (PHB) biosynthesis. Knockdown of peroxisomal citrate synthase activity using artificial microRNA increased PHB production levels approximately threefold. This work demonstrates that reduction of peroxisomal citrate synthase activity may be a valid metabolic engineering strategy for increasing PHA production in other plant species. © 2014 Society for Experimental Biology, Association of Applied Biologists and John Wiley & Sons Ltd.

  8. Discovery of DF-461, a Potent Squalene Synthase Inhibitor

    PubMed Central

    2013-01-01

    We report the development of a new trifluoromethyltriazolobenzoxazepine series of squalene synthase inhibitors. Structure–activity studies and pharmacokinetics optimization on this series led to the identification of compound 23 (DF-461), which exhibited potent squalene synthase inhibitory activity, high hepatic selectivity, excellent rat hepatic cholesterol synthesis inhibitory activity, and plasma lipid lowering efficacy in nonrodent repeated dose studies. PMID:24900587

  9. Polyester synthases: natural catalysts for plastics.

    PubMed Central

    Rehm, Bernd H A

    2003-01-01

    Polyhydroxyalkanoates (PHAs) are biopolyesters composed of hydroxy fatty acids, which represent a complex class of storage polyesters. They are synthesized by a wide range of different Gram-positive and Gram-negative bacteria, as well as by some Archaea, and are deposited as insoluble cytoplasmic inclusions. Polyester synthases are the key enzymes of polyester biosynthesis and catalyse the conversion of (R)-hydroxyacyl-CoA thioesters to polyesters with the concomitant release of CoA. These soluble enzymes turn into amphipathic enzymes upon covalent catalysis of polyester-chain formation. A self-assembly process is initiated resulting in the formation of insoluble cytoplasmic inclusions with a phospholipid monolayer and covalently attached polyester synthases at the surface. Surface-attached polyester synthases show a marked increase in enzyme activity. These polyester synthases have only recently been biochemically characterized. An overview of these recent findings is provided. At present, 59 polyester synthase structural genes from 45 different bacteria have been cloned and the nucleotide sequences have been obtained. The multiple alignment of the primary structures of these polyester synthases show an overall identity of 8-96% with only eight strictly conserved amino acid residues. Polyester synthases can been assigned to four classes based on their substrate specificity and subunit composition. The current knowledge on the organization of the polyester synthase genes, and other genes encoding proteins related to PHA metabolism, is compiled. In addition, the primary structures of the 59 PHA synthases are aligned and analysed with respect to highly conserved amino acids, and biochemical features of polyester synthases are described. The proposed catalytic mechanism based on similarities to alpha/beta-hydrolases and mutational analysis is discussed. Different threading algorithms suggest that polyester synthases belong to the alpha/beta-hydrolase superfamily, with

  10. The chloroplast ATP synthase features the characteristic redox regulation machinery.

    PubMed

    Hisabori, Toru; Sunamura, Ei-Ichiro; Kim, Yusung; Konno, Hiroki

    2013-11-20

    Regulation of the activity of the chloroplast ATP synthase is largely accomplished by the chloroplast thioredoxin system, the main redox regulation system in chloroplasts, which is directly coupled to the photosynthetic reaction. We review the current understanding of the redox regulation system of the chloroplast ATP synthase. The thioredoxin-targeted portion of the ATP synthase consists of two cysteines located on the central axis subunit γ. The redox state of these two cysteines is under the influence of chloroplast thioredoxin, which directly controls rotation during catalysis by inducing a conformational change in this subunit. The molecular mechanism of redox regulation of the chloroplast ATP synthase has recently been determined. Regulation of the activity of the chloroplast ATP synthase is critical in driving efficiency into the ATP synthesis reaction in chloroplasts. The molecular architecture of the chloroplast ATP synthase, which confers redox regulatory properties requires further investigation, in light of the molecular structure of the enzyme complex as well as the physiological significance of the regulation system.

  11. Cloning and Characterization of Inducible Nitric Oxide Synthase from Mouse Macrophages

    NASA Astrophysics Data System (ADS)

    Xie, Qiao-Wen; Cho, Hearn J.; Calaycay, Jimmy; Mumford, Richard A.; Swiderek, Kristine M.; Lee, Terry D.; Ding, Aihao; Troso, Tiffany; Nathan, Carl

    1992-04-01

    Nitric oxide (NO) conveys a variety of messages between cells, including signals for vasorelaxation, neurotransmission, and cytotoxicity. In some endothelial cells and neurons, a constitutive NO synthase is activated transiently by agonists that elevate intracellular calcium concentrations and promote the binding of calmodulin. In contrast, in macrophages, NO synthase activity appears slowly after exposure of the cells to cytokines and bacterial products, is sustained, and functions independently of calcium and calmodulin. A monospecific antibody was used to clone complementary DNA that encoded two isoforms of NO synthase from immunologically activated mouse macrophages. Liquid chromatography-mass spectrometry was used to confirm most of the amino acid sequence. Macrophage NO synthase differs extensively from cerebellar NO synthase. The macrophage enzyme is immunologically induced at the transcriptional level and closely resembles the enzyme in cytokine-treated tumor cells and inflammatory neutrophils.

  12. Effects and mechanism of acid rain on plant chloroplast ATP synthase.

    PubMed

    Sun, Jingwen; Hu, Huiqing; Li, Yueli; Wang, Lihong; Zhou, Qing; Huang, Xiaohua

    2016-09-01

    Acid rain can directly or indirectly affect plant physiological functions, especially photosynthesis. The enzyme ATP synthase is the key in photosynthetic energy conversion, and thus, it affects plant photosynthesis. To clarify the mechanism by which acid rain affects photosynthesis, we studied the effects of acid rain on plant growth, photosynthesis, chloroplast ATP synthase activity and gene expression, chloroplast ultrastructure, intracellular H(+) level, and water content of rice seedlings. Acid rain at pH 4.5 remained the chloroplast structure unchanged but increased the expression of six chloroplast ATP synthase subunits, promoted chloroplast ATP synthase activity, and increased photosynthesis and plant growth. Acid rain at pH 4.0 or less decreased leaf water content, destroyed chloroplast structure, inhibited the expression of six chloroplast ATP synthase subunits, decreased chloroplast ATP synthase activity, and reduced photosynthesis and plant growth. In conclusion, acid rain affected the chloroplast ultrastructure, chloroplast ATPase transcription and activity, and P n by changing the acidity in the cells, and thus influencing the plant growth and development. Finally, the effects of simulated acid rain on the test indices were found to be dose-dependent.

  13. Syntheses and herbicidal activity of new triazolopyrimidine-2-sulfonamides as acetohydroxyacid synthase inhibitor.

    PubMed

    Chen, Chao-Nan; Chen, Qiong; Liu, Yu-Chao; Zhu, Xiao-Lei; Niu, Cong-Wei; Xi, Zhen; Yang, Guang-Fu

    2010-07-15

    The triazolopyrimidine-2-sulfonanilide, discovered from preparing bioisosteres of the sulfonylurea herbicides, is an important class of acetohydroxyacid synthase (AHAS, EC 4.1.3.18) inhibitors. At least over ten triazolopyrimidine sulfonanilides have been commercialized as herbicides for the control of broadleaf weeds and grass with cereal crop selectivity. Herein, a series of triazolopyrimidine-2-sulfonanilides were designed and synthesized with the aim of discovery of new herbicides with higher activity. The assay results of the inhibition activity of the synthesized compounds against Arabidopsis thatiana AHAS indicated that some compounds showed a little higher activity against flumetsulam (FS), the first commercial triazolopyrimidine-2-sulfonanilide-type herbicide. The ki values of two promising compounds 3d and 8h are respectively, 1.61 and 1.29 microM, while that of FS is 1.85 microM. Computational simulation results indicated the ester group of compound 3d formed hydrogen bonds with the surrounding residues Arg'198 and Ser653, which accounts for its 11.5-folds higher AHAS inhibition activity than Y6610. Further green house assay showed that compound 3d has comparable herbicidal activity as FS. Even at the concentration of 37.5g.ai/ha, 3d showed excellent herbicidal activity against Galium aparine, Cerastium arvense, Chenopodium album, Amaranthus retroflexus, and Rmumex acetasa, moderate herbicidal activity against Polygonum humifusum, Cyperus iria, and Eclipta prostrate. The combination of in vitro and in vivo assay indicated that 3d could be regarded as a new potential acetohydroxyacid synthase-inhibiting herbicide candidate for further study. Copyright (c) 2010 Elsevier Ltd. All rights reserved.

  14. Dobesilate enhances endothelial nitric oxide synthase-activity in macro- and microvascular endothelial cells

    PubMed Central

    Suschek, Christoph; Kolb, Hubert; Kolb-Bachofen, Victoria

    1997-01-01

    Dobesilate is used for normalizing vascular dysfunction in a number of diseases. In search for an effect on endothelial NO production, macrovascular endothelial cells from rat aorta, microvascular endothelial cells from rat exocrine pancreatic tissue, and capillary endothelial cells from rat islets, were cultured in the presence or absence of Mg-Dobesilate. The activity of constitutive nitric oxide synthase (ecNOS) in resident cells as well as of inducible nitric oxide synthase (iNOS) in cytokine-activated cells was measured indirectly by recording the citrulline concentrations in culture supernatants.In each of the different endothelial cells Mg-Dobesilate incubation (0.25–1 mM) for 24 h led to a significant and concentration-dependent increase in ecNOS-activities. With cytokine-activated endothelial cell cultures only moderate effects were seen with little or no concentration-dependency. Addition of the NOS-inhibitor NG-monomethyl-L-arginine led to a significant suppression of citrulline formation in all cultures as an evidence for the enzyme specificity of these effects.iNOS- and ecNOS-specific reverse transcription and semi-quantitative polymerase chain reaction (RT–PCR) with RNA from resident or cytokine-activated endothelial cells gave no evidence for an increase in NOS-specific mRNA after Mg-Dobesilate-treatment. Furthermore, Dobesilate-mediated enhancement of NO synthesis in resting endothelial cells was not due to iNOS induction in these cells, as no iNOS-specific signal was found by RT–PCR. PMID:9421302

  15. β-Glucoside Activators of Mung Bean UDP-Glucose: β-Glucan Synthase 1

    PubMed Central

    Callaghan, Theresa; Ross, Peter; Weinberger-Ohana, Patricia; Garden, Gwenn; Benziman, Moshe

    1988-01-01

    Heat-stable activators of membranous β-glucan synthase have been isolated from the supernatant fraction of crude mung bean (Vigna radiata) extracts by DEAE-cellulose and silica-gel chromatography. One of the activators has been partially purified and characterized on the basis of susceptibility to various enzymes and by analysis of the products formed upon total acid hydrolysis, alkaline-methanolysis, and β-glucosidase digestion. This activator has the characteristics of a 1,2-dioleoyl diglyceride containing β-linked glucose residue(s) at the C-3 position. When expressed per mole of glucosyl residues, the maximal Ka value of the activator is estimated to be 25 micromolar. Both the intact glucosyl and fatty acid moiety are essential to the stimulatory effect of the activator. PMID:16666038

  16. Identification and Characterization of Daurichromenic Acid Synthase Active in Anti-HIV Biosynthesis.

    PubMed

    Iijima, Miu; Munakata, Ryosuke; Takahashi, Hironobu; Kenmoku, Hiromichi; Nakagawa, Ryuichi; Kodama, Takeshi; Asakawa, Yoshinori; Abe, Ikuro; Yazaki, Kazufumi; Kurosaki, Fumiya; Taura, Futoshi

    2017-08-01

    Daurichromenic acid (DCA) synthase catalyzes the oxidative cyclization of grifolic acid to produce DCA, an anti-HIV meroterpenoid isolated from Rhododendron dauricum We identified a novel cDNA encoding DCA synthase by transcriptome-based screening from young leaves of R. dauricum The gene coded for a 533-amino acid polypeptide with moderate homologies to flavin adenine dinucleotide oxidases from other plants. The primary structure contained an amino-terminal signal peptide and conserved amino acid residues to form bicovalent linkage to the flavin adenine dinucleotide isoalloxazine ring at histidine-112 and cysteine-175. In addition, the recombinant DCA synthase, purified from the culture supernatant of transgenic Pichia pastoris , exhibited structural and functional properties as a flavoprotein. The reaction mechanism of DCA synthase characterized herein partly shares a similarity with those of cannabinoid synthases from Cannabis sativa , whereas DCA synthase catalyzes a novel cyclization reaction of the farnesyl moiety of a meroterpenoid natural product of plant origin. Moreover, in this study, we present evidence that DCA is biosynthesized and accumulated specifically in the glandular scales, on the surface of R. dauricum plants, based on various analytical studies at the chemical, biochemical, and molecular levels. The extracellular localization of DCA also was confirmed by a confocal microscopic analysis of its autofluorescence. These data highlight the unique feature of DCA: the final step of biosynthesis is completed in apoplastic space, and it is highly accumulated outside the scale cells. © 2017 American Society of Plant Biologists. All Rights Reserved.

  17. Imidazopyridine-Based Fatty Acid Synthase Inhibitors That Show Anti-HCV Activity and in Vivo Target Modulation.

    PubMed

    Oslob, Johan D; Johnson, Russell J; Cai, Haiying; Feng, Shirley Q; Hu, Lily; Kosaka, Yuko; Lai, Julie; Sivaraja, Mohanram; Tep, Samnang; Yang, Hanbiao; Zaharia, Cristiana A; Evanchik, Marc J; McDowell, Robert S

    2013-01-10

    Potent imidazopyridine-based inhibitors of fatty acid synthase (FASN) are described. The compounds are shown to have antiviral (HCV replicon) activities that track with their biochemical activities. The most potent analogue (compound 19) also inhibits rat FASN and inhibits de novo palmitate synthesis in vitro (cell-based) as well as in vivo.

  18. Metal active site elasticity linked to activation of homocysteine in methionine synthases

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Koutmos, Markos; Pejchal, Robert; Bomer, Theresa M.

    2008-04-02

    Enzymes possessing catalytic zinc centers perform a variety of fundamental processes in nature, including methyl transfer to thiols. Cobalamin-independent (MetE) and cobalamin-dependent (MetH) methionine synthases are two such enzyme families. Although they perform the same net reaction, transfer of a methyl group from methyltetrahydrofolate to homocysteine (Hcy) to form methionine, they display markedly different catalytic strategies, modular organization, and active site zinc centers. Here we report crystal structures of zinc-replete MetE and MetH, both in the presence and absence of Hcy. Structural investigation of the catalytic zinc sites of these two methyltransferases reveals an unexpected inversion of zinc geometry uponmore » binding of Hcy and displacement of an endogenous ligand in both enzymes. In both cases a significant movement of the zinc relative to the protein scaffold accompanies inversion. These structures provide new information on the activation of thiols by zinc-containing enzymes and have led us to propose a paradigm for the mechanism of action of the catalytic zinc sites in these and related methyltransferases. Specifically, zinc is mobile in the active sites of MetE and MetH, and its dynamic nature helps facilitate the active site conformational changes necessary for thiol activation and methyl transfer.« less

  19. Imidazopyridine-Based Fatty Acid Synthase Inhibitors That Show Anti-HCV Activity and in Vivo Target Modulation

    PubMed Central

    2012-01-01

    Potent imidazopyridine-based inhibitors of fatty acid synthase (FASN) are described. The compounds are shown to have antiviral (HCV replicon) activities that track with their biochemical activities. The most potent analogue (compound 19) also inhibits rat FASN and inhibits de novo palmitate synthesis in vitro (cell-based) as well as in vivo. PMID:24900571

  20. The Chloroplast ATP Synthase Features the Characteristic Redox Regulation Machinery

    PubMed Central

    Sunamura, Ei-Ichiro; Kim, Yusung; Konno, Hiroki

    2013-01-01

    Abstract Significance: Regulation of the activity of the chloroplast ATP synthase is largely accomplished by the chloroplast thioredoxin system, the main redox regulation system in chloroplasts, which is directly coupled to the photosynthetic reaction. We review the current understanding of the redox regulation system of the chloroplast ATP synthase. Recent Advances: The thioredoxin-targeted portion of the ATP synthase consists of two cysteines located on the central axis subunit γ. The redox state of these two cysteines is under the influence of chloroplast thioredoxin, which directly controls rotation during catalysis by inducing a conformational change in this subunit. The molecular mechanism of redox regulation of the chloroplast ATP synthase has recently been determined. Critical Issues: Regulation of the activity of the chloroplast ATP synthase is critical in driving efficiency into the ATP synthesis reaction in chloroplasts. Future Directions: The molecular architecture of the chloroplast ATP synthase, which confers redox regulatory properties requires further investigation, in light of the molecular structure of the enzyme complex as well as the physiological significance of the regulation system. Antioxid. Redox Signal. 19, 1846–1854. PMID:23145525

  1. Clearing the skies over modular polyketide synthases.

    PubMed

    Sherman, David H; Smith, Janet L

    2006-09-19

    Modular polyketide synthases (PKSs) are large multifunctional proteins that synthesize complex polyketide metabolites in microbial cells. A series of recent studies confirm the close protein structural relationship between catalytic domains in the type I mammalian fatty acid synthase (FAS) and the basic synthase unit of the modular PKS. They also establish a remarkable similarity in the overall organization of the type I FAS and the PKS module. This information provides important new conclusions about catalytic domain architecture, function, and molecular recognition that are essential for future efforts to engineer useful polyketide metabolites with valuable biological activities.

  2. Accommodation of GDP-Linked Sugars in the Active Site of GDP-Perosamine Synthase

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cook, Paul D.; Carney, Amanda E.; Holden, Hazel M.

    2009-01-12

    Perosamine (4-amino-4,6-dideoxy-d-mannose), or its N-acetylated form, is one of several dideoxy sugars found in the O-antigens of such infamous Gram-negative bacteria as Vibrio cholerae O1 and Escherichia coli O157:H7. It is added to the bacterial O-antigen via a nucleotide-linked version, namely GDP-perosamine. Three enzymes are required for the biosynthesis of GDP-perosamine starting from mannose 1-phosphate. The focus of this investigation is GDP-perosamine synthase from Caulobacter crescentus, which catalyzes the final step in GDP-perosamine synthesis, the conversion of GDP-4-keto-6-deoxymannose to GDP-perosamine. The enzyme is PLP-dependent and belongs to the aspartate aminotransferase superfamily. It contains the typically conserved active site lysine residue,more » which forms a Schiff base with the PLP cofactor. Two crystal structures were determined for this investigation: a site-directed mutant protein (K186A) complexed with GDP-perosamine and the wild-type enzyme complexed with an unnatural ligand, GDP-3-deoxyperosamine. These structures, determined to 1.6 and 1.7 {angstrom} resolution, respectively, revealed the manner in which products, and presumably substrates, are accommodated within the active site pocket of GDP-perosamine synthase. Additional kinetic analyses using both the natural and unnatural substrates revealed that the K{sub m} for the unnatural substrate was unperturbed relative to that of the natural substrate, but the k{sub cat} was lowered by a factor of approximately 200. Taken together, these studies shed light on why GDP-perosamine synthase functions as an aminotransferase whereas another very similar PLP-dependent enzyme, GDP-4-keto-6-deoxy-d-mannose 3-dehydratase or ColD, catalyzes a dehydration reaction using the same substrate.« less

  3. Activation of brain nitric oxide synthase in depolarized human temporal cortex slices: differential role of voltage-sensitive calcium channels

    PubMed Central

    Fontana, Giovanni; Fedele, Ernesto; Cossu, Massimo; Munari, Claudio; Raiteri, Maurizio

    1997-01-01

    Nitric oxide (NO) synthase activity was studied in slices of human temporal cortex samples obtained in neurosurgery by measuring the conversion of L-[3H]-arginine to L-[3H]-citrulline. Elevation of extracellular K+ to 20, 35 or 60 mM concentration-dependently augmented L-[3H]-citrulline production. The response to 35 mM KCl was abolished by NG-nitro-L-arginine (100 μM) demonstrating NO synthase specific conversion of L-arginine to L-citrulline. Increasing extracellular MgCl2 concentration up to 10 mM also prevented the K+ (35 mM)-induced NO synthase activation, suggesting the absolute requirement of external calcium ions for enzyme activity. However, the effect of high K+ (35 mM) on citrulline synthesis was insensitive to the antagonists of ionotropic and metabotropic glutamate receptors dizocilpine (MK-801), 6-nitro-7-sulphamoylbenzo(f)quinoxaline-2-3-dione (NBQX) or L-2-amino-3-phosphonopropionic acid (L-AP3) as well as to the nicotinic receptor antagonist, mecamylamine. The 35 mM K+ response was insensitive to ω-conotoxin GVIA (1 μM) and nifedipine (100 μM), but could be prevented in part by ω-agatoxin IVA (0.1 and 1 μM). The inhibition caused by 0.1 μM ω-agatoxin IVA (∼30%) was enhanced by adding ω-conotoxin GVIA (1 μM) or nifedipine (100 μM). Further inhibition (up to above 70%) could be observed when the three Ca2+ channel blockers were added together. Similarly, synthetic FTX 3.3 arginine polyamine (sFTX) prevented (50% at 100 μM) the K+-evoked NO synthase activation. This effect of sFTX was further enhanced (up to 70%) by adding 1 μM ω-conotoxin GVIA plus 100 μM nifedipine. No further inhibition could be observed upon addition of MK-801 or/and NBQX. It was concluded that elevation of extracellular [K+] causes NO synthase activation by external Ca+ entering cells mainly through channels of the P/Q-type. Other Ca2+ channels (L- and N-type) appear to contribute when P/Q-channels are blocked. PMID:9384511

  4. Activation of brain nitric oxide synthase in depolarized human temporal cortex slices: differential role of voltage-sensitive calcium channels.

    PubMed

    Fontana, G; Fedele, E; Cossu, M; Munari, C; Raiteri, M

    1997-11-01

    1. Nitric oxide (NO) synthase activity was studied in slices of human temporal cortex samples obtained in neurosurgery by measuring the conversion of L-[3H]-arginine to L-[3H]-citrulline. 2. Elevation of extracellular K+ to 20, 35 or 60 mM concentration-dependently augmented L-[3H]-citrulline production. The response to 35 mM KCl was abolished by N(G)-nitro-L-arginine (100 microM) demonstrating NO synthase specific conversion of L-arginine to L-citrulline. Increasing extracellular MgCl2 concentration up to 10 mM also prevented the K+ (35 mM)-induced NO synthase activation, suggesting the absolute requirement of external calcium ions for enzyme activity. 3. However, the effect of high K+ (35 mM) on citrulline synthesis was insensitive to the antagonists of ionotropic and metabotropic glutamate receptors dizocilpine (MK-801), 6-nitro-7-sulphamoylbenzo(f)-quinoxaline-2-3-dione (NBQX) or L-2-amino-3-phosphonopropionic acid (L-AP3) as well as to the nicotinic receptor antagonist, mecamylamine. 4. The 35 mM K+ response was insensitive to omega-conotoxin GVIA (1 microM) and nifedipine (100 microM), but could be prevented in part by omega-agatoxin IVA (0.1 and 1 microM). The inhibition caused by 0.1 microM omega-agatoxin IVA (approximately 30%) was enhanced by adding omega-conotoxin GVIA (1 microM) or nifedipine (100 microM). Further inhibition (up to above 70%) could be observed when the three Ca2+ channel blockers were added together. Similarly, synthetic FTX 3.3 arginine polyamine (sFTX) prevented (50% at 100 microM) the K+-evoked NO synthase activation. This effect of sFTX was further enhanced (up to 70%) by adding 1 microM omega-conotoxin GVIA plus 100 microM nifedipine. No further inhibition could be observed upon addition of MK-801 or/and NBQX. 5. It was concluded that elevation of extracellular [K+] causes NO synthase activation by external Ca2+ entering cells mainly through channels of the P/Q-type. Other Ca2+ channels (L- and N-type) appear to contribute when P

  5. Expression Patterns, Activities and Carbohydrate-Metabolizing Regulation of Sucrose Phosphate Synthase, Sucrose Synthase and Neutral Invertase in Pineapple Fruit during Development and Ripening

    PubMed Central

    Zhang, Xiu-Mei; Wang, Wei; Du, Li-Qing; Xie, Jiang-Hui; Yao, Yan-Li; Sun, Guang-Ming

    2012-01-01

    Differences in carbohydrate contents and metabolizing-enzyme activities were monitored in apical, medial, basal and core sections of pineapple (Ananas comosus cv. Comte de paris) during fruit development and ripening. Fructose and glucose of various sections in nearly equal amounts were the predominant sugars in the fruitlets, and had obvious differences until the fruit matured. The large rise of sucrose/hexose was accompanied by dramatic changes in sucrose phosphate synthase (SPS) and sucrose synthase (SuSy) activities. By contrast, neutral invertase (NI) activity may provide a mechanism to increase fruit sink strength by increasing hexose concentrations. Furthermore, two cDNAs of Ac-sps (accession no. GQ996582) and Ac-ni (accession no. GQ996581) were first isolated from pineapple fruits utilizing conserved amino-acid sequences. Homology alignment reveals that the amino acid sequences contain some conserved function domains. Transcription expression analysis of Ac-sps, Ac-susy and Ac-ni also indicated distinct patterns related to sugar accumulation and composition of pineapple fruits. It suggests that differential expressions of multiple gene families are necessary for sugar metabolism in various parts and developmental stages of pineapple fruit. A cycle of sucrose breakdown in the cytosol of sink tissues could be mediated through both Ac-SuSy and Ac-NI, and Ac-NI could be involved in regulating crucial steps by generating sugar signals to the cells in a temporally and spatially restricted fashion. PMID:22949808

  6. Regulation of expression, activity and localization of fungal chitin synthases

    PubMed Central

    Rogg, Luise E.; Fortwendel, Jarrod R.; Juvvadi, Praveen R.; Steinbach, William J.

    2013-01-01

    The fungal cell wall represents an attractive target for pharmacologic inhibition, as many of the components are fungal-specific. Though targeted inhibition of β-glucan synthesis is effective treatment for certain fungal infections, the ability of the cell wall to dynamically compensate via the cell wall integrity pathway may limit overall efficacy. To date, chitin synthesis inhibitors have not been successfully deployed in the clinical setting. Fungal chitin synthesis is a complex and highly regulated process. Regulation of chitin synthesis occurs on multiple levels, thus targeting of these regulatory pathways may represent an exciting alternative approach. A variety of signaling pathways have been implicated in chitin synthase regulation, at both transcriptional and post-transcriptional levels. Recent research suggests that localization of chitin synthases likely represents a major regulatory mechanism. However, much of the regulatory machinery is not necessarily shared among different chitin synthases. Thus, an in depth understanding of the precise roles of each protein in cell wall maintenance and repair will be essential to identifying the most likely therapeutic targets. PMID:21526913

  7. Functional reconstitution of cellulose synthase in Escherichia coli.

    PubMed

    Imai, Tomoya; Sun, Shi-Jing; Horikawa, Yoshiki; Wada, Masahisa; Sugiyama, Junji

    2014-11-10

    Cellulose is a high molecular weight polysaccharide of β1 → 4-d-glucan widely distributed in nature-from plant cell walls to extracellular polysaccharide in bacteria. Cellulose synthase, together with other auxiliary subunit(s) in the cell membrane, facilitates the fibrillar assembly of cellulose polymer chains into a microfibril. The gene encoding the catalytic subunit of cellulose synthase is cesA and has been identified in many cellulose-producing organisms. Very few studies, however, have shown that recombinant CesA protein synthesizes cellulose polymer, but the mechanism by which CesA protein synthesizes cellulose microfibrils is not known. Here we show that cellulose-synthesizing activity is successfully reconstituted in Escherichia coli by expressing the bacterial cellulose synthase complex of Gluconacetobacter xylinus: CesA and CesB (formerly BcsA and BcsB, respectively). Cellulose synthase activity was, however, only detected when CesA and CesB were coexpressed with diguanyl cyclase (DGC), which synthesizes cyclic-di-GMP (c-di-GMP), which in turn activates cellulose-synthesizing activity in bacteria. Direct observation by electron microscopy revealed extremely thin fibrillar structures outside E. coli cells, which were removed by cellulase treatment. This fiber structure is not likely to be the native crystallographic form of cellulose I, given that it was converted to cellulose II by a chemical treatment milder than ever described. We thus putatively conclude that this fine fiber is an unprecedented structure of cellulose. Despite the inability of the recombinant enzyme to synthesize the native structure of cellulose, the system described in this study, named "CESEC (CEllulose-Synthesizing E. Coli)", represents a useful tool for functional analyses of cellulose synthase and for seeding new nanomaterials.

  8. Sandalwood Fragrance Biosynthesis Involves Sesquiterpene Synthases of Both the Terpene Synthase (TPS)-a and TPS-b Subfamilies, including Santalene Synthases*

    PubMed Central

    Jones, Christopher G.; Moniodis, Jessie; Zulak, Katherine G.; Scaffidi, Adrian; Plummer, Julie A.; Ghisalberti, Emilio L.; Barbour, Elizabeth L.; Bohlmann, Jörg

    2011-01-01

    Sandalwood oil is one of the worlds most highly prized fragrances. To identify the genes and encoded enzymes responsible for santalene biosynthesis, we cloned and characterized three orthologous terpene synthase (TPS) genes SaSSy, SauSSy, and SspiSSy from three divergent sandalwood species; Santalum album, S. austrocaledonicum, and S. spicatum, respectively. The encoded enzymes catalyze the formation of α-, β-, epi-β-santalene, and α-exo-bergamotene from (E,E)-farnesyl diphosphate (E,E-FPP). Recombinant SaSSy was additionally tested with (Z,Z)-farnesyl diphosphate (Z,Z-FPP) and remarkably, found to produce a mixture of α-endo-bergamotene, α-santalene, (Z)-β-farnesene, epi-β-santalene, and β-santalene. Additional cDNAs that encode bisabolene/bisabolol synthases were also cloned and functionally characterized from these three species. Both the santalene synthases and the bisabolene/bisabolol synthases reside in the TPS-b phylogenetic clade, which is more commonly associated with angiosperm monoterpene synthases. An orthologous set of TPS-a synthases responsible for formation of macrocyclic and bicyclic sesquiterpenes were characterized. Strict functionality and limited sequence divergence in the santalene and bisabolene synthases are in contrast to the TPS-a synthases, suggesting these compounds have played a significant role in the evolution of the Santalum genus. PMID:21454632

  9. Synthesis, crystal structure, catecholase and phenoxazinone synthase activities of a mononuclear cobalt(III) complex containing in situ formed tridentate N-donor Schiff base

    NASA Astrophysics Data System (ADS)

    Maji, Ashis Kumar; Chatterjee, Arnab; Khan, Sumitava; Ghosh, Barindra Kumar; Ghosh, Rajarshi

    2017-10-01

    Synthesis and structural characterization of a mononuclear cobalt(III) Schiff base complex is reported. It crystallizes with monoclinic crystal system with P21/n space group with a = 9.9793(4) Å, b = 28.2907(12) Å and c = 13.1233(6) Å, and β = 97.532(3)°. The compound is active to catecholase and phenoxazinone synthase activities in MeOH, and MeOH and MeCN solvents, respectively at room temperature. Each of the reactions was found to be of first order with reaction rate 8.08 × 10-3 min-1 (MeOH) for the catecholase activity and 1.05 × 10-3 min-1 (MeOH) and 3.82 × 10-3 min-1 (MeCN) for the phenoxazinone synthase activity. The turn over numbers for the catecholase activity is 5.02 × 103 h-1 (MeOH) and for the phenoxazinone synthase activity is 4.59 × 103 h-1 (MeOH) and 5.12 × 103 h-1 (MeCN). Substrate-catalyst adduct was tried to be trapped in each case using mass spectrometry.

  10. An unusual plant triterpene synthase with predominant α-amyrin-producing activity identified by characterizing oxidosqualene cyclases from Malus × domestica.

    PubMed

    Brendolise, Cyril; Yauk, Yar-Khing; Eberhard, Ellen D; Wang, Mindy; Chagne, David; Andre, Christelle; Greenwood, David R; Beuning, Lesley L

    2011-07-01

    The pentacyclic triterpenes, in particular ursolic acid and oleanolic acid and their derivatives, exist abundantly in the plant kingdom, where they are well known for their anti-inflammatory, antitumour and antimicrobial properties. α-Amyrin and β-amyrin are the precursors of ursolic and oleanolic acids, respectively, formed by concerted cyclization of squalene epoxide by a complex synthase reaction. We identified three full-length expressed sequence tag sequences in cDNA libraries constructed from apple (Malus × domestica 'Royal Gala') that were likely to encode triterpene synthases. Two of these expressed sequence tag sequences were essentially identical (> 99% amino acid similarity; MdOSC1 and MdOSC3). MdOSC1 and MdOSC2 were expressed by transient expression in Nicotiana benthamiana leaves and by expression in the yeast Pichia methanolica. The resulting products were analysed by GC and GC-MS. MdOSC1 was shown to be a mixed amyrin synthase (a 5 : 1 ratio of α-amyrin to β-amyrin). MdOSC1 is the only triterpene synthase so far identified in which the level of α-amyrin produced is > 80% of the total product and is, therefore, primarily an α-amyrin synthase. No product was evident for MdOSC2 when expressed either transiently or in yeast, suggesting that this putative triterpene synthase is either encoded by a pseudogene or does not express well in these systems. Transcript expression analysis in Royal Gala indicated that the genes are mostly expressed in apple peel, and that the MdOSC2 expression level was much lower than that of MdOSC1 and MdOSC3 in all the tissues tested. Amyrin content analysis was undertaken by LC-MS, and demonstrated that levels and ratios differ between tissues, but that the true consequence of synthase activity is reflected in the ursolic/oleanolic acid content and in further triterpenoids derived from them. Phylogenetic analysis placed the three triterpene synthase sequences with other triterpene synthases that encoded either

  11. Distribution of Callose Synthase, Cellulose Synthase, and Sucrose Synthase in Tobacco Pollen Tube Is Controlled in Dissimilar Ways by Actin Filaments and Microtubules1[W

    PubMed Central

    Cai, Giampiero; Faleri, Claudia; Del Casino, Cecilia; Emons, Anne Mie C.; Cresti, Mauro

    2011-01-01

    Callose and cellulose are fundamental components of the cell wall of pollen tubes and are probably synthesized by distinct enzymes, callose synthase and cellulose synthase, respectively. We examined the distribution of callose synthase and cellulose synthase in tobacco (Nicotiana tabacum) pollen tubes in relation to the dynamics of actin filaments, microtubules, and the endomembrane system using specific antibodies to highly conserved peptide sequences. The role of the cytoskeleton and membrane flow was investigated using specific inhibitors (latrunculin B, 2,3-butanedione monoxime, taxol, oryzalin, and brefeldin A). Both enzymes are associated with the plasma membrane, but cellulose synthase is present along the entire length of pollen tubes (with a higher concentration at the apex) while callose synthase is located in the apex and in distal regions. In longer pollen tubes, callose synthase accumulates consistently around callose plugs, indicating its involvement in plug synthesis. Actin filaments and endomembrane dynamics are critical for the distribution of callose synthase and cellulose synthase, showing that enzymes are transported through Golgi bodies and/or vesicles moving along actin filaments. Conversely, microtubules appear to be critical in the positioning of callose synthase in distal regions and around callose plugs. In contrast, cellulose synthases are only partially coaligned with cortical microtubules and unrelated to callose plugs. Callose synthase also comigrates with tubulin by Blue Native-polyacrylamide gel electrophoresis. Membrane sucrose synthase, which expectedly provides UDP-glucose to callose synthase and cellulose synthase, binds to actin filaments depending on sucrose concentration; its distribution is dependent on the actin cytoskeleton and the endomembrane system but not on microtubules. PMID:21205616

  12. Sandalwood fragrance biosynthesis involves sesquiterpene synthases of both the terpene synthase (TPS)-a and TPS-b subfamilies, including santalene synthases.

    PubMed

    Jones, Christopher G; Moniodis, Jessie; Zulak, Katherine G; Scaffidi, Adrian; Plummer, Julie A; Ghisalberti, Emilio L; Barbour, Elizabeth L; Bohlmann, Jörg

    2011-05-20

    Sandalwood oil is one of the worlds most highly prized fragrances. To identify the genes and encoded enzymes responsible for santalene biosynthesis, we cloned and characterized three orthologous terpene synthase (TPS) genes SaSSy, SauSSy, and SspiSSy from three divergent sandalwood species; Santalum album, S. austrocaledonicum, and S. spicatum, respectively. The encoded enzymes catalyze the formation of α-, β-, epi-β-santalene, and α-exo-bergamotene from (E,E)-farnesyl diphosphate (E,E-FPP). Recombinant SaSSy was additionally tested with (Z,Z)-farnesyl diphosphate (Z,Z-FPP) and remarkably, found to produce a mixture of α-endo-bergamotene, α-santalene, (Z)-β-farnesene, epi-β-santalene, and β-santalene. Additional cDNAs that encode bisabolene/bisabolol synthases were also cloned and functionally characterized from these three species. Both the santalene synthases and the bisabolene/bisabolol synthases reside in the TPS-b phylogenetic clade, which is more commonly associated with angiosperm monoterpene synthases. An orthologous set of TPS-a synthases responsible for formation of macrocyclic and bicyclic sesquiterpenes were characterized. Strict functionality and limited sequence divergence in the santalene and bisabolene synthases are in contrast to the TPS-a synthases, suggesting these compounds have played a significant role in the evolution of the Santalum genus. © 2011 by The American Society for Biochemistry and Molecular Biology, Inc.

  13. Regulatory role of glycogen synthase kinase 3 for transcriptional activity of ADD1/SREBP1c.

    PubMed

    Kim, Kang Ho; Song, Min Jeong; Yoo, Eung Jae; Choe, Sung Sik; Park, Sang Dai; Kim, Jae Bum

    2004-12-10

    Adipocyte determination- and differentiation-dependent factor 1 (ADD1) plays important roles in lipid metabolism and insulin-dependent gene expression. Because insulin stimulates carbohydrate and lipid synthesis, it would be important to decipher how the transcriptional activity of ADD1/SREBP1c is regulated in the insulin signaling pathway. In this study, we demonstrated that glycogen synthase kinase (GSK)-3 negatively regulates the transcriptional activity of ADD1/SREBP1c. GSK3 inhibitors enhanced a transcriptional activity of ADD1/SREBP1c and expression of ADD1/SREBP1c target genes including fatty acid synthase (FAS), acetyl-CoA carboxylase 1 (ACC1), and steroyl-CoA desaturase 1 (SCD1) in adipocytes and hepatocytes. In contrast, overexpression of GSK3beta down-regulated the transcriptional activity of ADD1/SREBP1c. GSK3 inhibitor-mediated ADD1/SREBP1c target gene activation did not require de novo protein synthesis, implying that GSK3 might affect transcriptional activity of ADD1/SREBP1c at the level of post-translational modification. Additionally, we demonstrated that GSK3 efficiently phosphorylated ADD1/SREBP1c in vitro and in vivo. Therefore, these data suggest that GSK3 inactivation is crucial to confer stimulated transcriptional activity of ADD1/SREBP1c for insulin-dependent gene expression, which would coordinate lipid and glucose metabolism.

  14. Lessons from 455 Fusarium polyketide synthases

    USDA-ARS?s Scientific Manuscript database

    In fungi, polyketide synthases (PKSs) synthesize a structurally diverse array of secondary metabolites (SMs) with a range of biological activities. The most studied SMs are toxic to animals and/or plants, alter plant growth, have beneficial pharmaceutical activities, and/or are brightly colored pigm...

  15. Geranyl diphosphate synthase from mint

    DOEpatents

    Croteau, Rodney Bruce; Wildung, Mark Raymond; Burke, Charles Cullen; Gershenzon, Jonathan

    1999-01-01

    A cDNA encoding geranyl diphosphate synthase from peppermint has been isolated and sequenced, and the corresponding amino acid sequence has been determined. Accordingly, an isolated DNA sequence (SEQ ID No:1) is provided which codes for the expression of geranyl diphosphate synthase (SEQ ID No:2) from peppermint (Mentha piperita). In other aspects, replicable recombinant cloning vehicles are provided which code for geranyl diphosphate synthase or for a base sequence sufficiently complementary to at least a portion of the geranyl diphosphate synthase DNA or RNA to enable hybridization therewith (e.g., antisense geranyl diphosphate synthase RNA or fragments of complementary geranyl diphosphate synthase DNA which are useful as polymerase chain reaction primers or as probes for geranyl diphosphate synthase or related genes). In yet other aspects, modified host cells are provided that have been transformed, transfected, infected and/or injected with a recombinant cloning vehicle and/or DNA sequence encoding geranyl diphosphate synthase. Thus, systems and methods are provided for the recombinant expression of geranyl diphosphate synthase that may be used to facilitate the production, isolation and purification of significant quantities of recombinant geranyl diphosphate synthase for subsequent use, to obtain expression or enhanced expression of geranyl diphosphate synthase in plants in order to enhance the production of monoterpenoids, to produce geranyl diphosphate in cancerous cells as a precursor to monoterpenoids having anti-cancer properties or may be otherwise employed for the regulation or expression of geranyl diphosphate synthase or the production of geranyl diphosphate.

  16. Sucrose synthase in wild tomato Lycopersicon chmielewskii and tomato fruit sink strength

    Treesearch

    Shi-Jean S. Sung; T. Loboda; S.S. Sung; C.C. Black

    1992-01-01

    Here it is reported that sucrose synthase can be readily measured in growing wild tomato fruits (Lycopersicon chmielewskii) when suitable methods are adopted during fruit extraction. The enzyme also was present in fruit pericarp tissues, in seeds, and in flowers.In mature, nongrowing fruits, sucrose synthase activities approached nil values.Therefore, sucrose synthase...

  17. An active site–tail interaction in the structure of hexahistidine-tagged Thermoplasma acidophilum citrate synthase

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Murphy, Jesse R.; Donini, Stefano; Kappock, T. Joseph, E-mail: kappock@purdue.edu

    2015-09-23

    Citrate synthase from the thermophilic euryarchaeon T. acidophilum fused to a hexahistidine tag was purified and biochemically characterized. The structure of the unliganded enzyme at 2.2 Å resolution contains tail–active site contacts in half of the active sites. Citrate synthase (CS) plays a central metabolic role in aerobes and many other organisms. The CS reaction comprises two half-reactions: a Claisen aldol condensation of acetyl-CoA (AcCoA) and oxaloacetate (OAA) that forms citryl-CoA (CitCoA), and CitCoA hydrolysis. Protein conformational changes that ‘close’ the active site play an important role in the assembly of a catalytically competent condensation active site. CS from themore » thermoacidophile Thermoplasma acidophilum (TpCS) possesses an endogenous Trp fluorophore that can be used to monitor the condensation reaction. The 2.2 Å resolution crystal structure of TpCS fused to a C-terminal hexahistidine tag (TpCSH6) reported here is an ‘open’ structure that, when compared with several liganded TpCS structures, helps to define a complete path for active-site closure. One active site in each dimer binds a neighboring His tag, the first nonsubstrate ligand known to occupy both the AcCoA and OAA binding sites. Solution data collectively suggest that this fortuitous interaction is stabilized by the crystalline lattice. As a polar but almost neutral ligand, the active site–tail interaction provides a new starting point for the design of bisubstrate-analog inhibitors of CS.« less

  18. Pharmacological Activation of Peroxisome Proliferator-Activated Receptor {Delta} Increases Sphingomyelin Synthase Activity in THP-1 Macrophage-Derived Foam Cell.

    PubMed

    Mou, Dongsheng; Yang, Hua; Qu, Changhua; Chen, Juan; Zhang, Chaogui

    2016-08-01

    Peroxisome proliferator-activated receptors (PPARs) are nuclear receptors, which mediate glucose and lipid homeostasis by regulating the expression of a large number of transcription factors. Sphingomyelin synthase (SMS) is a key enzyme in the synthesis of sphingomyelin (SM), and its expression and activity have been reported to be associated with atherosclerosis (AS). Although there have been many functional PPAR and SMS studies on atherosclerosis in recent years, few have investigated the correlation between the activation of PPARδ and the activity of SMS. In his study, macrophage-induced foam cells were utilized to model important pathological changes that occur in AS. The influence of PPARδ agonism by GW501516 on SMS and its product molecule SM were measured. Results indicated that the activation of PPARδ was correlated in a positive manner with the activity of SMS2, and the content of SM was dose dependently increased by GW501516. Together, this study represents the first to suggest that PPARδ activation may be a potential risk of AS through enhancing activity of SMS2.

  19. Geranyl diphosphate synthase from mint

    DOEpatents

    Croteau, R.B.; Wildung, M.R.; Burke, C.C.; Gershenzon, J.

    1999-03-02

    A cDNA encoding geranyl diphosphate synthase from peppermint has been isolated and sequenced, and the corresponding amino acid sequence has been determined. Accordingly, an isolated DNA sequence (SEQ ID No:1) is provided which codes for the expression of geranyl diphosphate synthase (SEQ ID No:2) from peppermint (Mentha piperita). In other aspects, replicable recombinant cloning vehicles are provided which code for geranyl diphosphate synthase or for a base sequence sufficiently complementary to at least a portion of the geranyl diphosphate synthase DNA or RNA to enable hybridization therewith (e.g., antisense geranyl diphosphate synthase RNA or fragments of complementary geranyl diphosphate synthase DNA which are useful as polymerase chain reaction primers or as probes for geranyl diphosphate synthase or related genes). In yet other aspects, modified host cells are provided that have been transformed, transfected, infected and/or injected with a recombinant cloning vehicle and/or DNA sequence encoding geranyl diphosphate synthase. Thus, systems and methods are provided for the recombinant expression of geranyl diphosphate synthase that may be used to facilitate the production, isolation and purification of significant quantities of recombinant geranyl diphosphate synthase for subsequent use, to obtain expression or enhanced expression of geranyl diphosphate synthase in plants in order to enhance the production of monoterpenoids, to produce geranyl diphosphate in cancerous cells as a precursor to monoterpenoids having anti-cancer properties or may be otherwise employed for the regulation or expression of geranyl diphosphate synthase or the production of geranyl diphosphate. 5 figs.

  20. Activity and expression of nitric oxide synthase in pork skeletal muscles.

    PubMed

    Liu, Rui; Li, Yu-pin; Zhang, Wan-gang; Fu, Qing-quan; Liu, Nian; Zhou, Guang-hong

    2015-01-01

    The objective of this study was to investigate the biochemical changes of nitric oxide synthase (NOS) in pork skeletal muscles during postmortem storage. Longissimus thoracis (LT), psoas major (PM) and semimembranosus (SM) muscles of pork were removed immediately after slaughter and stored under vacuum condition at 4°C for 0, 1 and 3d. Results showed that all three muscles exhibited NOS activity until 1d while SM muscle retained NOS activity after 3d of storage. The content of nNOS in SM muscle was stable across 3d of storage while decreased intensity of nNOS was detected at 1 and 3d of aging in PM and LT muscles due to the degradation of calpain. Immunostaining showed that nNOS was located at not only sarcolemma but also cytoplasm at 0 and 1d of storage. Our data suggest that postmortem muscles possess NOS activity and nNOS expression depends on muscle type. Copyright © 2014 Elsevier Ltd. All rights reserved.

  1. Zinc affects differently growth, photosynthesis, antioxidant enzyme activities and phytochelatin synthase expression of four marine diatoms.

    PubMed

    Nguyen-Deroche, Thi Le Nhung; Caruso, Aurore; Le, Thi Trung; Bui, Trang Viet; Schoefs, Benoît; Tremblin, Gérard; Morant-Manceau, Annick

    2012-01-01

    Zinc-supplementation (20 μM) effects on growth, photosynthesis, antioxidant enzyme activities (superoxide dismutase, ascorbate peroxidase, catalase), and the expression of phytochelatin synthase gene were investigated in four marine diatoms (Amphora acutiuscula, Nitzschia palea, Amphora coffeaeformis and Entomoneis paludosa). Zn-supplementation reduced the maximum cell density. A linear relationship was found between the evolution of gross photosynthesis and total chlorophyll content. The Zn treatment decreased the electron transport rate except in A. coffeaeformis and in E. paludosa at high irradiance. A linear relationship was found between the efficiency of light to evolve oxygen and the size of the light-harvesting antenna. The external carbonic anhydrase activity was stimulated in Zn-supplemented E. paludosa but was not correlated with an increase of photosynthesis. The total activity of the antioxidant enzymes did not display any clear increase except in ascorbate peroxidase activity in N. palea. The phytochelatin synthase gene was identified in the four diatoms, but its expression was only revealed in N. palea, without a clear difference between control and Zn-supplemented cells. Among the four species, A. paludosa was the most sensitive and A. coffeaeformis, the most tolerant. A. acutiuscula seemed to be under metal starvation, whereas, to survive, only N. palea developed several stress responses.

  2. Zinc Affects Differently Growth, Photosynthesis, Antioxidant Enzyme Activities and Phytochelatin Synthase Expression of Four Marine Diatoms

    PubMed Central

    Nguyen-Deroche, Thi Le Nhung; Caruso, Aurore; Le, Thi Trung; Bui, Trang Viet; Schoefs, Benoît; Tremblin, Gérard; Morant-Manceau, Annick

    2012-01-01

    Zinc-supplementation (20 μM) effects on growth, photosynthesis, antioxidant enzyme activities (superoxide dismutase, ascorbate peroxidase, catalase), and the expression of phytochelatin synthase gene were investigated in four marine diatoms (Amphora acutiuscula, Nitzschia palea, Amphora coffeaeformis and Entomoneis paludosa). Zn-supplementation reduced the maximum cell density. A linear relationship was found between the evolution of gross photosynthesis and total chlorophyll content. The Zn treatment decreased the electron transport rate except in A. coffeaeformis and in E. paludosa at high irradiance. A linear relationship was found between the efficiency of light to evolve oxygen and the size of the light-harvesting antenna. The external carbonic anhydrase activity was stimulated in Zn-supplemented E. paludosa but was not correlated with an increase of photosynthesis. The total activity of the antioxidant enzymes did not display any clear increase except in ascorbate peroxidase activity in N. palea. The phytochelatin synthase gene was identified in the four diatoms, but its expression was only revealed in N. palea, without a clear difference between control and Zn-supplemented cells. Among the four species, A. paludosa was the most sensitive and A. coffeaeformis, the most tolerant. A. acutiuscula seemed to be under metal starvation, whereas, to survive, only N. palea developed several stress responses. PMID:22645501

  3. Monoterpene synthases from common sage (Salvia officinalis)

    DOEpatents

    Croteau, Rodney Bruce; Wise, Mitchell Lynn; Katahira, Eva Joy; Savage, Thomas Jonathan

    1999-01-01

    cDNAs encoding (+)-bornyl diphosphate synthase, 1,8-cineole synthase and (+)-sabinene synthase from common sage (Salvia officinalis) have been isolated and sequenced, and the corresponding amino acid sequences has been determined. Accordingly, isolated DNA sequences (SEQ ID No:1; SEQ ID No:3 and SEQ ID No:5) are provided which code for the expression of (+)-bornyl diphosphate synthase (SEQ ID No:2), 1,8-cineole synthase (SEQ ID No:4) and (+)-sabinene synthase SEQ ID No:6), respectively, from sage (Salvia officinalis). In other aspects, replicable recombinant cloning vehicles are provided which code for (+)-bornyl diphosphate synthase, 1,8-cineole synthase or (+)-sabinene synthase, or for a base sequence sufficiently complementary to at least a portion of (+)-bornyl diphosphate synthase, 1,8-cineole synthase or (+)-sabinene synthase DNA or RNA to enable hybridization therewith. In yet other aspects, modified host cells are provided that have been transformed, transfected, infected and/or injected with a recombinant cloning vehicle and/or DNA sequence encoding (+)-bornyl diphosphate synthase, 1,8-cineole synthase or (+)-sabinene synthase. Thus, systems and methods are provided for the recombinant expression of the aforementioned recombinant monoterpene synthases that may be used to facilitate their production, isolation and purification in significant amounts. Recombinant (+)-bornyl diphosphate synthase, 1,8-cineole synthase and (+)-sabinene synthase may be used to obtain expression or enhanced expression of (+)-bornyl diphosphate synthase, 1,8-cineole synthase and (+)-sabinene synthase in plants in order to enhance the production of monoterpenoids, or may be otherwise employed for the regulation or expression of (+)-bornyl diphosphate synthase, 1,8-cineole synthase and (+)-sabinene synthase, or the production of their products.

  4. Oxidation of thymidylate synthase by inorganic compounds.

    PubMed

    Aull, J L; Ivery, T C; Daron, H H

    1984-10-01

    Thymidylate synthase from methotrexate-resistant Lactobacillus casei was rapidly and completely inactivated by low concentrations of permanganate, periodate, or potassium triiodide at 0 degree C. The enzyme was not inactivated to any appreciable extent by iodate, iodide, ferricyanate, iodosobenzoate, or hydrogen peroxide. The inactivation by permanganate was retarded by the substrate 2'-deoxyuridylate and, to a lesser extent, by phosphate. Titration of enzyme activity with permanganate showed that two moles of permanganate were required to completely inactivate one mole of thymidylate synthase.

  5. Low-temperature Storage of Cucumbers Induces Changes in the Organic Acid Content and in Citrate Synthase Activity

    USDA-ARS?s Scientific Manuscript database

    To elucidate the cause of reported pyruvate accumulation in chilled stored cucumbers (Cucumis sativus L.) cv. ‘Toppugurin’, we have examined differences in the extent of incorporation of acetate-1,2-14C into the tricarboxylic acid (TCA) cycle and the specific activity of the enzyme citrate synthase ...

  6. SbnG, a Citrate Synthase in Staphylococcus aureus

    PubMed Central

    Kobylarz, Marek J.; Grigg, Jason C.; Sheldon, Jessica R.; Heinrichs, David E.; Murphy, Michael E. P.

    2014-01-01

    In response to iron deprivation, Staphylococcus aureus produces staphyloferrin B, a citrate-containing siderophore that delivers iron back to the cell. This bacterium also possesses a second citrate synthase, SbnG, that is necessary for supplying citrate to the staphyloferrin B biosynthetic pathway. We present the structure of SbnG bound to the inhibitor calcium and an active site variant in complex with oxaloacetate. The overall fold of SbnG is structurally distinct from TCA cycle citrate synthases yet similar to metal-dependent class II aldolases. Phylogenetic analyses revealed that SbnG forms a separate clade with homologs from other siderophore biosynthetic gene clusters and is representative of a metal-independent subgroup in the phosphoenolpyruvate/pyruvate domain superfamily. A structural superposition of the SbnG active site to TCA cycle citrate synthases and site-directed mutagenesis suggests a case for convergent evolution toward a conserved catalytic mechanism for citrate production. PMID:25336653

  7. Interaction of Constitutive Nitric Oxide Synthases with Cyclooxygenases in Regulation of Bicarbonate Secretion in the Gastric Mucosa.

    PubMed

    Zolotarev, V A; Andreeva, Yu V; Vershinina, E; Khropycheva, R P

    2017-05-01

    Neuronal NO synthase blocker 7-nitroindazole suppressed bicarbonate secretion in rat gastric mucosa induced by mild local irritation with 1 M NaCl (pH 2.0). Non-selective blocker of neuronal and endothelial synthases, Nω-nitro-L-arginine (L-NNA), did not affect HCO 3 - production, but inhibited secretion after pretreatment with omeprazole. Non-selective cyclooxygenase blocker indomethacin inhibited HCO 3 - production under conditions of normal synthase activity and in the presence of L-NNA, but was ineffective when co-administered with 7-nitroindazole. It was concluded that neuronal and endothelial synthases are involved in different mechanisms of regulation of HCO 3 - secretion in the gastric mucosa induced by mild irritation. Activation of neuronal synthase stimulated HCO 3 - production, which is mediated mainly through activation of cyclooxygenase. Theoretically, activation of endothelial synthase should suppress HCO 3 - production. The effect of endothelial synthase depends on acid secretion in the stomach and bicarbonate concentration in the submucosa, as it was demonstrated in experiments with intravenous NaHCO 3 infusion.

  8. Leishmania donovani Argininosuccinate Synthase Is an Active Enzyme Associated with Parasite Pathogenesis

    PubMed Central

    Lakhal-Naouar, Ines; Jardim, Armando; Strasser, Rona; Luo, Shen; Kozakai, Yukiko; Nakhasi, Hira L.; Duncan, Robert C.

    2012-01-01

    Background Gene expression analysis in Leishmania donovani (Ld) identified an orthologue of the urea cycle enzyme, argininosuccinate synthase (LdASS), that was more abundantly expressed in amastigotes than in promastigotes. In order to characterize in detail this newly identified protein in Leishmania, we determined its enzymatic activity, subcellular localization in the parasite and affect on virulence in vivo. Methodology/Principal Findings Two parasite cell lines either over expressing wild type LdASS or a mutant form (G128S) associated with severe cases of citrullinemia in humans were developed. In addition we also produced bacterially expressed recombinant forms of the same proteins. Our results demonstrated that LdASS has argininosuccinate synthase enzymatic activity that is abolished using an ASS specific inhibitor (MDLA: methyl-D-L-Aspartic acid). However, the mutant form of the protein is inactive. We demonstrate that though LdASS has a glycosomal targeting signal that binds the targeting apparatus in vitro, only a small proportion of the total cellular ASS is localized in a vesicle, as indicated by protection from protease digestion of the crude organelle fraction. The majority of LdASS was found to be in the cytosolic fraction that may include large cytosolic complexes as indicated by the punctate distribution in IFA. Surprisingly, comparison to known glycosomal proteins by IFA revealed that LdASS was located in a structure different from the known glycosomal vesicles. Significantly, parasites expressing a mutant form of LdASS associated with a loss of in vitro activity had reduced virulence in vivo in BALB/c mice as demonstrated by a significant reduction in the parasite load in spleen and liver. Conclusion/Significance Our study suggests that LdASS is an active enzyme, with unique localization and essential for parasite survival and growth in the mammalian host. Based on these observations LdASS could be further explored as a potential drug target

  9. Constitutive nitric oxide synthase activation is a significant route for nitroglycerin-mediated vasodilation

    PubMed Central

    Bonini, Marcelo G.; Stadler, Krisztian; de Oliveira Silva, Sueli; Corbett, Jean; Dore, Michael; Petranka, John; Fernandes, Denise C.; Tanaka, Leonardo Y.; Duma, Danielle; Laurindo, Francisco R. M.; Mason, Ronald P.

    2008-01-01

    The physiological effects of nitroglycerin as a potent vasodilator have long been documented. However, the molecular mechanisms by which nitroglycerin exerts its biological functions are still a matter of intense debate. Enzymatic pathways converting nitroglycerin to vasoactive compounds have been identified, but none of them seems to fully account for the reported clinical observations. Here, we demonstrate that nitroglycerin triggers constitutive nitric oxide synthase (NOS) activation, which is a major source of NO responsible for low-dose (1–10 nM) nitroglycerin-induced vasorelaxation. Our studies in cell cultures, isolated vessels, and whole animals identified endothelial NOS activation as a fundamental requirement for nitroglycerin action at pharmacologically relevant concentrations in WT animals. PMID:18562300

  10. Class IV polyhydroxyalkanoate (PHA) synthases and PHA-producing Bacillus.

    PubMed

    Tsuge, Takeharu; Hyakutake, Manami; Mizuno, Kouhei

    2015-08-01

    This review highlights the recent investigations of class IV polyhydroxyalkanoate (PHA) synthases, the newest classification of PHA synthases. Class IV synthases are prevalent in organisms of the Bacillus genus and are composed of a catalytic subunit PhaC (approximately 40 kDa), which has a PhaC box sequence ([GS]-X-C-X-[GA]-G) at the active site, and a second subunit PhaR (approximately 20 kDa). The representative PHA-producing Bacillus strains are Bacillus megaterium and Bacillus cereus; the nucleotide sequence of phaC and the genetic organization of the PHA biosynthesis gene locus are somewhat different between these two strains. It is generally considered that class IV synthases favor short-chain-length monomers such as 3-hydroxybutyrate (C4) and 3-hydroxyvalerate (C5) for polymerization, but can polymerize some unusual monomers as minor components. In Escherichia coli expressing PhaRC from B. cereus YB-4, the biosynthesized PHA undergoes synthase-catalyzed alcoholytic cleavage using endogenous and exogenous alcohols. This alcoholysis is thought to be shared among class IV synthases, and this reaction is useful not only for the regulation of PHA molecular weight but also for the modification of the PHA carboxy terminus. The novel properties of class IV synthases will open up the possibility for the design of new PHA materials.

  11. [Advances in isoprene synthase research].

    PubMed

    Gou, Yan; Liu, Zhongchuan; Wang, Ganggang

    2017-11-25

    Isoprene emission can lead to significant consequence for atmospheric chemistry. In addition, isoprene is a chemical compound for various industrial applications. In the organisms, isoprene is produced by isoprene synthase that eliminates the pyrophosphate from the dimethylallyl diphosphate. As a key enzyme of isoprene formation, isoprene synthase plays an important role in the process of natural emission and artificial synthesis of isoprene. So far, isoprene synthase has been found in various plants. Isoprene synthases from different sources are of conservative structural and similar biochemical properties. In this review, the biochemical and structural characteristics of isoprene synthases from different sources were compared, the catalytic mechanism of isoprene synthase was discussed, and the perspective application of the enzyme in bioengineering was proposed.

  12. The Role of Light-Dark Regulation of the Chloroplast ATP Synthase.

    PubMed

    Kohzuma, Kaori; Froehlich, John E; Davis, Geoffry A; Temple, Joshua A; Minhas, Deepika; Dhingra, Amit; Cruz, Jeffrey A; Kramer, David M

    2017-01-01

    The chloroplast ATP synthase catalyzes the light-driven synthesis of ATP and is activated in the light and inactivated in the dark by redox-modulation through the thioredoxin system. It has been proposed that this down-regulation is important for preventing wasteful hydrolysis of ATP in the dark. To test this proposal, we compared the effects of extended dark exposure in Arabidopsis lines expressing the wild-type and mutant forms of ATP synthase that are redox regulated or constitutively active. In contrast to the predictions of the model, we observed that plants with wild-type redox regulation lost photosynthetic capacity rapidly in darkness, whereas those expressing redox-insensitive form were far more stable. To explain these results, we propose that in wild-type plants, down-regulation of ATP synthase inhibits ATP hydrolysis, leading to dissipation of thylakoid proton motive force (pmf) and subsequent inhibition of protein transport across the thylakoid through the twin arginine transporter (Tat)-dependent and Sec-dependent import pathways, resulting in the selective loss of specific protein complexes. By contrast, in mutants with a redox-insensitive ATP synthase, pmf is maintained by ATP hydrolysis, thus allowing protein transport to maintain photosynthetic activities for extended periods in the dark. Hence, a basal level of Tat-dependent, as well as, Sec-dependent import activity, in the dark helps replenishes certain components of the photosynthetic complexes and thereby aids in maintaining overall complex activity. However, the influence of a dark pmf on thylakoid protein import, by itself, could not explain all the effects we observed in this study. For example, we also observed in wild type plants a large transient buildup of thylakoid pmf and nonphotochemical exciton quenching upon sudden illumination of dark adapted plants. Therefore, we conclude that down-regulation of the ATP synthase is probably not related to preventing loss of ATP per se . Instead

  13. The Role of Light–Dark Regulation of the Chloroplast ATP Synthase

    PubMed Central

    Kohzuma, Kaori; Froehlich, John E.; Davis, Geoffry A.; Temple, Joshua A.; Minhas, Deepika; Dhingra, Amit; Cruz, Jeffrey A.; Kramer, David M.

    2017-01-01

    The chloroplast ATP synthase catalyzes the light-driven synthesis of ATP and is activated in the light and inactivated in the dark by redox-modulation through the thioredoxin system. It has been proposed that this down-regulation is important for preventing wasteful hydrolysis of ATP in the dark. To test this proposal, we compared the effects of extended dark exposure in Arabidopsis lines expressing the wild-type and mutant forms of ATP synthase that are redox regulated or constitutively active. In contrast to the predictions of the model, we observed that plants with wild-type redox regulation lost photosynthetic capacity rapidly in darkness, whereas those expressing redox-insensitive form were far more stable. To explain these results, we propose that in wild-type plants, down-regulation of ATP synthase inhibits ATP hydrolysis, leading to dissipation of thylakoid proton motive force (pmf) and subsequent inhibition of protein transport across the thylakoid through the twin arginine transporter (Tat)-dependent and Sec-dependent import pathways, resulting in the selective loss of specific protein complexes. By contrast, in mutants with a redox-insensitive ATP synthase, pmf is maintained by ATP hydrolysis, thus allowing protein transport to maintain photosynthetic activities for extended periods in the dark. Hence, a basal level of Tat-dependent, as well as, Sec-dependent import activity, in the dark helps replenishes certain components of the photosynthetic complexes and thereby aids in maintaining overall complex activity. However, the influence of a dark pmf on thylakoid protein import, by itself, could not explain all the effects we observed in this study. For example, we also observed in wild type plants a large transient buildup of thylakoid pmf and nonphotochemical exciton quenching upon sudden illumination of dark adapted plants. Therefore, we conclude that down-regulation of the ATP synthase is probably not related to preventing loss of ATP per se. Instead

  14. Characterization of Site-Specific Mutations in a Short-Chain-Length/Medium-Chain-Length Polyhydroxyalkanoate Synthase: In Vivo and In Vitro Studies of Enzymatic Activity and Substrate Specificity

    PubMed Central

    Chuah, Jo-Ann; Tomizawa, Satoshi; Yamada, Miwa; Tsuge, Takeharu; Doi, Yoshiharu

    2013-01-01

    Saturation point mutagenesis was carried out at position 479 in the polyhydroxyalkanoate (PHA) synthase from Chromobacterium sp. strain USM2 (PhaCCs) with specificities for short-chain-length (SCL) [(R)-3-hydroxybutyrate (3HB) and (R)-3-hydroxyvalerate (3HV)] and medium-chain-length (MCL) [(R)-3-hydroxyhexanoate (3HHx)] monomers in an effort to enhance the specificity of the enzyme for 3HHx. A maximum 4-fold increase in 3HHx incorporation and a 1.6-fold increase in PHA biosynthesis, more than the wild-type synthase, was achieved using selected mutant synthases. These increases were subsequently correlated with improved synthase activity and increased preference of PhaCCs for 3HHx monomers. We found that substitutions with uncharged residues were beneficial, as they resulted in enhanced PHA production and/or 3HHx incorporation. Further analysis led to postulations that the size and geometry of the substrate-binding pocket are determinants of PHA accumulation, 3HHx fraction, and chain length specificity. In vitro activities for polymerization of 3HV and 3HHx monomers were consistent with in vivo substrate specificities. Ultimately, the preference shown by wild-type and mutant synthases for either SCL (C4 and C5) or MCL (C6) substrates substantiates the fundamental classification of PHA synthases. PMID:23584780

  15. Isolation and functional effects of monoclonal antibodies binding to thymidylate synthase.

    PubMed

    Jastreboff, M M; Todd, M B; Malech, H L; Bertino, J R

    1985-01-29

    Monoclonal antibodies against electrophoretically pure thymidylate synthase from HeLa cells have been produced. Antibodies (M-TS-4 and M-TS-9) from hybridoma clones were shown by enzyme-linked immunoassay to recognize thymidylate synthase from a variety of human cell lines, but they did not bind to thymidylate synthase from mouse cell lines. The strongest binding of antibodies was observed to enzyme from HeLa cells. These two monoclonal antibodies bind simultaneously to different antigenic sites on thymidylate synthase purified from HeLa cells, as reflected by a high additivity index and results of cross-linked radioimmunoassay. Both monoclonal antibodies inhibit the activity of thymidylate synthase from human cell lines. The strongest inhibition was observed with thymidylate synthase from HeLa cells. Monoclonal antibody M-TS-9 (IgM subclass) decreased the rate of binding of [3H]FdUMP to thymidylate synthase in the presence of 5,10-methylenetetrahydrofolate while M-TS-4 (IgG1) did not change the rate of ternary complex formation. These data indicate that the antibodies recognize different epitopes on the enzyme molecule.

  16. The Role of Light–Dark Regulation of the Chloroplast ATP Synthase

    DOE PAGES

    Kohzuma, Kaori; Froehlich, John E.; Davis, Geoffry A.; ...

    2017-07-24

    The chloroplast ATP synthase catalyzes the light-driven synthesis of ATP and is activated in the light and inactivated in the dark by redox-modulation through the thioredoxin system. It has been proposed that this down-regulation is important for preventing wasteful hydrolysis of ATP in the dark. To test this proposal, we compared the effects of extended dark exposure in Arabidopsis lines expressing the wild-type and mutant forms of ATP synthase that are redox regulated or constitutively active. In contrast to the predictions of the model, we observed that plants with wild-type redox regulation lost photosynthetic capacity rapidly in darkness, whereas thosemore » expressing redox-insensitive form were far more stable. To explain these results, we propose that in wild-type plants, down-regulation of ATP synthase inhibits ATP hydrolysis, leading to dissipation of thylakoid proton motive force (pmf) and subsequent inhibition of protein transport across the thylakoid through the twin arginine transporter (Tat)-dependent and Secdependent import pathways, resulting in the selective loss of specific protein complexes. By contrast, in mutants with a redox-insensitive ATP synthase, pmf is maintained by ATP hydrolysis, thus allowing protein transport to maintain photosynthetic activities for extended periods in the dark. Hence, a basal level of Tat-dependent, as well as, Sec-dependent import activity, in the dark helps replenishes certain components of the photosynthetic complexes and thereby aids in maintaining overall complex activity. But, the influence of a dark pmf on thylakoid protein import, by itself, could not explain all the effects we observed in this study. For example, we also observed in wild type plants a large transient buildup of thylakoid pmf and nonphotochemical exciton quenching upon sudden illumination of dark adapted plants. Thus, we conclude that down-regulation of the ATP synthase is probably not related to preventing loss of ATP per se. Instead

  17. The Role of Light–Dark Regulation of the Chloroplast ATP Synthase

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kohzuma, Kaori; Froehlich, John E.; Davis, Geoffry A.

    The chloroplast ATP synthase catalyzes the light-driven synthesis of ATP and is activated in the light and inactivated in the dark by redox-modulation through the thioredoxin system. It has been proposed that this down-regulation is important for preventing wasteful hydrolysis of ATP in the dark. To test this proposal, we compared the effects of extended dark exposure in Arabidopsis lines expressing the wild-type and mutant forms of ATP synthase that are redox regulated or constitutively active. In contrast to the predictions of the model, we observed that plants with wild-type redox regulation lost photosynthetic capacity rapidly in darkness, whereas thosemore » expressing redox-insensitive form were far more stable. To explain these results, we propose that in wild-type plants, down-regulation of ATP synthase inhibits ATP hydrolysis, leading to dissipation of thylakoid proton motive force (pmf) and subsequent inhibition of protein transport across the thylakoid through the twin arginine transporter (Tat)-dependent and Secdependent import pathways, resulting in the selective loss of specific protein complexes. By contrast, in mutants with a redox-insensitive ATP synthase, pmf is maintained by ATP hydrolysis, thus allowing protein transport to maintain photosynthetic activities for extended periods in the dark. Hence, a basal level of Tat-dependent, as well as, Sec-dependent import activity, in the dark helps replenishes certain components of the photosynthetic complexes and thereby aids in maintaining overall complex activity. But, the influence of a dark pmf on thylakoid protein import, by itself, could not explain all the effects we observed in this study. For example, we also observed in wild type plants a large transient buildup of thylakoid pmf and nonphotochemical exciton quenching upon sudden illumination of dark adapted plants. Thus, we conclude that down-regulation of the ATP synthase is probably not related to preventing loss of ATP per se. Instead

  18. Enhancing Human Spermine Synthase Activity by Engineered Mutations

    PubMed Central

    Zhang, Zhe; Zheng, Yueli; Petukh, Margo; Pegg, Anthony; Ikeguchi, Yoshihiko; Alexov, Emil

    2013-01-01

    Spermine synthase (SMS) is an enzyme which function is to convert spermidine into spermine. It was shown that gene defects resulting in amino acid changes of the wild type SMS cause Snyder-Robinson syndrome, which is a mild-to-moderate mental disability associated with osteoporosis, facial asymmetry, thin habitus, hypotonia, and a nonspecific movement disorder. These disease-causing missense mutations were demonstrated, both in silico and in vitro, to affect the wild type function of SMS by either destabilizing the SMS dimer/monomer or directly affecting the hydrogen bond network of the active site of SMS. In contrast to these studies, here we report an artificial engineering of a more efficient SMS variant by transferring sequence information from another organism. It is confirmed experimentally that the variant, bearing four amino acid substitutions, is catalytically more active than the wild type. The increased functionality is attributed to enhanced monomer stability, lowering the pKa of proton donor catalytic residue, optimized spatial distribution of the electrostatic potential around the SMS with respect to substrates, and increase of the frequency of mechanical vibration of the clefts presumed to be the gates toward the active sites. The study demonstrates that wild type SMS is not particularly evolutionarily optimized with respect to the reaction spermidine → spermine. Having in mind that currently there are no variations (non-synonymous single nucleotide polymorphism, nsSNP) detected in healthy individuals, it can be speculated that the human SMS function is precisely tuned toward its wild type and any deviation is unwanted and disease-causing. PMID:23468611

  19. Alcoholytic Cleavage of Polyhydroxyalkanoate Chains by Class IV Synthases Induced by Endogenous and Exogenous Ethanol

    PubMed Central

    Hyakutake, Manami; Tomizawa, Satoshi; Mizuno, Kouhei; Abe, Hideki

    2014-01-01

    Polyhydroxyalkanoate (PHA)-producing Bacillus strains express class IV PHA synthase, which is composed of the subunits PhaR and PhaC. Recombinant Escherichia coli expressing PHA synthase from Bacillus cereus strain YB-4 (PhaRCYB-4) showed an unusual reduction of the molecular weight of PHA produced during the stationary phase of growth. Nuclear magnetic resonance analysis of the low-molecular-weight PHA revealed that its carboxy end structure was capped by ethanol, suggesting that the molecular weight reduction was the result of alcoholytic cleavage of PHA chains by PhaRCYB-4 induced by endogenous ethanol. This scission reaction was also induced by exogenous ethanol in both in vivo and in vitro assays. In addition, PhaRCYB-4 was observed to have alcoholysis activity for PHA chains synthesized by other synthases. The PHA synthase from Bacillus megaterium (PhaRCBm) from another subgroup of class IV synthases was also assayed and was shown to have weak alcoholysis activity for PHA chains. These results suggest that class IV synthases may commonly share alcoholysis activity as an inherent feature. PMID:24334666

  20. Non-canonical active site architecture of the radical SAM thiamin pyrimidine synthase.

    PubMed

    Fenwick, Michael K; Mehta, Angad P; Zhang, Yang; Abdelwahed, Sameh H; Begley, Tadhg P; Ealick, Steven E

    2015-03-27

    Radical S-adenosylmethionine (SAM) enzymes use a [4Fe-4S] cluster to generate a 5'-deoxyadenosyl radical. Canonical radical SAM enzymes are characterized by a β-barrel-like fold and SAM anchors to the differentiated iron of the cluster, which is located near the amino terminus and within the β-barrel, through its amino and carboxylate groups. Here we show that ThiC, the thiamin pyrimidine synthase in plants and bacteria, contains a tethered cluster-binding domain at its carboxy terminus that moves in and out of the active site during catalysis. In contrast to canonical radical SAM enzymes, we predict that SAM anchors to an additional active site metal through its amino and carboxylate groups. Superimposition of the catalytic domains of ThiC and glutamate mutase shows that these two enzymes share similar active site architectures, thus providing strong evidence for an evolutionary link between the radical SAM and adenosylcobalamin-dependent enzyme superfamilies.

  1. Non-canonical active site architecture of the radical SAM thiamin pyrimidine synthase

    DOE PAGES

    Fenwick, Michael K.; Mehta, Angad P.; Zhang, Yang; ...

    2015-03-27

    Radical S-adenosylmethionine (SAM) enzymes use a [4Fe-4S] cluster to generate a 5'-deoxyadenosyl radical. Canonical radical SAM enzymes are characterized by a β-barrel-like fold and SAM anchors to the differentiated iron of the cluster, which is located near the amino terminus and within the β-barrel, through its amino and carboxylate groups. Here we show that ThiC, the thiamin pyrimidine synthase in plants and bacteria, contains a tethered cluster-binding domain at its carboxy terminus that moves in and out of the active site during catalysis. In contrast to canonical radical SAM enzymes, we predict that SAM anchors to an additional active sitemore » metal through its amino and carboxylate groups. Superimposition of the catalytic domains of ThiC and glutamate mutase shows that these two enzymes share similar active site architectures, thus providing strong evidence for an evolutionary link between the radical SAM and adenosylcobalamin-dependent enzyme superfamilies.« less

  2. Biosynthesis of riboflavin: an unusual riboflavin synthase of Methanobacterium thermoautotrophicum.

    PubMed Central

    Eberhardt, S; Korn, S; Lottspeich, F; Bacher, A

    1997-01-01

    Riboflavin synthase was purified by a factor of about 1,500 from cell extract of Methanobacterium thermoautotrophicum. The enzyme had a specific activity of about 2,700 nmol mg(-1) h(-1) at 65 degrees C, which is relatively low compared to those of riboflavin synthases of eubacteria and yeast. Amino acid sequences obtained after proteolytic cleavage had no similarity with known riboflavin synthases. The gene coding for riboflavin synthase (designated ribC) was subsequently cloned by marker rescue with a ribC mutant of Escherichia coli. The ribC gene of M. thermoautotrophicum specifies a protein of 153 amino acid residues. The predicted amino acid sequence agrees with the information gleaned from Edman degradation of the isolated protein and shows 67% identity with the sequence predicted for the unannotated reading frame MJ1184 of Methanococcus jannaschii. The ribC gene is adjacent to a cluster of four genes with similarity to the genes cbiMNQO of Salmonella typhimurium, which form part of the cob operon (this operon contains most of the genes involved in the biosynthesis of vitamin B12). The amino acid sequence predicted by the ribC gene of M. thermoautotrophicum shows no similarity whatsoever to the sequences of riboflavin synthases of eubacteria and yeast. Most notably, the M. thermoautotrophicum protein does not show the internal sequence homology characteristic of eubacterial and yeast riboflavin synthases. The protein of M. thermoautotrophicum can be expressed efficiently in a recombinant E. coli strain. The specific activity of the purified, recombinant protein is 1,900 nmol mg(-1) h(-1) at 65 degrees C. In contrast to riboflavin synthases from eubacteria and fungi, the methanobacterial enzyme has an absolute requirement for magnesium ions. The 5' phosphate of 6,7-dimethyl-8-ribityllumazine does not act as a substrate. The findings suggest that riboflavin synthase has evolved independently in eubacteria and methanobacteria. PMID:9139911

  3. Sesquiterpene furan compound CJ-01, a novel chitin synthase 2 inhibitor from Chloranthus japonicus SIEB.

    PubMed

    Yim, Nam Hui; Hwang, Eui Il; Yun, Bong Sik; Park, Ki Duk; Moon, Jae Sun; Lee, Sang Han; Sung, Nack Do; Kim, Sung Uk

    2008-05-01

    A novel sesquiterpene furan compound CJ-01 was isolated from the methanol extract of the whole plant of Chloranthus japonicus SIEB. by monitoring the inhibitory activity of chitin synthase 2 from Saccharomyces cerevisiae. Based on spectroscopic analysis, the structure of compound CJ-01 was determined as 3,4,8a-trimethyl-4a,7,8,8a-tetrahydro-4a-naphto[2,3-b]furan-9-one. The compound inhibited chitin synthase 2 of Saccharomyces cerevisiae in a dose-dependent manner with an IC50 of 39.6 microg/ml, whereas it exhibited no inhibitory activities against chitin synthase 1 and 3 of S. cerevisiae up to 280 microg/ml. CJ-01 has 1.7-fold stronger inhibitory activity than polyoxin D (IC50=70 microg/ml), a well-known chitin synthase inhibitor. These results indicate that the compound is a specific inhibitor of chitin synthase 2 from S. cerevisiae. In addition, CJ-01 showed antifungal activities against various human and phytopathogenic fungi. Therefore, the compound might be an interesting lead to develop effective antifungal agents.

  4. Glycogen synthase kinase 3 alpha phosphorylates and regulates the osteogenic activity of Osterix.

    PubMed

    Li, Hongyan; Jeong, Hyung Min; Choi, You Hee; Lee, Sung Ho; Jeong, Hye Gwang; Jeong, Tae Cheon; Lee, Kwang Youl

    2013-05-10

    Osteoblast-specific transcription factor Osterix is a zinc-finger transcription factor that required for osteoblast differentiation and new bone formation. The function of Osterix can be modulated by post-translational modification. Glycogen synthase kinase 3 alpha (GSK3α) is a multifunctional serine/threonine protein kinase that plays a role in the Wnt signaling pathways and is implicated in the control of several regulatory proteins and transcription factors. In the present study, we investigated how GSK3α regulates Osterix during osteoblast differentiation. Wide type GSK3α up-regulated the protein level, protein stability and transcriptional activity of Osterix. These results suggest that GSK3α regulates osteogenic activity of Osterix. Copyright © 2013 Elsevier Inc. All rights reserved.

  5. Purification and Characterization of 1-Aminocyclopropane-1-Carboxylate Synthase from Apple Fruits 1

    PubMed Central

    Yip, Wing-Kin; Dong, Jian-Guo; Yang, Shang Fa

    1991-01-01

    1-Aminocyclopropane-1-carboxylate (ACC) synthase, a key enzyme in ethylene biosynthesis, was isolated and partially purified from apple (Malus sylvestris Mill.) fruits. Unlike ACC synthase isolated from other sources, apple ACC synthase is associated with the pellet fraction and can be solubilized in active form with Triton X-100. Following five purification steps, the solubilized enzyme was purified over 5000-fold to a specific activity of 100 micromoles per milligram protein per hour, and its purity was estimated to be 20 to 30%. Using this preparation, specific monoclonal antibodies were raised. Monoclonal antibodies against ACC synthase immunoglobulin were coupled to protein-A agarose to make an immunoaffinity column, which effectively purified the enzyme from a relatively crude enzyme preparation (100 units per milligram protein). As with the tomato enzyme, apple ACC synthase was inactivated and radiolabeled by its substrate S-adenosyl-l-methionine. Apple ACC synthase was identified to be a 48-kilodalton protein based on the observation that it was specifically bound to immunoaffinity column and it was specifically radiolabeled by its substrate S-adenosyl-l-methionine. Images Figure 4 Figure 6 PMID:16667960

  6. Vasorelaxing Activity of Ulmus davidiana Ethanol Extracts in Rats: Activation of Endothelial Nitric Oxide Synthase

    PubMed Central

    Cho, Eun Jung; Park, Myoung Soo; Kim, Sahng Seop; Kang, Gun; Choi, Sunga; Lee, Yoo Rhan; Chang, Seok Jong; Lee, Kwon Ho; Lee, Sang Do; Park, Jin Bong

    2011-01-01

    Ulmus davidiana var. japonica Rehder (Urticales: Ulmaceae) (UD) is a tree widespread in northeast Asia. It is traditionally used for anticancer and anti-inflammatory therapy. The present study investigated the effect of an ethanol extract of UD on vascular tension and its underlying mechanism in rats. The dried root bark of UD was ground and extracted with 80% ethanol. The prepared UD extract was used in further analysis. The effect of UD on the cell viability, vasoreactivity and hemodynamics were investigated using propidium iodide staining in cultured cells, isometric tension recording and blood pressure analysis, respectively. Low dose of UD (10~100µg/ml) did not affect endothelial cell viability, but high dose of UD reduced cell viability. UD induced vasorelaxation in the range of 0.1~10µg/ml with an ED50 value of 2µg/ml. UD-induced vasorelaxation was completely abolished by removal of the endothelium or by pre-treatment with L-NAME, an inhibitor of nitric oxide synthase. UD inhibited calcium influx induced by phenylephrine and high K+ and also completely abolished the effect of L-NAME. Intravenous injection of UD extracts (10~100 mg/kg) decreased arterial and ventricular pressure in a dose-dependent manner. Moreover, UD extracts reduced the ventricular contractility (+dP/dt) in anesthetized rats. However, UD-induced hypotensive actions were minimized in L-NAME-treated rats. Taken together, out results showed that UD induced vasorelaxation and has antihypertensive properties, which may be due the activation of nitric oxide synthase in endothelium. PMID:22359471

  7. Structural Basis for a Unique ATP Synthase Core Complex from Nanoarcheaum equitans*

    PubMed Central

    Mohanty, Soumya; Jobichen, Chacko; Chichili, Vishnu Priyanka Reddy; Velázquez-Campoy, Adrián; Low, Boon Chuan; Hogue, Christopher W. V.; Sivaraman, J.

    2015-01-01

    ATP synthesis is a critical and universal life process carried out by ATP synthases. Whereas eukaryotic and prokaryotic ATP synthases are well characterized, archaeal ATP synthases are relatively poorly understood. The hyperthermophilic archaeal parasite, Nanoarcheaum equitans, lacks several subunits of the ATP synthase and is suspected to be energetically dependent on its host, Ignicoccus hospitalis. This suggests that this ATP synthase might be a rudimentary machine. Here, we report the crystal structures and biophysical studies of the regulatory subunit, NeqB, the apo-NeqAB, and NeqAB in complex with nucleotides, ADP, and adenylyl-imidodiphosphate (non-hydrolysable analog of ATP). NeqB is ∼20 amino acids shorter at its C terminus than its homologs, but this does not impede its binding with NeqA to form the complex. The heterodimeric NeqAB complex assumes a closed, rigid conformation irrespective of nucleotide binding; this differs from its homologs, which require conformational changes for catalytic activity. Thus, although N. equitans possesses an ATP synthase core A3B3 hexameric complex, it might not function as a bona fide ATP synthase. PMID:26370083

  8. ATP Synthase, a Target for Dementia and Aging?

    PubMed

    Larrick, James W; Larrick, Jasmine W; Mendelsohn, Andrew R

    2018-02-01

    Advancing age is the biggest risk factor for development for the major life-threatening diseases in industrialized nations accounting for >90% of deaths. Alzheimer's dementia (AD) is among the most devastating. Currently approved therapies fail to slow progression of the disease, providing only modest improvements in memory. Recently reported work describes mechanistic studies of J147, a promising therapeutic molecule previously shown to rescue the severe cognitive deficits exhibited by aged, transgenic AD mice. Apparently, J147 targets the mitochondrial alpha-F1-ATP synthase (ATP5A). Modest inhibition of the ATP synthase modulates intracellular calcium to activate AMP-activated protein kinase to inhibit mammalian target of rapamycin, a known mechanism of lifespan extension from worms to mammals.

  9. 13C nuclear magnetic resonance detection of interactions of serine hydroxymethyltransferase with C1-tetrahydrofolate synthase and glycine decarboxylase complex activities in Arabidopsis.

    PubMed Central

    Prabhu, V; Chatson, K B; Abrams, G D; King, J

    1996-01-01

    In C3 plants, serine synthesis is associated with photorespiratory glycine metabolism involving the tetrahydrofolate (THF)-dependent activities of the glycine decarboxylase complex (GDC) and serine hydroxymethyl transferase (SHMT). Alternatively, THF-dependent serine synthesis can occur via the C1-THF synthase/SHMT pathway. We used 13C nuclear magnetic resonance to examine serine biosynthesis by these two pathways in Arabidopsis thaliana (L.) Heynh. Columbia wild type. We confirmed the tight coupling of the GDC/ SHMT system and observed directly in a higher plant the flux of formate through the C1-THF synthase/SHMT system. The accumulation of 13C-enriched serine over 24 h from the GDC/SHMT activities was 4-fold greater than that from C1-THF synthase/SHMT activities. Our experiments strongly suggest that the two pathways operate independently in Arabidopsis. Plants exposed to methotrexate and sulfanilamide, powerful inhibitors of THF biosynthesis, reduced serine synthesis by both pathways. The results suggest that continuous supply of THF is essential to maintain high rates of serine metabolism. Nuclear magnetic resonance is a powerful tool for the examination of THF-mediated metabolism in its natural cellular environment. PMID:8819325

  10. Collecting Duct Nitric Oxide SynthaseActivation Maintains Sodium Homeostasis During High Sodium Intake Through Suppression of Aldosterone and Renal Angiotensin II Pathways.

    PubMed

    Hyndman, Kelly A; Mironova, Elena V; Giani, Jorge F; Dugas, Courtney; Collins, Jessika; McDonough, Alicia A; Stockand, James D; Pollock, Jennifer S

    2017-10-24

    During high sodium intake, the renin-angiotensin-aldosterone system is downregulated and nitric oxide signaling is upregulated in order to remain in sodium balance. Recently, we showed that collecting duct nitric oxide synthase 1β is critical for fluid-electrolyte balance and subsequently blood pressure regulation during high sodium feeding. The current study tested the hypothesis that high sodium activation of the collecting duct nitric oxide synthase 1β pathway is critical for maintaining sodium homeostasis and for the downregulation of the renin-angiotensin-aldosterone system-epithelial sodium channel axis. Male control and collecting duct nitric oxide synthase 1β knockout (CDNOS1KO) mice were placed on low, normal, and high sodium diets for 1 week. In response to the high sodium diet, plasma sodium was significantly increased in control mice and to a significantly greater level in CDNOS1KO mice. CDNOS1KO mice did not suppress plasma aldosterone in response to the high sodium diet, which may be partially explained by increased adrenal AT1R expression. Plasma renin concentration was appropriately suppressed in both genotypes. Furthermore, CDNOS1KO mice had significantly higher intrarenal angiotensin II with high sodium diet, although intrarenal angiotensinogen levels and angiotensin-converting enzyme activity were similar between knockout mice and controls. In agreement with inappropriate renin-angiotensin-aldosterone system activation in the CDNOS1KO mice on a high sodium diet, epithelial sodium channel activity and sodium transporter abundance were significantly higher compared with controls. These data demonstrate that high sodium activation of collecting duct nitric oxide synthase 1β signaling induces suppression of systemic and intrarenal renin-angiotensin-aldosterone system, thereby modulating epithelial sodium channel and other sodium transporter abundance and activity to maintain sodium homeostasis. © 2017 The Authors. Published on behalf of the

  11. The Tomato Terpene Synthase Gene Family1[W][OA

    PubMed Central

    Falara, Vasiliki; Akhtar, Tariq A.; Nguyen, Thuong T.H.; Spyropoulou, Eleni A.; Bleeker, Petra M.; Schauvinhold, Ines; Matsuba, Yuki; Bonini, Megan E.; Schilmiller, Anthony L.; Last, Robert L.; Schuurink, Robert C.; Pichersky, Eran

    2011-01-01

    Compounds of the terpenoid class play numerous roles in the interactions of plants with their environment, such as attracting pollinators and defending the plant against pests. We show here that the genome of cultivated tomato (Solanum lycopersicum) contains 44 terpene synthase (TPS) genes, including 29 that are functional or potentially functional. Of these 29 TPS genes, 26 were expressed in at least some organs or tissues of the plant. The enzymatic functions of eight of the TPS proteins were previously reported, and here we report the specific in vitro catalytic activity of 10 additional tomato terpene synthases. Many of the tomato TPS genes are found in clusters, notably on chromosomes 1, 2, 6, 8, and 10. All TPS family clades previously identified in angiosperms are also present in tomato. The largest clade of functional TPS genes found in tomato, with 12 members, is the TPS-a clade, and it appears to encode only sesquiterpene synthases, one of which is localized to the mitochondria, while the rest are likely cytosolic. A few additional sesquiterpene synthases are encoded by TPS-b clade genes. Some of the tomato sesquiterpene synthases use z,z-farnesyl diphosphate in vitro as well, or more efficiently than, the e,e-farnesyl diphosphate substrate. Genes encoding monoterpene synthases are also prevalent, and they fall into three clades: TPS-b, TPS-g, and TPS-e/f. With the exception of two enzymes involved in the synthesis of ent-kaurene, the precursor of gibberellins, no other tomato TPS genes could be demonstrated to encode diterpene synthases so far. PMID:21813655

  12. Oligomerization of rice granule-bound starch synthase 1 modulates its activity regulation.

    PubMed

    Liu, De-Rui; Huang, Wei-Xue; Cai, Xiu-Ling

    2013-09-01

    Granule-bound starch synthase 1 (GBSS1) is responsible for amylose synthesis in cereals, and this enzyme is regulated at the transcriptional and post-transcriptional levels. In this study, we show that GBSS1 from Oryza sativa L. (OsGBSS1) can form oligomers in rice endosperm, and oligomerized OsGBSS1 exhibits much higher specific enzymatic activity than the monomer. A monomer-oligomer transition equilibrium for OsGBSS1 occurs in the endosperm during development. Redox potential is a key factor affecting the oligomer percentage as well as the enzymatic activity of OsGBSS1. Adenosine diphosphate glucose, the direct donor of glucose, also impacts OsGBSS1 oligomerization in a concentration-dependent manner. OsGBSS1 oligomerization is influenced by phosphorylation status, which was strongly enhanced by Mitogen-activated protein kinase (MAPK) and ATP treatment and was sharply weakened by protein phosphatase (PPase) treatment. The activity of OsGBSS1 affects the ratio of amylose to amylopectin and therefore the eating quality of rice. Understanding the regulation of OsGBSS1 activity may lead to the improvement of rice eating quality. Copyright © 2013 Elsevier Ireland Ltd. All rights reserved.

  13. A small RNA activates CFA synthase by isoform-specific mRNA stabilization

    PubMed Central

    Fröhlich, Kathrin Sophie; Papenfort, Kai; Fekete, Agnes; Vogel, Jörg

    2013-01-01

    Small RNAs use a diversity of well-characterized mechanisms to repress mRNAs, but how they activate gene expression at the mRNA level remains not well understood. The predominant activation mechanism of Hfq-associated small RNAs has been translational control whereby base pairing with the target prevents the formation of an intrinsic inhibitory structure in the mRNA and promotes translation initiation. Here, we report a translation-independent mechanism whereby the small RNA RydC selectively activates the longer of two isoforms of cfa mRNA (encoding cyclopropane fatty acid synthase) in Salmonella enterica. Target activation is achieved through seed pairing of the pseudoknot-exposed, conserved 5′ end of RydC to an upstream region of the cfa mRNA. The seed pairing stabilizes the messenger, likely by interfering directly with RNase E-mediated decay in the 5′ untranslated region. Intriguingly, this mechanism is generic such that the activation is equally achieved by seed pairing of unrelated small RNAs, suggesting that this mechanism may be utilized in the design of RNA-controlled synthetic circuits. Physiologically, RydC is the first small RNA known to regulate membrane stability. PMID:24141880

  14. A small RNA activates CFA synthase by isoform-specific mRNA stabilization.

    PubMed

    Fröhlich, Kathrin Sophie; Papenfort, Kai; Fekete, Agnes; Vogel, Jörg

    2013-11-13

    Small RNAs use a diversity of well-characterized mechanisms to repress mRNAs, but how they activate gene expression at the mRNA level remains not well understood. The predominant activation mechanism of Hfq-associated small RNAs has been translational control whereby base pairing with the target prevents the formation of an intrinsic inhibitory structure in the mRNA and promotes translation initiation. Here, we report a translation-independent mechanism whereby the small RNA RydC selectively activates the longer of two isoforms of cfa mRNA (encoding cyclopropane fatty acid synthase) in Salmonella enterica. Target activation is achieved through seed pairing of the pseudoknot-exposed, conserved 5' end of RydC to an upstream region of the cfa mRNA. The seed pairing stabilizes the messenger, likely by interfering directly with RNase E-mediated decay in the 5' untranslated region. Intriguingly, this mechanism is generic such that the activation is equally achieved by seed pairing of unrelated small RNAs, suggesting that this mechanism may be utilized in the design of RNA-controlled synthetic circuits. Physiologically, RydC is the first small RNA known to regulate membrane stability.

  15. ATP synthase.

    PubMed

    Junge, Wolfgang; Nelson, Nathan

    2015-01-01

    Oxygenic photosynthesis is the principal converter of sunlight into chemical energy. Cyanobacteria and plants provide aerobic life with oxygen, food, fuel, fibers, and platform chemicals. Four multisubunit membrane proteins are involved: photosystem I (PSI), photosystem II (PSII), cytochrome b6f (cyt b6f), and ATP synthase (FOF1). ATP synthase is likewise a key enzyme of cell respiration. Over three billion years, the basic machinery of oxygenic photosynthesis and respiration has been perfected to minimize wasteful reactions. The proton-driven ATP synthase is embedded in a proton tight-coupling membrane. It is composed of two rotary motors/generators, FO and F1, which do not slip against each other. The proton-driven FO and the ATP-synthesizing F1 are coupled via elastic torque transmission. Elastic transmission decouples the two motors in kinetic detail but keeps them perfectly coupled in thermodynamic equilibrium and (time-averaged) under steady turnover. Elastic transmission enables operation with different gear ratios in different organisms.

  16. Monoterpene and sesquiterpene synthases and the origin of terpene skeletal diversity in plants.

    PubMed

    Degenhardt, Jörg; Köllner, Tobias G; Gershenzon, Jonathan

    2009-01-01

    The multitude of terpene carbon skeletons in plants is formed by enzymes known as terpene synthases. This review covers the monoterpene and sesquiterpene synthases presenting an up-to-date list of enzymes reported and evidence for their ability to form multiple products. The reaction mechanisms of these enzyme classes are described, and information on how terpene synthase proteins mediate catalysis is summarized. Correlations between specific amino acid motifs and terpene synthase function are described, including an analysis of the relationships between active site sequence and cyclization type and a discussion of whether specific protein features might facilitate multiple product formation.

  17. Glycogen synthase from the parabasalian parasite Trichomonas vaginalis: An unusual member of the starch/glycogen synthase family.

    PubMed

    Wilson, Wayne A; Pradhan, Prajakta; Madhan, Nayasha; Gist, Galen C; Brittingham, Andrew

    2017-07-01

    Trichomonas vaginalis, a parasitic protist, is the causative agent of the common sexually-transmitted infection trichomoniasis. The organism has long been known to synthesize substantial glycogen as a storage polysaccharide, presumably mobilizing this compound during periods of carbohydrate limitation, such as might be encountered during transmission between hosts. However, little is known regarding the enzymes of glycogen metabolism in T. vaginalis. We had previously described the identification and characterization of two forms of glycogen phosphorylase in the organism. Here, we measure UDP-glucose-dependent glycogen synthase activity in cell-free extracts of T. vaginalis. We then demonstrate that the TVAG_258220 open reading frame encodes a glycosyltransferase that is presumably responsible for this synthetic activity. We show that expression of TVAG_258220 in a yeast strain lacking endogenous glycogen synthase activity is sufficient to restore glycogen accumulation. Furthermore, when TVAG_258220 is expressed in bacteria, the resulting recombinant protein has glycogen synthase activity in vitro, transferring glucose from either UDP-glucose or ADP-glucose to glycogen and using both substrates with similar affinity. This protein is also able to transfer glucose from UDP-glucose or ADP-glucose to maltose and longer oligomers of glucose but not to glucose itself. However, with these substrates, there is no evidence of processivity and sugar transfer is limited to between one and three glucose residues. Taken together with our earlier work on glycogen phosphorylase, we are now well positioned to define both how T. vaginalis synthesizes and utilizes glycogen, and how these processes are regulated. Copyright © 2017 Elsevier B.V. and Société Française de Biochimie et Biologie Moléculaire (SFBBM). All rights reserved.

  18. Native granule associated short chain length polyhydroxyalkanoate synthase from a marine derived Bacillus sp. NQ-11/A2.

    PubMed

    Prabhu, Nimali N; Santimano, Maria Celisa; Mavinkurve, Suneela; Bhosle, Saroj N; Garg, Sandeep

    2010-01-01

    A rapidly growing marine derived Bacillus sp. strain NQ-11/A2, identified as Bacillus megaterium, accumulated 61% polyhydroxyalkanoate by weight. Diverse carbon sources served as substrates for the accumulation of short chain length polyhydroxyalkanoate. Three to nine granules either single or attached as buds could be isolated intact from each cell. Maximum activity of polyhydroxyalkanoate synthase was associated with the granules. Granule-bound polyhydroxyalkanoate synthase had a K(m) of 7.1 x 10(-5) M for DL-beta-hydroxybutyryl-CoA. Temperature and pH optima for maximum activity were 30 degrees C and 7.0, respectively. Sodium ions were required for granule-bound polyhydroxyalkanoate synthase activity and inhibited by potassium. Granule-bound polyhydroxyalkanoate synthase was apparently covalently bound to the polyhydroxyalkanoate-core of the granules and affected by the chaotropic reagent urea. Detergents inhibited the granule-bound polyhydroxyalkanoate synthase drastically whilst glycerol and bovine serum albumin stabilized the synthase.

  19. In vivo inhibition of the mitochondrial H+-ATP synthase in neurons promotes metabolic preconditioning.

    PubMed

    Formentini, Laura; Pereira, Marta P; Sánchez-Cenizo, Laura; Santacatterina, Fulvio; Lucas, José J; Navarro, Carmen; Martínez-Serrano, Alberto; Cuezva, José M

    2014-04-01

    A key transducer in energy conservation and signaling cell death is the mitochondrial H(+)-ATP synthase. The expression of the ATPase inhibitory factor 1 (IF1) is a strategy used by cancer cells to inhibit the activity of the H(+)-ATP synthase to generate a ROS signal that switches on cellular programs of survival. We have generated a mouse model expressing a mutant of human IF1 in brain neurons to assess the role of the H(+)-ATP synthase in cell death in vivo. The expression of hIF1 inhibits the activity of oxidative phosphorylation and mediates the shift of neurons to an enhanced aerobic glycolysis. Metabolic reprogramming induces brain preconditioning affording protection against quinolinic acid-induced excitotoxicity. Mechanistically, preconditioning involves the activation of the Akt/p70S6K and PARP repair pathways and Bcl-xL protection from cell death. Overall, our findings provide the first in vivo evidence highlighting the H(+)-ATP synthase as a target to prevent neuronal cell death.

  20. Molecular cloning and functional characterization of psoralen synthase, the first committed monooxygenase of furanocoumarin biosynthesis.

    PubMed

    Larbat, Romain; Kellner, Sandra; Specker, Silvia; Hehn, Alain; Gontier, Eric; Hans, Joachim; Bourgaud, Frederic; Matern, Ulrich

    2007-01-05

    Ammi majus L. accumulates linear furanocoumarins by cytochrome P450 (CYP)-dependent conversion of 6-prenylumbelliferone via (+)-marmesin to psoralen. Relevant activities, i.e. psoralen synthase, are induced rapidly from negligible background levels upon elicitation of A. majus cultures with transient maxima at 9-10 h and were recovered in labile microsomes. Expressed sequence tags were cloned from elicited Ammi cells by a nested DD-RT-PCR strategy with CYP-specific primers, and full-size cDNAs were generated from those fragments correlated in abundance with the induction profile of furanocoumarin-specific activities. One of these cDNAs representing a transcript of maximal abundance at 4 h of elicitation was assigned CYP71AJ1. Functional expression in Escherichia coli or yeast cells initially failed but was accomplished eventually in yeast cells after swapping the N-terminal membrane anchor domain with that of CYP73A1. The recombinant enzyme was identified as psoralen synthase with narrow substrate specificity for (+)-marmesin. Psoralen synthase catalyzes a unique carbon-chain cleavage reaction concomitantly releasing acetone by syn-elimination. Related plants, i.e. Heracleum mantegazzianum, are known to produce both linear and angular furanocoumarins by analogous conversion of 8-prenylumbelliferone via (+)-columbianetin to angelicin, and it was suggested that angelicin synthase has evolved from psoralen synthase. However, (+)-columbianetin failed as substrate but competitively inhibited psoralen synthase activity. Analogy modeling and docked solutions defined the conditions for high affinity substrate binding and predicted the minimal requirements to accommodate (+)-columbianetin in the active site cavity. The studies suggested that several point mutations are necessary to pave the road toward angelicin synthase evolution.

  1. The role of NO synthase isoforms in PDT-induced injury of neurons and glial cells

    NASA Astrophysics Data System (ADS)

    Kovaleva, V. D.; Berezhnaya, E. V.; Uzdensky, A. B.

    2015-03-01

    Nitric oxide (NO) is an important second messenger, involved in the implementation of various cell functions. It regulates various physiological and pathological processes such as neurotransmission, cell responses to stress, and neurodegeneration. NO synthase is a family of enzymes that synthesize NO from L-arginine. The activity of different NOS isoforms depends both on endogenous and exogenous factors. In particular, it is modulated by oxidative stress, induced by photodynamic therapy (PDT). We have studied the possible role of NOS in the regulation of survival and death of neurons and surrounding glial cells under photo-oxidative stress induced by photodynamic treatment (PDT). The crayfish stretch receptor consisting of a single identified sensory neuron enveloped by glial cells is a simple but informative model object. It was photosensitized with alumophthalocyanine photosens (10 nM) and irradiated with a laser diode (670 nm, 0.4 W/cm2). Antinecrotic and proapoptotic effects of NO on the glial cells were found using inhibitory analysis. We have shown the role of inducible NO synthase in photoinduced apoptosis and involvement of neuronal NO synthase in photoinduced necrosis of glial cells in the isolated crayfish stretch receptor. The activation of NO synthase was evaluated using NADPH-diaphorase histochemistry, a marker of neurons expressing the enzyme. The activation of NO synthase in the isolated crayfish stretch receptor was evaluated as a function of time after PDT. Photodynamic treatment induced transient increase in NO synthase activity and then slowly inhibited this enzyme.

  2. Altered expression of the caffeine synthase gene in a naturally caffeine-free mutant of Coffea arabica.

    PubMed

    Maluf, Mirian Perez; da Silva, Carla Cristina; de Oliveira, Michelle de Paula Abreu; Tavares, Aline Gomes; Silvarolla, Maria Bernadete; Guerreiro, Oliveiro

    2009-10-01

    In this work, we studied the biosynthesis of caffeine by examining the expression of genes involved in this biosynthetic pathway in coffee fruits containing normal or low levels of this substance. The amplification of gene-specific transcripts during fruit development revealed that low-caffeine fruits had a lower expression of the theobromine synthase and caffeine synthase genes and also contained an extra transcript of the caffeine synthase gene. This extra transcript contained only part of exon 1 and all of exon 3. The sequence of the mutant caffeine synthase gene revealed the substitution of isoleucine for valine in the enzyme active site that probably interfered with enzymatic activity. These findings indicate that the absence of caffeine in these mutants probably resulted from a combination of transcriptional regulation and the presence of mutations in the caffeine synthase amino acid sequence.

  3. Altered expression of the caffeine synthase gene in a naturally caffeine-free mutant of Coffea arabica

    PubMed Central

    2009-01-01

    In this work, we studied the biosynthesis of caffeine by examining the expression of genes involved in this biosynthetic pathway in coffee fruits containing normal or low levels of this substance. The amplification of gene-specific transcripts during fruit development revealed that low-caffeine fruits had a lower expression of the theobromine synthase and caffeine synthase genes and also contained an extra transcript of the caffeine synthase gene. This extra transcript contained only part of exon 1 and all of exon 3. The sequence of the mutant caffeine synthase gene revealed the substitution of isoleucine for valine in the enzyme active site that probably interfered with enzymatic activity. These findings indicate that the absence of caffeine in these mutants probably resulted from a combination of transcriptional regulation and the presence of mutations in the caffeine synthase amino acid sequence. PMID:21637458

  4. 14-3-3 protein is a regulator of the mitochondrial and chloroplast ATP synthase.

    PubMed

    Bunney, T D; van Walraven, H S; de Boer, A H

    2001-03-27

    Mitochondrial and chloroplast ATP synthases are key enzymes in plant metabolism, providing cells with ATP, the universal energy currency. ATP synthases use a transmembrane electrochemical proton gradient to drive synthesis of ATP. The enzyme complexes function as miniature rotary engines, ensuring energy coupling with very high efficiency. Although our understanding of the structure and functioning of the synthase has made enormous progress in recent years, our understanding of regulatory mechanisms is still rather preliminary. Here we report a role for 14-3-3 proteins in the regulation of ATP synthases. These 14-3-3 proteins are highly conserved phosphoserine/phosphothreonine-binding proteins that regulate a wide range of enzymes in plants, animals, and yeast. Recently, the presence of 14-3-3 proteins in chloroplasts was illustrated, and we show here that plant mitochondria harbor 14-3-3s within the inner mitochondrial-membrane compartment. There, the 14-3-3 proteins were found to be associated with the ATP synthases, in a phosphorylation-dependent manner, through direct interaction with the F(1) beta-subunit. The activity of the ATP synthases in both organelles is drastically reduced by recombinant 14-3-3. The rapid reduction in chloroplast ATPase activity during dark adaptation was prevented by a phosphopeptide containing the 14-3-3 interaction motif, demonstrating a role for endogenous 14-3-3 in the down-regulation of the CF(o)F(1) activity. We conclude that regulation of the ATP synthases by 14-3-3 represents a mechanism for plant adaptation to environmental changes such as light/dark transitions, anoxia in roots, and fluctuations in nutrient supply.

  5. Identification of a Fungal 1,8-Cineole Synthase from Hypoxylon sp. with Specificity Determinants in Common with the Plant Synthases*

    PubMed Central

    Shaw, Jeffrey J.; Berbasova, Tetyana; Sasaki, Tomoaki; Jefferson-George, Kyra; Spakowicz, Daniel J.; Dunican, Brian F.; Portero, Carolina E.; Narváez-Trujillo, Alexandra; Strobel, Scott A.

    2015-01-01

    Terpenes are an important and diverse class of secondary metabolites widely produced by fungi. Volatile compound screening of a fungal endophyte collection revealed a number of isolates in the family Xylariaceae, producing a series of terpene molecules, including 1,8-cineole. This compound is a commercially important component of eucalyptus oil used in pharmaceutical applications and has been explored as a potential biofuel additive. The genes that produce terpene molecules, such as 1,8-cineole, have been little explored in fungi, providing an opportunity to explore the biosynthetic origin of these compounds. Through genome sequencing of cineole-producing isolate E7406B, we were able to identify 11 new terpene synthase genes. Expressing a subset of these genes in Escherichia coli allowed identification of the hyp3 gene, responsible for 1,8-cineole biosynthesis, the first monoterpene synthase discovered in fungi. In a striking example of convergent evolution, mutational analysis of this terpene synthase revealed an active site asparagine critical for water capture and specificity during cineole synthesis, the same mechanism used in an unrelated plant homologue. These studies have provided insight into the evolutionary relationship of fungal terpene synthases to those in plants and bacteria and further established fungi as a relatively untapped source of this important and diverse class of compounds. PMID:25648891

  6. The molecular motor F-ATP synthase is targeted by the tumoricidal protein HAMLET.

    PubMed

    Ho, James; Sielaff, Hendrik; Nadeem, Aftab; Svanborg, Catharina; Grüber, Gerhard

    2015-05-22

    HAMLET (human alpha-lactalbumin made lethal to tumor cells) interacts with multiple tumor cell compartments, affecting cell morphology, metabolism, proteasome function, chromatin structure and viability. This study investigated if these diverse effects of HAMLET might be caused, in part, by a direct effect on the ATP synthase and a resulting reduction in cellular ATP levels. A dose-dependent reduction in cellular ATP levels was detected in A549 lung carcinoma cells, and by confocal microscopy, co-localization of HAMLET with the nucleotide-binding subunits α (non-catalytic) and β (catalytic) of the energy converting F1F0 ATP synthase was detected. As shown by fluorescence correlation spectroscopy, HAMLET binds to the F1 domain of the F1F0 ATP synthase with a dissociation constant (KD) of 20.5μM. Increasing concentrations of the tumoricidal protein HAMLET added to the enzymatically active α3β3γ complex of the F-ATP synthase lowered its ATPase activity, demonstrating that HAMLET binding to the F-ATP synthase effects the catalysis of this molecular motor. Single-molecule analysis was applied to study HAMLET-α3β3γ complex interaction. Whereas the α3β3γ complex of the F-ATP synthase rotated in a counterclockwise direction with a mean rotational rate of 3.8±0.7s(-1), no rotation could be observed in the presence of bound HAMLET. Our findings suggest that direct effects of HAMLET on the F-ATP synthase may inhibit ATP-dependent cellular processes. Copyright © 2015 Elsevier Ltd. All rights reserved.

  7. ATP Synthase: A Molecular Therapeutic Drug Target for Antimicrobial and Antitumor Peptides

    PubMed Central

    Ahmad, Zulfiqar; Okafor, Florence; Azim, Sofiya; Laughlin, Thomas F.

    2015-01-01

    In this review we discuss the role of ATP synthase as a molecular drug target for natural and synthetic antimi-crobial/antitumor peptides. We start with an introduction of the universal nature of the ATP synthase enzyme and its role as a biological nanomotor. Significant structural features required for catalytic activity and motor functions of ATP synthase are described. Relevant details regarding the presence of ATP synthase on the surface of several animal cell types, where it is associated with multiple cellular processes making it a potential drug target with respect to antimicrobial peptides and other inhibitors such as dietary polyphenols, is also reviewed. ATP synthase is known to have about twelve discrete inhibitor binding sites including peptides and other inhibitors located at the interface of α/β subunits on the F1 sector of the enzyme. Molecular interaction of peptides at the β DEELSEED site on ATP synthase is discussed with specific examples. An inhibitory effect of other natural/synthetic inhibitors on ATP is highlighted to explore the therapeutic roles played by peptides and other inhibitors. Lastly, the effect of peptides on the inhibition of the Escherichia coli model system through their action on ATP synthase is presented. PMID:23432591

  8. Biochemical identification of residues that discriminate between 3,4-dihydroxyphenylalanine decarboxylase and 3,4-dihydroxyphenylacetaldehyde synthase-mediated reactions.

    PubMed

    Liang, Jing; Han, Qian; Ding, Haizhen; Li, Jianyong

    2017-12-01

    In available insect genomes, there are several L-3,4-dihydroxyphenylalanine (L-dopa) decarboxylase (DDC)-like or aromatic amino acid decarboxylase (AAAD) sequences. This contrasts to those of mammals whose genomes contain only one DDC. Our previous experiments established that two DDC-like proteins from Drosophila actually mediate a complicated decarboxylation-oxidative deamination process of dopa in the presence of oxygen, leading to the formation of 3,4-dihydroxyphenylacetaldehyde (DHPA), CO 2 , NH 3, and H 2 O 2 . This contrasts to the typical DDC-catalyzed reaction, which produces CO 2 and dopamine. These DDC-like proteins were arbitrarily named DHPA synthases based on their critical role in insect soft cuticle formation. Establishment of reactions catalyzed by these AAAD-like proteins solved a puzzle that perplexed researchers for years, but to tell a true DHPA synthase from a DDC in the insect AAAD family remains problematic due to high sequence similarity. In this study, we performed extensive structural and biochemical comparisons between DHPA synthase and DDC. These comparisons identified several target residues potentially dictating DDC-catalyzed and DHPA synthase-catalyzed reactions, respectively. Comparison of DHPA synthase homology models with crystal structures of typical DDC proteins, particularly residues in the active sites, provided further insights for the roles these identified target residues play. Subsequent site-directed mutagenesis of the tentative target residues and activity evaluations of their corresponding mutants determined that active site His192 and Asn192 are essential signature residues for DDC- and DHPA synthase-catalyzed reactions, respectively. Oxygen is required in DHPA synthase-mediated process and this oxidizing agent is reduced to H 2 O 2 in the process. Biochemical assessment established that H 2 O 2 , formed in DHPA synthase-mediated process, can be reused as oxidizing agent and this active oxygen species is reduced to H 2

  9. Isolation and Characterization of Three New Monoterpene Synthases from Artemisia annua

    PubMed Central

    Ruan, Ju-Xin; Li, Jian-Xu; Fang, Xin; Wang, Ling-Jian; Hu, Wen-Li; Chen, Xiao-Ya; Yang, Chang-Qing

    2016-01-01

    Artemisia annua, an annual herb used in traditional Chinese medicine, produces a wealth of monoterpenes and sesquiterpenes, including the well-known sesquiterpene lactone artemisinin, an active ingredient in the treatment for malaria. Here we report three new monoterpene synthases of A. annua. From a glandular trichome cDNA library, monoterpene synthases of AaTPS2, AaTPS5, and AaTPS6, were isolated and characterized. The recombinant proteins of AaTPS5 and AaTPS6 produced multiple products with camphene and 1,8-cineole as major products, respectively, and AaTPS2 produced a single product, β-myrcene. Although both Mg2+ and Mn2+ were able to support their catalytic activities, altered product spectrum was observed in the presence of Mn2+ for AaTPS2 and AaTPS5. Analysis of extracts of aerial tissues and root of A. annua with gas chromatography–mass spectrometry detected more than 20 monoterpenes, of which the three enzymes constituted more than 1/3 of the total. Mechanical wounding induced the expression of all three monoterpene synthase genes, and transcript levels of AaTPS5 and AaTPS6 were also elevated after treatments with phytohormones of methyl jasmonate, salicylic acid, and gibberellin, suggesting a role of these monoterpene synthases in plant–environment interactions. The three new monoterpene synthases reported here further our understanding of molecular basis of monoterpene biosynthesis and regulation in plant. PMID:27242840

  10. S-Sulfhydration of ATP synthase by hydrogen sulfide stimulates mitochondrial bioenergetics.

    PubMed

    Módis, Katalin; Ju, YoungJun; Ahmad, Akbar; Untereiner, Ashley A; Altaany, Zaid; Wu, Lingyun; Szabo, Csaba; Wang, Rui

    2016-11-01

    Mammalian cells can utilize hydrogen sulfide (H 2 S) to support mitochondrial respiration. The aim of our study was to explore the potential role of S-sulfhydration (a H 2 S-induced posttranslational modification, also known as S-persulfidation) of the mitochondrial inner membrane protein ATP synthase (F1F0 ATP synthase/Complex V) in the regulation of mitochondrial bioenergetics. Using a biotin switch assay, we have detected S-sulfhydration of the α subunit (ATP5A1) of ATP synthase in response to exposure to H 2 S in vitro. The H 2 S generator compound NaHS induced S-sulfhydration of ATP5A1 in HepG2 and HEK293 cell lysates in a concentration-dependent manner (50-300μM). The activity of immunocaptured mitochondrial ATP synthase enzyme isolated from HepG2 and HEK293 cells was stimulated by NaHS at low concentrations (10-100nM). Site-directed mutagenesis of ATP5A1 in HEK293 cells demonstrated that cysteine residues at positions 244 and 294 are subject to S-sulfhydration. The double mutant ATP synthase protein (C244S/C294S) showed a significantly reduced enzyme activity compared to control and the single-cysteine-mutated recombinant proteins (C244S or C294S). To determine whether endogenous H 2 S plays a role in the basal S-sulfhydration of ATP synthase in vivo, we compared liver tissues harvested from wild-type mice and mice deficient in cystathionine-gamma-lyase (CSE, one of the three principal mammalian H 2 S-producing enzymes). Significantly reduced S-sulfhydration of ATP5A1 was observed in liver homogenates of CSE -/- mice, compared to wild-type mice, suggesting a physiological role for CSE-derived endogenous H 2 S production in the S-sulfhydration of ATP synthase. Various forms of critical illness (including burn injury) upregulate H 2 S-producing enzymes and stimulate H 2 S biosynthesis. In liver tissues collected from mice subjected to burn injury, we detected an increased S-sulfhydration of ATP5A1 at the early time points post-burn. At later time points

  11. Bifunctional cis-Abienol Synthase from Abies balsamea Discovered by Transcriptome Sequencing and Its Implications for Diterpenoid Fragrance Production*

    PubMed Central

    Zerbe, Philipp; Chiang, Angela; Yuen, Macaire; Hamberger, Björn; Hamberger, Britta; Draper, Jason A.; Britton, Robert; Bohlmann, Jörg

    2012-01-01

    The labdanoid diterpene alcohol cis-abienol is a major component of the aromatic oleoresin of balsam fir (Abies balsamea) and serves as a valuable bioproduct material for the fragrance industry. Using high-throughput 454 transcriptome sequencing and metabolite profiling of balsam fir bark tissue, we identified candidate diterpene synthase sequences for full-length cDNA cloning and functional characterization. We discovered a bifunctional class I/II cis-abienol synthase (AbCAS), along with the paralogous levopimaradiene/abietadiene synthase and isopimaradiene synthase, all of which are members of the gymnosperm-specific TPS-d subfamily. The AbCAS-catalyzed formation of cis-abienol proceeds via cyclization and hydroxylation at carbon C-8 of a postulated carbocation intermediate in the class II active site, followed by cleavage of the diphosphate group and termination of the reaction sequence without further cyclization in the class I active site. This reaction mechanism is distinct from that of synthases of the isopimaradiene- or levopimaradiene/abietadiene synthase type, which employ deprotonation reactions in the class II active site and secondary cyclizations in the class I active site, leading to tricyclic diterpenes. Comparative homology modeling suggested the active site residues Asp-348, Leu-617, Phe-696, and Gly-723 as potentially important for the specificity of AbCAS. As a class I/II bifunctional enzyme, AbCAS is a promising target for metabolic engineering of cis-abienol production. PMID:22337889

  12. Adaptive responses of GLUT-4 and citrate synthase in fast-twitch muscle of voluntary running rats

    NASA Technical Reports Server (NTRS)

    Henriksen, E. J.; Halseth, A. E.

    1995-01-01

    Glucose transporter (GLUT-4) protein, hexokinase, and citrate synthase (proteins involved in oxidative energy production from blood glucose catabolism) increase in response to chronically elevated neuromuscular activity. It is currently unclear whether these proteins increase in a coordinated manner in response to this stimulus. Therefore, voluntary wheel running (WR) was used to chronically overload the fast-twitch rat plantaris muscle and the myocardium, and the early time courses of adaptative responses of GLUT-4 protein and the activities of hexokinase and citrate synthase were characterized and compared. Plantaris hexokinase activity increased 51% after just 1 wk of WR, whereas GLUT-4 and citrate synthase were increased by 51 and 40%, respectively, only after 2 wk of WR. All three variables remained comparably elevated (+50-64%) through 4 wk of WR. Despite the overload of the myocardium with this protocol, no substantial elevations in these variables were observed. These findings are consistent with a coordinated upregulation of GLUT-4 and citrate synthase in the fast-twitch plantaris, but not in the myocardium, in response to this increased neuromuscular activity. Regulation of hexokinase in fast-twitch muscle appears to be uncoupled from regulation of GLUT-4 and citrate synthase, as increases in the former are detectable well before increases in the latter.

  13. Insights into the surface topology of polyhydroxyalkanoate synthase: self-assembly of functionalized inclusions.

    PubMed

    Hooks, David O; Rehm, Bernd H A

    2015-10-01

    The polyhydroxyalkanoate (PHA) synthase catalyzes the synthesis of PHA and remains attached to the hydrophobic PHA inclusions it creates. Although this feature is actively exploited to generate functionalized biobeads via protein engineering, little is known about the structure of the PHA synthase. Here, the surface topology of Ralstonia eutropha PHA synthase was probed to inform rational protein engineering toward the production of functionalized PHA beads. Surface-exposed residues were detected by conjugating biotin to inclusion-bound PHA synthase and identifying the biotin-conjugated lysine and cysteine residues using peptide fingerprinting analysis. The identified sites (K77, K90, K139, C382, C459, and K518) were investigated as insertion sites for the generation of new protein fusions. Insertions of FLAG epitopes into exposed sites K77, K90, K139, and K518 were tolerated, retaining >65 % of in vivo activity. Sites K90, K139, and K518 were also tested by insertion of the immunoglobulin G (IgG)-binding domain (ZZ), successfully producing PHA inclusions able to bind human IgG in vitro. Although simultaneous insertions of the ZZ domain into two sites was permissive, insertion at all three lysine sites inactivated the synthase. The K90/K139 double ZZ insertion had the optimum IgG-binding capacity of 16 mg IgG/g wet PHA beads and could selectively purify the IgG fraction from human serum. Overall, this study identified surface-exposed flexible regions of the PHA synthase which either tolerate protein/peptide insertions or are critical for protein function. This further elucidates the structure and function of PHA synthase and provides new opportunities for generating functionalized PHA biobeads.

  14. Inhibition of nitric oxide synthase expression in activated microglia and peroxynitrite scavenging activity by Opuntia ficus indica var. saboten.

    PubMed

    Lee, Ming Hong; Kim, Jae Yeon; Yoon, Jeong Hoon; Lim, Hyo Jin; Kim, Tae Hee; Jin, Changbae; Kwak, Wie-Jong; Han, Chang-Kyun; Ryu, Jae-Ha

    2006-09-01

    Activated microglia by neuronal injury or inflammatory stimulation overproduce nitric oxide (NO) by inducible nitric oxide synthase (iNOS) and reactive oxygen species (ROS) such as superoxide anion, resulting in neurodegenerative diseases. The toxic peroxynitrite (ONOO-), the reaction product of NO and superoxide anion further contributes to oxidative neurotoxicity. A butanol fraction obtained from 50% ethanol extracts of Opuntia ficus indica var. saboten (Cactaceae) stem (SK OFB901) and its hydrolysis product (SK OFB901H) inhibited the production of NO in LPS-activated microglia in a dose dependent manner (IC50 15.9, 4.2 microg/mL, respectively). They also suppressed the expression of protein and mRNA of iNOS in LPS-activated microglial cells at higher than 30 microg/mL as observed by western blot analysis and RT-PCR experiment. They also inhibited the degradation of I-kappaB-alpha in activated microglia. Moreover, they showed strong activity of peroxynitrite scavenging in a cell free bioassay system. These results imply that Opuntia ficus indica may have neuroprotective activity through the inhibition of NO production by activated microglial cells and peroxynitrite scavenging activity. Copyright (c) 2006 John Wiley & Sons, Ltd.

  15. The First Prokaryotic Trehalose Synthase Complex Identified in the Hyperthermophilic Crenarchaeon Thermoproteus tenax

    PubMed Central

    Bräsen, Christopher; Hensel, Reinhard; Lupas, Andrei N.; Brinkmann, Henner; Siebers, Bettina

    2013-01-01

    The role of the disaccharide trehalose, its biosynthesis pathways and their regulation in Archaea are still ambiguous. In Thermoproteus tenax a fused trehalose-6-phosphate synthase/phosphatase (TPSP), consisting of an N-terminal trehalose-6-phosphate synthase (TPS) and a C-terminal trehalose-6-phosphate phosphatase (TPP) domain, was identified. The tpsp gene is organized in an operon with a putative glycosyltransferase (GT) and a putative mechanosensitive channel (MSC). The T. tenax TPSP exhibits high phosphatase activity, but requires activation by the co-expressed GT for bifunctional synthase-phosphatase activity. The GT mediated activation of TPS activity relies on the fusion of both, TPS and TPP domain, in the TPSP enzyme. Activation is mediated by complex-formation in vivo as indicated by yeast two-hybrid and crude extract analysis. In combination with first evidence for MSC activity the results suggest a sophisticated stress response involving TPSP, GT and MSC in T. tenax and probably in other Thermoproteales species. The monophyletic prokaryotic TPSP proteins likely originated via a single fusion event in the Bacteroidetes with subsequent horizontal gene transfers to other Bacteria and Archaea. Furthermore, evidence for the origin of eukaryotic TPSP fusions via HGT from prokaryotes and therefore a monophyletic origin of eukaryotic and prokaryotic fused TPSPs is presented. This is the first report of a prokaryotic, archaeal trehalose synthase complex exhibiting a much more simple composition than the eukaryotic complex described in yeast. Thus, complex formation and a complex-associated regulatory potential might represent a more general feature of trehalose synthesizing proteins. PMID:23626675

  16. Molecular docking studies to map the binding site of squalene synthase inhibitors on dehydrosqualene synthase of Staphylococcus aureus.

    PubMed

    Kahlon, Amandeep Kaur; Roy, Sudeep; Sharma, Ashok

    2010-10-01

    Dehydrosqualene synthase of Staphylococcus aureus is involved in the synthesis of golden carotenoid pigment staphyloxanthin. This pigment of S. aureus provides the antioxidant property to this bacterium to survive inside the host cell. Dehydrosqualene synthase (CrtM) is having structural similarity with the human squalene synthase enzyme which is involved in the cholesterol synthesis pathway in humans (Liu et al., 2008). Cholesterol lowering drugs were found to have inhibitory effect on dehydrosqualene synthase enzyme of S. aureus. The present study attempts to focus on squalene synthase inhibitors, lapaquistat acetate and squalestatins reported as cholesterol lowering agents in vitro and in vivo but not studied in context to dehydrosqualene synthase of S. aureus. Mode of binding of lapaquistat acetate and squalestatin analogs on dehydrosqualene synthase (CrtM) enzyme of S. aureus was identified by performing docking analysis with Scigress Explorer Ultra 7.7 docking software. Based on the molecular docking analysis, it was found that the His18, Arg45, Asp48, Asp52, Tyr129, Gln165, Asn168 and Asp172 residues interacted with comparatively high frequency with the inhibitors studied. Comparative docking study with Discovery studio 2.0 also confirmed the involvement of these residues of dehydrosqualene synthase enzyme with the inhibitors studied. This further confirms the importance of these residues in the enzyme function. In silico ADMET analysis was done to predict the ADMET properties of the standard drugs and test compounds. This might provide insights to develop new drugs to target the virulence factor, dehydrosqualene synthase of S. aureus.

  17. Fatty acid synthase inhibition activates AMP-activated protein kinase in SKOV3 human ovarian cancer cells.

    PubMed

    Zhou, Weibo; Han, Wan Fang; Landree, Leslie E; Thupari, Jagan N; Pinn, Michael L; Bililign, Tsion; Kim, Eun Kyoung; Vadlamudi, Aravinda; Medghalchi, Susan M; El Meskini, Rajaa; Ronnett, Gabriele V; Townsend, Craig A; Kuhajda, Francis P

    2007-04-01

    Fatty acid synthase (FAS), the enzyme responsible for the de novo synthesis of fatty acids, is highly expressed in ovarian cancers and most common human carcinomas. Inhibition of FAS and activation of AMP-activated protein kinase (AMPK) have been shown to be cytotoxic to human cancer cells in vitro and in vivo. In this report, we explore the cytotoxic mechanism of action of FAS inhibition and show that C93, a synthetic FAS inhibitor, increases the AMP/ATP ratio, activating AMPK in SKOV3 human ovarian cancer cells, which leads to cytotoxicity. As a physiologic consequence of AMPK activation, acetyl-CoA carboxylase (ACC), the rate-limiting enzyme of fatty acid synthesis, was phosphorylated and inhibited whereas glucose oxidation was increased. Despite these attempts to conserve energy, the AMP/ATP ratio increased with worsening cellular redox status. Pretreatment of SKOV3 cells with compound C, an AMPK inhibitor, substantially rescued the cells from C93 cytotoxicity, indicating its dependence on AMPK activation. 5-(Tetradecyloxy)-2-furoic acid, an ACC inhibitor, did not activate AMPK despite inhibiting fatty acid synthesis pathway activity and was not significantly cytotoxic to SKOV3 cells. This indicates that substrate accumulation from FAS inhibition triggering AMPK activation, not end-product depletion of fatty acids, is likely responsible for AMPK activation. C93 also exhibited significant antitumor activity and apoptosis against SKOV3 xenografts in athymic mice without significant weight loss or cytotoxicity to proliferating cellular compartments such as bone marrow, gastrointestinal tract, or skin. Thus, pharmacologic FAS inhibition selectively activates AMPK in ovarian cancer cells, inducing cytotoxicity while sparing most normal human tissues from the pleiotropic effects of AMPK activation.

  18. Nitric oxide synthase immunoreactivity in the nematode Trichinella britovi. Evidence for nitric oxide production by the parasite.

    PubMed

    Masetti, Massimo; Locci, Teresa; Cecchettini, Antonella; Lucchesi, Paolo; Magi, Marta; Malvaldi, Gino; Bruschi, Fabrizio

    2004-05-01

    Nitric oxide has been extensively studied as an effector molecule of the host immune response against both protozoa and helminths, but parasites can also produce this molecule, through the action of nitric oxide (NO) synthases or NO synthases-like enzymes. The aim of this study was to verify the possible production of NO by Trichinella britovi L(1) larvae and the enzymes involved in this process. The NO synthase immunoreactivity and putative nitric oxide synthase-activity was analysed using antibodies to mammalian NO synthase III and to nitrotyrosine with immunohistochemistry, gold immunocytochemistry and immunoblot analysis and NADPH-diaphorase histochemistry. Our results show that T. britovi L(1) larvae possess an enzymatic activity capable of producing NO. The localisation of this activity, according to the NADPH-diaphorase histochemistry, is both at the cuticular and the internal level. This localisation is confirmed by nitrotyrosine immunohistochemistry both under optical and electron microscopy. Using the NO synthase III antibody, a similar pattern of labelling was found: in particular, electron microscopy showed a localisation of this immunoreactivity in the cuticle and in the stichocytes, where only the alpha2 granules contained gold particles, mainly concentrated at their periphery. Four polypeptides reacting to the NO synthase III antibody are revealed by Western blotting. Their molecular weight ranged from 38 to 50 kDa. A significant reaction of the anti-nitrotyrosine antibody to polypeptides 95, 60, 48 and 39 kDa from the same sample suggested the presence of different nitrosylated proteins.

  19. Mitochondrial Genome Integrity Mutations Uncouple the Yeast Saccharomyces cerevisiae ATP Synthase*║

    PubMed Central

    Wang, Yamin; Singh, Usha; Mueller, David M.

    2013-01-01

    The mitochondrial ATP synthase is a molecular motor, which couples the flow of rotons with phosphorylation of ADP. Rotation of the central stalk within the core of ATP synthase effects conformational changes in the active sites driving the synthesis of ATP. Mitochondrial genome integrity (mgi) mutations have been previously identified in the α-, β-, and γ-subunits of ATP synthase in yeast Kluyveromyces lactis and trypanosome Trypanosoma brucei. These mutations reverse the lethality of the loss of mitochondrial DNA in petite negative strains. Introduction of the homologous mutations in Saccharomyces cerevisiae results in yeast strains that lose mitochondrial DNA at a high rate and accompanied decreases in the coupling of the ATP synthase. The structure of yeast F1-ATPase reveals that the mgi residues cluster around the γ-subunit and selectively around the collar region of F1. These results indicate that residues within the mgi complementation group are necessary for efficient coupling of ATP synthase, possibly acting as a support to fix the axis of rotation of the central stalk. PMID:17244612

  20. Fo-driven Rotation in the ATP Synthase Direction against the Force of F1 ATPase in the FoF1 ATP Synthase*

    PubMed Central

    Martin, James; Hudson, Jennifer; Hornung, Tassilo; Frasch, Wayne D.

    2015-01-01

    Living organisms rely on the FoF1 ATP synthase to maintain the non-equilibrium chemical gradient of ATP to ADP and phosphate that provides the primary energy source for cellular processes. How the Fo motor uses a transmembrane electrochemical ion gradient to create clockwise torque that overcomes F1 ATPase-driven counterclockwise torque at high ATP is a major unresolved question. Using single FoF1 molecules embedded in lipid bilayer nanodiscs, we now report the observation of Fo-dependent rotation of the c10 ring in the ATP synthase (clockwise) direction against the counterclockwise force of ATPase-driven rotation that occurs upon formation of a leash with Fo stator subunit a. Mutational studies indicate that the leash is important for ATP synthase activity and support a mechanism in which residues aGlu-196 and cArg-50 participate in the cytoplasmic proton half-channel to promote leash formation. PMID:25713065

  1. Glucose induces the translocation and the aggregation of glycogen synthase in rat hepatocytes.

    PubMed Central

    Fernández-Novell, J M; Ariño, J; Vilaró, S; Guinovart, J J

    1992-01-01

    Incubation of rat hepatocytes with glucose results in a decrease in the amount of glycogen synthase activity found in supernatants obtained after centrifugation of cell homogenates at 9200 g. The enzymic activity was quantitatively recovered in the sediments. This effect of translocation was dose- and time-dependent and correlated with the amount of immunoreactive enzyme determined by immunoblotting in both fractions. Hydrolysis by alpha-amylase of glycogen accumulated upon incubation with the sugar did not affect the translocation pattern. Translocation was also observed when cells were incubated with 2-deoxyglucose, which did not result in accumulation of glycogen. Immunocytochemical evidence indicates that glucose induces the aggregation of glycogen synthase molecules into clusters which are recovered in the sediments. These results indicate that glucose, in addition to activating glycogen synthase, may trigger changes in the localization of the enzyme in the cell. Images Fig. 1. Fig. 2. Fig. 4. Fig. 5. PMID:1736893

  2. Demonstration of nitric oxide synthase activity in crustacean hemocytes and anti-microbial activity of hemocyte-derived nitric oxide.

    PubMed

    Yeh, Feng-Ching; Wu, Su-Hua; Lai, Chi-Yung; Lee, Chi-Ying

    2006-05-01

    We determined the biochemical characteristics of nitric oxide synthase (NOS) in hemocytes of the crayfish Procambarus clarkii and investigated the roles of hemocyte-derived NO in host defense. Biochemical analysis indicated the presence of a Ca2+ -independent NOS activity, which was elevated by lipopolysaccharide (LPS) treatment. When bacteria (Staphylococcus aureus) and hemocytes were co-incubated, adhesion of bacteria to hemocytes was observed. NO donor sodium nitroprusside (SNP) significantly increased the numbers of hemocytes to which bacteria adhered. Similarly, LPS elicited bacterial adhesion and the LPS-induced adhesion was prevented by NOS inhibitor NG-monomethyl-L-arginine (L-NMMA). Finally, plate count assay demonstrated that addition of LPS to the hemocytes/bacteria co-incubation resulted in a significant decrease in bacterial colony forming unit (CFU), and that L-NMMA reversed the decreasing effect of LPS on CFU. The combined results demonstrate the presence of a Ca2+ -independent LPS-inducible NOS activity in crayfish hemocytes and suggest that hemocyte-derived NO is involved in promoting bacterial adhesion to hemocytes and enhancing bactericidal activity of hemocytes.

  3. Orotic aciduria and uridine monophosphate synthase: a reappraisal.

    PubMed

    Bailey, C J

    2009-12-01

    Three subtypes of hereditary orotic aciduria are described in the literature, all related to deficiencies in uridine monophosphate synthase, the multifunctional enzyme that contains both orotate: pyrophosphoryl transferase and orotidine monophosphate decarboxylase activities. The type of enzyme defect present in the subtypes has been re-examined by steady-state modelling of the relative outputs of the three enzymic products, uridine monophosphate, urinary orotic acid and urinary orotidine. It is shown that the ratio of urinary outputs of orotidine to orotate provides a means of testing for particular forms of enzyme defect. It is confirmed that the type I defect is caused by loss of uridine monophosphate synthase activity. Cells and tissue of type I cases have a residual amount of activity that is qualitatively unchanged: the relative rates of the transferase and decarboxylase do not differ from those of wild-type enzyme. The single claimed case of type II, thought to be due to specific inactivation of orotidine monophosphate decarboxylase, is shown to have a product spectrum inconsistent with that claim. It is proposed that this type II form does not differ sufficiently to be accepted as separate from type I. The third subtype, hereditary orotic aciduria without megaloblastic anaemia, occurs in two cases. It has the product spectrum expected of a defect in orotidine monophosphate decarboxylase. This form is the only one that appears to have a qualitatively different uridine monophosphate synthase. The possibility that orotidine monophosphate may control flux through the pyrimidine biosynthesis pathway in hereditary orotic aciduria is discussed.

  4. Aspirin inhibits interleukin 1-induced prostaglandin H synthase expression in cultured endothelial cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wu, K.K.; Sanduja, R.; Tsai, A.L.

    Prostaglandin H (PGH) synthase is a key enzyme in the biosynthesis of prostaglandins, thromboxane, and prostacyclin. In cultured human umbilical vein endothelial cells, interleukin 1 (IL-1) is known to induce the synthesis of this enzyme, thereby raising the level of PGH synthase protein severalfold over the basal level. Pretreatment with aspirin at low concentrations inhibited more than 60% of the enzyme mass and also the cyclooxygenase activity in IL-1-induced cells with only minimal effects on the basal level of the synthase enzyme in cells without IL-1. Sodium salicylate exhibited a similar inhibitory action whereas indomethacin had no apparent effect. Similarlymore » low levels of aspirin inhibited the increased L-({sup 35}S)methionine incorporation into PGH synthase that was induced by IL0-1 and also suppressed expression of the 2.7-kilobase PGH synthase mRNA. These results suggest that in cultured endothelial cells a potent inhibition of eicosanoid biosynthetic capacity can be effected by aspirin or salicylate at the level of PGH synthase gene expression. The aspirin effect may well be due to degradation of salicylate.« less

  5. Bifunctional activity of deoxyhypusine synthase/hydroxylase from Trichomonas vaginalis.

    PubMed

    Quintas-Granados, Laura Itzel; Carvajal Gamez, Bertha Isabel; Villalpando, Jose Luis; Ortega-Lopez, Jaime; Arroyo, Rossana; Azuara-Liceaga, Elisa; Álvarez-Sánchez, María Elizbeth

    2016-04-01

    The Trichomonas vaginalis genome analysis suggested the presence of a putative deoxyhypusine synthase (TvDHS) that catalyzes the posttranslational modification of eIF-5A. Herein, we expressed and purified the recombinant TvDHS (rTvDHS) protein (43 kDa) and the recombinant TveIF-5A (rTveIF-5A) precursor protein (46 kDa). A 41 kDa band of the native TvDHS was recognized by western blot analysis in T. vaginalis total protein extract by a mouse polyclonal anti-rTvDHS antibody. The enzymatic activity of rTvDHS was determined by in vitro rTveIF-5A precursor modification. The modification reaction was performed by using ((3)H)-spermidine, and the biochemical analysis showed that rTvDHS exhibited Km value of 0.6 μM. The rTvDHS activity was inhibited by the spermidine analog, N″-guanyl-1,7-diamino-heptane (GC7). Native gel electrophoresis analysis showed two bands corresponding to an rTvDHS-rTveIF-5A complex and an intermediate form of rTveIF-5A. The two forms were subsequently separated by ion exchange chromatography to identify the hypusine residue by MS/MS analysis. Moreover, mutations in TvDHS showed that the putative HE motif present in this enzyme is involved in the hydroxylation of TveIF-5A. We observed that only hypusine-containing TveIF-5A was bound to an RNA hairpin ERE structure from the cox-2 gene, which contains the AAAUGUCACAC consensus sequence. Interestingly, 2DE-WB assays, using parasites that were grown in DAB-culture conditions and transferred to exogenous putrescine, showed the new isoform of TveIF-5A. In summary, our results indicate that T. vaginalis contains an active TvDHS capable of modifying the precursor TveIF-5A protein, which subsequently exhibits RNA binding activity. Copyright © 2015. Published by Elsevier B.V.

  6. Assay of Deoxyhypusine Synthase Activity

    PubMed Central

    Wolff, Edith C.; Lee, Seung Bum; Park, Myung Hee

    2011-01-01

    Deoxyhypusine synthase catalyzes an unusual protein modification reaction. A portion of spermidine is covalently added to one specific lysine residue of one eukaryotic protein, eIF5A (eukaryotic initiation factor 5A) to form a deoxyhypusine residue. The assay measures the incorporation of radioactivity from [1,8-3H]spermidine into the eIF5A protein. The enzyme is specific for the eIF5A precursor protein and does not work on short peptides (<50 amino acids). Optimum conditions for the reaction and four detection methods for the product, deoxyhypusine-containing eIF5A, are described in this chapter. The first, and most specific, method is the measurement of the amount of [3H]deoxyhypusine in the protein hydrolysate after its separation by ion exchange chromatography. However, this method requires some specialized equipment. The second method is counting the radioactivity in TCA-precipitated protein after thorough washing. The third method involves determining the radioactivity in the band of [3H] deoxyhypusine-containing eIF5A after separation by SDS-PAGE. The fourth method is a filter-binding assay. It is important to minimize nonspecific binding of [3H]spermidine to proteins in the assay mixture, especially for methods 2 and 4, as illustrated in a comparison figure in the chapter. PMID:21318875

  7. Production of geranylgeraniol on overexpression of a prenyl diphosphate synthase fusion gene in Saccharomyces cerevisiae.

    PubMed

    Ohto, Chikara; Muramatsu, Masayoshi; Obata, Shusei; Sakuradani, Eiji; Shimizu, Sakayu

    2010-07-01

    An acyclic diterpene alcohol, (E,E,E)-geranylgeraniol (GGOH), is one of the important compounds used as perfume and pharmacological agents. A deficiency of squalene (SQ) synthase activity allows yeasts to accumulate an acyclic sesquiterpene alcohol, (E,E)-farnesol, in their cells. Since sterols are essential for the growth of yeasts, a deficiency of SQ synthase activity makes the addition of supplemental sterols to the culture media necessary. To develop a GGOH production method not requiring any supplemental sterols, we overexpressed HMG1 encoding hydroxymethylglutaryl-CoA reductase and the genes of two prenyl diphosphate synthases, ERG20 and BTS1, in Saccharomyces cerevisiae. A prototrophic diploid coexpressing HMG1 and the ERG20-BTS1 fusion accumulated GGOH with neither disruption of the SQ synthase gene nor the addition of any supplemental sterols. The GGOH content on the diploid cultivation in a 5-l jar fermenter reached 138.8 mg/l under optimal conditions.

  8. Studies on the Expression of Sesquiterpene Synthases Using Promoter-β-Glucuronidase Fusions in Transgenic Artemisia annua L

    PubMed Central

    Wang, Hongzhen; Han, Junli; Kanagarajan, Selvaraju; Lundgren, Anneli; Brodelius, Peter E.

    2013-01-01

    In order to better understand the influence of sesquiterpene synthases on artemisinin yield in Artemisia annua, the expression of some sesquiterpene synthases has been studied using transgenic plants expressing promoter-GUS fusions. The cloned promoter sequences were 923, 1182 and 1510 bp for β-caryophyllene (CPS), epi-cedrol (ECS) and β-farnesene (FS) synthase, respectively. Prediction of cis-acting regulatory elements showed that the promoters are involved in complex regulation of expression. Transgenic A. annua plants carrying promoter-GUS fusions were studied to elucidate the expression pattern of the three sesquiterpene synthases and compared to the previously studied promoter of amorpha-4,11-diene synthase (ADS), a key enzyme of artemisinin biosynthesis. The CPS and ECS promoters were active in T-shaped trichomes of leaves and stems, basal bracts of flower buds and also in some florets cells but not in glandular secretory trichome while FS promoter activity was only observed in leaf cells and trichomes of transgenic shoots. ADS, CPS, ECS and FS transcripts were induced by wounding in a time depended manner. The four sesquiterpene synthases may be involved in responsiveness of A. annua to herbivory. Methyl jasmonate treatment triggered activation of the promoters of all four sesquiterpene synthases in a time depended manner. Southern blot result showed that the GUS gene was inserted into genomic DNA of transgenic lines as a single copy or two copies. The relative amounts of CPS and ECS as well as germacrene A synthase (GAS) transcripts are much lower than that of ADS transcript. Consequently, down-regulation of the expression of the CPS, ECS or GAS gene may not improve artemsinin yield. However, blocking the expression of FS may have effects on artemisinin production. PMID:24278301

  9. Rapid Detection of Glycogen Synthase Kinase-3 Activity in Mouse Sperm Using Fluorescent Gel Shift Electrophoresis

    PubMed Central

    Choi, Hoseok; Choi, Bomi; Seo, Ju Tae; Lee, Kyung Jin; Gye, Myung Chan; Kim, Young-Pil

    2016-01-01

    Assaying the glycogen synthase kinase-3 (GSK3) activity in sperm is of great importance because it is closely implicated in sperm motility and male infertility. While a number of studies on GSK3 activity have relied on labor-intensive immunoblotting to identify phosphorylated GSK3, here we report the simple and rapid detection of GSK3 activity in mouse sperm using conventional agarose gel electrophoresis and a fluorescent peptide substrate. When a dye-tethered and prephosphorylated (primed) peptide substrate for GSK3 was employed, a distinct mobility shift in the fluorescent bands on the agarose was observed by GSK3-induced phosphorylation of the primed peptides. The GSK3 activity in mouse testes and sperm were quantifiable by gel shift assay with low sample consumption and were significantly correlated with the expression levels of GSK3 and p-GSK3. We suggest that our assay can be used for reliable and rapid detection of GSK3 activity in cells and tissue extracts. PMID:27092510

  10. SUMO-fusion, purification, and characterization of a (+)-zizaene synthase from Chrysopogon zizanioides

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hartwig, S.; Frister, T.; Alemdar, S.

    2015-03-20

    An uncharacterized plant cDNA coding for a polypeptide presumably having sesquiterpene synthase activity, was expressed in soluble and active form. Two expression strategies were evaluated in Escherichia coli. The enzyme was fused to a highly soluble SUMO domain, in addition to being produced in an unfused form by a cold-shock expression system. Yields up to ∼325 mg/L{sup −1} were achieved in batch cultivations. The 6x-His-tagged enzyme was purified employing an Ni{sup 2+}-IMAC-based procedure. Identity of the protein was established by Western Blot analysis as well as peptide mass fingerprinting. A molecular mass of 64 kDa and an isoelectric point of pImore » 4.95 were determined by 2D gel electrophoresis. Cleavage of the fusion domain was possible by digestion with specific SUMO protease. The synthase was active in Mg{sup 2+} containing buffer and catalyzed the production of (+)-zizaene (syn. khusimene), a precursor of khusimol, from farnesyl diphosphate. Product identity was confirmed by GC–MS and comparison of retention indices. Enzyme kinetics were determined by measuring initial reaction rates for the product, using varying substrate concentrations. By assuming a Michaelis–Menten model, kinetic parameters of K{sub M} = 1.111 μM (±0.113), v{sub max} = 0.3245 μM min{sup −1} (±0.0035), k{sub cat} = 2.95 min{sup −1}, as well as a catalytic efficiency k{sub cat}/K{sub M} = 4.43 × 10{sup 4} M{sup −1} s{sup −1} were calculated. Fusion to a SUMO moiety can substantially increase soluble expression levels of certain hard to express terpene synthases in E. coli. The kinetic data determined for the recombinant synthase are comparable to other described plant sesquiterpene synthases and in the typical range of enzymes belonging to the secondary metabolism. This leaves potential for optimizing catalytic parameters through methods like directed evolution. - Highlights: • Uncharacterized (+)-zizaene synthase from C. zizanoides was

  11. Geranyl diphosphate synthase large subunit, and methods of use

    DOEpatents

    Croteau, Rodney B.; Burke, Charles C.; Wildung, Mark R.

    2001-10-16

    A cDNA encoding geranyl diphosphate synthase large subunit from peppermint has been isolated and sequenced, and the corresponding amino acid sequence has been determined. Replicable recombinant cloning vehicles are provided which code for geranyl diphosphate synthase large subunit). In another aspect, modified host cells are provided that have been transformed, transfected, infected and/or injected with a recombinant cloning vehicle and/or DNA sequence encoding geranyl diphosphate synthase large subunit. In yet another aspect, the present invention provides isolated, recombinant geranyl diphosphate synthase protein comprising an isolated, recombinant geranyl diphosphate synthase large subunit protein and an isolated, recombinant geranyl diphosphate synthase small subunit protein. Thus, systems and methods are provided for the recombinant expression of geranyl diphosphate synthase.

  12. Piperine Inhibits the Activities of Platelet Cytosolic Phospholipase A2 and Thromboxane A2 Synthase without Affecting Cyclooxygenase-1 Activity: Different Mechanisms of Action Are Involved in the Inhibition of Platelet Aggregation and Macrophage Inflammatory Response

    PubMed Central

    Son, Dong Ju; Akiba, Satoshi; Hong, Jin Tae; Yun, Yeo Pyo; Hwang, Seock Yeon; Park, Young Hyun; Lee, Sung Eun

    2014-01-01

    PURPOSE: Piperine, a major alkaloid of black pepper (Piper nigrum) and long pepper (Piper longum), was shown to have anti-inflammatory activity through the suppression of cyclooxygenase (COX)-2 gene expression and enzyme activity. It is also reported to exhibit anti-platelet activity, but the mechanism underlying this action remains unknown. In this study, we investigated a putative anti-platelet aggregation mechanism involving arachidonic acid (AA) metabolism and how this compares with the mechanism by which it inhibits macrophage inflammatory responses; METHODS: Rabbit platelets and murine macrophage RAW264.7 cells were treated with piperine, and the effect of piperine on the activity of AA-metabolizing enzymes, including cytosolic phospholipase A2 (cPLA2), COX-1, COX-2, and thromboxane A2 (TXA2) synthase, as well as its effect on AA liberation from the plasma membrane components, were assessed using isotopic labeling methods and enzyme immunoassay kit; RESULTS: Piperine significantly suppressed AA liberation by attenuating cPLA2 activity in collagen-stimulated platelets. It also significantly inhibited the activity of TXA2 synthase, but not of COX-1, in platelets. These results suggest that piperine inhibits platelet aggregation by attenuating cPLA2 and TXA2 synthase activities, rather than through the inhibition of COX-1 activity. On the other hand, piperine significantly inhibited lipopolysaccharide-induced generation of prostaglandin (PG)E2 and PGD2 in RAW264.7 cells by suppressing the activity of COX-2, without effect on cPLA2; CONCLUSION: Our findings indicate that piperine inhibits platelet aggregation and macrophage inflammatory response by different mechanisms. PMID:25153972

  13. Molecular cloning and characterization of drimenol synthase from valerian plant (Valeriana officinalis).

    PubMed

    Kwon, Moonhyuk; Cochrane, Stephen A; Vederas, John C; Ro, Dae-Kyun

    2014-12-20

    Drimenol, a sesquiterpene alcohol, and its derivatives display diverse bio-activities in nature. However, a drimenol synthase gene has yet to be identified. We identified a new sesquiterpene synthase cDNA (VoTPS3) in valerian plant (Valeriana officinalis). Purification and NMR analyses of the VoTPS3-produced terpene, and characterization of the VoTPS3 enzyme confirmed that VoTPS3 synthesizes (-)-drimenol. In feeding assays, possible reaction intermediates, farnesol and drimenyl diphosphate, could not be converted to drimenol, suggesting that the intermediate remains tightly bound to VoTPS3 during catalysis. A mechanistic consideration of (-)-drimenol synthesis suggests that drimenol synthase is likely to use a protonation-initiated cyclization, which is rare for sesquiterpene synthases. VoTPS3 can be used to produce (-)-drimenol, from which useful drimane-type terpenes can be synthesized. Copyright © 2014 Federation of European Biochemical Societies. Published by Elsevier B.V. All rights reserved.

  14. The N253F mutant structure of trehalose synthase from Deinococcus radiodurans reveals an open active-site topology.

    PubMed

    Chow, Sih Yao; Wang, Yung Lin; Hsieh, Yu Chiao; Lee, Guan Chiun; Liaw, Shwu Huey

    2017-11-01

    Trehalose synthase (TS) catalyzes the reversible conversion of maltose to trehalose and belongs to glycoside hydrolase family 13 (GH13). Previous mechanistic analysis suggested a rate-limiting protein conformational change, which is probably the opening and closing of the active site. Consistently, crystal structures of Deinococcus radiodurans TS (DrTS) in complex with the inhibitor Tris displayed an enclosed active site for catalysis of the intramoleular isomerization. In this study, the apo structure of the DrTS N253F mutant displays a new open conformation with an empty active site. Analysis of these structures suggests that substrate binding induces a domain rotation to close the active site. Such a substrate-induced domain rotation has also been observed in some other GH13 enzymes.

  15. Impact of drought stress on specialised metabolism: Biosynthesis and the expression of monoterpene synthases in sage (Salvia officinalis).

    PubMed

    Radwan, Alzahraa; Kleinwächter, Maik; Selmar, Dirk

    2017-09-01

    In previous experiments, we demonstrated that the amount of monoterpenes in sage is increased massively by drought stress. Our current study is aimed to elucidate whether this increase is due, at least in part, to elevated activity of the monoterpene synthases responsible for the biosynthesis of essential oils in sage. Accordingly, the transcription rates of the monoterpene synthases were analyzed. Salvia officinalis plants were cultivated under moderate drought stress. The concentrations of monoterpenes as well as the expression of the monoterpene synthases were analyzed. The amount of monoterpenes massively increased in response to drought stress; it doubled after just two days of drought stress. The observed changes in monoterpene content mostly match with the patterns of monoterpene synthase expressions. The expression of bornyl diphosphate synthase was strongly up-regulated; its maximum level was reached after two days. Sabinene synthase increased gradually and reached a maximum after two weeks. In contrast, the transcript level of cineole synthase continuously declined. This study revealed that the stress related increase of biosynthesis is not only due to a "passive" shift caused by the stress related over-reduced status, but also is due - at least in part-to an "active" up-regulation of the enzymes involved. Copyright © 2017 Elsevier Ltd. All rights reserved.

  16. ESR studies on reactivity of protein-derived tyrosyl radicals formed by prostaglandin H synthase and ribonucleotide reductase.

    PubMed

    Lassmann, G; Curtis, J; Liermann, B; Mason, R P; Eling, T E

    1993-01-01

    Using ESR spectroscopy, the ability of enzyme inhibitors to quench protein-derived tyrosyl radicals was studied in two different enzymes, prostaglandin H synthase and ribonucleotide reductase. The prostaglandin H synthase inhibitors indomethacin, eugenol, and MK-410 effectively prevent the formation of tyrosyl radicals during the oxidation of arachidonic acid by prostaglandin H synthase from ram seminal vesicles. A direct reaction with preformed tyrosyl radicals was observed only with eugenol. The other prostaglandin H synthase inhibitors were ineffective. The ribonucleotide reductase inhibitors hydroxyurea and 4-hydroxyanisole, which effectively inactivate the tyrosyl radical in the active site of ribonucleotide reductase present in tumor cells, exhibit a different reactivity with tyrosyl radicals formed by prostaglandin H synthase. Hydroxyurea quenches preformed tyrosyl radicals in prostaglandin H synthase weakly, whereas 4-hydroxyanisole does not quench tyrosyl radicals in prostaglandin H synthase at all. Eugenol, which quenches preformed prostaglandin H synthase-derived tyrosyl radicals, also quenches the tyrosyl radical in ribonucleotide reductase. The results suggest that the reactivity of protein-linked tyrosyl radicals in ribonucleotide reductase and those formed during prostaglandin H synthase catalysis are very different and have unrelated roles in enzyme catalysis.

  17. Methionine biosynthesis in higher plants. I. Purification and characterization of cystathionine gamma-synthase from spinach chloroplasts.

    PubMed

    Ravanel, S; Droux, M; Douce, R

    1995-01-10

    Cystathionine gamma-synthase, the first enzyme specific for the methionine biosynthetic pathway, was purified to apparent homogeneity from spinach leaf chloroplasts. A nonradioactive assay based on O-phthaldialdehyde derivatization of L-cystathionine and fluorescence detection was developed to determine the cystathionine gamma-synthase activity. A unique cystathionine gamma-synthase activity was located in the stromal fraction of chloroplasts while cystathionine beta-lyase, the second enzyme of the transsulfuration pathway, was associated with both the chloroplastic and cytosolic compartments (see companion manuscript). The purified enzyme exhibited a specific activity of 13 U mg-1. As estimated by gel filtration and polyacrylamide gel electrophoresis (PAGE) under nondenaturing conditions followed by activity staining, the native enzyme had an apparent M(r) of 215,000. On the basis of sodium dodecyl sulfate-PAGE, purified cystathionine gamma-synthase migrated as two molecular species of M(r) 53,000 and 50,000 that are identical in their N-termini. The absorption spectrum obtained at pH 7.5 exhibited a peak at 425 nm due to pyridoxal 5'-phosphate (PLP). The purified enzyme catalyzed the formation of L-cystathionine or L-homocysteine depending on the sulfur-containing substrate, L-cysteine or sulfide. Maximal cystathionine gamma-synthase activity was found at pH 7.4. The apparent Km values for O-phospho-L-homoserine (the unique homoserine ester synthesized in the chloroplast), L-cysteine, and sulfide were 1.4, 0.18, and 0.6 mM, respectively. Inactivation of cystathionine gamma-synthase by DL-propargylglycine (PAG) showed pseudo-first-order kinetics and data were consistent with the existence of an intermediate reversible enzyme-inhibitor complex (Kappi = 140 microM) preceding the formation of a final enzyme-inhibitor complex (kd = 24 x 10(-3) s-1). The irreversibility of the inhibition and the partial restoration of the activity by pyridoxal-phosphate suggest that

  18. Domain analysis of 3 Keto Acyl-CoA synthase for structural variations in Vitis vinifera and Oryza brachyantha using comparative modelling.

    PubMed

    Sagar, Mamta; Pandey, Neetesh; Qamar, Naseha; Singh, Brijendra; Shukla, Akanksha

    2015-03-01

    The long chain fatty acids incorporated into plant lipids are derived from the iterative addition of C2 units which is provided by malonyl-CoA to an acyl-CoA after interactions with 3-ketoacyl-CoA synthase (KCS), found in several plants. This study provides functional characterization of three 3 ketoacyl CoA synthase like proteins in Vitis vinifera (one) and Oryza brachyantha (two proteins). Sequence analysis reveals that protein of Oryza brachyantha shows 96% similarity to a hypothetical protein in Sorghum bicolor; total 11 homologs were predicted in Sorghum bicolor. Conserved domain prediction confirm the presence of FAE1/Type III polyketide synthase-like protein, Thiolase-like, subgroup; Thiolase-like and 3-Oxoacyl-ACP synthase III, C-terminal and chalcone synthase like domain but very long chain 3-keto acyl CoA domain is absent. All three proteins were found to have Chalcone and stilbene synthases C terminal domain which is similar to domain of thiolase and β keto acyl synthase. Its N terminal domain is absent in J3M9Z7 protein of Oryza brachyantha and F6HH63 protein of Vitis vinifera. Differences in N-terminal domain is responsible for distinguish activity. The J3MF16 protein of Oryza brachyantha contains N terminal domain and C terminal domain and characterized using annotation of these domains. Domains Gcs (streptomyces coelicolor) and Chalcone-stilbene synthases (KAS) in 2-pyrone synthase (Gerbera hybrid) and chalcone synthase 2 (Medicago sativa) were found to be present in three proteins. This similarity points toward anthocyanin biosynthetic process. Similarity to chalcone synthase 2 reveals its possible role in Naringenine and Chalcone synthase like activity. In 3 keto acyl CoA synthase of Oryza brachyantha. Active site residues C-240, H-407, N-447 are present in J3MF16 protein that are common in these three protein at different positions. Structural variations among dimer interface, product binding site, malonyl-CoA binding sites, were predicted in

  19. Differentiation of Cannabis subspecies by THCA synthase gene analysis using RFLP.

    PubMed

    Cirovic, Natasa; Kecmanovic, Miljana; Keckarevic, Dusan; Keckarevic Markovic, Milica

    2017-10-01

    Cannabis sativa subspecies, known as industrial hemp (C. sativa sativa) and marijuana (C. sativa indica) show no evident morphological distinctions, but they contain different levels of psychoactive Δ-9-tetrahidrocanabinol (THC), with considerably higher concentration in marijuana than in hemp. C. sativa subspecies differ in sequence of tetrahydrocannabinolic acid (THCA) synthase gene, responsible for THC production, and only one active copy of the gene, distinctive for marijuana, is capable of producing THC in concentration more then 0,3% in dried plants, usually punishable by the law. Twenty different samples of marijuana that contain THC in concentration more then 0,3% and three varieties of industrial hemp were analyzed for presence of an active copy of THCA synthase gene using in-house developed restriction fragment length polymorphism (RFLP) method All twenty samples of marijuana were positive for the active copy of THCA synthase gene, 16 of them heterozygous. All three varieties of industrial hemp were homozygous for inactive copy. An algorithm for the fast and accurate forensic analysis of samples suspected to be marijuana was constructed, answering the question if an analyzed sample is capable of producing THC in concentrations higher than 0.3%. Copyright © 2017 Elsevier Ltd and Faculty of Forensic and Legal Medicine. All rights reserved.

  20. Seasonal influence on gene expression of monoterpene synthases in Salvia officinalis (Lamiaceae).

    PubMed

    Grausgruber-Gröger, Sabine; Schmiderer, Corinna; Steinborn, Ralf; Novak, Johannes

    2012-03-01

    Garden sage (Salvia officinalis L., Lamiaceae) is one of the most important medicinal and aromatic plants and possesses antioxidant, antimicrobial, spasmolytic, astringent, antihidrotic and specific sensorial properties. The essential oil of the plant, formed mainly in very young leaves, is in part responsible for these activities. It is mainly composed of the monoterpenes 1,8-cineole, α- and β-thujone and camphor synthesized by the 1,8-cineole synthase, the (+)-sabinene synthase and the (+)-bornyl diphosphate synthase, respectively, and is produced and stored in epidermal glands. In this study, the seasonal influence on the formation of the main monoterpenes in young, still expanding leaves of field-grown sage plants was studied in two cultivars at the level of mRNA expression, analyzed by qRT-PCR, and at the level of end-products, analyzed by gas chromatography. All monoterpene synthases and monoterpenes were significantly influenced by cultivar and season. 1,8-Cineole synthase and its end product 1,8-cineole remained constant until August and then decreased slightly. The thujones increased steadily during the vegetative period. The transcript level of their corresponding terpene synthase, however, showed its maximum in the middle of the vegetative period and declined afterwards. Camphor remained constant until August and then declined, exactly correlated with the mRNA level of the corresponding terpene synthase. In summary, terpene synthase mRNA expression and respective end product levels were concordant in the case of 1,8-cineole (r=0.51 and 0.67 for the two cultivars, respectively; p<0.05) and camphor (r=0.75 and 0.82; p<0.05) indicating basically transcriptional control, but discordant for α-/β-thujone (r=-0.05 and 0.42; p=0.87 and 0.13, respectively). Copyright © 2011 Elsevier GmbH. All rights reserved.

  1. Chemoreflex Activity Increases Prostaglandin Endoperoxide Synthase mRNA Expression in the Late-Gestation Fetal Sheep Brain

    PubMed Central

    Fraites, Melanie J. P.; Wood, Charles E.

    2011-01-01

    Fetal sheep defend blood pressure, blood volume, and blood gases using baro- and chemoreflexes that influence autonomic and neuroendocrine responses. The local generation of prostanoids within the fetal brain is also an important component in activating hormone responses to these stimuli, but the relationship between the reflexes and prostanoid biosynthesis is unclear. The present study was performed to test the hypothesis that the abundances of prostaglandin biosynthetic enzymes in the fetal brain are dependent upon the activity of the baro- and chemoreflex pathways. We subjected chronically catheterized fetal sheep in late gestation to a 10-minute period of brachiocephalic occlusion (BCO), a stimulus that provokes brisk cardiovascular and neuroendocrine responses. We compared the central nervous system abundance of prostaglandin endoperoxide synthases 1 and 2 (PGHS-1 and PGHS-2) after BCO to (1) fetal sheep that had been subjected to BCO after chronic sinoaortic denervation plus bilateral vagotomy and (2) fetal sheep in which the N-methyl d-aspartate (NMDA) receptor antagonist, ketamine, had been administered prior to BCO. Abundances of messenger RNA (mRNA) for PGHS-1 and of mRNA and protein for PGHS-2 in fetal hippocampus were reduced significantly by either prior denervation or ketamine administration. Prostaglandin endoperoxide synthases 1 and 2 mRNA in pituitary were decreased and increased, respectively, by ketamine pretreatment. The results of this study are consistent with the conclusion that the expression of PGHS-1 and -2 in fetal hippocampus and pituitary are influenced by the baro- and/or chemoreflex pathways within the fetal brain in late gestation. PMID:21846688

  2. Propofol restores TRPV1 sensitivity via a TRPA1-, nitric oxide synthase-dependent activation of PKCε

    PubMed Central

    Sinharoy, Pritam; Zhang, Hongyu; Sinha, Sayantani; Prudner, Bethany C; Bratz, Ian N; Damron, Derek S

    2015-01-01

    We previously demonstrated that the intravenous anesthetic, propofol, restores the sensitivity of transient receptor potential vanilloid channel subtype-1 (TRPV1) receptors via a protein kinase C epsilon (PKCε)-dependent and transient receptor potential ankyrin channel subtype-1 (TRPA1)-dependent pathway in sensory neurons. The extent to which the two pathways are directly linked or operating in parallel has not been determined. Using a molecular approach, our objectives of the current study were to confirm that TRPA1 activation directly results in PKCε activation and to elucidate the cellular mechanism by which this occurs. F-11 cells were transfected with complimentary DNA (cDNA) for TRPV1 only or both TRPV1 and TRPA1. Intracellular Ca2+ concentration was measured in individual cells via fluorescence microscopy. An immunoblot analysis of the total and phosphorylated forms of PKCε, nitric oxide synthase (nNOS), and TRPV1 was also performed. In F-11 cells containing both channels, PKCε inhibition prevented the propofol- and allyl isothiocyanate (AITC)-induced restoration of TRPV1 sensitivity to agonist stimulation as well as increased phosphorylation of PKCε and TRPV1. In cells containing TRPV1 only, neither agonist induced PKCε or TRPV1 phosphorylation. Moreover, NOS inhibition blocked propofol-and AITC-induced restoration of TRPV1 sensitivity and PKCε phosphorylation, and PKCε inhibition prevented the nitric oxide donor, SNAP, from restoring TRPV1 sensitivity. Also, propofol-and AITC-induced phosphorylation of nNOS and nitric oxide (NO) production were blocked with the TRPA1-antagonist, HC-030031. These data indicate that the AITC- and propofol-induced restoration of TRPV1 sensitivity is mediated by a TRPA1-dependent, nitric oxide synthase-dependent activation of PKCε. PMID:26171233

  3. Gene cloning and overexpression of a geranylgeranyl diphosphate synthase of an extremely thermophilic bacterium, Thermus thermophilus.

    PubMed

    Ohto, C; Ishida, C; Koike-Takeshita, A; Yokoyama, K; Muramatsu, M; Nishino, T; Obata, S

    1999-02-01

    A geranylgeranyl diphosphate (GGPP) synthase gene of an extremely thermophilic bacterium, Thermus thermophilus, was cloned and sequenced. T. thermophilus GGPP synthase, overexpressed in Escherichia coli cells as a glutathione S-transferase fusion protein, was purified and characterized. The fusion protein, retaining thermostability, formed a homodimer, and showed higher specific activity than did a partially purified thermostable enzyme previously reported. Optimal reaction conditions and kinetic parameters were also examined. The deduced amino acid sequence indicated that T. thermophilus GGPP synthase was excluded from the group of bacterial type GGPP synthases and lacked the insertion amino acid residues in the first aspartate-rich motif as do archaeal and eukaryotic short-chain prenyltransferases.

  4. Activation of pollen tube callose synthase by detergents. Evidence for different mechanisms of action.

    PubMed Central

    Li, H; Bacic, A; Read, S M

    1997-01-01

    In pollen tubes of Nicotiana alata, a membrane-bound, Ca(2+)-independent callose synthase (CalS) is responsible for the biosynthesis of the (1,3)-beta-glucan backbone of callose, the main cell wall component. Digitonin increases CalS activity 3- to 4-fold over a wide range of concentrations, increasing the maximum initial velocity without altering the Michaelis constant for UDP-glucose. The CalS activity that requires digitonin for assay (the latent CalS activity) is not inhibited by the membrane-impermeant, active site-directed reagent UDP-pyridoxal when the reaction is conducted in the absence of digitonin. This is consistent with digitonin increasing CalS activity by the permeabilization of membrane vesicles. A second group of detergents, including 3-[(3-cholamidopropyl)dimethylammonio]-1-propane-sulfonate (CHAPS), Zwittergent 3-16, and 1-alpha-lysolecithin, activate pollen tube CalS 10- to 15-fold, but only over a narrow range of concentrations just below their respective critical micellar concentrations. This activation could not be attributed to any particular chemical feature of these detergents. CHAPS increases maximum initial velocity and decreases the Michaelis constant for UDP-glucose and activates CalS even in the presence of permeabilizing concentrations of digitonin. Inhibition studies with UDP-pyridoxal indicate that activation by CHAPS occurs by recruitment of previously inactive CalS molecules to the pool of active enzyme. The activation of pollen tube CalS by these detergents therefore resembles activation of the enzyme by trypsin. PMID:9276948

  5. Friedelin Synthase from Maytenus ilicifolia: Leucine 482 Plays an Essential Role in the Production of the Most Rearranged Pentacyclic Triterpene

    PubMed Central

    Souza-Moreira, Tatiana M.; Alves, Thaís B.; Pinheiro, Karina A.; Felippe, Lidiane G.; De Lima, Gustavo M. A.; Watanabe, Tatiana F.; Barbosa, Cristina C.; Santos, Vânia A. F. F. M.; Lopes, Norberto P.; Valentini, Sandro R.; Guido, Rafael V. C.; Furlan, Maysa; Zanelli, Cleslei F.

    2016-01-01

    Among the biologically active triterpenes, friedelin has the most-rearranged structure produced by the oxidosqualene cyclases and is the only one containing a cetonic group. In this study, we cloned and functionally characterized friedelin synthase and one cycloartenol synthase from Maytenus ilicifolia (Celastraceae). The complete coding sequences of these 2 genes were cloned from leaf mRNA, and their functions were characterized by heterologous expression in yeast. The cycloartenol synthase sequence is very similar to other known OSCs of this type (approximately 80% identity), although the M. ilicifolia friedelin synthase amino acid sequence is more related to β-amyrin synthases (65–74% identity), which is similar to the friedelin synthase cloned from Kalanchoe daigremontiana. Multiple sequence alignments demonstrated the presence of a leucine residue two positions upstream of the friedelin synthase Asp-Cys-Thr-Ala-Glu (DCTAE) active site motif, while the vast majority of OSCs identified so far have a valine or isoleucine residue at the same position. The substitution of the leucine residue with valine, threonine or isoleucine in M. ilicifolia friedelin synthase interfered with substrate recognition and lead to the production of different pentacyclic triterpenes. Hence, our data indicate a key role for the leucine residue in the structure and function of this oxidosqualene cyclase. PMID:27874020

  6. Friedelin Synthase from Maytenus ilicifolia: Leucine 482 Plays an Essential Role in the Production of the Most Rearranged Pentacyclic Triterpene

    NASA Astrophysics Data System (ADS)

    Souza-Moreira, Tatiana M.; Alves, Thaís B.; Pinheiro, Karina A.; Felippe, Lidiane G.; de Lima, Gustavo M. A.; Watanabe, Tatiana F.; Barbosa, Cristina C.; Santos, Vânia A. F. F. M.; Lopes, Norberto P.; Valentini, Sandro R.; Guido, Rafael V. C.; Furlan, Maysa; Zanelli, Cleslei F.

    2016-11-01

    Among the biologically active triterpenes, friedelin has the most-rearranged structure produced by the oxidosqualene cyclases and is the only one containing a cetonic group. In this study, we cloned and functionally characterized friedelin synthase and one cycloartenol synthase from Maytenus ilicifolia (Celastraceae). The complete coding sequences of these 2 genes were cloned from leaf mRNA, and their functions were characterized by heterologous expression in yeast. The cycloartenol synthase sequence is very similar to other known OSCs of this type (approximately 80% identity), although the M. ilicifolia friedelin synthase amino acid sequence is more related to β-amyrin synthases (65-74% identity), which is similar to the friedelin synthase cloned from Kalanchoe daigremontiana. Multiple sequence alignments demonstrated the presence of a leucine residue two positions upstream of the friedelin synthase Asp-Cys-Thr-Ala-Glu (DCTAE) active site motif, while the vast majority of OSCs identified so far have a valine or isoleucine residue at the same position. The substitution of the leucine residue with valine, threonine or isoleucine in M. ilicifolia friedelin synthase interfered with substrate recognition and lead to the production of different pentacyclic triterpenes. Hence, our data indicate a key role for the leucine residue in the structure and function of this oxidosqualene cyclase.

  7. A heterodimer of human 3'-phospho-adenosine-5'-phosphosulphate (PAPS) synthases is a new sulphate activating complex

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Grum, Daniel, E-mail: daniel.grum@uni-due.de; Boom, Johannes van den, E-mail: johannes.van-den-boom@stud.uni-due.de; Neumann, Daniel, E-mail: dneuman@gwdg.de

    2010-05-07

    3'-Phospho-adenosine-5'-phosphosulphate (PAPS) synthases are fundamental to mammalian sulphate metabolism. These enzymes have recently been linked to a rising number of human diseases. Despite many studies, it is not yet understood how the mammalian PAPS synthases 1 and 2 interact with each other. We provide first evidence for heterodimerisation of these two enzymes by pull-down assays and Foerster resonance energy transfer (FRET) measurements. Kinetics of dimer dissociation/association indicates that these heterodimers form as soon as PAPSS1 and -S2 encounter each other in solution. Affinity of the homo- and heterodimers were found to be in the low nanomolar range using anisotropy measurementsmore » employing proteins labelled with the fluorescent dye IAEDANS that - in spite of its low quantum yield - is well suited for anisotropy due to its large Stokes shift. Within its kinase domain, the PAPS synthase heterodimer displays similar substrate inhibition by adenosine-5'-phosphosulphate (APS) as the homodimers. Due to divergent catalytic efficacies of PAPSS1 and -S2, the heterodimer might be a way of regulating PAPS synthase function within mammalian cells.« less

  8. Cellulose Microfibril Formation by Surface-Tethered Cellulose Synthase Enzymes.

    PubMed

    Basu, Snehasish; Omadjela, Okako; Gaddes, David; Tadigadapa, Srinivas; Zimmer, Jochen; Catchmark, Jeffrey M

    2016-02-23

    Cellulose microfibrils are pseudocrystalline arrays of cellulose chains that are synthesized by cellulose synthases. The enzymes are organized into large membrane-embedded complexes in which each enzyme likely synthesizes and secretes a β-(1→4) glucan. The relationship between the organization of the enzymes in these complexes and cellulose crystallization has not been explored. To better understand this relationship, we used atomic force microscopy to visualize cellulose microfibril formation from nickel-film-immobilized bacterial cellulose synthase enzymes (BcsA-Bs), which in standard solution only form amorphous cellulose from monomeric BcsA-B complexes. Fourier transform infrared spectroscopy and X-ray diffraction techniques show that surface-tethered BcsA-Bs synthesize highly crystalline cellulose II in the presence of UDP-Glc, the allosteric activator cyclic-di-GMP, as well as magnesium. The cellulose II cross section/diameter and the crystal size and crystallinity depend on the surface density of tethered enzymes as well as the overall concentration of substrates. Our results provide the correlation between cellulose microfibril formation and the spatial organization of cellulose synthases.

  9. Interactions of citrate synthases from osmoconforming and osmoregulating animals with salt: possible signs of molecular eco-adaptation?

    PubMed

    Sarkissian, I V

    1977-01-01

    This study considers differential sensitivity of citrate synthase (citrate oxaloacetatelyase [CoA acetylating]) EC 4.1.3.7. from an osmoconforming animal (sea anemone) and an osmoregulating animal (the pig) to salt. Attention is drawn to the fact that the osmoconforming sea anemone is in essence a sessile creature while the pig is readily mobile and able to change its ionic environment at will. It had been shown earlier that citrate synthase from another osmoconformer (oyster) is also not sensitive to ionic strength while citrate synthase from osmoregulating white shrimp is sensitive to increasing levels of salt. However, these enzymes are characteristically regulated by ATP and alpha-ketoglutarate. Both forms of citrate synthase are denatured by 6 M guanidine hydrochloride and are aided by salt levels in their refolding but the rate and extent of refolding of the osmoconformer citrate synthase are greater than those of the osmoregulator citrate synthase. Catalytic activity of both forms of citrate synthase is inhibited by incubation in distilled water; osmoconformer citrate synthase was inhibited completely in 7 h while osmoregulator citrate synthase was inhibited only 60% in this time and 80% after 22 h in distilled water. The eco-adaptive and evolutionary implications of these findings are discussed.

  10. X-ray Crystal Structure of Aristolochene Synthase from Aspergillus terreus and Evolution of Templates for the Cyclization of Farnesyl Diphosphate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shishova,E.; Di Costanzo, L.; Cane, D.

    2007-01-01

    Aristolochene synthase from Aspergillus terreus catalyzes the cyclization of the universal sesquiterpene precursor, farnesyl diphosphate, to form the bicyclic hydrocarbon aristolochene. The 2.2 {angstrom} resolution X-ray crystal structure of aristolochene synthase reveals a tetrameric quaternary structure in which each subunit adopts the {alpha}-helical class I terpene synthase fold with the active site in the 'open', solvent-exposed conformation. Intriguingly, the 2.15 {angstrom} resolution crystal structure of the complex with Mg{sup 2+}{sub 3}-pyrophosphate reveals ligand binding only to tetramer subunit D, which is stabilized in the 'closed' conformation required for catalysis. Tetramer assembly may hinder conformational changes required for the transition frommore » the inactive open conformation to the active closed conformation, thereby accounting for the attenuation of catalytic activity with an increase in enzyme concentration. In both conformations, but especially in the closed conformation, the active site contour is highly complementary in shape to that of aristolochene, and a catalytic function is proposed for the pyrophosphate anion based on its orientation with regard to the presumed binding mode of aristolochene. A similar active site contour is conserved in aristolochene synthase from Penicillium roqueforti despite the substantial divergent evolution of these two enzymes, while strikingly different active site contours are found in the sesquiterpene cyclases 5-epi-aristolochene synthase and trichodiene synthase. Thus, the terpenoid cyclase active site plays a critical role as a template in binding the flexible polyisoprenoid substrate in the proper conformation for catalysis. Across the greater family of terpenoid cyclases, this template is highly evolvable within a conserved {alpha}-helical fold for the synthesis of terpene natural products of diverse structure and stereochemistry.« less

  11. Muscle-Specific Deletion of Rictor Impairs Insulin-Stimulated Glucose Transport and Enhances Basal Glycogen Synthase Activity▿

    PubMed Central

    Kumar, Anil; Harris, Thurl E.; Keller, Susanna R.; Choi, Kin M.; Magnuson, Mark A.; Lawrence, John C.

    2008-01-01

    Rictor is an essential component of mTOR (mammalian target of rapamycin) complex 2 (mTORC2), a kinase complex that phosphorylates Akt at Ser473 upon activation of phosphatidylinositol 3-kinase (PI-3 kinase). Since little is known about the role of either rictor or mTORC2 in PI-3 kinase-mediated physiological processes in adult animals, we generated muscle-specific rictor knockout mice. Muscle from male rictor knockout mice exhibited decreased insulin-stimulated glucose uptake, and the mice showed glucose intolerance. In muscle lacking rictor, the phosphorylation of Akt at Ser473 was reduced dramatically in response to insulin. Furthermore, insulin-stimulated phosphorylation of the Akt substrate AS160 at Thr642 was reduced in rictor knockout muscle, indicating a defect in insulin signaling to stimulate glucose transport. However, the phosphorylation of Akt at Thr308 was normal and sufficient to mediate the phosphorylation of glycogen synthase kinase 3 (GSK-3). Basal glycogen synthase activity in muscle lacking rictor was increased to that of insulin-stimulated controls. Consistent with this, we observed a decrease in basal levels of phosphorylated glycogen synthase at a GSK-3/protein phosphatase 1 (PP1)-regulated site in rictor knockout muscle. This change in glycogen synthase phosphorylation was associated with an increase in the catalytic activity of glycogen-associated PP1 but not increased GSK-3 inactivation. Thus, rictor in muscle tissue contributes to glucose homeostasis by positively regulating insulin-stimulated glucose uptake and negatively regulating basal glycogen synthase activity. PMID:17967879

  12. CETSA screening identifies known and novel thymidylate synthase inhibitors and slow intracellular activation of 5-fluorouracil

    PubMed Central

    Almqvist, Helena; Axelsson, Hanna; Jafari, Rozbeh; Dan, Chen; Mateus, André; Haraldsson, Martin; Larsson, Andreas; Molina, Daniel Martinez; Artursson, Per; Lundbäck, Thomas; Nordlund, Pär

    2016-01-01

    Target engagement is a critical factor for therapeutic efficacy. Assessment of compound binding to native target proteins in live cells is therefore highly desirable in all stages of drug discovery. We report here the first compound library screen based on biophysical measurements of intracellular target binding, exemplified by human thymidylate synthase (TS). The screen selected accurately for all the tested known drugs acting on TS. We also identified TS inhibitors with novel chemistry and marketed drugs that were not previously known to target TS, including the DNA methyltransferase inhibitor decitabine. By following the cellular uptake and enzymatic conversion of known drugs we correlated the appearance of active metabolites over time with intracellular target engagement. These data distinguished a much slower activation of 5-fluorouracil when compared with nucleoside-based drugs. The approach establishes efficient means to associate drug uptake and activation with target binding during drug discovery. PMID:27010513

  13. Crystallization and preliminary crystallographic analysis of latent, active and recombinantly expressed aurone synthase, a polyphenol oxidase, from Coreopsis grandiflora

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Molitor, Christian; Mauracher, Stephan Gerhard; Rompel, Annette, E-mail: annette.rompel@univie.ac.at

    2015-05-22

    Latent and active aurone synthase purified from petals of C. grandiflora (cgAUS1) were crystallized. The crystal quality of recombinantly expressed latent cgAUS1 was significantly improved by co-crystallization with the polyoxotungstate Na{sub 6}[TeW{sub 6}O{sub 24}] within the liquid–liquid phase-separation zone. Aurone synthase (AUS), a member of a novel group of plant polyphenol oxidases (PPOs), catalyzes the oxidative conversion of chalcones to aurones. Two active cgAUS1 (41.6 kDa) forms that differed in the level of phosphorylation or sulfation as well as the latent precursor form (58.9 kDa) were purified from the petals of Coreopsis grandiflora. The differing active cgAUS1 forms and themore » latent cgAUS1 as well as recombinantly expressed latent cgAUS1 were crystallized, resulting in six different crystal forms. The active forms crystallized in space groups P2{sub 1}2{sub 1}2{sub 1} and P12{sub 1}1 and diffracted to ∼1.65 Å resolution. Co-crystallization of active cgAUS1 with 1,4-resorcinol led to crystals belonging to space group P3{sub 1}21. The crystals of latent cgAUS1 belonged to space group P12{sub 1}1 and diffracted to 2.50 Å resolution. Co-crystallization of recombinantly expressed pro-AUS with the hexatungstotellurate(VI) salt Na{sub 6}[TeW{sub 6}O{sub 24}] within the liquid–liquid phase separation zone significantly improved the quality of the crystals compared with crystals obtained without hexatungstotellurate(VI)« less

  14. Umchs5, a gene coding for a class IV chitin synthase in Ustilago maydis.

    PubMed

    Xoconostle-Cázares, B; Specht, C A; Robbins, P W; Liu, Y; León, C; Ruiz-Herrera, J

    1997-12-01

    A fragment corresponding to a conserved region of a fifth gene coding for chitin synthase in the plant pathogenic fungus Ustilago maydis was amplified by means of the polymerase chain reaction (PCR). The amplified fragment was utilized as a probe for the identification of the whole gene in a genomic library of the fungus. The predicted gene product of Umchs5 has highest similarity with class IV chitin synthases encoded by the CHS3 genes from Saccharomyces cerevisiae and Candida albicans, chs-4 from Neurospora crassa, and chsE from Aspergillus nidulans. Umchs5 null mutants were constructed by substitution of most of the coding sequence with the hygromycin B resistance cassette. Mutants displayed significant reduction in growth rate, chitin content, and chitin synthase activity, specially in the mycelial form. Virulence to corn plantules was also reduced in the mutants. PCR was also used to obtain a fragment of a sixth chitin synthase, Umchs6. It is suggested that multigenic control of chitin synthesis in U. maydis operates as a protection mechanism for fungal viability in which the loss of one activity is partially compensated by the remaining enzymes. Copyright 1997 Academic Press.

  15. Consequences of the pathogenic T9176C mutation of human mitochondrial DNA on yeast mitochondrial ATP synthase

    PubMed Central

    Kucharczyk, Roza; Ezkurdia, Nahia; Couplan, Elodie; Procaccio, Vincent; Ackerman, Sharon H.; Blondel, Marc; di Rago, Jean-Paul

    2010-01-01

    Summary Several human neurological disorders have been associated with various mutations affecting mitochondrial enzymes involved in cellular ATP production. One of these mutations, T9176C in the mitochondrial DNA (mtDNA), changes a highly conserved leucine residue into proline at position 217 of the mitochondrially encoded Atp6p (or a) subunit of the F1FO-ATP synthase. The consequences of this mutation on the mitochondrial ATP synthase are still poorly defined. To gain insight into the primary pathogenic mechanisms induced by T9176C, we have investigated the consequences of this mutation on the ATP synthase of yeast where Atp6p is also encoded by the mtDNA. In vitro, yeast atp6-T9176C mitochondria showed a 30% decrease in the rate of ATP synthesis. When forcing the F1FO complex to work in the reverse mode, i.e. F1-catalyzed hydrolysis of ATP coupled to proton transport out of the mitochondrial matrix, the mutant showed a normal proton-pumping activity and this activity was fully sensitive to oligomycin, an inhibitor of the ATP synthase proton channel. However, under conditions of maximal ATP hydrolytic activity, using non-osmotically protected mitochondria, the mutant ATPase activity was less efficiently inhibited by oligomycin (60% inhibition versus 85% for the wild type control). BN-PAGE analyses revealed that atp6-T9176C yeast accumulated rather good levels of fully assembled ATP synthase complexes. However, a number of subcomplexes (F1, Atp9p-ring, unassembled α-F1 subunits) could be detected as well, presumably because of a decreased stability of Atp6p within the ATP synthase. Although the oxidative phosphorylation capacity was reduced in atp6-T9176C yeast, the number of ATP molecules synthesized per electron transferred to oxygen was similar compared with wild type yeast. It can therefore be inferred that the coupling efficiency within the ATP synthase was mostly unaffected and that the T9176C mutation did not increase the proton permeability of the

  16. Genetic construction and functional analysis of hybrid polyketide synthases containing heterologous acyl carrier proteins.

    PubMed Central

    Khosla, C; McDaniel, R; Ebert-Khosla, S; Torres, R; Sherman, D H; Bibb, M J; Hopwood, D A

    1993-01-01

    The gene that encodes the acyl carrier protein (ACP) of the actinorhodin polyketide synthase (PKS) of Streptomyces coelicolor A3(2) was replaced with homologs from the granaticin, oxytetracycline, tetracenomycin, and putative frenolicin polyketide synthase gene clusters. All of the replacements led to expression of functional synthases, and the recombinants synthesized aromatic polyketides similar in chromatographic properties to actinorhodin or to shunt products produced by mutants defective in the actinorhodin pathway. Some regions within the ACP were also shown to be interchangeable and allow production of a functional hybrid ACP. Structural analysis of the most abundant polyketide product of one of the recombinants by electrospray mass spectrometry suggested that it is identical to mutactin, a previously characterized shunt product of an actVII mutant (deficient in cyclase and dehydrase activities). Quantitative differences in the product profiles of strains that express the various hybrid synthases were observed. These can be explained, at least in part, by differences in ribosome-binding sites upstream of each ACP gene, implying either that the ACP concentration in some strains is rate limiting to overall PKS activity or that the level of ACP expression also influences the expression of another enzyme(s) encoded by a downstream gene(s) in the same operon as the actinorhodin ACP gene. These results reaffirm the idea that construction of hybrid polyketide synthases will be a useful approach for dissecting the molecular basis of the specificity of PKS-catalyzed reactions. However, they also point to the need for reducing the chemical complexity of the approach by minimizing the diversity of polyketide products synthesized in strains that produce recombinant polyketide synthases. Images PMID:8468280

  17. NMR Crystallography of Enzyme Active Sites: Probing Chemically-Detailed, Three-Dimensional Structure in Tryptophan Synthase

    PubMed Central

    Dunn, Michael F.

    2013-01-01

    crystallography for application to enzyme catalysis. We begin with a brief introduction to NMR crystallography and then define the process that we have employed to probe the active site in the β-subunit of tryptophan synthase with unprecedented atomic-level resolution. This approach has resulted in a novel structural hypothesis for the protonation state of the quinonoid intermediate in tryptophan synthase and its surprising role in directing the next step in the catalysis of L-Trp formation. PMID:23537227

  18. Subtractive transcriptome analysis of leaf and rhizome reveals differentially expressed transcripts in Panax sokpayensis.

    PubMed

    Gurung, Bhusan; Bhardwaj, Pardeep K; Talukdar, Narayan C

    2016-11-01

    In the present study, suppression subtractive hybridization (SSH) strategy was used to identify rare and differentially expressed transcripts in leaf and rhizome tissues of Panax sokpayensis. Out of 1102 randomly picked clones, 513 and 374 high quality expressed sequenced tags (ESTs) were generated from leaf and rhizome subtractive libraries, respectively. Out of them, 64.92 % ESTs from leaf and 69.26 % ESTs from rhizome SSH libraries were assembled into different functional categories, while others were of unknown function. In particular, ESTs encoding galactinol synthase 2, ribosomal RNA processing Brix domain protein, and cell division cycle protein 20.1, which are involved in plant growth and development, were most abundant in the leaf SSH library. Other ESTs encoding protein KIAA0664 homologue, ubiquitin-activating enzyme e11, and major latex protein, which are involved in plant immunity and defense response, were most abundant in the rhizome SSH library. Subtractive ESTs also showed similarity with genes involved in ginsenoside biosynthetic pathway, namely farnesyl pyrophosphate synthase, squalene synthase, and dammarenediol synthase. Expression profiles of selected ESTs validated the quality of libraries and confirmed their differential expression in the leaf, stem, and rhizome tissues. In silico comparative analyses revealed that around 13.75 % of unigenes from the leaf SSH library were not represented in the available leaf transcriptome of Panax ginseng. Similarly, around 18.12, 23.75, 25, and 6.25 % of unigenes from the rhizome SSH library were not represented in available root/rhizome transcriptomes of P. ginseng, Panax notoginseng, Panax quinquefolius, and Panax vietnamensis, respectively, indicating a major fraction of novel ESTs. Therefore, these subtractive transcriptomes provide valuable resources for gene discovery in P. sokpayensis and would complement the available transcriptomes from other Panax species.

  19. Human Cystathionine-β-Synthase Phosphorylation on Serine227 Modulates Hydrogen Sulfide Production in Human Urothelium.

    PubMed

    d'Emmanuele di Villa Bianca, Roberta; Mitidieri, Emma; Esposito, Davide; Donnarumma, Erminia; Donnarumm, Erminia; Russo, Annapina; Fusco, Ferdinando; Ianaro, Angela; Mirone, Vincenzo; Cirino, Giuseppe; Russo, Giulia; Sorrentino, Raffaella

    2015-01-01

    Urothelium, the epithelial lining the inner surface of human bladder, plays a key role in bladder physiology and pathology. It responds to chemical, mechanical and thermal stimuli by releasing several factors and mediators. Recently it has been shown that hydrogen sulfide contributes to human bladder homeostasis. Hydrogen sulfide is mainly produced in human bladder by the action of cystathionine-β-synthase. Here, we demonstrate that human cystathionine-β-synthase activity is regulated in a cGMP/PKG-dependent manner through phosphorylation at serine 227. Incubation of human urothelium or T24 cell line with 8-Bromo-cyclic-guanosine monophosphate (8-Br-cGMP) but not dibutyryl-cyclic-adenosine monophosphate (d-cAMP) causes an increase in hydrogen sulfide production. This result is congruous with the finding that PKG is robustly expressed but PKA only weakly present in human urothelium as well as in T24 cells. The cGMP/PKG-dependent phosphorylation elicited by 8-Br-cGMP is selectively reverted by KT5823, a specific PKG inhibitor. Moreover, the silencing of cystathionine-β-synthase in T24 cells leads to a marked decrease in hydrogen sulfide production either in basal condition or following 8-Br-cGMP challenge. In order to identify the phosphorylation site, recombinant mutant proteins of cystathionine-β-synthase in which Ser32, Ser227 or Ser525 was mutated in Ala were generated. The Ser227Ala mutant cystathionine-β-synthase shows a notable reduction in basal biosynthesis of hydrogen sulfide becoming unresponsive to the 8-Br-cGMP challenge. A specific antibody that recognizes the phosphorylated form of cystathionine-β-synthase has been produced and validated by using T24 cells and human urothelium. In conclusion, human cystathionine-β-synthase can be phosphorylated in a PKG-dependent manner at Ser227 leading to an increased catalytic activity.

  20. Human Cystathionine-β-Synthase Phosphorylation on Serine227 Modulates Hydrogen Sulfide Production in Human Urothelium

    PubMed Central

    d’Emmanuele di Villa Bianca, Roberta; Donnarumm, Erminia; Russo, Annapina; Fusco, Ferdinando; Ianaro, Angela; Mirone, Vincenzo; Cirino, Giuseppe; Russo, Giulia; Sorrentino, Raffaella

    2015-01-01

    Urothelium, the epithelial lining the inner surface of human bladder, plays a key role in bladder physiology and pathology. It responds to chemical, mechanical and thermal stimuli by releasing several factors and mediators. Recently it has been shown that hydrogen sulfide contributes to human bladder homeostasis. Hydrogen sulfide is mainly produced in human bladder by the action of cystathionine-β-synthase. Here, we demonstrate that human cystathionine-β-synthase activity is regulated in a cGMP/PKG-dependent manner through phosphorylation at serine 227. Incubation of human urothelium or T24 cell line with 8-Bromo-cyclic-guanosine monophosphate (8-Br-cGMP) but not dibutyryl-cyclic-adenosine monophosphate (d-cAMP) causes an increase in hydrogen sulfide production. This result is congruous with the finding that PKG is robustly expressed but PKA only weakly present in human urothelium as well as in T24 cells. The cGMP/PKG-dependent phosphorylation elicited by 8-Br-cGMP is selectively reverted by KT5823, a specific PKG inhibitor. Moreover, the silencing of cystathionine-β-synthase in T24 cells leads to a marked decrease in hydrogen sulfide production either in basal condition or following 8-Br-cGMP challenge. In order to identify the phosphorylation site, recombinant mutant proteins of cystathionine-β-synthase in which Ser32, Ser227 or Ser525 was mutated in Ala were generated. The Ser227Ala mutant cystathionine-β-synthase shows a notable reduction in basal biosynthesis of hydrogen sulfide becoming unresponsive to the 8-Br-cGMP challenge. A specific antibody that recognizes the phosphorylated form of cystathionine-β-synthase has been produced and validated by using T24 cells and human urothelium. In conclusion, human cystathionine-β-synthase can be phosphorylated in a PKG-dependent manner at Ser227 leading to an increased catalytic activity. PMID:26368121

  1. Isolation and characterization of beta-glucan synthase: A potential biochemical regulator of gravistimulated differential cell wall loosening

    NASA Technical Reports Server (NTRS)

    Kuzmanoff, K. M.

    1984-01-01

    In plants, gravity stimulates differential growth in the upper and lower halves of horizontally oriented organs. Auxin regulation of cell wall loosening and elongation is the basis for most models of this phenomenon. Auxin treatment of pea stem tissue rapidly increases the activity of Golgi-localized Beta-1,4-glucan synthase, an enzyme involved in biosynthesis of wall xyloglucan which apparently constitutes the substrate for the wall loosening process. The primary objective is to determine if auxin induces de novo formation of Golgi glucan synthase and increases the level of this glucan synthase mRNA. This shall be accomplished by (a) preparation of a monoclonal antibody to the synthase, (b) isolation, and characterization of the glucan synthase, and (c) examination for cross reactivity between the antibody and translation products of auxin induced mRNAs in pea tissue. The antibody will also be used to localize the glucan synthase in upper and lower halves of pea stem tissue before, during and after the response to gravity.

  2. Structure and Mechanism of MbtI, the Salicylate Synthase from Mycobacterium tuberculosis

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zwahlen,J.; Kolappan, S.; Zhou, R.

    2007-01-01

    MbtI (rv2386c) from Mycobacterium tuberculosis catalyzes the initial transformation in mycobactin biosynthesis by converting chorismate to salicylate. We report here the structure of MbtI at 2.5 {angstrom} resolution and demonstrate that isochorismate is a kinetically competent intermediate in the synthesis of salicylate from chorismate. At pH values below 7.5 isochorismate is the dominant product while above this pH value the enzyme converts chorismate to salicylate without the accumulation of isochorismate in solution. The salicylate and isochorismate synthase activities of MbtI are Mg{sup 2+}-dependent, and in the absence of Mg{sup 2+} MbtI has a promiscuous chorismate mutase activity similar to thatmore » of the isochorismate pyruvate lyase, PchB, from Pseudomonas aeruginosa. MbtI is part of a larger family of chorismate-binding enzymes descended from a common ancestor (the MST family), that includes the isochorismate synthases and anthranilate synthases. The lack of active site residues unique to pyruvate eliminating members of this family, combined with the observed chorismate mutase activity, suggests that MbtI may exploit a sigmatropic pyruvate elimination mechanism similar to that proposed for PchB. Using a combination of structural, kinetic, and sequence based studies we propose a mechanism for MbtI applicable to all members of the MST enzyme family.« less

  3. Caveolin versus calmodulin. Counterbalancing allosteric modulators of endothelial nitric oxide synthase.

    PubMed

    Michel, J B; Feron, O; Sase, K; Prabhakar, P; Michel, T

    1997-10-10

    Nitric oxide is synthesized in diverse mammalian tissues by a family of calmodulin-dependent nitric oxide synthases. The endothelial isoform of nitric oxide synthase (eNOS) is targeted to the specialized signal-transducing membrane domains termed plasmalemmal caveolae. Caveolin, the principal structural protein in caveolae, interacts with eNOS and leads to enzyme inhibition in a reversible process modulated by Ca2+-calmodulin (Michel, J. B., Feron, O., Sacks, D., and Michel, T. (1997) J. Biol. Chem. 272, 15583-15586). Caveolin also interacts with other structurally distinct signaling proteins via a specific region identified within the caveolin sequence (amino acids 82-101) that appears to subserve the role of a "scaffolding domain." We now report that the co-immunoprecipitation of eNOS with caveolin is completely and specifically blocked by an oligopeptide corresponding to the caveolin scaffolding domain. Peptides corresponding to this domain markedly inhibit nitric oxide synthase activity in endothelial membranes and interact directly with the enzyme to inhibit activity of purified recombinant eNOS expressed in Escherichia coli. The inhibition of purified eNOS by the caveolin scaffolding domain peptide is competitive and completely reversed by Ca2+-calmodulin. These studies establish that caveolin, via its scaffolding domain, directly forms an inhibitory complex with eNOS and suggest that caveolin inhibits eNOS by abrogating the enzyme's activation by calmodulin.

  4. CTP synthase forms cytoophidia in the cytoplasm and nucleus

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gou, Ke-Mian; State Key Laboratory for Agrobiotechnology, College of Biological Sciences, China Agricultural University, Beijing 100193; Chang, Chia-Chun

    2014-04-15

    CTP synthase is an essential metabolic enzyme responsible for the de novo synthesis of CTP. Multiple studies have recently showed that CTP synthase protein molecules form filamentous structures termed cytoophidia or CTP synthase filaments in the cytoplasm of eukaryotic cells, as well as in bacteria. Here we report that CTP synthase can form cytoophidia not only in the cytoplasm, but also in the nucleus of eukaryotic cells. Both glutamine deprivation and glutamine analog treatment promote formation of cytoplasmic cytoophidia (C-cytoophidia) and nuclear cytoophidia (N-cytoophidia). N-cytoophidia are generally shorter and thinner than their cytoplasmic counterparts. In mammalian cells, both CTP synthasemore » 1 and CTP synthase 2 can form cytoophidia. Using live imaging, we have observed that both C-cytoophidia and N-cytoophidia undergo multiple rounds of fusion upon glutamine analog treatment. Our study reveals the coexistence of cytoophidia in the cytoplasm and nucleus, therefore providing a good opportunity to investigate the intracellular compartmentation of CTP synthase. - Highlights: • CTP synthase forms cytoophidia not only in the cytoplasm but also in the nucleus. • Glutamine deprivation and Glutamine analogs promotes cytoophidium formation. • N-cytoophidia exhibit distinct morphology when compared to C-cytoophidia. • Both CTP synthase 1 and CTP synthase 2 form cytoophidia in mammalian cells. • Fusions of cytoophidia occur in the cytoplasm and nucleus.« less

  5. Structure of the ent-Copalyl Diphosphate Synthase PtmT2 from Streptomyces platensis CB00739, a Bacterial Type II Diterpene Synthase

    PubMed Central

    2016-01-01

    Terpenoids are the largest and most structurally diverse family of natural products found in nature, yet their presence in bacteria is underappreciated. The carbon skeletons of terpenoids are generated through carbocation-dependent cyclization cascades catalyzed by terpene synthases (TSs). Type I and type II TSs initiate cyclization via diphosphate ionization and protonation, respectively, and protein structures of both types are known. Most plant diterpene synthases (DTSs) possess three α-helical domains (αβγ), which are thought to have arisen from the fusion of discrete, ancestral bacterial type I TSs (α) and type II TSs (βγ). Type II DTSs of bacterial origin, of which there are no structurally characterized members, are a missing piece in the structural evolution of TSs. Here, we report the first crystal structure of a type II DTS from bacteria. PtmT2 from Streptomyces platensis CB00739 was verified as an ent-copalyl diphosphate synthase involved in the biosynthesis of platensimycin and platencin. The crystal structure of PtmT2 was solved at a resolution of 1.80 Å, and docking studies suggest the catalytically active conformation of geranylgeranyl diphosphate (GGPP). Site-directed mutagenesis confirmed residues involved in binding the diphosphate moiety of GGPP and identified DxxxxE as a potential Mg2+-binding motif for type II DTSs of bacterial origin. Finally, both the shape and physicochemical properties of the active sites are responsible for determining specific catalytic outcomes of TSs. The structure of PtmT2 fundamentally advances the knowledge of bacterial TSs, their mechanisms, and their role in the evolution of TSs. PMID:27490479

  6. Structure of the ent-Copalyl Diphosphate Synthase PtmT2 from Streptomyces platensis CB00739, a Bacterial Type II Diterpene Synthase.

    PubMed

    Rudolf, Jeffrey D; Dong, Liao-Bin; Cao, Hongnan; Hatzos-Skintges, Catherine; Osipiuk, Jerzy; Endres, Michael; Chang, Chin-Yuan; Ma, Ming; Babnigg, Gyorgy; Joachimiak, Andrzej; Phillips, George N; Shen, Ben

    2016-08-31

    Terpenoids are the largest and most structurally diverse family of natural products found in nature, yet their presence in bacteria is underappreciated. The carbon skeletons of terpenoids are generated through carbocation-dependent cyclization cascades catalyzed by terpene synthases (TSs). Type I and type II TSs initiate cyclization via diphosphate ionization and protonation, respectively, and protein structures of both types are known. Most plant diterpene synthases (DTSs) possess three α-helical domains (αβγ), which are thought to have arisen from the fusion of discrete, ancestral bacterial type I TSs (α) and type II TSs (βγ). Type II DTSs of bacterial origin, of which there are no structurally characterized members, are a missing piece in the structural evolution of TSs. Here, we report the first crystal structure of a type II DTS from bacteria. PtmT2 from Streptomyces platensis CB00739 was verified as an ent-copalyl diphosphate synthase involved in the biosynthesis of platensimycin and platencin. The crystal structure of PtmT2 was solved at a resolution of 1.80 Å, and docking studies suggest the catalytically active conformation of geranylgeranyl diphosphate (GGPP). Site-directed mutagenesis confirmed residues involved in binding the diphosphate moiety of GGPP and identified DxxxxE as a potential Mg(2+)-binding motif for type II DTSs of bacterial origin. Finally, both the shape and physicochemical properties of the active sites are responsible for determining specific catalytic outcomes of TSs. The structure of PtmT2 fundamentally advances the knowledge of bacterial TSs, their mechanisms, and their role in the evolution of TSs.

  7. Design, Synthesis, and Herbicidal Activity of Pyrimidine-Biphenyl Hybrids as Novel Acetohydroxyacid Synthase Inhibitors.

    PubMed

    Li, Ke-Jian; Qu, Ren-Yu; Liu, Yu-Chao; Yang, Jing-Fang; Devendar, Ponnam; Chen, Qiong; Niu, Cong-Wei; Xi, Zhen; Yang, Guang-Fu

    2018-04-18

    The issue of weed resistance to acetohydroxyacid synthase (EC 2.2.1.6, AHAS) inhibitors has become one of the largest obstacles for the application of this class of herbicides. In a continuing effort to discover novel AHAS inhibitors to overcome weed resistance, a series of pyrimidine-biphenyl hybrids (4aa-bb and 5aa-ah) were designed and synthesized via a scaffold hopping strategy. Among these derivatives, compounds 4aa ( K i = 0.09 μM) and 4bb ( K i = 0.02 μM) displayed higher inhibitory activities against Arabidopsis thaliana AHAS than those of the controls bispyribac ( K i = 0.54 μM) and flumetsulam ( K i = 0.38 μM). Remarkably, compounds 4aa, 4bb, 5ah, and 5ag exhibited excellent postemergence herbicidal activity and a broad spectrum of weed control at application rates of 37.5-150 g of active ingredient (ai)/ha. Furthermore, 4aa and 4bb showed higher herbicidal activity against AHAS inhibitor-resistant Descurainia sophia, Ammannia arenaria, and the corresponding sensitive weeds than that of bispyribac at 0.94-0.235 g ai/ha. Therefore, the pyrimidine-biphenyl motif and lead compounds 4aa and 4bb have great potential for the discovery of novel AHAS inhibitors to combat AHAS-inhibiting herbicide-resistant weeds.

  8. Plasticity and evolution of (+)-3-carene synthase and (-)-sabinene synthase functions of a sitka spruce monoterpene synthase gene family associated with weevil resistance.

    PubMed

    Roach, Christopher R; Hall, Dawn E; Zerbe, Philipp; Bohlmann, Jörg

    2014-08-22

    The monoterpene (+)-3-carene is associated with resistance of Sitka spruce against white pine weevil, a major North American forest insect pest of pine and spruce. High and low levels of (+)-3-carene in, respectively, resistant and susceptible Sitka spruce genotypes are due to variation of (+)-3-carene synthase gene copy number, transcript and protein expression levels, enzyme product profiles, and enzyme catalytic efficiency. A family of multiproduct (+)-3-carene synthase-like genes of Sitka spruce include the three (+)-3-carene synthases, PsTPS-3car1, PsTPS-3car2, PsTPS-3car3, and the (-)-sabinene synthase PsTPS-sab. Of these, PsTPS-3car2 is responsible for the relatively higher levels of (+)-3-carene in weevil-resistant trees. Here, we identified features of the PsTPS-3car1, PsTPS-3car2, PsTPS-3car3, and PsTPS-sab proteins that determine different product profiles. A series of domain swap and site-directed mutations, supported by structural comparisons, identified the amino acid in position 596 as critical for product profiles dominated by (+)-3-carene in PsTPS-3car1, PsTPS-3car2, and PsTPS-3car3, or (-)-sabinene in PsTPS-sab. A leucine in this position promotes formation of (+)-3-carene, whereas phenylalanine promotes (-)-sabinene. Homology modeling predicts that position 596 directs product profiles through differential stabilization of the reaction intermediate. Kinetic analysis revealed position 596 also plays a role in catalytic efficiency. Mutations of position 596 with different side chain properties resulted in a series of enzymes with different product profiles, further highlighting the inherent plasticity and potential for evolution of alternative product profiles of these monoterpene synthases of conifer defense against insects. © 2014 by The American Society for Biochemistry and Molecular Biology, Inc.

  9. Overexpression of an archaeal geranylgeranyl diphosphate synthase in Escherichia coli cells.

    PubMed

    Ohto, C; Nakane, H; Hemmi, H; Ohnuma, S; Obata, S; Nishino, T

    1998-06-01

    An archaeal geranylgeranyl diphosphate synthase was overexpressed in Escherichia coli cells as fusion proteins. These fusion proteins retained their thermostability and had higher specific activity than did a partially purified native enzyme Previously reported. We purified 24.3 mg of MBP (maltose-binding protein)-fusion protein and 5.4 mg of GST (glutathione S-transferase)-fusion protein from a one-liter culture of E. coli. The MBP-fusion proteins existed in dimer, tetramer, octamer, or dodecamer form, and their product specificities were altered according to the oligomerization. The MBP-fusion protein has protease-sensitive sites in the portion corresponding to geranylgeranyl diphosphate synthase.

  10. Monomeric Alpha-Synuclein Exerts a Physiological Role on Brain ATP Synthase

    PubMed Central

    Ludtmann, Marthe H.R.; Angelova, Plamena R.; Ninkina, Natalia N.; Gandhi, Sonia

    2016-01-01

    Misfolded α-synuclein is a key factor in the pathogenesis of Parkinson's disease (PD). However, knowledge about a physiological role for the native, unfolded α-synuclein is limited. Using brains of mice lacking α-, β-, and γ-synuclein, we report that extracellular monomeric α-synuclein enters neurons and localizes to mitochondria, interacts with ATP synthase subunit α, and modulates ATP synthase function. Using a combination of biochemical, live-cell imaging and mitochondrial respiration analysis, we found that brain mitochondria of α-, β-, and γ-synuclein knock-out mice are uncoupled, as characterized by increased mitochondrial respiration and reduced mitochondrial membrane potential. Furthermore, synuclein deficiency results in reduced ATP synthase efficiency and lower ATP levels. Exogenous application of low unfolded α-synuclein concentrations is able to increase the ATP synthase activity that rescues the mitochondrial phenotypes observed in synuclein deficiency. Overall, the data suggest that α-synuclein is a previously unrecognized physiological regulator of mitochondrial bioenergetics through its ability to interact with ATP synthase and increase its efficiency. This may be of particular importance in times of stress or PD mutations leading to energy depletion and neuronal cell toxicity. SIGNIFICANCE STATEMENT Misfolded α-synuclein aggregations in the form of Lewy bodies have been shown to be a pathological hallmark in histological staining of Parkinson's disease (PD) patient brains. It is known that misfolded α-synuclein is a key driver in PD pathogenesis, but the physiological role of unfolded monomeric α-synuclein remains unclear. Using neuronal cocultures and isolated brain mitochondria of α-, β-, and γ-synuclein knock-out mice and monomeric α-synuclein, this current study shows that α-synuclein in its unfolded monomeric form improves ATP synthase efficiency and mitochondrial function. The ability of monomeric α-synuclein to enhance

  11. Aspergillus fumigatus Trehalose-Regulatory Subunit Homolog Moonlights To Mediate Cell Wall Homeostasis through Modulation of Chitin Synthase Activity.

    PubMed

    Thammahong, Arsa; Caffrey-Card, Alayna K; Dhingra, Sourabh; Obar, Joshua J; Cramer, Robert A

    2017-04-25

    Trehalose biosynthesis is found in fungi but not humans. Proteins involved in trehalose biosynthesis are essential for fungal pathogen virulence in humans and plants through multiple mechanisms. Loss of canonical trehalose biosynthesis genes in the human pathogen Aspergillus fumigatus significantly alters cell wall structure and integrity, though the mechanistic link between these virulence-associated pathways remains enigmatic. Here we characterize genes, called tslA and tslB , which encode proteins that contain domains similar to those corresponding to trehalose-6-phosphate phosphatase but lack critical catalytic residues for phosphatase activity. Loss of tslA reduces trehalose content in both conidia and mycelia, impairs cell wall integrity, and significantly alters cell wall structure. To gain mechanistic insights into the role that TslA plays in cell wall homeostasis, immunoprecipitation assays coupled with liquid chromatography-tandem mass spectrometry (LC-MS/MS) were used to reveal a direct interaction between TslA and CsmA, a type V chitin synthase enzyme. TslA regulates not only chitin synthase activity but also CsmA sub-cellular localization. Loss of TslA impacts the immunopathogenesis of murine invasive pulmonary aspergillosis through altering cytokine production and immune cell recruitment. In conclusion, our data provide a novel model whereby proteins in the trehalose pathway play a direct role in fungal cell wall homeostasis and consequently impact fungus-host interactions. IMPORTANCE Human fungal infections are increasing globally due to HIV infections and increased use of immunosuppressive therapies for many diseases. Therefore, new antifungal drugs with reduced side effects and increased efficacy are needed to improve treatment outcomes. Trehalose biosynthesis exists in pathogenic fungi and is absent in humans. Components of the trehalose biosynthesis pathway are important for the virulence of human-pathogenic fungi, including Aspergillus fumigatus

  12. Sesquiterpene Synthase-3-Hydroxy-3-Methylglutaryl Coenzyme A Synthase Fusion Protein Responsible for Hirsutene Biosynthesis in Stereum hirsutum.

    PubMed

    Flynn, Christopher M; Schmidt-Dannert, Claudia

    2018-06-01

    The wood-rotting mushroom Stereum hirsutum is a known producer of a large number of namesake hirsutenoids, many with important bioactivities. Hirsutenoids form a structurally diverse and distinct class of sesquiterpenoids. No genes involved in hirsutenoid biosynthesis have yet been identified or their enzymes characterized. Here, we describe the cloning and functional characterization of a hirsutene synthase as an unexpected fusion protein of a sesquiterpene synthase (STS) with a C-terminal 3-hydroxy-3-methylglutaryl-coenzyme A (3-hydroxy-3-methylglutaryl-CoA) synthase (HMGS) domain. Both the full-length fusion protein and truncated STS domain are highly product-specific 1,11-cyclizing STS enzymes with kinetic properties typical of STSs. Complementation studies in Saccharomyces cerevisiae confirmed that the HMGS domain is also functional in vivo Phylogenetic analysis shows that the hirsutene synthase domain does not form a clade with other previously characterized sesquiterpene synthases from Basidiomycota. Comparative gene structure analysis of this hirsutene synthase with characterized fungal enzymes reveals a significantly higher intron density, suggesting that this enzyme may be acquired by horizontal gene transfer. In contrast, the HMGS domain is clearly related to other fungal homologs. This STS-HMGS fusion protein is part of a biosynthetic gene cluster that includes P450s and oxidases that are expressed and could be cloned from cDNA. Finally, this unusual fusion of a terpene synthase to an HMGS domain, which is not generally recognized as a key regulatory enzyme of the mevalonate isoprenoid precursor pathway, led to the identification of additional HMGS duplications in many fungal genomes, including the localization of HMGSs in other predicted sesquiterpenoid biosynthetic gene clusters. IMPORTANCE Hirsutenoids represent a structurally diverse class of bioactive sesquiterpenoids isolated from fungi. Identification of their biosynthetic pathways will provide

  13. Insulin Induces an Increase in Cytosolic Glucose Levels in 3T3-L1 Cells with Inhibited Glycogen Synthase Activation

    PubMed Central

    Chowdhury, Helena H.; Kreft, Marko; Jensen, Jørgen; Zorec, Robert

    2014-01-01

    Glucose is an important source of energy for mammalian cells and enters the cytosol via glucose transporters. It has been thought for a long time that glucose entering the cytosol is swiftly phosphorylated in most cell types; hence the levels of free glucose are very low, beyond the detection level. However, the introduction of new fluorescence resonance energy transfer-based glucose nanosensors has made it possible to measure intracellular glucose more accurately. Here, we used the fluorescent indicator protein (FLIPglu-600µ) to monitor cytosolic glucose dynamics in mouse 3T3-L1 cells in which glucose utilization for glycogen synthesis was inhibited. The results show that cells exhibit a low resting cytosolic glucose concentration. However, in cells with inhibited glycogen synthase activation, insulin induced a robust increase in cytosolic free glucose. The insulin-induced increase in cytosolic glucose in these cells is due to an imbalance between the glucose transported into the cytosol and the use of glucose in the cytosol. In untreated cells with sensitive glycogen synthase activation, insulin stimulation did not result in a change in the cytosolic glucose level. This is the first report of dynamic measurements of cytosolic glucose levels in cells devoid of the glycogen synthesis pathway. PMID:25279585

  14. Biochemical characterization of microbial type terpene synthases in two closely related species of hornworts, Anthoceros punctatus and Anthoceros agrestis.

    PubMed

    Xiong, Wangdan; Fu, Jianyu; Köllner, Tobias G; Chen, Xinlu; Jia, Qidong; Guo, Haobo; Qian, Ping; Guo, Hong; Wu, Guojiang; Chen, Feng

    2018-05-01

    Microbial terpene synthase-like (MTPSL) genes are a type of terpene synthase genes only recently identified in plants. In contrast to typical plant terpene synthase genes, which are ubiquitous in land plants, MTPSL genes appear to occur only in nonseed plants. Our knowledge of catalytic functions of MTPSLs is very limited. Here we report biochemical characterization of the enzymes encoded by MTPSL genes from two closely related species of hornworts, Anthoceros punctatus and Anthoceros agrestis. Seven full-length MTPSL genes were identified in A. punctatus (ApMTPSL1-7) based on the analysis of its genome sequence. Using homology-based cloning, the apparent orthologs for six of the ApMTPSL genes, except ApMTPSL2, were cloned from A. agrestis. They were designated AaMTPSL1, 3-7. The coding sequences for each of the 13 Anthoceros MTPSL genes were cloned into a protein expression vector. Escherichia coli-expressed recombinant MTPSLs from hornworts were assayed for terpene synthase activities. Six ApMTPSLs and five AaMTPSLs, except for ApMTPSL5 and AaMTPSL5, showed catalytic activities with one or more isoprenyl diphosphate substrates. All functional MTPSLs exhibited sesquiterpene synthase activities. In contrast, only ApMTPSL7 and AaMTPSL7 showed monoterpene synthase activity and only ApMTPSL2, ApMTPSL6 and AaMTPSL6 showed diterpene synthase activity. Most MTPSLs from Anthoceros contain uncanonical aspartate-rich motif in the form of either 'DDxxxD' or 'DDxxx'. Homology-based structural modeling analysis of ApMTPSL1 and ApMTPSL7, which contain 'DDxxxD' and 'DDxxx' motif, respectively, showed that 'DDxxxD' and 'DDxxx' motifs are localized in the similar positions as the canonical 'DDxxD' motif in known terpene synthases. To further understand the role of individual aspartate residues in the motifs, ApMTPSL1 and ApMTPSL7 were selected as two representatives for site-directed mutagenesis studies. No activities were detected when any of the conserved aspartic acid was

  15. Antiproliferative activity of guava leaf extract via inhibition of prostaglandin endoperoxide H synthase isoforms.

    PubMed

    Kawakami, Yuki; Nakamura, Tomomi; Hosokawa, Tomoko; Suzuki-Yamamoto, Toshiko; Yamashita, Hiromi; Kimoto, Masumi; Tsuji, Hideaki; Yoshida, Hideki; Hada, Takahiko; Takahashi, Yoshitaka

    2009-01-01

    Prostaglandin endoperoxide H synthase (PGHS) is a key enzyme for the synthesis of prostaglandins (PGs) which play important roles in inflammation and carcinogenesis. Because the extract from Psidium guajava is known to have a variety of beneficial effects on our body including the anti-inflammatory, antioxidative and antiproliferative activities, we investigated whether the extract inhibited the catalytic activity of the two PGHS isoforms using linoleic acid as an alternative substrate. The guava leaf extract inhibited the cyclooxygenase reaction of recombinant human PGHS-1 and PGHS-2 as assessed by conversion of linoleic acid to 9- and 13-hydroxyoctadecadienoic acids (HODEs). The guava leaf extract also inhibited the PG hydroperoxidase activity of PGHS-1, which was not affected by nonsteroidal anti-inflammatory drugs (NSAIDs). Quercetin which was one of the major components not only inhibited the cyclooxygenase activity of both isoforms but also partially inhibited the PG hydroperoxidase activity. Overexpression of human PGHS-1 and PGHS-2 in the human colon carcinoma cells increased the DNA synthesis rate as compared with mock-transfected cells which did not express any isoforms. The guava leaf extract not only inhibited the PGE(2) synthesis but also suppressed the DNA synthesis rate in the PGHS-1- and PGHS-2-expressing cells to the same level as mock-transfected cells. These results demonstrate the antiproliferative activity of the guava leaf extract which is at least in part caused by inhibition of the catalytic activity of PGHS isoforms.

  16. Morphological changes of the filamentous fungus Mucor mucedo and inhibition of chitin synthase activity induced by anethole.

    PubMed

    Yutani, Masahiro; Hashimoto, Yukie; Ogita, Akira; Kubo, Isao; Tanaka, Toshio; Fujita, Ken-ichi

    2011-11-01

    trans-Anethole (anethole), a major component of anise oil, has a broad antimicrobial spectrum with antimicrobial activity relatively weaker than those of well-known antibiotics, and significantly enhances the antifungal activity of polygodial and dodecanol against the baker's yeast Saccharomyces cerevisiae and human pathogenic yeast Candida albicans. However, the antifungal mechanism of anethole is unresolved. Anethole demonstrated antifungal activity against the filamentous fungus, Mucor mucedo IFO 7684, accompanied by hyphal morphological changes such as swollen hyphae at the tips. Its minimum growth inhibitory concentration was 0.625 mM. A hyperosmotic condition (1.2 M sorbitol) restricted the induction of morphological changes, while hypoosmotic treatment (distilled water) induced bursting of hyphal tips and leakage of cytoplasmic constituents. Furthermore, anethole dose-dependently inhibited chitin synthase (CHS) activity in permeabilized hyphae in an uncompetitive manner. These results suggest that the morphological changes of M. mucedo could be explained by the fragility of cell walls caused by CHS inhibition. Copyright © 2011 John Wiley & Sons, Ltd.

  17. Glutamine Hydrolysis by Imidazole Glycerol Phosphate Synthase Displays Temperature Dependent Allosteric Activation

    PubMed Central

    Lisi, George P.; Currier, Allen A.; Loria, J. Patrick

    2018-01-01

    The enzyme imidazole glycerol phosphate synthase (IGPS) is a model for studies of long-range allosteric regulation in enzymes. Binding of the allosteric effector ligand N'-[5'-phosphoribulosyl)formimino]-5-aminoimidazole-4-carboxamide-ribonucleotide (PRFAR) stimulates millisecond (ms) timescale motions in IGPS that enhance its catalytic function. We studied the effect of temperature on these critical conformational motions and the catalytic mechanism of IGPS from the hyperthermophile Thermatoga maritima in an effort to understand temperature-dependent allostery. Enzyme kinetic and NMR dynamics measurements show that apo and PRFAR-activated IGPS respond differently to changes in temperature. Multiple-quantum Carr-Purcell-Meiboom-Gill (CPMG) relaxation dispersion experiments performed at 303, 323, and 343 K (30, 50, and 70°C) reveal that millisecond flexibility is enhanced to a higher degree in apo IGPS than in the PRFAR-bound enzyme as the sample temperature is raised. We find that the flexibility of the apo enzyme is nearly identical to that of its PRFAR activated state at 343 K, whereas conformational motions are considerably different between these two forms of the enzyme at room temperature. Arrhenius analyses of these flexible sites show a varied range of activation energies that loosely correlate to allosteric communities identified by computational methods and reflect local changes in dynamics that may facilitate conformational sampling of the active conformation. In addition, kinetic assays indicate that allosteric activation by PRFAR decreases to 65-fold at 343 K, compared to 4,200-fold at 303 K, which mirrors the decreased effect of PRFAR on ms motions relative to the unactivated enzyme. These studies indicate that at the growth temperature of T. maritima, PFRAR is a weaker allosteric activator than it is at room temperature and illustrate that the allosteric mechanism of IGPS is temperature dependent. PMID:29468164

  18. Slow Starter Enzymes: Role of Active Site Architecture in the Catalytic Control in the Biosynthesis of Taxadiene by Taxadiene Synthase.

    PubMed

    Ansbacher, Tamar; Freud, Yehoshua; Major, Dan Thomas

    2018-05-23

    Taxadiene synthase (TXS) catalyzes the formation of the natural product Taxa-4(5),11(12)-diene (henceforth Taxadiene). Taxadiene is the precursor in the formation of Taxol, which is an important natural anti-cancer agent. In the current study, we present a detailed mechanistic view of the biosynthesis of Taxadiene by TXS, using a hybrid quantum mechanics-molecular mechanics potential in conjunction with free energy simulation methods. The obtained free energy landscape displays initial endergonic steps followed by a step-wise downhill profile, which is an emerging free energy fingerprint for type I terpene synthases. We identify an active site Trp residue (W753) as a key feature of the TXS active site architecture and propose that this residue stabilized intermediate cations via -cation interactions. To validate our proposed active TXS model, we examine a previously reported W753H mutation, which leads to exclusive formation of the side product, cembrene A. The simulations of the W753H mutant show that in the mutant structure, the His side-chain is in perfect position to deprotonate the cembrenyl cation en route to cembrene formation, and that this abortive deprotonation is an energetically facile process. Based on the current model, we propose that an analogous mutation of Y841 to His could possibly lead to verticillane. The current simulations stress the importance of precise positioning of key active site residues in stabilizing intermediate carbocations. In view of the great pharmaceutical importance of taxadiene, a detailed understanding of the TXS mechanism can provide important clues towards a synthetic strategy for taxol manufacturing.

  19. Ascorbate stimulates endothelial nitric oxide synthase enzyme activity by rapid modulation of its phosphorylation status

    PubMed Central

    Ladurner, Angela; Schmitt, Christoph A.; Schachner, Daniel; Atanasov, Atanas G.; Werner, Ernst R.; Dirsch, Verena M.; Heiss, Elke H.

    2012-01-01

    Long-term exposure to ascorbate is known to enhance endothelial nitric oxide synthase (eNOS) activity by stabilizing the eNOS cofactor tetrahydrobiopterin (BH4). We investigated acute effects of ascorbate on eNOS function in primary (HUVEC) and immortalized human endothelial cells (EA.hy926), aiming to provide a molecular explanation for the rapid vasodilatation seen in vivo upon administration of ascorbate. Enzymatic activity of eNOS and intracellular BH4 levels were assessed by means of an arginine–citrulline conversion assay and HPLC analysis, respectively. Over a period of 4 h, ascorbate steadily increased eNOS activity, although endothelial BH4 levels remained unchanged compared to untreated control cells. Immunoblot analyses revealed that as early as 5 min after treatment ascorbate dose-dependently increased phosphorylation at eNOS-Ser1177 and concomitantly decreased phosphorylation at eNOS-Thr495, a phosphorylation pattern indicative of increased eNOS activity. By employing pharmacological inhibitors, siRNA-mediated knockdown approaches, and overexpression of the catalytic subunit of protein phosphatase 2A (PP2A), we show that this effect was at least partly owing to reduction of PP2A activity and subsequent activation of AMP-activated kinase. In this report, we unravel a novel mechanism for how ascorbate rapidly activates eNOS independent of its effects on BH4 stabilization. PMID:22542797

  20. Dynamics of meso and thermo citrate synthases with implicit solvation

    NASA Astrophysics Data System (ADS)

    Cordeiro, J. M. M.

    The dynamics of hydration of meso and thermo citrate synthases has been investigated using the EEF1 methodology implemented with the CHARMM program. The native enzymes are composed of two identical subunits, each divided into a small and large domain. The dynamics behavior of both enzymes at 30°C and 60°C has been compared. The results of simulations show that during the hydration process, each subunit follows a different pathway of hydration, in spite of the identical sequence. The hydrated structures were compared with the crystalline structure, and the root mean square deviation (RMSD) of each residue along the trajectory was calculated. The regions with larger and smaller mobility were identified. In particular, helices belonging to the small domain are more mobile than those of the large domain. In contrast, the residues that constitute the active site show a much lower displacement compared with the crystalline structure. Hydration free energy calculations point out that Thermoplasma acidophilum citrate synthase (TCS) is more stable than chicken citrate synthase (CCS), at high temperatures. Such result has been ascribed to the higher number of superficial charges in the thermophilic homologue, which stabilizes the enzyme, while the mesophilic homologue denatures. These results are in accord with the experimental found that TCS keeps activity at temperatures farther apart from the catalysis regular temperature than the CCS.

  1. Glyphosate Inhibition of 5-Enolpyruvylshikimate 3-Phosphate Synthase from Suspension-Cultured Cells of Nicotiana silvestris.

    PubMed

    Rubin, J L; Gaines, C G; Jensen, R A

    1984-07-01

    Treatment of isogenic suspension-cultured cells of Nicotiana silvestris Speg. et Comes with glyphosate (N-[phosphonomethyl]glycine) led to elevated levels of intracellular shikimate (364-fold increase by 1.0 millimolar glyphosate). In the presence of glyphosate, it is likely that most molecules of shikimate originate from the action of 3-deoxy-d-arabino-heptulosonate 7-phosphate (DAHP) synthase-Mn since this isozyme, in contrast to the DAHP synthase-Co isozyme, is insensitive to inhibition by glyphosate. 5-Enolpyruvylshikimate 3-phosphate (EPSP) synthase (EC 2.5.1.19) from N. silvestris was sensitive to micromolar concentrations of glyphosate and possessed a single inhibitor binding site. Rigorous kinetic studies of EPSP synthase required resolution from the multiple phosphatase activities present in crude extracts, a result achieved by ion-exchange column chromatography. Although EPSP synthase exhibited a broad pH profile (50% of maximal activity between pH 6.2 and 8.5), sensitivity to glyphosate increased dramatically with increasing pH within this range. In accordance with these data and the pK(a) values of glyphosate, it is likely that the ionic form of glyphosate inhibiting EPSP synthase is COO(-)CH(2)NH(2) (+)CH(2)PO(3) (2-), and that a completely ionized phosphono group is essential for inhibition. At pH 7.0, inhibition was competitive with respect to phosphoenolpyruvate (K(i) = 1.25 micromolar) and uncompetitive with respect to shikimate-3-P (K(i)' = 18.3 micromolar). All data were consistent with a mechanism of inhibition in which glyphosate competes with PEP for binding to an [enzyme:shikimate-3-P] complex and ultimately forms the dead-end complex of [enzyme:shikimate-3-P:glyphosate].

  2. Altering carbon allocation in hybrid poplar ( Populus alba × grandidentata ) impacts cell wall growth and development

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Unda, Faride; Kim, Hoon; Hefer, Charles

    Galactinol synthase is a pivotal enzyme involved in the synthesis of the raffinose family of oligosaccharides (RFOs) that function as transport carbohydrates in the phloem, as storage compounds in sink tissues and as soluble metabolites that combat both abiotic and biotic stress in several plant species. For hybrid poplar (Populus alba 9 grandidentata) overexpressing the Arabidopsis thaliana GolS3 (AtGolS3) gene showed clear effects on development; the extreme overexpressing lines were stunted and had cell wall traits characteristic of tension wood, whereas lines with only moderate up-regulation grew normally and had moderately altered secondary cell wall composition and ultrastructure. Stem cross-sectionsmore » of the developing xylem revealed a significant increase in the number of vessels, as well as the clear presence of a G-layer in the fibres. Furthermore, AtGolS3-OE lines possessed higher cellulose and lower lignin contents, an increase in cellulose crystallinity, and significantly altered hemicellulose-derived carbohydrates, notably manifested by their mannose and xylose contents. Additionally, the transgenic plants displayed elevated xylem starch content. Transcriptome interrogation of the transgenic plants showed a significant up-regulation of genes involved in the synthesis of myo-inositol, along with genes involved in sucrose degradation. Our results suggest that the over expression of GolS and its product galactinol may serve as a molecular signal that initiates metabolic changes, culminating in a change in cell wall development and potentially the formation of tension wood.« less

  3. Altering carbon allocation in hybrid poplar ( Populus alba × grandidentata ) impacts cell wall growth and development

    DOE PAGES

    Unda, Faride; Kim, Hoon; Hefer, Charles; ...

    2017-03-04

    Galactinol synthase is a pivotal enzyme involved in the synthesis of the raffinose family of oligosaccharides (RFOs) that function as transport carbohydrates in the phloem, as storage compounds in sink tissues and as soluble metabolites that combat both abiotic and biotic stress in several plant species. For hybrid poplar (Populus alba 9 grandidentata) overexpressing the Arabidopsis thaliana GolS3 (AtGolS3) gene showed clear effects on development; the extreme overexpressing lines were stunted and had cell wall traits characteristic of tension wood, whereas lines with only moderate up-regulation grew normally and had moderately altered secondary cell wall composition and ultrastructure. Stem cross-sectionsmore » of the developing xylem revealed a significant increase in the number of vessels, as well as the clear presence of a G-layer in the fibres. Furthermore, AtGolS3-OE lines possessed higher cellulose and lower lignin contents, an increase in cellulose crystallinity, and significantly altered hemicellulose-derived carbohydrates, notably manifested by their mannose and xylose contents. Additionally, the transgenic plants displayed elevated xylem starch content. Transcriptome interrogation of the transgenic plants showed a significant up-regulation of genes involved in the synthesis of myo-inositol, along with genes involved in sucrose degradation. Our results suggest that the over expression of GolS and its product galactinol may serve as a molecular signal that initiates metabolic changes, culminating in a change in cell wall development and potentially the formation of tension wood.« less

  4. Identification, Functional Characterization, and Evolution of Terpene Synthases from a Basal Dicot1[OPEN

    PubMed Central

    Yahyaa, Mosaab; Matsuba, Yuki; Brandt, Wolfgang; Doron-Faigenboim, Adi; Bar, Einat; McClain, Alan; Davidovich-Rikanati, Rachel; Lewinsohn, Efraim; Pichersky, Eran; Ibdah, Mwafaq

    2015-01-01

    Bay laurel (Laurus nobilis) is an agriculturally and economically important dioecious tree in the basal dicot family Lauraceae used in food and drugs and in the cosmetics industry. Bay leaves, with their abundant monoterpenes and sesquiterpenes, are used to impart flavor and aroma to food, and have also drawn attention in recent years because of their potential pharmaceutical applications. To identify terpene synthases (TPSs) involved in the production of these volatile terpenes, we performed RNA sequencing to profile the transcriptome of L. nobilis leaves. Bioinformatic analysis led to the identification of eight TPS complementary DNAs. We characterized the enzymes encoded by three of these complementary DNAs: a monoterpene synthase that belongs to the TPS-b clade catalyzes the formation of mostly 1,8-cineole; a sesquiterpene synthase belonging to the TPS-a clade catalyzes the formation of mainly cadinenes; and a diterpene synthase of the TPS-e/f clade catalyzes the formation of geranyllinalool. Comparison of the sequences of these three TPSs indicated that the TPS-a and TPS-b clades of the TPS gene family evolved early in the evolution of the angiosperm lineage, and that geranyllinalool synthase activity is the likely ancestral function in angiosperms of genes belonging to an ancient TPS-e/f subclade that diverged from the kaurene synthase gene lineages before the split of angiosperms and gymnosperms. PMID:26157114

  5. An active site–tail interaction in the structure of hexahistidine-tagged Thermoplasma acidophilum citrate synthase

    PubMed Central

    Murphy, Jesse R.; Donini, Stefano; Kappock, T. Joseph

    2015-01-01

    Citrate synthase (CS) plays a central metabolic role in aerobes and many other organisms. The CS reaction comprises two half-reactions: a Claisen aldol condensation of acetyl-CoA (AcCoA) and oxaloacetate (OAA) that forms citryl-CoA (CitCoA), and CitCoA hydrolysis. Protein conformational changes that ‘close’ the active site play an important role in the assembly of a catalytically competent condensation active site. CS from the thermoacidophile Thermoplasma acidophilum (TpCS) possesses an endogenous Trp fluorophore that can be used to monitor the condensation reaction. The 2.2 Å resolution crystal structure of TpCS fused to a C-terminal hexahistidine tag (TpCSH6) reported here is an ‘open’ structure that, when compared with several liganded TpCS structures, helps to define a complete path for active-site closure. One active site in each dimer binds a neighboring His tag, the first nonsubstrate ligand known to occupy both the AcCoA and OAA binding sites. Solution data collectively suggest that this fortuitous interaction is stabilized by the crystalline lattice. As a polar but almost neutral ligand, the active site–tail interaction provides a new starting point for the design of bisubstrate-analog inhibitors of CS. PMID:26457521

  6. An active site-tail interaction in the structure of hexahistidine-tagged Thermoplasma acidophilum citrate synthase

    DOE PAGES

    Murphy, Jesse R.; Donini, Stefano; Kappock, T. Joseph

    2015-10-01

    Citrate synthase (CS) plays a central metabolic role in aerobes and many other organisms. The CS reaction comprises two half-reactions: a Claisen aldol condensation of acetyl-CoA (AcCoA) and oxaloacetate (OAA) that forms citryl-CoA (CitCoA), and CitCoA hydrolysis. Protein conformational changes that `close' the active site play an important role in the assembly of a catalytically competent condensation active site. CS from the thermoacidophile Thermoplasma acidophilum (TpCS) possesses an endogenous Trp fluorophore that can be used to monitor the condensation reaction. The 2.2 Å resolution crystal structure of TpCS fused to a C-terminal hexahistidine tag (TpCSH6) reported here is an `open'more » structure that, when compared with several liganded TpCS structures, helps to define a complete path for active-site closure. One active site in each dimer binds a neighboring His tag, the first nonsubstrate ligand known to occupy both the AcCoA and OAA binding sites. Solution data collectively suggest that this fortuitous interaction is stabilized by the crystalline lattice. In conclusion, as a polar but almost neutral ligand, the active site-tail interaction provides a new starting point for the design of bisubstrate-analog inhibitors of CS.« less

  7. An active site-tail interaction in the structure of hexahistidine-tagged Thermoplasma acidophilum citrate synthase.

    PubMed

    Murphy, Jesse R; Donini, Stefano; Kappock, T Joseph

    2015-10-01

    Citrate synthase (CS) plays a central metabolic role in aerobes and many other organisms. The CS reaction comprises two half-reactions: a Claisen aldol condensation of acetyl-CoA (AcCoA) and oxaloacetate (OAA) that forms citryl-CoA (CitCoA), and CitCoA hydrolysis. Protein conformational changes that `close' the active site play an important role in the assembly of a catalytically competent condensation active site. CS from the thermoacidophile Thermoplasma acidophilum (TpCS) possesses an endogenous Trp fluorophore that can be used to monitor the condensation reaction. The 2.2 Å resolution crystal structure of TpCS fused to a C-terminal hexahistidine tag (TpCSH6) reported here is an `open' structure that, when compared with several liganded TpCS structures, helps to define a complete path for active-site closure. One active site in each dimer binds a neighboring His tag, the first nonsubstrate ligand known to occupy both the AcCoA and OAA binding sites. Solution data collectively suggest that this fortuitous interaction is stabilized by the crystalline lattice. As a polar but almost neutral ligand, the active site-tail interaction provides a new starting point for the design of bisubstrate-analog inhibitors of CS.

  8. Reduced methylation of the thromboxane synthase gene is correlated with its increased vascular expression in preeclampsia.

    PubMed

    Mousa, Ahmad A; Strauss, Jerome F; Walsh, Scott W

    2012-06-01

    Preeclampsia is characterized by increased thromboxane and decreased prostacyclin levels, which predate symptoms, and can explain some of the clinical manifestations of preeclampsia, including hypertension and thrombosis. In this study, we examined DNA methylation of the promoter region of the thromboxane synthase gene (TBXAS1) and the expression of thromboxane synthase in systemic blood vessels of normal pregnant and preeclamptic women. Thromboxane synthase is responsible for the synthesis of thromboxane A(2), a potent vasoconstrictor and activator of platelets. We also examined the effect of experimentally induced DNA hypomethylation on the expression of thromboxane synthase in a neutrophil-like cell line (HL-60 cells) and in cultured vascular smooth muscle and endothelial cells. We found that DNA methylation of the TBXAS1 promoter was decreased and thromboxane synthase expression was increased in omental arteries of preeclamptic women as compared with normal pregnant women. Increased thromboxane synthase expression was observed in vascular smooth muscles cells, endothelial cells, and infiltrating neutrophils. Experimentally induced DNA hypomethylation only increased expression of thromboxane synthase in the neutrophil-like cell line, whereas tumor necrosis factor-α, a neutrophil product, increased its expression in cultured vascular smooth muscle cells. Our study suggests that epigenetic mechanisms and release of tumor necrosis factor-α by infiltrating neutrophils could contribute to the increased expression of thromboxane synthase in maternal systemic blood vessels, contributing to the hypertension and coagulation abnormalities associated with preeclampsia.

  9. Glycogen synthase kinase-3β inhibition of 6-(methylsulfinyl)hexyl isothiocyanate derived from wasabi (Wasabia japonica Matsum).

    PubMed

    Yoshida, Jun; Nomura, Satomi; Nishizawa, Naoyuki; Ito, Yoshiaki; Kimura, Ken-ichi

    2011-01-01

    A new biological activity of 6-(methylsulfinyl)hexyl isothiocyanate derived from Wasabia japonica was discovered as an inhibitor of glycogen synthase kinase-3β. The most potent isothiocyanate, 9-(methylsulfinyl)hexyl isothiocyanate, inhibited glycogen synthase kinase-3β at a K(i) value of 10.5 µM and showed ATP competitive inhibition. The structure-activity relationship revealed an inhibitory potency of methylsulfinyl isothiocyanate dependent on the alkyl chain length and the sulfoxide, sulfone, and/or the isothiocyanate moiety.

  10. Unusual 4-hydroxybenzaldehyde synthase activity from tissue cultures of the vanilla orchid Vanilla planifolia.

    PubMed

    Podstolski, Andrzej; Havkin-Frenkel, Daphna; Malinowski, Jacek; Blount, Jack W; Kourteva, Galina; Dixon, Richard A

    2002-11-01

    Tissue cultures of the vanilla orchid, Vanilla planifolia, produce the flavor compound vanillin (4-hydroxy-3-methoxybenzaldehyde) and vanillin precursors such as 4-hydroxybenzaldehyde. A constitutively expressed enzyme activity catalyzing chain shortening of a hydroxycinnamic acid, believed to be the first reaction specific for formation of vanilla flavor compounds, was identified in these cultures. The enzyme converts 4-coumaric acid non-oxidatively to 4-hydroxybenzaldehyde in the presence of a thiol reagent but with no co-factor requirement. Several forms of this 4-hydroxybenzaldehyde synthase (4HBS) were resolved and partially purified by a combination of hydrophobic interaction, ion exchange and gel filtration chromatography. These forms appear to be interconvertible. The unusual properties of the 4HBS, and its appearance in different protein fractions, raise questions as to its physiological role in vanillin biosynthesis in vivo.

  11. Calcium Co-regulates Oxidative Metabolism and ATP Synthase-dependent Respiration in Pancreatic Beta Cells

    PubMed Central

    De Marchi, Umberto; Thevenet, Jonathan; Hermant, Aurelie; Dioum, Elhadji; Wiederkehr, Andreas

    2014-01-01

    Mitochondrial energy metabolism is essential for glucose-induced calcium signaling and, therefore, insulin granule exocytosis in pancreatic beta cells. Calcium signals are sensed by mitochondria acting in concert with mitochondrial substrates for the full activation of the organelle. Here we have studied glucose-induced calcium signaling and energy metabolism in INS-1E insulinoma cells and human islet beta cells. In insulin secreting cells a surprisingly large fraction of total respiration under resting conditions is ATP synthase-independent. We observe that ATP synthase-dependent respiration is markedly increased after glucose stimulation. Glucose also causes a very rapid elevation of oxidative metabolism as was followed by NAD(P)H autofluorescence. However, neither the rate of the glucose-induced increase nor the new steady-state NAD(P)H levels are significantly affected by calcium. Our findings challenge the current view, which has focused mainly on calcium-sensitive dehydrogenases as the target for the activation of mitochondrial energy metabolism. We propose a model of tight calcium-dependent regulation of oxidative metabolism and ATP synthase-dependent respiration in beta cell mitochondria. Coordinated activation of matrix dehydrogenases and respiratory chain activity by calcium allows the respiratory rate to change severalfold with only small or no alterations of the NAD(P)H/NAD(P)+ ratio. PMID:24554722

  12. Structure of the ent -Copalyl Diphosphate Synthase PtmT2 from Streptomyces platensis CB00739, a Bacterial Type II Diterpene Synthase

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rudolf, Jeffrey D.; Dong, Liao-Bin; Cao, Hongnan

    Terpenoids are the largest and most structurally diverse family of natural products found in nature, yet their presence in bacteria is underappreciated. The carbon skeletons of terpenoids are generated through carbocation-dependent cyclization cascades catalyzed by terpene synthases (TSs). Type I and type II TSs initiate cyclization via diphosphate ionization and protonation, respectively, and protein structures of both types are known. Most plant diterpene synthases (DTSs) possess three alpha-helical domains (alpha beta gamma), which are thought to have arisen from the fusion of discrete, ancestral bacterial type I TSs (alpha) and type II TSs (beta gamma). Type II DTSs of bacterialmore » origin, of which there are no structurally characterized members, are a missing piece in the structural evolution of TSs. Here, we report the first crystal structure of a type II DTS from bacteria. PtnaT2 from Streptomyces platensis CB00739 was verified as an ent-copalyl diphosphate synthase involved in the biosynthesis of platensimycin and platencin. The crystal structure of PtmT2 was solved at a resolution of 1.80 angstrom, and docking studies suggest the catalytically active conformation of geranylgeranyl diphosphate (GGPP). Site-directed mutagenesis confirmed residues involved in binding the diphosphate moiety of GGPP and identified DxxxxE as a potential Mg2+-binding motif for type II DTSs of bacterial origin. Finally, both the shape and physicochemical properties of the active sites are responsible for determining specific catalytic outcomes of TSs. The structure of PtmT2 fundamentally advances the knowledge of bacterial TSs, their mechanisms, and their role in the evolution of TSs.« less

  13. Sucrose Synthase Expression during Cold Acclimation in Wheat 1

    PubMed Central

    Crespi, Martin D.; Zabaleta, Eduardo J.; Pontis, Horacio G.; Salerno, Graciela L.

    1991-01-01

    When wheat (Triticum aestivum) seedlings are exposed to a cold temperature (2-4°C) above 0°C, sucrose accumulates and sucrose synthase activity increases. The effect of a cold period on the level of sucrose synthase (SS) was investigated. Using antibodies against wheat germ SS, Western blots studies showed that the amount of the SS peptide increased during 14 days in the cold, when plants were moved from 23°C to 4°C. The level of SS diminished when plants were moved back to 23°C. Northern blots of poly(A)+ RNA, confirmed a five- to sixfold induction of SS in wheat leaves during cold acclimation. These results indicate that SS is involved in the plant response to a chilling stress. ImagesFigure 1Figure 2Figure 3 PMID:16668270

  14. Glycogen Synthase Kinase 3 Protein Kinase Activity Is Frequently Elevated in Human Non-Small Cell Lung Carcinoma and Supports Tumour Cell Proliferation

    PubMed Central

    O′Flaherty, Linda; Pardo, Olivier E.; Dzien, Piotr; Phillips, Lois; Morgan, Carys; Pawade, Joya; May, Margaret T.; Sohail, Muhammad; Hetzel, Martin R.; Seckl, Michael J.; Tavaré, Jeremy M.

    2014-01-01

    Background Glycogen synthase kinase 3 (GSK3) is a central regulator of cellular metabolism, development and growth. GSK3 activity was thought to oppose tumourigenesis, yet recent studies indicate that it may support tumour growth in some cancer types including in non-small cell lung carcinoma (NSCLC). We examined the undefined role of GSK3 protein kinase activity in tissue from human NSCLC. Methods The expression and protein kinase activity of GSK3 was determined in 29 fresh frozen samples of human NSCLC and patient-matched normal lung tissue by quantitative immunoassay and western blotting for the phosphorylation of three distinct GSK3 substrates in situ (glycogen synthase, RelA and CRMP-2). The proliferation and sensitivity to the small-molecule GSK3 inhibitor; CHIR99021, of NSCLC cell lines (Hcc193, H1975, PC9 and A549) and non-neoplastic type II pneumocytes was further assessed in adherent culture. Results Expression and protein kinase activity of GSK3 was elevated in 41% of human NSCLC samples when compared to patient-matched control tissue. Phosphorylation of GSK3α/β at the inhibitory S21/9 residue was a poor biomarker for activity in tumour samples. The GSK3 inhibitor, CHIR99021 dose-dependently reduced the proliferation of three NSCLC cell lines yet was ineffective against type II pneumocytes. Conclusion NSCLC tumours with elevated GSK3 protein kinase activity may have evolved dependence on the kinase for sustained growth. Our results provide further important rationale for exploring the use of GSK3 inhibitors in treating NSCLC. PMID:25486534

  15. Decreased glycogen synthase kinase-3 levels and activity contribute to Huntington's disease.

    PubMed

    Fernández-Nogales, Marta; Hernández, Félix; Miguez, Andrés; Alberch, Jordi; Ginés, Silvia; Pérez-Navarro, Esther; Lucas, José J

    2015-09-01

    Huntington's disease (HD) is a hereditary neurodegenerative disorder characterized by brain atrophy particularly in striatum leading to personality changes, chorea and dementia. Glycogen synthase kinase-3 (GSK-3) is a serine/threonine kinase in the crossroad of many signaling pathways that is highly pleiotropic as it phosphorylates more than hundred substrates including structural, metabolic, and signaling proteins. Increased GSK-3 activity is believed to contribute to the pathogenesis of neurodegenerative diseases like Alzheimer's disease and GSK-3 inhibitors have been postulated as therapeutic agents for neurodegeneration. Regarding HD, GSK-3 inhibitors have shown beneficial effects in cell and invertebrate animal models but no evident efficacy in mouse models. Intriguingly, those studies were performed without interrogating GSK-3 level and activity in HD brain. Here we aim to explore the level and also the enzymatic activity of GSK-3 in the striatum and other less affected brain regions of HD patients and of the R6/1 mouse model to then elucidate the possible contribution of its alteration to HD pathogenesis by genetic manipulation in mice. We report a dramatic decrease in GSK-3 levels and activity in striatum and cortex of HD patients with similar results in the mouse model. Correction of the GSK-3 deficit in HD mice, by combining with transgenic mice with conditional GSK-3 expression, resulted in amelioration of their brain atrophy and behavioral motor and learning deficits. Thus, our results demonstrate that decreased brain GSK-3 contributes to HD neurological phenotype and open new therapeutic opportunities based on increasing GSK-3 activity or attenuating the harmful consequences of its decrease. © The Author 2015. Published by Oxford University Press. All rights reserved. For Permissions, please email: journals.permissions@oup.com.

  16. [Role of NO-synthase in stimulation of opiate receptors and kidney oxidative stress resistance].

    PubMed

    Orlova, E A; Komarevtseva, I A

    2004-01-01

    It was established that dalarginum injection before ARI (acute renal insufficiency) formation prevented an increases of proteolysis, decrease of SOD (superoxide dismutase), increase of NO2-/NO3- content in kidney tissue. Antioxidant effect of opiate receptor agonist was completely abolished by preliminary injection of OR antagonist--naloxone. Aminoguanidine nitrate (inducible NO-synthase inhibitor) injection removed positive effect of OR stimulation too. Thus OR stimulation increases kidney oxidative stress resistance due to NO-synthase and SOD activation.

  17. Cloning and characterization of chsD, a chitin synthase-like gene of Aspergillus fumigatus.

    PubMed

    Mellado, E; Specht, C A; Robbins, P W; Holden, D W

    1996-09-15

    A chitin synthase-like gene (chsD) was isolated from an Aspergillus fumigatus genomic DNA library. Comparisons with the predicted amino acid sequence from chsD reveals low but significant similarity to chitin synthases, to other N-acetylglucosaminyltransferases (NodC from Rhizopus spp., HasA from Streptococcus spp. and DG42 from vertebrates. A chsD- mutant strain constructed by gene disruption has a 20% reduction in total mycelial chitin content; however, no differences between the wild-type strain and the chsD- strain were found with respect to morphology, chitin synthase activity or virulence in a neutropenic murine model of aspergillosis. The results show that the chsD product has an important but inessential role in the synthesis of chitin in A. fumigatus.

  18. The rice terpene synthase gene OsTPS19 functions as an (S)-limonene synthase in planta, and its overexpression leads to enhanced resistance to the blast fungus Magnaporthe oryzae.

    PubMed

    Chen, Xujun; Chen, Hao; Yuan, Joshua S; Köllner, Tobias G; Chen, Yuying; Guo, Yufen; Zhuang, Xiaofeng; Chen, Xinlu; Zhang, Yong-Jun; Fu, Jianyu; Nebenführ, Andreas; Guo, Zejian; Chen, Feng

    2018-03-06

    Rice blast disease, caused by the fungus Magnaporthe oryzae, is the most devastating disease of rice. In our ongoing characterization of the defence mechanisms of rice plants against M. oryzae, a terpene synthase gene OsTPS19 was identified as a candidate defence gene. Here, we report the functional characterization of OsTPS19, which is up-regulated by M. oryzae infection. Overexpression of OsTPS19 in rice plants enhanced resistance against M. oryzae, while OsTPS19 RNAi lines were more susceptible to the pathogen. Metabolic analysis revealed that the production of a monoterpene (S)-limonene was increased and decreased in OsTPS19 overexpression and RNAi lines, respectively, suggesting that OsTPS19 functions as a limonene synthase in planta. This notion was further supported by in vitro enzyme assays with recombinant OsTPS19, in which OsTPS19 had both sesquiterpene activity and monoterpene synthase activity, with limonene as a major product. Furthermore, in a subcellular localization experiment, OsTPS19 was localized in plastids. OsTPS19 has a highly homologous paralog, OsTPS20, which likely resulted from a recent gene duplication event. We found that the variation in OsTPS19 and OsTPS20 enzyme activities was determined by a single amino acid in the active site cavity. The expression of OsTPS20 was not affected by M. oryzae infection. This indicates functional divergence of OsTPS19 and OsTPS20. Lastly, (S)-limonene inhibited the germination of M. oryzae spores in vitro. OsTPS19 was determined to function as an (S)-limonene synthase in rice and plays a role in defence against M. oryzae, at least partly, by inhibiting spore germination. © 2018 The Authors. Plant Biotechnology Journal published by Society for Experimental Biology and The Association of Applied Biologists and John Wiley & Sons Ltd.

  19. SbnG, a citrate synthase in Staphylococcus aureus: A new fold on an old enzyme

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kobylarz, Marek J.; Grigg, Jason C.; Sheldon, Jessica R.

    In response to iron deprivation, Staphylococcus aureus produces staphyloferrin B, a citrate-containing siderophore that delivers iron back to the cell. This bacterium also possesses a second citrate synthase, SbnG, that is necessary for supplying citrate to the staphyloferrin B biosynthetic pathway. In this paper, we present the structure of SbnG bound to the inhibitor calcium and an active site variant in complex with oxaloacetate. The overall fold of SbnG is structurally distinct from TCA cycle citrate synthases yet similar to metal-dependent class II aldolases. Phylogenetic analyses revealed that SbnG forms a separate clade with homologs from other siderophore biosynthetic genemore » clusters and is representative of a metal-independent subgroup in the phosphoenolpyruvate/pyruvate domain superfamily. Finally, a structural superposition of the SbnG active site to TCA cycle citrate synthases and site-directed mutagenesis suggests a case for convergent evolution toward a conserved catalytic mechanism for citrate production.« less

  20. SbnG, a citrate synthase in Staphylococcus aureus: A new fold on an old enzyme

    DOE PAGES

    Kobylarz, Marek J.; Grigg, Jason C.; Sheldon, Jessica R.; ...

    2014-10-21

    In response to iron deprivation, Staphylococcus aureus produces staphyloferrin B, a citrate-containing siderophore that delivers iron back to the cell. This bacterium also possesses a second citrate synthase, SbnG, that is necessary for supplying citrate to the staphyloferrin B biosynthetic pathway. In this paper, we present the structure of SbnG bound to the inhibitor calcium and an active site variant in complex with oxaloacetate. The overall fold of SbnG is structurally distinct from TCA cycle citrate synthases yet similar to metal-dependent class II aldolases. Phylogenetic analyses revealed that SbnG forms a separate clade with homologs from other siderophore biosynthetic genemore » clusters and is representative of a metal-independent subgroup in the phosphoenolpyruvate/pyruvate domain superfamily. Finally, a structural superposition of the SbnG active site to TCA cycle citrate synthases and site-directed mutagenesis suggests a case for convergent evolution toward a conserved catalytic mechanism for citrate production.« less

  1. SbnG, a citrate synthase in Staphylococcus aureus: a new fold on an old enzyme.

    PubMed

    Kobylarz, Marek J; Grigg, Jason C; Sheldon, Jessica R; Heinrichs, David E; Murphy, Michael E P

    2014-12-05

    In response to iron deprivation, Staphylococcus aureus produces staphyloferrin B, a citrate-containing siderophore that delivers iron back to the cell. This bacterium also possesses a second citrate synthase, SbnG, that is necessary for supplying citrate to the staphyloferrin B biosynthetic pathway. We present the structure of SbnG bound to the inhibitor calcium and an active site variant in complex with oxaloacetate. The overall fold of SbnG is structurally distinct from TCA cycle citrate synthases yet similar to metal-dependent class II aldolases. Phylogenetic analyses revealed that SbnG forms a separate clade with homologs from other siderophore biosynthetic gene clusters and is representative of a metal-independent subgroup in the phosphoenolpyruvate/pyruvate domain superfamily. A structural superposition of the SbnG active site to TCA cycle citrate synthases and site-directed mutagenesis suggests a case for convergent evolution toward a conserved catalytic mechanism for citrate production. © 2014 by The American Society for Biochemistry and Molecular Biology, Inc.

  2. Geranylgeranyl diphosphate synthase inhibition induces apoptosis that is dependent upon GGPP depletion, ERK phosphorylation and caspase activation.

    PubMed

    Agabiti, Sherry S; Li, Jin; Wiemer, Andrew J

    2017-03-16

    Bisphosphonates are diphosphate analogs that inhibit the intermediate enzymes of the mevalonate pathway. Here, we compared the effects of a farnesyl diphosphate synthase inhibitor, zoledronate, and a geranylgeranyl diphosphate synthase (GGDPS) inhibitor, digeranyl bisphosphonate (DGBP), on lymphocytic leukemia cell proliferation and apoptosis. Both zoledronate and DGBP inhibited proliferation with DGBP doing so more potently. DGBP was markedly less toxic than zoledronate toward the viability of healthy human peripheral blood mononuclear cells. Addition of GGPP, but not farnesyl diphosphate (FPP), prevented the anti-proliferative effects of DGBP. Both GGPP and FPP partially rescued the effects of zoledronate. Co-treatment with DGBP and zoledronate was antagonistic. To further assess the effects of the bisphosphonates, we analyzed annexin V and propidium iodide staining via flow cytometry and found that DGBP induced apoptosis more potently than zoledronate. Western blots show that DGBP treatment altered expression and membrane affinity of some but not all geranylgeranylated small GTPases, activated caspases and increased ERK phosphorylation. Importantly, the anti-proliferative effects of DGBP were blocked by treatment with a caspase inhibitor and by treatment with a MEK inhibitor. Together, our findings indicate that DGBP is a more potent and selective compound than zoledronate in inducing apoptosis mediated through pathways that include caspases and MEK/ERK. These findings support the further development of GGDPS inhibitors as anticancer therapeutics.

  3. Mutations Derived from the Thermophilic Polyhydroxyalkanoate Synthase PhaC Enhance the Thermostability and Activity of PhaC from Cupriavidus necator H16

    PubMed Central

    Chen, Wen-Ming; Lai, Yung-Wei; Chang, Rey-Chang

    2012-01-01

    The thermophile Cupriavidus sp. strain S-6 accumulated polyhydroxybutyrate (PHB) from glucose at 50°C. A 9.0-kbp EcoRI fragment cloned from the genomic DNA of Cupriavidus sp. S-6 enabled Escherichia coli XL1-Blue to synthesize PHB at 45°C. Nucleotide sequence analysis showed a pha locus in the clone. The thermophilic polyhydroxyalkanoate (PHA) synthase (PhaCCsp) shared 81% identity with mesophilic PhaC of Cupriavidus necator H16. The diversity between these two strains was found dominantly on their N and C termini, while the middle regions were highly homologous (92% identity). We constructed four chimeras of mesophilic and thermophilic phaC genes to explore the mutations related to its thermostability. Among the chimeras, only PhaCH16β, which was PhaCH16 bearing 30 point mutations derived from the middle region of PhaCCsp, accumulated a high content of PHB (65% [dry weight]) at 45°C. The chimera phaCH16β and two parental PHA synthase genes were overexpressed in E. coli BLR(DE3) cells and purified. At 30°C, the specific activity of the chimera PhaCH16β (172 ± 17.8 U/mg) was 3.45-fold higher than that of the parental enzyme PhaCH16 (50 ± 5.2 U/mg). At 45°C, the half-life of the chimera PhaCH16β (11.2 h) was 127-fold longer than that of PhaCH16 (5.3 min). Furthermore, the chimera PhaCH16β accumulated 1.55-fold (59% [dry weight]) more PHA content than the parental enzyme PhaCH16 (38% [dry weight]) at 37°C. This study reveals a limited number of point mutations which enhance not only thermostability but also PhaCH16 activity. The highly thermostable and active PHA synthase will provide advantages for its promising applications to in vitro PHA synthesis and recombinant E. coli PHA fermentation. PMID:22408158

  4. AJS1669, a novel small-molecule muscle glycogen synthase activator, improves glucose metabolism and reduces body fat mass in mice

    PubMed Central

    Nakano, Kazuhiro; Takeshita, Sen; Kawasaki, Noriko; Miyanaga, Wataru; Okamatsu, Yoriko; Dohi, Mizuki; Nakagawa, Tadakiyo

    2017-01-01

    Impaired glycogen synthesis and turnover are common in insulin resistance and type 2 diabetes. As glycogen synthase (GS) is a key enzyme involved in the synthetic process, it presents a promising therapeutic target for the treatment of type 2 diabetes. In the present study, we identified a novel, potent and orally available GS activator AJS1669 {sodium 2-[[5-[[4-(4,5-difluoro-2-methylsulfanyl-phenyl) phenoxy] methyl]furan-2-carbonyl]-(2-furylmethyl)amino] acetate}. In vitro, we performed a glycogen synthase 1 (GYS1) activation assay for screening GS activators and identified that the activity of AJS1669 was further potentiated in the presence of glucose-6-phosphate (G6P). In vivo, we used ob/ob mice to evaluate the novel anti-diabetic effects of AJS1669 by measuring basal blood glucose levels, glucose tolerance and body fat mass index. Repeated administration of AJS1669 over 4 weeks reduced blood glucose and hemoglobin A1c (HbA1c) levels in ob/ob mice. AJS1669 also improved glucose tolerance in a dose-dependent manner, and decreased body fat mass. The mRNA levels of genes involved in mitochondrial fatty acid oxidation and mitochondrial biogenesis were elevated in skeletal muscle tissue following AJS1669 treatment. Hepatic tissue of treated mice also exhibited elevated expression of genes associated with fatty acid oxidation. In contrast to ob/ob mice, in C57Bl/6 mice AJS1669 administration did not alter body weight or reduce glucose levels. These results demonstrate that pharmacological agents that activate GYS1, the main GS subtype found in skeletal muscle, have potential for use as novel treatments for diabetes that improve glucose metabolism in skeletal muscle. PMID:28290602

  5. AJS1669, a novel small-molecule muscle glycogen synthase activator, improves glucose metabolism and reduces body fat mass in mice.

    PubMed

    Nakano, Kazuhiro; Takeshita, Sen; Kawasaki, Noriko; Miyanaga, Wataru; Okamatsu, Yoriko; Dohi, Mizuki; Nakagawa, Tadakiyo

    2017-04-01

    Impaired glycogen synthesis and turnover are common in insulin resistance and type 2 diabetes. As glycogen synthase (GS) is a key enzyme involved in the synthetic process, it presents a promising therapeutic target for the treatment of type 2 diabetes. In the present study, we identified a novel, potent and orally available GS activator AJS1669 {sodium 2-[[5-[[4-(4,5-difluoro-2-methylsulfanyl-phenyl)phenoxy] methyl]furan-2-carbonyl]-(2-furylmethyl)amino] acetate}. In vitro, we performed a glycogen synthase 1 (GYS1) activation assay for screening GS activators and identified that the activity of AJS1669 was further potentiated in the presence of glucose-6-phosphate (G6P). In vivo, we used ob/ob mice to evaluate the novel anti-diabetic effects of AJS1669 by measuring basal blood glucose levels, glucose tolerance and body fat mass index. Repeated administration of AJS1669 over 4 weeks reduced blood glucose and hemoglobin A1c (HbA1c) levels in ob/ob mice. AJS1669 also improved glucose tolerance in a dose-dependent manner, and decreased body fat mass. The mRNA levels of genes involved in mitochondrial fatty acid oxidation and mitochondrial biogenesis were elevated in skeletal muscle tissue following AJS1669 treatment. Hepatic tissue of treated mice also exhibited elevated expression of genes associated with fatty acid oxidation. In contrast to ob/ob mice, in C57Bl/6 mice AJS1669 administration did not alter body weight or reduce glucose levels. These results demonstrate that pharmacological agents that activate GYS1, the main GS subtype found in skeletal muscle, have potential for use as novel treatments for diabetes that improve glucose metabolism in skeletal muscle.

  6. Cyclophilin D Promotes Brain Mitochondrial F1FO ATP Synthase Dysfunction in Aging Mice

    PubMed Central

    Gauba, Esha; Guo, Lan; Du, Heng

    2017-01-01

    Brain aging is the known strongest risk factor for Alzheimer’s disease (AD). In recent years, mitochondrial deficits have been proposed to be a common mechanism linking brain aging to AD. Therefore, to elucidate the causative mechanisms of mitochondrial dysfunction in aging brains is of paramount importance for our understanding of the pathogenesis of AD, in particular its sporadic form. Cyclophilin D (CypD) is a specific mitochondrial protein. Recent studies have shown that F1FO ATP synthase oligomycin sensitivity conferring protein (OSCP) is a binding partner of CypD. The interaction of CypD with OSCP modulates F1FO ATP synthase function and mediates mitochondrial permeability transition pore (mPTP) opening. Here, we have found that increased CypD expression, enhanced CypD/OSCP interaction, and selective loss of OSCP are prominent brain mitochondrial changes in aging mice. Along with these changes, brain mitochondria from the aging mice demonstrated decreased F1FO ATP synthase activity and defective F1FO complex coupling. In contrast, CypD deficient mice exhibited substantially mitigated brain mitochondrial F1FO ATP synthase dysfunction with relatively preserved mitochondrial function during aging. Interestingly, the aging-related OSCP loss was also dramatically attenuated by CypD depletion. Therefore, the simplest interpretation of this study is that CypD promotes F1FO ATP synthase dysfunction and the resultant mitochondrial deficits in aging brains. In addition, in view of CypD and F1FO ATP synthase alterations seen in AD brains, the results further suggest that CypD-mediated F1FO ATP synthase deregulation is a shared mechanism linking mitochondrial deficits in brain aging and AD. PMID:27834780

  7. Cyclophilin D Promotes Brain Mitochondrial F1FO ATP Synthase Dysfunction in Aging Mice.

    PubMed

    Gauba, Esha; Guo, Lan; Du, Heng

    2017-01-01

    Brain aging is the known strongest risk factor for Alzheimer's disease (AD). In recent years, mitochondrial deficits have been proposed to be a common mechanism linking brain aging to AD. Therefore, to elucidate the causative mechanisms of mitochondrial dysfunction in aging brains is of paramount importance for our understanding of the pathogenesis of AD, in particular its sporadic form. Cyclophilin D (CypD) is a specific mitochondrial protein. Recent studies have shown that F1FO ATP synthase oligomycin sensitivity conferring protein (OSCP) is a binding partner of CypD. The interaction of CypD with OSCP modulates F1FO ATP synthase function and mediates mitochondrial permeability transition pore (mPTP) opening. Here, we have found that increased CypD expression, enhanced CypD/OSCP interaction, and selective loss of OSCP are prominent brain mitochondrial changes in aging mice. Along with these changes, brain mitochondria from the aging mice demonstrated decreased F1FO ATP synthase activity and defective F1FO complex coupling. In contrast, CypD deficient mice exhibited substantially mitigated brain mitochondrial F1FO ATP synthase dysfunction with relatively preserved mitochondrial function during aging. Interestingly, the aging-related OSCP loss was also dramatically attenuated by CypD depletion. Therefore, the simplest interpretation of this study is that CypD promotes F1FO ATP synthase dysfunction and the resultant mitochondrial deficits in aging brains. In addition, in view of CypD and F1FO ATP synthase alterations seen in AD brains, the results further suggest that CypD-mediated F1FO ATP synthase deregulation is a shared mechanism linking mitochondrial deficits in brain aging and AD.

  8. Characterization of a recombinant type II 3-deoxy-D-arabino-heptulosonate-7-phosphate synthase from Helicobacter pylori.

    PubMed

    Webby, Celia J; Patchett, Mark L; Parker, Emily J

    2005-08-15

    DAH7P (3-Deoxy-D-arabino-heptulosonate 7-phosphate) synthase catalyses the condensation reaction between phosphoenolpyruvate (PEP) and D-erythrose 4-phosphate (E4P) as the first committed step in the biosynthesis of aromatic compounds in plants and micro-organisms. Previous work has identified two families of DAH7P synthases based on sequence similarity and molecular mass, with the majority of the mechanistic and structural studies being carried out on the type I paralogues from Escherichia coli. Whereas a number of organisms possess genes encoding both type I and type II DAH7P synthases, the pathogen Helicobacter pylori has only a single, type II, enzyme. Recombinant DAH7P synthase from H. pylori was partially solubilized by co-expression with chaperonins GroEL/GroES in E. coli, and purified to homogeneity. The enzyme reaction follows an ordered sequential mechanism with the following kinetic parameters: K(m) (PEP), 3 microM; K(m) (E4P), 6 microM; and kcat, 3.3 s(-1). The enzyme reaction involves interaction of the si face of PEP with the re face of E4P. H. pylori DAH7P synthase is not inhibited by phenylalanine, tyrosine, tryptophan or chorismate. EDTA inactivates the enzyme, and activity is restored by a range of bivalent metal ions, including (in order of decreasing effectiveness) Co2+, Mn2+, Ca2+, Mg2+, Cu2+ and Zn2+. Analysis of type II DAH7P synthase sequences reveals several highly conserved motifs, and comparison with the type I enzymes suggests that catalysis by these two enzyme types occurs on a similar active-site scaffold and that the two DAH7P synthase families may indeed be distantly related.

  9. Molecular biological effects of selective neuronal nitric oxide synthase inhibition in ovine lung injury

    PubMed Central

    Westphal, Martin; Enkhbaatar, Perenlei; Wang, Jianpu; Pazdrak, Konrad; Nakano, Yoshimitsu; Hamahata, Atsumori; Jonkam, Collette C.; Lange, Matthias; Connelly, Rhykka L.; Kulp, Gabriela A.; Cox, Robert A.; Hawkins, Hal K.; Schmalstieg, Frank C.; Horvath, Eszter; Szabo, Csaba; Traber, Lillian D.; Whorton, Elbert; Herndon, David N.; Traber, Daniel L.

    2010-01-01

    Neuronal nitric oxide synthase is critically involved in the pathogenesis of acute lung injury resulting from combined burn and smoke inhalation injury. We hypothesized that 7-nitroindazole, a selective neuronal nitric oxide synthase inhibitor, blocks central molecular mechanisms involved in the pathophysiology of this double-hit insult. Twenty-five adult ewes were surgically prepared and randomly allocated to 1) an uninjured, untreated sham group (n = 7), 2) an injured control group with no treatment (n = 7), 3) an injury group treated with 7-nitroindazole from 1-h postinjury to the remainder of the 24-h study period (n = 7), or 4) a sham-operated group subjected only to 7-nitroindazole to judge the effects in health. The combination injury was associated with twofold increased activity of neuronal nitric oxide synthase and oxidative/nitrosative stress, as indicated by significant increases in plasma nitrate/nitrite concentrations, 3-nitrotyrosine (an indicator of peroxynitrite formation), and malondialdehyde lung tissue content. The presence of systemic inflammation was evidenced by twofold, sixfold, and threefold increases in poly(ADP-ribose) polymerase, IL-8, and myeloperoxidase lung tissue concentrations, respectively (each P < 0.05 vs. sham). These molecular changes were linked to tissue damage, airway obstruction, and pulmonary shunting with deteriorated gas exchange. 7-Nitroindazole blocked, or at least attenuated, all these pathological changes. Our findings suggest 1) that nitric oxide formation derived from increased neuronal nitric oxide synthase activity represents a pivotal reactive agent in the patho-physiology of combined burn and smoke inhalation injury and 2) that selective neuronal nitric oxide synthase inhibition represents a goal-directed approach to attenuate the degree of injury. PMID:19965980

  10. Molecular and biochemical characterization of caffeine synthase and purine alkaloid concentration in guarana fruit.

    PubMed

    Schimpl, Flávia Camila; Kiyota, Eduardo; Mayer, Juliana Lischka Sampaio; Gonçalves, José Francisco de Carvalho; da Silva, José Ferreira; Mazzafera, Paulo

    2014-09-01

    Guarana seeds have the highest caffeine concentration among plants accumulating purine alkaloids, but in contrast with coffee and tea, practically nothing is known about caffeine metabolism in this Amazonian plant. In this study, the levels of purine alkaloids in tissues of five guarana cultivars were determined. Theobromine was the main alkaloid that accumulated in leaves, stems, inflorescences and pericarps of fruit, while caffeine accumulated in the seeds and reached levels from 3.3% to 5.8%. In all tissues analysed, the alkaloid concentration, whether theobromine or caffeine, was higher in young/immature tissues, then decreasing with plant development/maturation. Caffeine synthase activity was highest in seeds of immature fruit. A nucleotide sequence (PcCS) was assembled with sequences retrieved from the EST database REALGENE using sequences of caffeine synthase from coffee and tea, whose expression was also highest in seeds from immature fruit. The PcCS has 1083bp and the protein sequence has greater similarity and identity with the caffeine synthase from cocoa (BTS1) and tea (TCS1). A recombinant PcCS allowed functional characterization of the enzyme as a bifunctional CS, able to catalyse the methylation of 7-methylxanthine to theobromine (3,7-dimethylxanthine), and theobromine to caffeine (1,3,7-trimethylxanthine), respectively. Among several substrates tested, PcCS showed higher affinity for theobromine, differing from all other caffeine synthases described so far, which have higher affinity for paraxanthine. When compared to previous knowledge on the protein structure of coffee caffeine synthase, the unique substrate affinity of PcCS is probably explained by the amino acid residues found in the active site of the predicted protein. Copyright © 2014 Elsevier Ltd. All rights reserved.

  11. Spider Mite-Induced (3S)-(E)-Nerolidol Synthase Activity in Cucumber and Lima Bean. The First Dedicated Step in Acyclic C11-Homoterpene Biosynthesis1

    PubMed Central

    Bouwmeester, Harro J.; Verstappen, Francel W.A.; Posthumus, Maarten A.; Dicke, Marcel

    1999-01-01

    Many plant species respond to herbivory with de novo production of a mixture of volatiles that attracts carnivorous enemies of the herbivores. One of the major components in the blend of volatiles produced by many different plant species in response to herbivory by insects and spider mites is the homoterpene 4,8-dimethyl-1,3(E),7-nonatriene. One study (J. Donath, W. Boland [1995] Phytochemistry 39: 785–790) demonstrated that a number of plant species can convert the acyclic sesquiterpene alcohol (3S)-(E)-nerolidol to this homoterpene. Cucumber (Cucumis sativus L.) and lima bean (Phaseolus lunatus L.) both produce 4,8-dimethyl-1,3(E),7-nonatriene in response to herbivory. We report the presence in cucumber and lima bean of a sesquiterpene synthase catalyzing the formation of (3S)-(E)-nerolidol from farnesyl diphosphate. The enzyme is inactive in uninfested cucumber leaves, slightly active in uninfested lima bean leaves, and strongly induced by feeding of the two-spotted spider mite (Tetranychus urticae Koch) on both plant species, but not by mechanical wounding. The activities of the (3S)-(E)-nerolidol synthase correlated well with the levels of release of 4,8-dimethyl-1,3(E),7-nonatriene from the leaves of the different treatments. Thus, (3S)-(E)-nerolidol synthase is a good candidate for a regulatory role in the release of the important signaling molecule 4,8-dimethyl-1,3(E),7-nonatriene. PMID:10482672

  12. Glycogen Synthase in Sertoli Cells: More Than Glycogenesis?

    PubMed

    Maldonado, Rodrigo; Mancilla, Héctor; Villarroel-Espíndola, Franz; Slebe, Felipe; Slebe, Juan Carlos; Méndez, Raúl; Guinovart, Joan J; Concha, Ilona I

    2016-11-01

    Sertoli cell metabolism actively maintains the nutritional needs of germ cells. It has been described that after glucose incorporation in Sertoli cells, less than 1% is converted to glycogen suggesting low levels of glycogen synthase activity. Phosphorylation of muscle glycogen synthase (MGS) at serine 640 (pS640MGS) decreases its activity, and this form of the enzyme was discovered as a non-ribosomal protein that modulates the translation of a subset of transcripts in HeLa cells. The aim of our study was to functionally characterize MGS in cultured Sertoli cells, as well as to explore this new feature related to RNA molecules. We detected MGS in the cytoplasm of Sertoli cells as well as in the nuclei. The activity rates of the enzyme were extremely low indicating that MGS is expressed but almost inactive. Protein targeting to glycogen (PTG) overexpression was performed to activate MGS by dephosphorylation. PTG induced glycogen synthesis massively, confirming that this enzyme is present but inactive. This finding correlates with high levels of pS640MGS, which were assayed by phosphatase treatment. To explore a putative new function for MGS in Sertoli cells, we performed RNA immunoprecipitation coupled to microarray studies. The results revealed that MGS co-immunoprecipitated with the several mRNAs and also rRNAs. These findings indicate that MGS is expressed Sertoli cells but in an inactive form, and also support a possibly novel feature of this metabolic enzyme associated with RNA-related molecules. J. Cell. Biochem. 117: 2597-2607, 2016. © 2016 Wiley Periodicals, Inc. © 2016 Wiley Periodicals, Inc.

  13. Crystal structure of 5-enolpyruvylshikimate-3-phosphate (EPSP) synthase from the ESKAPE pathogen Acinetobacter baumannii

    PubMed Central

    Sutton, Kristin A.; Breen, Jennifer; Russo, Thomas A.; Schultz, L. Wayne; Umland, Timothy C.

    2016-01-01

    The enzyme 5-enolpyruvylshikimate-3-phosphate (EPSP) synthase catalyzes the sixth step of the seven-step shikimate pathway. Chorismate, the product of the pathway, is a precursor for the biosynthesis of aromatic amino acids, siderophores and metabolites such as folate, ubiquinone and vitamin K. The shikimate pathway is present in bacteria, fungi, algae, plants and apicomplexan parasites, but is absent in humans. The EPSP synthase enzyme produces 5-enolpyruvylshikimate 3-phosphate and phosphate from phosphoenolpyruvate and shikimate 3-phosphate via a transferase reaction, and is the target of the herbicide glyphosate. The Acinetobacter baumannii gene encoding EPSP synthase, aroA, has previously been demonstrated to be essential during host infection for the growth and survival of this clinically important drug-resistant ESKAPE pathogen. Prephenate dehydrogenase is also encoded by the bifunctional A. baumannii aroA gene, but its activity is dependent upon EPSP synthase since it operates downstream of the shikimate pathway. As part of an effort to evaluate new antimicrobial targets, recombinant A. baumannii EPSP (AbEPSP) synthase, comprising residues Ala301–Gln756 of the aroA gene product, was overexpressed in Escherichia coli, purified and crystallized. The crystal structure, determined to 2.37 Å resolution, is described in the context of a potential antimicrobial target and in comparison to EPSP synthases that are resistant or sensitive to the herbicide glyphosate. PMID:26919521

  14. Crystal structure of 5-enolpyruvylshikimate-3-phosphate (EPSP) synthase from the ESKAPE pathogen Acinetobacter baumannii.

    PubMed

    Sutton, Kristin A; Breen, Jennifer; Russo, Thomas A; Schultz, L Wayne; Umland, Timothy C

    2016-03-01

    The enzyme 5-enolpyruvylshikimate-3-phosphate (EPSP) synthase catalyzes the sixth step of the seven-step shikimate pathway. Chorismate, the product of the pathway, is a precursor for the biosynthesis of aromatic amino acids, siderophores and metabolites such as folate, ubiquinone and vitamin K. The shikimate pathway is present in bacteria, fungi, algae, plants and apicomplexan parasites, but is absent in humans. The EPSP synthase enzyme produces 5-enolpyruvylshikimate 3-phosphate and phosphate from phosphoenolpyruvate and shikimate 3-phosphate via a transferase reaction, and is the target of the herbicide glyphosate. The Acinetobacter baumannii gene encoding EPSP synthase, aroA, has previously been demonstrated to be essential during host infection for the growth and survival of this clinically important drug-resistant ESKAPE pathogen. Prephenate dehydrogenase is also encoded by the bifunctional A. baumannii aroA gene, but its activity is dependent upon EPSP synthase since it operates downstream of the shikimate pathway. As part of an effort to evaluate new antimicrobial targets, recombinant A. baumannii EPSP (AbEPSP) synthase, comprising residues Ala301-Gln756 of the aroA gene product, was overexpressed in Escherichia coli, purified and crystallized. The crystal structure, determined to 2.37 Å resolution, is described in the context of a potential antimicrobial target and in comparison to EPSP synthases that are resistant or sensitive to the herbicide glyphosate.

  15. A single active trehalose-6-P synthase (TPS) and a family of putative regulatory TPS-like proteins in Arabidopsis.

    PubMed

    Vandesteene, Lies; Ramon, Matthew; Le Roy, Katrien; Van Dijck, Patrick; Rolland, Filip

    2010-03-01

    Higher plants typically do not produce trehalose in large amounts, but their genome sequences reveal large families of putative trehalose metabolism enzymes. An important regulatory role in plant growth and development is also emerging for the metabolic intermediate trehalose-6-P (T6P). Here, we present an update on Arabidopsis trehalose metabolism and a resource for further detailed analyses. In addition, we provide evidence that Arabidopsis encodes a single trehalose-6-P synthase (TPS) next to a family of catalytically inactive TPS-like proteins that might fulfill specific regulatory functions in actively growing tissues.

  16. A Therapeutic Connection between Dietary Phytochemicals and ATP Synthase.

    PubMed

    Ahmad, Zulfiqar; Hassan, Sherif S; Azim, Sofiya

    2017-11-20

    For centuries, phytochemicals have been used to prevent and cure multiple health ailments. Phytochemicals have been reported to have antioxidant, antidiabetic, antitussive, antiparasitic, anticancer, and antimicrobial properties. Generally, the therapeutic use of phytochemicals is based on tradition or word of mouth with few evidence-based studies. Moreover, molecular level interactions or molecular targets for the majority of phytochemicals are unknown. In recent years, antibiotic resistance by microbes has become a major healthcare concern. As such, the use of phytochemicals with antimicrobial properties has become pertinent. Natural compounds from plants, vegetables, herbs, and spices with strong antimicrobial properties present an excellent opportunity for preventing and combating antibiotic resistant microbial infections. ATP synthase is the fundamental means of cellular energy. Inhibition of ATP synthase may deprive cells of required energy leading to cell death, and a variety of dietary phytochemicals are known to inhibit ATP synthase. Structural modifications of phytochemicals have been shown to increase the inhibitory potency and extent of inhibition. Sitedirected mutagenic analysis has elucidated the binding site(s) for some phytochemicals on ATP synthase. Amino acid variations in and around the phytochemical binding sites can result in selective binding and inhibition of microbial ATP synthase. In this review, the therapeutic connection between dietary phytochemicals and ATP synthase is summarized based on the inhibition of ATP synthase by dietary phytochemicals. Research suggests selective targeting of ATP synthase is a valuable alternative molecular level approach to combat antibiotic resistant microbial infections. Copyright© Bentham Science Publishers; For any queries, please email at epub@benthamscience.org.

  17. A Therapeutic Connection between Dietary Phytochemicals and ATP Synthase

    PubMed Central

    Ahmad, Zulfiqar; Hassan, Sherif S.; Azim, Sofiya

    2017-01-01

    For centuries, phytochemicals have been used to prevent and cure multiple health ailments. Phytochemicals have been reported to have antioxidant, antidiabetic, antitussive, antiparasitic, anticancer, and antimicrobial properties. Generally, the therapeutic use of phy-tochemicals is based on tradition or word of mouth with few evidence-based studies. Moreo-ver, molecular level interactions or molecular targets for the majority of phytochemicals are unknown. In recent years, antibiotic resistance by microbes has become a major healthcare concern. As such, the use of phytochemicals with antimicrobial properties has become perti-nent. Natural compounds from plants, vegetables, herbs, and spices with strong antimicrobial properties present an excellent opportunity for preventing and combating antibiotic resistant microbial infections. ATP synthase is the fundamental means of cellular energy. Inhibition of ATP synthase may deprive cells of required energy leading to cell death, and a variety of die-tary phytochemicals are known to inhibit ATP synthase. Structural modifications of phyto-chemicals have been shown to increase the inhibitory potency and extent of inhibition. Site-directed mutagenic analysis has elucidated the binding site(s) for some phytochemicals on ATP synthase. Amino acid variations in and around the phytochemical binding sites can re-sult in selective binding and inhibition of microbial ATP synthase. In this review, the therapeu-tic connection between dietary phytochemicals and ATP synthase is summarized based on the inhibition of ATP synthase by dietary phytochemicals. Research suggests selective target-ing of ATP synthase is a valuable alternative molecular level approach to combat antibiotic resistant microbial infections. PMID:28831918

  18. Divinyl ether synthase gene and protein, and uses thereof

    DOEpatents

    Howe, Gregg A [East Lansing, MI; Itoh, Aya [Tsuruoka, JP

    2011-09-13

    The present invention relates to divinyl ether synthase genes, proteins, and methods of their use. The present invention encompasses both native and recombinant wild-type forms of the synthase, as well as mutants and variant forms, some of which possess altered characteristics relative to the wild-type synthase. The present invention also relates to methods of using divinyl ether synthase genes and proteins, including in their expression in transgenic organisms and in the production of divinyl ether fatty acids, and to methods of suing divinyl ether fatty acids, including in the protection of plants from pathogens.

  19. Divinyl ether synthase gene, and protein and uses thereof

    DOEpatents

    Howe, Gregg A.; Itoh, Aya

    2006-12-26

    The present invention relates to divinyl ether synthase genes, proteins, and methods of their use. The present invention encompasses both native and recombinant wild-type forms of the synthase, as well as mutants and variant forms, some of which possess altered characteristics relative to the wild-type synthase. The present invention also relates to methods of using divinyl ether synthase genes and proteins, including in their expression in transgenic organisms and in the production of divinyl ether fatty acids, and to methods of suing divinyl ether fatty acids, including in the protection of plants from pathogens.

  20. RNA-Seq in the discovery of a sparsely expressed scent-determining monoterpene synthase in lavender (Lavandula).

    PubMed

    Adal, Ayelign M; Sarker, Lukman S; Malli, Radesh P N; Liang, Ping; Mahmoud, Soheil S

    2018-06-09

    Using RNA-Seq, we cloned and characterized a unique monoterpene synthase responsible for the formation of a scent-determining S-linalool constituent of lavender oils from Lavandula × intermedia. Several species of Lavandula produce essential oils (EOs) consisting mainly of monoterpenes including linalool, one of the most abundant and scent-determining oil constituents. Although R-linalool dominates the EOs of lavenders, varying amounts (depending on the species) of the S-linalool enantiomer can also be found in these plants. Despite its relatively low abundance, S-linalool contributes a sweet, pleasant scent and is an important constituent of lavender EOs. While several terpene synthase genes including R-linalool synthase have been cloned from lavenders many important terpene synthases including S-linalool synthase have not been described from these plants. In this study, we employed RNA-Seq and other complementary sequencing data to clone and functionally characterize the sparsely expressed S-linalool synthase cDNA (LiS-LINS) from Lavandula × intermedia. Recombinant LiS-LINS catalyzed the conversion of the universal monoterpene precursor geranyl diphosphate to S-linalool as the sole product. Intriguingly, LiS-LINS exhibited very low (~ 30%) sequence similarity to other Lavandula terpene synthases, including R-linalool synthase. However, the predicted 3D structure of this protein, including the composition and arrangement of amino acids at the active site, is highly homologous to known terpene synthase proteins. LiS-LINS transcripts were detected in flowers, but were much less abundant than those corresponding to LiR-LINS, paralleling enantiomeric composition of linalool in L. × intermedia oils. These data indicate that production of S-linalool is at least partially controlled at the level of transcription from LiS-LINS. The cloned LiS-LINS cDNA may be used to enhance oil composition in lavenders and other plants through metabolic engineering.

  1. Glycogen synthase kinase-3 as drug target: from wallflower to center of attention.

    PubMed

    Van Wauwe, Jean; Haefner, Burkhard

    2003-11-01

    Some 20 years ago, glycogen synthase kinase-3 (GSK-3) was categorized as one of several protein kinases that could phosphorylate glycogen synthase and regulate the glucose metabolism pathway. Today, GSK-3 is being identified as a ubiquitous serine/threonine protein kinase that participates in a multitude of cellular processes, ranging from cell membrane-to-nucleus signaling, gene transcription, translation, cytoskeletal organization to cell cycle progression and survival. Two functional aspects make GSK-3 a peculiar kinase: its activity is constitutive and downregulated after cell activation by phosphorylation or interaction with inhibitory proteins, and the enzyme prefers substrates that are specifically prepared, that is prephosphorylated, by other kinases. Its pleiotropic but unique activities have made GSK-3 a much sought-after target for the treatment of prevalent human diseases such as type 2 diabetes and Alzheimer's disease. Recent drug discovery efforts have identified small-molecule, orally active inhibitors of GSK-3. This accomplishment may represent the first step toward the development of novel therapeutic agents.

  2. Trehalose-6-phosphate synthase 1 is not the only active TPS in Arabidopsis thaliana.

    PubMed

    Delorge, Ines; Figueroa, Carlos M; Feil, Regina; Lunn, John E; Van Dijck, Patrick

    2015-03-01

    Trehalose metabolism is essential for normal growth and development in higher plants. It is synthesized in a two-step pathway catalysed by TPS (trehalose-6-phosphate synthase) and trehalose phosphatase. Arabidopsis thaliana has 11 TPS or TPS-like proteins, which belong to two distinct clades: class I (AtTPS1-AtTPS4) and class II (AtTPS5-AtTPS11). Only AtTPS1 has previously been shown to have TPS activity. A. thaliana tps1∆ mutants fail to complete embryogenesis and rescued lines have stunted growth and delayed flowering, indicating that AtTPS1 is important throughout the life cycle. In the present study, we show that expression of AtTPS2 or AtTPS4 enables the yeast tps1∆ tps2∆ mutant to grow on glucose and accumulate Tre6P (trehalose 6-phosphate) and trehalose. Class II TPS genes did not complement the yeast mutant. Thus A. thaliana has at least three catalytically active TPS isoforms, suggesting that loss of Tre6P production might not be the only reason for the growth defects of A. thaliana tps1 mutants.

  3. Interleukin-2-induced survival of natural killer (NK) cells involving phosphatidylinositol-3 kinase-dependent reduction of ceramide through acid sphingomyelinase, sphingomyelin synthase, and glucosylceramide synthase.

    PubMed

    Taguchi, Yoshimitsu; Kondo, Tadakazu; Watanabe, Mitsumasa; Miyaji, Michihiko; Umehara, Hisanori; Kozutsumi, Yasunori; Okazaki, Toshiro

    2004-11-15

    Interleukin 2 (IL-2) rescued human natural killer (NK) KHYG-1 cells from apoptosis along with a reduction of ceramide. Conversely, an increase of ceramide inhibited IL-2-rescued survival. IL-2 deprivation-induced activation of acid sphingomyelinase (SMase) and inhibition of glucosylceramide synthase (GCS) and sphingomyelin synthase (SMS) were normalized by IL-2 supplementation. A phosphatidyl inositol-3 (PI-3) kinase inhibitor, LY294002, inhibited IL-2-rescued survival, but a mitogen-activated protein kinase inhibitor, PD98059, and an inhibitor of Janus tyrosine kinase/signal transducer and activator of transcription pathway, AG490, did not. LY294002 inhibited IL-2-induced reduction of ceramide through activation of acid SMase and inhibition of GCS and SMS, suggesting the positive involvement of PI-3 kinase in ceramide reduction through enzymatic regulation. Indeed, a constitutively active PI-3 kinase enhanced growth rate and ceramide reduction through inhibition of acid SMase and activation of GCS and SMS. Further, LY294002 inhibited IL-2-induced changes of transcriptional level as well as mRNA and protein levels in acid SMase and GCS but did not affect the stability of the mRNAs. These results suggest that PI-3 kinase-dependent reduction of ceramide through regulation of acid SMase, GCS, and SMS plays a role in IL-2-rescued survival of NK cells.

  4. Chloroplast ATP Synthase Modulation of the Thylakoid Proton Motive Force: Implications for Photosystem I and Photosystem II Photoprotection

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kanazawa, Atsuko; Ostendorf, Elisabeth; Kohzuma, Kaori

    In wild type plants, decreasing CO 2 lowers the activity of the chloroplast ATP synthase, slowing proton efflux from the thylakoid lumen resulting in buildup of thylakoid proton motive force (pmf). The resulting acidification of the lumen regulates both light harvesting, via the qE mechanism, and photosynthetic electron transfer through the cytochrome b 6f complex. Here in this paper, we show that the cfq mutant of Arabidopsis, harboring single point mutation in its γ-subunit of the chloroplast ATP synthase, increases the specific activity of the ATP synthase and disables its down-regulation under low CO 2. The increased thylakoid proton conductivitymore » (g H +) in cfq results in decreased pmf and lumen acidification, preventing full activation of qE and more rapid electron transfer through the b6f complex, particularly under low CO 2 and fluctuating light. These conditions favor the accumulation of electrons on the acceptor side of PSI, and result in severe loss of PSI activity. Comparing the current results with previous work on the pgr5 mutant suggests a general mechanism where increased PSI photodamage in both mutants is caused by loss of pmf, rather than inhibition of CEF per se. Overall, our results support a critical role for ATP synthase regulation in maintaining photosynthetic control of electron transfer to prevent photodamage.« less

  5. Chloroplast ATP Synthase Modulation of the Thylakoid Proton Motive Force: Implications for Photosystem I and Photosystem II Photoprotection

    DOE PAGES

    Kanazawa, Atsuko; Ostendorf, Elisabeth; Kohzuma, Kaori; ...

    2017-05-03

    In wild type plants, decreasing CO 2 lowers the activity of the chloroplast ATP synthase, slowing proton efflux from the thylakoid lumen resulting in buildup of thylakoid proton motive force (pmf). The resulting acidification of the lumen regulates both light harvesting, via the qE mechanism, and photosynthetic electron transfer through the cytochrome b 6f complex. Here in this paper, we show that the cfq mutant of Arabidopsis, harboring single point mutation in its γ-subunit of the chloroplast ATP synthase, increases the specific activity of the ATP synthase and disables its down-regulation under low CO 2. The increased thylakoid proton conductivitymore » (g H +) in cfq results in decreased pmf and lumen acidification, preventing full activation of qE and more rapid electron transfer through the b6f complex, particularly under low CO 2 and fluctuating light. These conditions favor the accumulation of electrons on the acceptor side of PSI, and result in severe loss of PSI activity. Comparing the current results with previous work on the pgr5 mutant suggests a general mechanism where increased PSI photodamage in both mutants is caused by loss of pmf, rather than inhibition of CEF per se. Overall, our results support a critical role for ATP synthase regulation in maintaining photosynthetic control of electron transfer to prevent photodamage.« less

  6. Discovery of a new polyhydroxyalkanoate synthase from limestone soil through metagenomic approach.

    PubMed

    Tai, Yen Teng; Foong, Choon Pin; Najimudin, Nazalan; Sudesh, Kumar

    2016-04-01

    PHA synthase (PhaC) is the key enzyme in the production of biodegradable plastics known as polyhydroxyalkanoate (PHA). Nevertheless, most of these enzymes are isolated from cultivable bacteria using traditional isolation method. Most of the microorganisms found in nature could not be successfully cultivated due to the lack of knowledge on their growth conditions. In this study, a culture-independent approach was applied. The presence of phaC genes in limestone soil was screened using primers targeting the class I and II PHA synthases. Based on the partial gene sequences, a total of 19 gene clusters have been identified and 7 clones were selected for full length amplification through genome walking. The complete phaC gene sequence of one of the clones (SC8) was obtained and it revealed 81% nucleotide identity to the PHA synthase gene of Chromobacterium violaceum ATCC 12472. This gene obtained from uncultured bacterium was successfully cloned and expressed in a Cupriavidus necator PHB(-)4 PHA-negative mutant resulting in the accumulation of significant amount of PHA. The PHA synthase activity of this transformant was 64 ± 12 U/g proteins. This paper presents a pioneering study on the discovery of phaC in a limestone area using metagenomic approach. Through this study, a new functional phaC was discovered from uncultured bacterium. Phylogenetic classification for all the phaCs isolated from this study has revealed that limestone hill harbors a great diversity of PhaCs with activities that have not yet been investigated. Copyright © 2015 The Society for Biotechnology, Japan. Published by Elsevier B.V. All rights reserved.

  7. Molecular Diversity of Terpene Synthases in the Liverwort Marchantia polymorpha[OPEN

    PubMed Central

    Zhuang, Xun; Jiang, Zuodong; Jia, Qidong; Babbitt, Patricia C.

    2016-01-01

    Marchantia polymorpha is a basal terrestrial land plant, which like most liverworts accumulates structurally diverse terpenes believed to serve in deterring disease and herbivory. Previous studies have suggested that the mevalonate and methylerythritol phosphate pathways, present in evolutionarily diverged plants, are also operative in liverworts. However, the genes and enzymes responsible for the chemical diversity of terpenes have yet to be described. In this study, we resorted to a HMMER search tool to identify 17 putative terpene synthase genes from M. polymorpha transcriptomes. Functional characterization identified four diterpene synthase genes phylogenetically related to those found in diverged plants and nine rather unusual monoterpene and sesquiterpene synthase-like genes. The presence of separate monofunctional diterpene synthases for ent-copalyl diphosphate and ent-kaurene biosynthesis is similar to orthologs found in vascular plants, pushing the date of the underlying gene duplication and neofunctionalization of the ancestral diterpene synthase gene family to >400 million years ago. By contrast, the mono- and sesquiterpene synthases represent a distinct class of enzymes, not related to previously described plant terpene synthases and only distantly so to microbial-type terpene synthases. The absence of a Mg2+ binding, aspartate-rich, DDXXD motif places these enzymes in a noncanonical family of terpene synthases. PMID:27650333

  8. A reassessment of substrate specificity and activation of phytochelatin synthases from model plants by physiologically relevant metals.

    PubMed

    Loscos, Jorge; Naya, Loreto; Ramos, Javier; Clemente, Maria R; Matamoros, Manuel A; Becana, Manuel

    2006-04-01

    Phytochelatin synthases (PCS) catalyze phytochelatin (PC) synthesis from glutathione (GSH) in the presence of certain metals. The resulting PC-metal complexes are transported into the vacuole, avoiding toxic effects on metabolism. Legumes have the unique capacity to partially or completely replace GSH by homoglutathione (hGSH) and PCs by homophytochelatins (hPCs). However, the synthesis of hPCs has received little attention. A search for PCS genes in the model legume Lotus (Lotus japonicus) resulted in the isolation of a cDNA clone encoding a protein (LjPCS1) highly homologous to a previously reported homophytochelatin synthase (hPCS) of Glycine max (GmhPCS1). Recombinant LjPCS1 and Arabidopsis (Arabidopsis thaliana) PCS1 (AtPCS1) were affinity purified and their polyhistidine-tags removed. AtPCS1 catalyzed hPC synthesis from hGSH alone at even higher rates than did LjPCS1, indicating that GmhPCS1 is not a genuine hPCS and that a low ratio of hPC to PC synthesis is an inherent feature of PCS1 enzymes. For both enzymes, hGSH is a good acceptor, but a poor donor, of gamma-glutamylcysteine units. Purified AtPCS1 and LjPCS1 were activated (in decreasing order) by Cd2+, Zn2+, Cu2+, and Fe3+, but not by Co2+ or Ni2+, in the presence of 5 mm GSH and 50 microm metal ions. Activation of both enzymes by Fe3+ was proven by the complete inhibition of PC synthesis by the iron-specific chelator desferrioxamine. Plants of Arabidopsis and Lotus accumulated (h)PCs only in response to a large excess of Cu2+ and Zn2+, but to a much lower extent than did with Cd2+, indicating that (h)PC synthesis does not significantly contribute in vivo to copper, zinc, and iron detoxification.

  9. Constitutive arginine-dependent nitric oxide synthase activity in different organs of pea seedlings during plant development.

    PubMed

    Corpas, Francisco J; Barroso, Juan B; Carreras, Alfonso; Valderrama, Raquel; Palma, José M; León, Ana M; Sandalio, Luisa M; del Río, Luis A

    2006-07-01

    Nitric oxide (NO) is an important signalling molecule in different animal and plant physiological processes. Little is known about its biological function in plants and on the enzymatic source or site of NO production during plant development. The endogenous NO production from L-arginine (NO synthase activity) was analyzed in leaves, stems and roots during plant development, using pea seedlings as a model. NOS activity was analyzed using a novel chemiluminescence-based assay which is more sensitive and specific than previous methods used in plant tissues. In parallel, NO accumulation was analyzed by confocal laser scanning microscopy using as fluorescent probes either DAF-2 DA or DAF-FM DA. A strong increase in NOS activity was detected in stems after 11 days growth, coinciding with the maximum stem elongation. The arginine-dependent NOS activity was constitutive and sensitive to aminoguanidine, a well-known irreversible inhibitor of animal NOS, and this NOS activity was differentially modulated depending on the plant organ and seedling developmental stage. In all tissues studied, NO was localized mainly in the vascular tissue (xylem) and epidermal cells and in root hairs. These loci of NO generation and accumulation suggest novel functions for NO in these cell types.

  10. Effect of photoperiod prior to cold acclimation on freezing tolerance and carbohydrate metabolism in alfalfa (Medicago sativa L.).

    PubMed

    Bertrand, Annick; Bipfubusa, Marie; Claessens, Annie; Rocher, Solen; Castonguay, Yves

    2017-11-01

    Cold acclimation proceeds sequentially in response to decreases in photoperiod and temperature. This study aimed at assessing the impact of photoperiod prior to cold acclimation on freezing tolerance and related biochemical and molecular responses in two alfalfa cultivars. The fall dormant cultivar Evolution and semi-dormant cultivar 6010 were grown in growth chambers under different photoperiods (8, 10, 12, 14 or 16h) prior to cold acclimation. Freezing tolerance was evaluated as well as carbohydrate concentrations, levels of transcripts encoding enzymes of carbohydrate metabolism as well as a K-3dehydrin, before and after cold acclimation. The fall dormant cultivar Evolution had a better freezing tolerance than the semi-dormant cultivar 6010. The effect of photoperiod prior to cold acclimation on the level of freezing tolerance differed between the two cultivars: an 8h-photoperiod induced the highest level of freezing tolerance in Evolution and the lowest in 6010. In Evolution, the 8h-induced superior freezing tolerance was associated with higher concentration of raffinose-family oligosaccharides (RFO). The transcript levels of sucrose synthase (SuSy) decreased whereas those of sucrose phosphatase synthase (SPS) and galactinol synthase (GaS) increased in response to cold acclimation in both cultivars. Our results indicate that RFO metabolism could be involved in short photoperiod-induced freezing tolerance in dormant alfalfa cultivars. Crown Copyright © 2017. Published by Elsevier B.V. All rights reserved.

  11. In Vitro Investigation of Crosstalk between Fatty Acid and Polyketide Synthases in the Andrimid Biosynthetic Assembly Line.

    PubMed

    Ishikawa, Fumihiro; Sugimoto, Hiroyasu; Kakeya, Hideaki

    2016-11-17

    Andrimid (Adm) synthase, which belongs to the type II system of enzymes, produces Adm in Pantoea agglomerans. The adm biosynthetic gene cluster lacks canonical acyltransferases (ATs) to load the malonyl group to acyl carrier proteins (ACPs), thus suggesting that a malonyl-CoA ACP transacylase (MCAT) from the fatty acid synthase (FAS) complex provides the essential AT activity in Adm biosynthesis. Here we report that an MCAT is essential for catalysis of the transacylation of malonate from malonyl-CoA to AdmA polyketide synthase (PKS) ACP in vitro. Catalytic self-malonylation of AdmA (PKS ACP) was not observed in reactions without MCAT, although many type II PKS ACPs are capable of catalyzing self-acylation. This lack of self-malonylation was explained by amino acid sequence analysis of the AdmA PKS ACP and the type II PKS ACPs. The results show that MCAT from the organism's FAS complex can provide the missing AT activity in trans, thus suggesting a protein-protein interaction between the fatty acid and polyketide synthases in the Adm assembly line. © 2016 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Antifungal Activity of Salvia miltiorrhiza Against Candida albicans Is Associated with the Alteration of Membrane Permeability and (1,3)-β-D-Glucan Synthase Activity.

    PubMed

    Lee, Heung-Shick; Kim, Younhee

    2016-03-01

    Candidiasis has posed a serious health risk to immunocompromised patients owing to the increase in resistant yeasts, and Candida albicans is the prominent pathogen of fungal infections. Therefore, there is a critical need for the discovery and characterization of novel antifungals to treat infections caused by C. albicans. In the present study, we report on the antifungal activity of the ethanol extract from Salvia miltiorrhiza against C. albicans and the possible mode of action against C. albicans. The increase in the membrane permeability was evidenced by changes in diphenylhexatriene binding and release of both 260-nm-absorbing intracellular materials and protein. In addition, inhibition of cell wall synthesis was demonstrated by the enhanced minimal inhibitory concentration in the presence of sorbitol and reduced (1,3)-β-D-glucan synthase activity. The above evidence supports the notion that S. miltiorrhiza has antifungal activity against C. albicans by the synergistic activity of targeting the cell membrane and cell wall. These findings indicate that S. miltiorrhiza displays effective activity against C. albicans in vitro and merits further investigation to treat C. albicans-associated infections.

  13. Expression of Allene Oxide Synthase Determines Defense Gene Activation in Tomato1

    PubMed Central

    Sivasankar, Sobhana; Sheldrick, Bay; Rothstein, Steven J.

    2000-01-01

    Allene oxide synthase (AOS; hydroperoxide dehydratase; EC 4.2.1.92) catalyzes the first step in the biosynthesis of jasmonic acid from lipoxygenase-derived hydroperoxides of free fatty acids. Using the AOS cDNA from tomato (Lycopersicon esculentum), in which the role of jasmonic acid in wound-induced defense gene activation has been best described, we examined the kinetics of AOS induction in response to wounding and elicitors, in parallel with that of the wound-inducible PIN II (proteinase inhibitor II) gene. AOS was induced in leaves by wounding, systemin, 12-oxophytodienoic acid, and methyl jasmonate. The levels of AOS mRNA started declining by 4 h after induction, whereas the levels of PIN II mRNA continued to increase up to 20 h after induction. Salicylic acid inhibited AOS and PIN II expression, and the addition of 12-oxophytodienoic acid or methyl jasmonate did not prevent the inhibition of PIN II expression in the presence of salicylic acid. Ethylene induced the expression of AOS, but the presence of ethylene alone did not produce an optimal induction of PIN II. The addition of silver thiosulfate, an ethylene action inhibitor, prevented the wound-induced expression of both AOS and PIN II. Products of hydroperoxide lyase affected neither AOS nor PIN II, but induced expression of prosystemin. Based on these results, we propose an updated model for defense gene activation in tomato. PMID:10759530

  14. Genomic Analysis of Terpene Synthase Family and Functional Characterization of Seven Sesquiterpene Synthases from Citrus sinensis

    PubMed Central

    Alquézar, Berta; Rodríguez, Ana; de la Peña, Marcos; Peña, Leandro

    2017-01-01

    Citrus aroma and flavor, chief traits of fruit quality, are derived from their high content in essential oils of most plant tissues, including leaves, stems, flowers, and fruits. Accumulated in secretory cavities, most components of these oils are volatile terpenes. They contribute to defense against herbivores and pathogens, and perhaps also protect tissues against abiotic stress. In spite of their importance, our understanding of the physiological, biochemical, and genetic regulation of citrus terpene volatiles is still limited. The availability of the sweet orange (Citrus sinensis L. Osbeck) genome sequence allowed us to characterize for the first time the terpene synthase (TPS) family in a citrus type. CsTPS is one of the largest angiosperm TPS families characterized so far, formed by 95 loci from which just 55 encode for putative functional TPSs. All TPS angiosperm families, TPS-a, TPS-b, TPS-c, TPS-e/f, and TPS-g were represented in the sweet orange genome, with 28, 18, 2, 2, and 5 putative full length genes each. Additionally, sweet orange β-farnesene synthase, (Z)-β-cubebene/α-copaene synthase, two β-caryophyllene synthases, and three multiproduct enzymes yielding β-cadinene/α-copaene, β-elemene, and β-cadinene/ledene/allo-aromandendrene as major products were identified, and functionally characterized via in vivo recombinant Escherichia coli assays. PMID:28883829

  15. Cellulose in Cyanobacteria. Origin of Vascular Plant Cellulose Synthase?

    PubMed Central

    Nobles, David R.; Romanovicz, Dwight K.; Brown, R. Malcolm

    2001-01-01

    Although cellulose biosynthesis among the cyanobacteria has been suggested previously, we present the first conclusive evidence, to our knowledge, of the presence of cellulose in these organisms. Based on the results of x-ray diffraction, electron microscopy of microfibrils, and cellobiohydrolase I-gold labeling, we report the occurrence of cellulose biosynthesis in nine species representing three of the five sections of cyanobacteria. Sequence analysis of the genomes of four cyanobacteria revealed the presence of multiple amino acid sequences bearing the DDD35QXXRW motif conserved in all cellulose synthases. Pairwise alignments demonstrated that CesAs from plants were more similar to putative cellulose synthases from Anabaena sp. Pasteur Culture Collection 7120 and Nostoc punctiforme American Type Culture Collection 29133 than any other cellulose synthases in the database. Multiple alignments of putative cellulose synthases from Anabaena sp. Pasteur Culture Collection 7120 and N. punctiforme American Type Culture Collection 29133 with the cellulose synthases of other prokaryotes, Arabidopsis, Gossypium hirsutum, Populus alba × Populus tremula, corn (Zea mays), and Dictyostelium discoideum showed that cyanobacteria share an insertion between conserved regions U1 and U2 found previously only in eukaryotic sequences. Furthermore, phylogenetic analysis indicates that the cyanobacterial cellulose synthases share a common branch with CesAs of vascular plants in a manner similar to the relationship observed with cyanobacterial and chloroplast 16s rRNAs, implying endosymbiotic transfer of CesA from cyanobacteria to plants and an ancient origin for cellulose synthase in eukaryotes. PMID:11598227

  16. Inhibition of platelet activation by lachrymatory factor synthase (LFS)-silenced (tearless) onion juice.

    PubMed

    Thomson, Susan J; Rippon, Paula; Butts, Chrissie; Olsen, Sarah; Shaw, Martin; Joyce, Nigel I; Eady, Colin C

    2013-11-06

    Onion and garlic are renowned for their roles as functional foods. The health benefits of garlic are attributed to di-2-propenyl thiosulfinate (allicin), a sulfur compound found in disrupted garlic but not found in disrupted onion. Recently, onions have been grown with repressed lachrymatory factor synthase (LFS) activity, which causes these onions to produce increased amounts of di-1-propenyl thiosulfinate, an isomer of allicin. This investigation into the key health attributes of LFS-silenced (tearless) onions demonstrates that they have some attributes more similar to garlic and that this is likely due to the production of novel thiosulfinate or metabolites. The key finding was that collagen-induced in vitro platelet aggregation was significantly reduced by tearless onion extract over normal onion extract. Thiosulfinate or derived compounds were shown not to be responsible for the observed changes in the inflammatory response of AGS (stomach adenocarcinoma) cells to tumor necrosis factor alpha (TNFα) when pretreated with model onion juices. A preliminary rat feeding trial indicated that the tearless onions may also play a key role in reducing weight gain.

  17. (-)-Epicatechin-induced recovery of mitochondria from simulated diabetes: Potential role of endothelial nitric oxide synthase.

    PubMed

    Ramírez-Sánchez, Israel; Rodríguez, Alonso; Moreno-Ulloa, Aldo; Ceballos, Guillermo; Villarreal, Francisco

    2016-05-01

    (-)-Epicatechin increases indicators associated with mitochondrial biogenesis in endothelial cells and myocardium. We investigated endothelial nitric oxide synthase involvement on (-)-epicatechin-induced increases in indicators associated with mitochondrial biogenesis in human coronary artery endothelial cells cultured in normal-glucose and high-glucose media, as well as to restore indicators of cardiac mitochondria from the effects of simulated diabetes. Here, we demonstrate the role of endothelial nitric oxide synthase on (-)-epicatechin-induced increases in mitochondrial proteins, transcription factors and sirtuin 1 under normal-glucose conditions. In simulated diabetes endothelial nitric oxide synthase function, mitochondrial function-associated and biogenesis-associated indicators were adversely impacted by high glucose, effects that were reverted by (-)-epicatechin. As an animal model of type 2 diabetes, 2-month old C57BL/6 mice were fed a high-fat diet for 16 weeks. Fasting and fed blood glucose levels were increased and NO plasma levels decreased. High-fat-diet-fed mice myocardium revealed endothelial nitric oxide synthase dysfunction, reduced mitochondrial activity and markers of mitochondrial biogenesis. The administration of 1 mg/kg (-)-epicatechin for 15 days by oral gavage shifted these endpoints towards control mice values. Results suggest that endothelial nitric oxide synthase mediates (-)-epicatechin-induced increases of indicators associated with mitochondrial biogenesis in endothelial cells. (-)-Epicatechin also counteracts the negative effects that high glucose or simulated type 2 diabetes has on endothelial nitric oxide synthase function. © The Author(s) 2016.

  18. Identification of a novel CoA synthase isoform, which is primarily expressed in Brain

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nemazanyy, Ivan; Panasyuk, Ganna; Breus, Oksana

    2006-03-24

    CoA and its derivatives Acetyl-CoA and Acyl-CoA are important players in cellular metabolism and signal transduction. CoA synthase is a bifunctional enzyme which mediates the final stages of CoA biosynthesis. In previous studies, we have reported molecular cloning, biochemical characterization, and subcellular localization of CoA synthase (CoASy). Here, we describe the existence of a novel CoA synthase isoform, which is the product of alternative splicing and possesses a 29aa extension at the N-terminus. We termed it CoASy {beta} and originally identified CoA synthase, CoASy {alpha}. The transcript specific for CoASy {beta} was identified by electronic screening and by RT-PCR analysismore » of various rat tissues. The existence of this novel isoform was further confirmed by immunoblot analysis with antibodies directed to the N-terminal peptide of CoASy {beta}. In contrast to CoASy {alpha}, which shows ubiquitous expression, CoASy {beta} is primarily expressed in Brain. Using confocal microscopy, we demonstrated that both isoforms are localized on mitochondria. The N-terminal extension does not affect the activity of CoA synthase, but possesses a proline-rich sequence which can bring the enzyme into complexes with signalling proteins containing SH3 or WW domains. The role of this novel isoform in CoA biosynthesis, especially in Brain, requires further elucidation.« less

  19. Biosynthesis of Lipoic Acid in Arabidopsis: Cloning and Characterization of the cDNA for Lipoic Acid Synthase1

    PubMed Central

    Yasuno, Rie; Wada, Hajime

    1998-01-01

    Lipoic acid is a coenzyme that is essential for the activity of enzyme complexes such as those of pyruvate dehydrogenase and glycine decarboxylase. We report here the isolation and characterization of LIP1 cDNA for lipoic acid synthase of Arabidopsis. The Arabidopsis LIP1 cDNA was isolated using an expressed sequence tag homologous to the lipoic acid synthase of Escherichia coli. This cDNA was shown to code for Arabidopsis lipoic acid synthase by its ability to complement a lipA mutant of E. coli defective in lipoic acid synthase. DNA-sequence analysis of the LIP1 cDNA revealed an open reading frame predicting a protein of 374 amino acids. Comparisons of the deduced amino acid sequence with those of E. coli and yeast lipoic acid synthase homologs showed a high degree of sequence similarity and the presence of a leader sequence presumably required for import into the mitochondria. Southern-hybridization analysis suggested that LIP1 is a single-copy gene in Arabidopsis. Western analysis with an antibody against lipoic acid synthase demonstrated that this enzyme is located in the mitochondrial compartment in Arabidopsis cells as a 43-kD polypeptide. PMID:9808738

  20. Chamomile: an anti-inflammatory agent inhibits inducible nitric oxide synthase expression by blocking RelA/p65 activity.

    PubMed

    Bhaskaran, Natarajan; Shukla, Sanjeev; Srivastava, Janmejai K; Gupta, Sanjay

    2010-12-01

    Chamomile has long been used in traditional medicine for the treatment of inflammation-related disorders. In this study we investigated the inhibitory effects of chamomile on nitric oxide (NO) production and inducible nitric oxide synthase (iNOS) expression, and explored its potential anti-inflammatory mechanisms using RAW 264.7 macrophages. Chamomile treatment inhibited LPS-induced NO production and significantly blocked IL-1β, IL-6 and TNFα-induced NO levels in RAW 264.7 macrophages. Chamomile caused reduction in LPS-induced iNOS mRNA and protein expression. In RAW 264.7 macrophages, LPS-induced DNA binding activity of RelA/p65 was significantly inhibited by chamomile, an effect that was mediated through the inhibition of IKKβ, the upstream kinase regulating NF-κB/Rel activity, and degradation of inhibitory factor-κB. These results demonstrate that chamomile inhibits NO production and iNOS gene expression by inhibiting RelA/p65 activation and supports the utilization of chamomile as an effective anti-inflammatory agent.

  1. Insights into the glycyl radical enzyme active site of benzylsuccinate synthase: a computational study.

    PubMed

    Bharadwaj, Vivek S; Dean, Anthony M; Maupin, C Mark

    2013-08-21

    The fumarate addition reaction, catalyzed by the enzyme benzylsuccinate synthase (BSS), is considered to be one of the most intriguing and energetically challenging reactions in biology. BSS belongs to the glycyl radical enzyme family and catalyzes the fumarate addition reaction, which enables microorganisms to utilize hydrocarbons as an energy source under anaerobic conditions. Unfortunately, the extreme sensitivity of the glycyl radical to oxygen has hampered the structural and kinetic characterization of BSS, thereby limiting our knowledge on this enzyme. To enhance our molecular-level understanding of BSS, a computational approach involving homology modeling, docking studies, and molecular dynamics (MD) simulations has been used to deduce the structure of BSS's catalytic subunit (BSSα) and illuminate the molecular basis for the fumarate addition reaction. We have identified two conserved and distinct binding pockets at the BSSα active site: a hydrophobic pocket for toluene binding and a polar pocket for fumaric acid binding. Subsequent dynamical and energetic evaluations have identified Glu509, Ser827, Leu390, and Phe384 as active site residues critical for substrate binding. The orientation of substrates at the active site observed in MD simulations is consistent with experimental observations of the syn addition of toluene to fumaric acid. It is also found that substrate binding tightens the active site and restricts the conformational flexibility of the thiyl radical, leading to hydrogen transfer distances conducive to the proposed reaction mechanism. The stability of substrates at the active site and the occurrence of feasible radical transfer distances between the thiyl radical, substrates, and the active site glycine indicate a substrate-assisted radical transfer pathway governing fumarate addition.

  2. Modification of phenolic metabolism in soybean hairy roots through down regulation of chalcone synthase or isoflavone synthase.

    PubMed

    Lozovaya, Vera V; Lygin, Anatoliy V; Zernova, Olga V; Ulanov, Alexander V; Li, Shuxian; Hartman, Glen L; Widholm, Jack M

    2007-02-01

    Soybean hairy roots, transformed with the soybean chalcone synthase (CHS6) or isoflavone synthase (IFS2) genes, with dramatically decreased capacity to synthesize isoflavones were produced to determine what effects these changes would have on susceptibility to a fungal pathogen. The isoflavone and coumestrol concentrations were decreased by about 90% in most lines apparently due to gene silencing. The IFS2 transformed lines had very low IFS enzyme activity in microsomal fractions as measured by the conversion of naringenin to genistein. The CHS6 lines with decreased isoflavone concentrations had 5 to 20-fold lower CHS enzyme activities than the appropriate controls. Both IFS2 and CHS transformed lines accumulated higher concentrations of both soluble and cell wall bound phenolic acids compared to controls with higher levels found in the CHS6 lines indicating alterations in the lignin biosynthetic branch of the pathway. Induction of the soybean phytoalexin glyceollin, of which the precursor is the isoflavone daidzein, by the fungal pathogen Fusarium solani f. sp. glycines (FSG) that causes soybean sudden death syndrome (SDS) showed that the low isoflavone transformed lines did not accumulate glyceollin while the control lines did. The (iso)liquritigenin content increased upon FSG induction in the IFS2 transformed roots indicating that the pathway reactions before this point can control isoflavonoid synthesis. The lowest fungal growth rate on hairy roots was found on the FSG partially resistant control roots followed by the SDS sensitive control roots and the low isoflavone transformants. The results indicate the importance of phytoalexin synthesis in root resistance to the pathogen.

  3. Identification of novel isoprene synthases through genome mining and expression in Escherichia coli.

    PubMed

    Ilmén, Marja; Oja, Merja; Huuskonen, Anne; Lee, Sangmin; Ruohonen, Laura; Jung, Simon

    2015-09-01

    Isoprene is a naturally produced hydrocarbon emitted into the atmosphere by green plants. It is also a constituent of synthetic rubber and a potential biofuel. Microbial production of isoprene can become a sustainable alternative to the prevailing chemical production of isoprene from petroleum. In this work, sequence homology searches were conducted to find novel isoprene synthases. Candidate sequences were functionally expressed in Escherichia coli and the desired enzymes were identified based on an isoprene production assay. The activity of three enzymes was shown for the first time: expression of the candidate genes from Ipomoea batatas, Mangifera indica, and Elaeocarpus photiniifolius resulted in isoprene formation. The Ipomoea batatas isoprene synthase produced the highest amounts of isoprene in all experiments, exceeding the isoprene levels obtained by the previously known Populus alba and Pueraria montana isoprene synthases that were studied in parallel as controls. Copyright © 2015 International Metabolic Engineering Society. Published by Elsevier Inc. All rights reserved.

  4. Bacterial Cell Growth Inhibitors Targeting Undecaprenyl Diphosphate Synthase and Undecaprenyl Diphosphate Phosphatase.

    PubMed

    Wang, Yang; Desai, Janish; Zhang, Yonghui; Malwal, Satish R; Shin, Christopher J; Feng, Xinxin; Sun, Hong; Liu, Guizhi; Guo, Rey-Ting; Oldfield, Eric

    2016-10-19

    We synthesized a series of benzoic acids and phenylphosphonic acids and investigated their effects on the growth of Staphylococcus aureus and Bacillus subtilis. One of the most active compounds, 5-fluoro-2-(3-(octyloxy)benzamido)benzoic acid (7, ED 50 ∼0.15 μg mL -1 ) acted synergistically with seven antibiotics known to target bacterial cell-wall biosynthesis (a fractional inhibitory concentration index (FICI) of ∼0.35, on average) but had indifferent effects in combinations with six non-cell-wall biosynthesis inhibitors (average FICI∼1.45). The most active compounds were found to inhibit two enzymes involved in isoprenoid/bacterial cell-wall biosynthesis: undecaprenyl diphosphate synthase (UPPS) and undecaprenyl diphosphate phosphatase (UPPP), but not farnesyl diphosphate synthase, and there were good correlations between bacterial cell growth inhibition, UPPS inhibition, and UPPP inhibition. © 2016 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. Characterization of three chalcone synthase-like genes from apple (Malus x domestica Borkh.).

    PubMed

    Yahyaa, Mosaab; Ali, Samah; Davidovich-Rikanati, Rachel; Ibdah, Muhammad; Shachtier, Alona; Eyal, Yoram; Lewinsohn, Efraim; Ibdah, Mwafaq

    2017-08-01

    Apple (Malus x domestica Brokh.) is a widely cultivated deciduous tree species of significant economic importance. Apple leaves accumulate high levels of flavonoids and dihydrochalcones, and their formation is dependent on enzymes of the chalcone synthase family. Three CHS genes were cloned from apple leaves and expressed in Escherichia coli. The encoded recombinant enzymes were purified and functionally characterized. In-vitro activity assays indicated that MdCHS1, MdCHS2 and MdCHS3 code for proteins exhibiting polyketide synthase activity that accepted either p-dihydrocoumaroyl-CoA, p-coumaroyl-CoA, or cinnamoyl-CoA as starter CoA substrates in the presence of malonyl-CoA, leading to production of phloretin, naringenin chalcone, and pinocembrin chalcone. MdCHS3 coded a chalcone-dihydrochalcone synthase enzyme with narrower substrate specificity than the previous ones. The apparent Km values of MdCHS3 for p-dihydrocoumaryl-CoA and p-coumaryl-CoA were both 5.0 μM. Expression analyses of MdCHS genes varied according to tissue type. MdCHS1, MdCHS2 and MdCHS3 expression levels were associated with the levels of phloretin accumulate in the respective tissues. Copyright © 2017 Elsevier Ltd. All rights reserved.

  6. ATP Synthase Diseases of Mitochondrial Genetic Origin

    PubMed Central

    Dautant, Alain; Meier, Thomas; Hahn, Alexander; Tribouillard-Tanvier, Déborah; di Rago, Jean-Paul; Kucharczyk, Roza

    2018-01-01

    Devastating human neuromuscular disorders have been associated to defects in the ATP synthase. This enzyme is found in the inner mitochondrial membrane and catalyzes the last step in oxidative phosphorylation, which provides aerobic eukaryotes with ATP. With the advent of structures of complete ATP synthases, and the availability of genetically approachable systems such as the yeast Saccharomyces cerevisiae, we can begin to understand these molecular machines and their associated defects at the molecular level. In this review, we describe what is known about the clinical syndromes induced by 58 different mutations found in the mitochondrial genes encoding membrane subunits 8 and a of ATP synthase, and evaluate their functional consequences with respect to recently described cryo-EM structures. PMID:29670542

  7. Generation and Functional Evaluation of Designer Monoterpene Synthases.

    PubMed

    Srividya, N; Lange, I; Lange, B M

    2016-01-01

    Monoterpene synthases are highly versatile enzymes that catalyze the first committed step in the pathways toward terpenoids, the structurally most diverse class of plant natural products. Recent advancements in our understanding of the reaction mechanism have enabled engineering approaches to develop mutant monoterpene synthases that produce specific monoterpenes. In this chapter, we are describing protocols to introduce targeted mutations, express mutant enzyme catalysts in heterologous hosts, and assess their catalytic properties. Mutant monoterpene synthases have the potential to contribute significantly to synthetic biology efforts aimed at producing larger amounts of commercially attractive monoterpenes. © 2016 Elsevier Inc. All rights reserved.

  8. Synthesis and biological evaluation of several dephosphonated analogues of CMP-Neu5Ac as inhibitors of GM3-synthase.

    PubMed

    Rota, Paola; Cirillo, Federica; Piccoli, Marco; Gregorio, Antonio; Tettamanti, Guido; Allevi, Pietro; Anastasia, Luigi

    2015-10-05

    Previous studies demonstrated that reducing the GM3 content in myoblasts increased the cell resistance to hypoxic stress, suggesting that a pharmacological inhibition of the GM3 synthesis could be instrumental for the development of new treatments for ischemic diseases. Herein, the synthesis of several dephosphonated CMP-Neu5Ac congeners and their anti-GM3-synthase activity is reported. Biological activity testes revealed that some inhibitors almost completely blocked the GM3-synthase activity in vitro and reduced the GM3 content in living embryonic kidney 293A cells, eventually activating the epidermal growth factor receptor (EGFR) signaling cascade. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  9. Brassica juncea nitric oxide synthase like activity is stimulated by PKC activators and calcium suggesting modulation by PKC-like kinase.

    PubMed

    Talwar, Pooja Saigal; Gupta, Ravi; Maurya, Arun Kumar; Deswal, Renu

    2012-11-01

    Nitric oxide (NO) is an important signaling molecule having varied physiological and regulatory roles in biological systems. The fact that nitric oxide synthase (NOS) is responsible for NO generation in animals, prompted major search for a similar enzyme in plants. Arginine dependent NOS like activity (BjNOSla) was detected in Brassica juncea seedlings using oxyhemoglobin and citrulline assays. BjNOSla showed 25% activation by NADPH (0.4 mM) and 40% by calcium (0.4 mM) but the activity was flavin mononucleotide (FMN), flavin dinucleotide (FAD) and calmodulin (CaM) independent. Pharmacological approach using mammalian NOS inhibitors, NBT (300 μM) and l-NAME (5 mM), showed significant inhibition (100% and 67% respectively) supporting that the BjNOSla operates via the oxidative pathway. Most of the BjNOSla activity (80%) was confined to shoot while root showed only 20% activity. Localization studies by NADPH-diaphorase and DAF-2DA staining showed the presence of BjNOSla in guard cells. Kinetic analysis showed positive cooperativity with calcium as reflected by a decreased K(m) (∼13%) and almost two fold increase in V(max). PMA (438 nM), a kinase activator, activated BjNOSla ∼1.9 fold while its inactive analog 4αPDD was ineffective. Calcium and PMA activated the enzyme to ∼3 folds. Interestingly, 1,2-DG6 (2.5 μM) and PS (1 μM) with calcium activated the enzyme activity to ∼7 fold. A significant inhibition of BjNOSla by PKC inhibitors-staurosporine (∼90%) and calphostin-C (∼40%), further supports involvement of PKC-like kinase. The activity was also enhanced by abiotic stress conditions (7-46%). All these findings suggest that BjNOSla generates NO via oxidative pathway and is probably regulated by phosphorylation. Copyright © 2012 Elsevier Masson SAS. All rights reserved.

  10. Isolation and characterization of terpene synthases in cotton (Gossypium hirsutum).

    PubMed

    Yang, Chang-Qing; Wu, Xiu-Ming; Ruan, Ju-Xin; Hu, Wen-Li; Mao, Yin-Bo; Chen, Xiao-Ya; Wang, Ling-Jian

    2013-12-01

    Cotton plants accumulate gossypol and related sesquiterpene aldehydes, which function as phytoalexins against pathogens and feeding deterrents to herbivorous insects. However, to date little is known about the biosynthesis of volatile terpenes in this crop. Herein is reported that 5 monoterpenes and 11 sesquiterpenes from extracts of a glanded cotton cultivar, Gossypium hirsutum cv. CCRI12, were detected by gas chromatography-mass spectrometry (GC-MS). By EST data mining combined with Rapid Amplification of cDNA Ends (RACE), full-length cDNAs of three terpene synthases (TPSs), GhTPS1, GhTPS2 and GhTPS3 were isolated. By in vitro assays of the recombinant proteins, it was found that GhTPS1 and GhTPS2 are sesquiterpene synthases: the former converted farnesyl pyrophosphate (FPP) into β-caryophyllene and α-humulene in a ratio of 2:1, whereas the latter produced several sesquiterpenes with guaia-1(10),11-diene as the major product. By contrast, GhTPS3 is a monoterpene synthase, which produced α-pinene, β-pinene, β-phellandrene and trace amounts of other monoterpenes from geranyl pyrophosphate (GPP). The TPS activities were also supported by Virus Induced Gene Silencing (VIGS) in the cotton plant. GhTPS1 and GhTPS3 were highly expressed in the cotton plant overall, whereas GhTPS2 was expressed only in leaves. When stimulated by mechanical wounding, Verticillium dahliae (Vde) elicitor or methyl jasmonate (MeJA), production of terpenes and expression of the corresponding synthase genes were induced. These data demonstrate that the three genes account for the biosynthesis of volatile terpenes of cotton, at least of this Upland cotton. Copyright © 2013 Elsevier Ltd. All rights reserved.

  11. Two Distinct Waxy Alleles Impact the Granule-Bound Starch Synthase in Sorghum

    USDA-ARS?s Scientific Manuscript database

    The granule-bound starch synthase (GBSS) is the enzyme responsible for amylose synthesis in starch granules. Loss of GBSS activity results in starch granules containing mostly amylopectin and little or no amylose, a phenotype described as waxy. Previously, two phenotypic classes of waxy alleles we...

  12. Suites of Terpene Synthases Explain Differential Terpenoid Production in Ginger and Turmeric Tissues

    PubMed Central

    Koo, Hyun Jo; Gang, David R.

    2012-01-01

    The essential oils of ginger (Zingiber officinale) and turmeric (Curcuma longa) contain a large variety of terpenoids, some of which possess anticancer, antiulcer, and antioxidant properties. Despite their importance, only four terpene synthases have been identified from the Zingiberaceae family: (+)-germacrene D synthase and (S)-β-bisabolene synthase from ginger rhizome, and α-humulene synthase and β-eudesmol synthase from shampoo ginger (Zingiber zerumbet) rhizome. We report the identification of 25 mono- and 18 sesquiterpene synthases from ginger and turmeric, with 13 and 11, respectively, being functionally characterized. Novel terpene synthases, (−)-caryolan-1-ol synthase and α-zingiberene/β-sesquiphellandrene synthase, which is responsible for formation of the major sesquiterpenoids in ginger and turmeric rhizomes, were also discovered. These suites of enzymes are responsible for formation of the majority of the terpenoids present in these two plants. Structures of several were modeled, and a comparison of sets of paralogs suggests how the terpene synthases in ginger and turmeric evolved. The most abundant and most important sesquiterpenoids in turmeric rhizomes, (+)-α-turmerone and (+)-β-turmerone, are produced from (−)-α-zingiberene and (−)-β-sesquiphellandrene, respectively, via α-zingiberene/β-sesquiphellandrene oxidase and a still unidentified dehydrogenase. PMID:23272109

  13. Insights Into the Bifunctional Aphidicolan-16-ß-ol Synthase Through Rapid Biomolecular Modeling Approaches.

    PubMed

    Hirte, Max; Meese, Nicolas; Mertz, Michael; Fuchs, Monika; Brück, Thomas B

    2018-01-01

    the enzyme's active site and that the geranylgeranyl diphosphate derived pyrophosphate moiety remains in the ACS active site thereby directing the cyclization process. Our cumulative data confirm that amino acids constituting the G-loop of diterpene synthases are involved in the open to the closed, catalytically active enzyme conformation. This study demonstrates that a simple and rapid biomolecular modeling procedure can predict catalytically relevant amino acids. The approach reduces computational and experimental screening efforts for diterpene synthase structure-function analyses.

  14. Insights into the bifunctional Aphidicolan-16-ß-ol synthase through rapid biomolecular modelling approaches

    NASA Astrophysics Data System (ADS)

    Hirte, Max; Meese, Nicolas; Mertz, Michael; Fuchs, Monika; Brück, Thomas B.

    2018-04-01

    of the enzyme’s active site and that the geranylgeranyl diphosphate derived pyrophosphate moiety remains in the ACS active site thereby directing the cyclization process. Our cumulative data confirm that amino acids constituting the G-loop of diterpene synthases are involved in the open to the closed, catalytically active enzyme conformation. This study demonstrates that a simple and rapid biomolecular modelling procedure can predict catalytically relevant amino acids. The approach reduces computational and experimental screening efforts for diterpene synthase structure-function analyses.

  15. Effect of Hammerhead Ribozyme against Human Thymidylate Synthase on the Cytotoxicity of Thymidylate Synthase Inhibitors

    PubMed Central

    Takemura, Yuzuru; Miyachi, Hayato; Skelton, Lorraine; Jackman, Ann L.

    1995-01-01

    One of the resistance mechanisms to folate‐based thymidylate synthase (TS) inhibitors is the increase in TS activity in tumor cells. Human B lymphoblastoid cell line (W1L2) was made resistant to a lipophilic non‐polyglutamatable TS inhibitor (ZM249148), and the subline (W1L2:R179) showed a 20‐fold increase in TS enzyme activity with concomitant overexpression of TS mRNA. To overcome the resistance, we designed a ribozyme that can cleave the CUC sequences in a triple tandemly repeated sequence of TS mRNA. Expression of this ribozyme in W1L2:R179 cells transfected with Epstein Barr virus‐based expression vector resulted in sensitization to TS inhibitors concomitantly with a decrease of TS expression. The ribozyme expressed in transfectants was shown to be functional in cleaving artificial TS RNA in vitro. PMID:8567390

  16. An active site mutant of Escherichia coli cyclopropane fatty acid synthase forms new non-natural fatty acids providing insights on the mechanism of the enzymatic reaction.

    PubMed

    E, Guangqi; Drujon, Thierry; Correia, Isabelle; Ploux, Olivier; Guianvarc'h, Dominique

    2013-12-01

    We have produced and purified an active site mutant of the Escherichia coli cyclopropane fatty acid synthase (CFAS) by replacing the strictly conserved G236 within cyclopropane synthases, by a glutamate residue, which corresponds to E146 of the homologous mycolic acid methyltransferase, Hma, producing hydroxymethyl mycolic acids. The G236E CFAS mutant had less than 1% of the in vitro activity of the wild type enzyme. We expressed the G236E CFAS mutant in an E. coli (DE3) strain in which the chromosomal cfa gene had been deleted. After extraction of phospholipids and conversion into the corresponding fatty acid methyl esters (FAMEs), we observed the formation of cyclopropanated FAMEs suggesting that the mutant retained some of the normal activity in vivo. However, we also observed the formation of new C17 methyl-branched unsaturated FAMEs whose structures were determined using GC/MS and NMR analyses. The double bond was located at different positions 8, 9 or 10, and the methyl group at position 10 or 9. Thus, this new FAMEs are likely arising from a 16:1 acyl chain of a phospholipid that had been transformed by the G236E CFAS mutant in vivo. The reaction catalyzed by this G236E CFAS mutant thus starts by the methylation of the unsaturated acyl chain at position 10 or 9 yielding a carbocation at position 9 or 10 respectively. It follows then two competing steps, a normal cyclopropanation or hydride shift/elimination events giving different combinations of alkenes. This study not only provides further evidence that cyclopropane synthases (CSs) form a carbocationic intermediate but also opens the way to CSs engineering for the synthesis of non-natural fatty acids. Copyright © 2013 Elsevier Masson SAS. All rights reserved.

  17. In-silico docking based design and synthesis of [1H,3H] imidazo[4,5-b] pyridines as lumazine synthase inhibitors for their effective antimicrobial activity.

    PubMed

    Harer, Sunil L; Bhatia, Manish S

    2014-10-01

    The imidazopyridine moiety is important pharmacophore that has proven to be useful for a number of biologically relevant targets, also reported to display antibacterial, antifungal, antiviral properties. Riboflavin biosynthesis involving catalytic step of Lumazine synthase is absent in animals and human, but present in microorganism, one of marked advantage of this study. Still, this path is not exploited as antiinfective target. Here, we proposed different interactions between [1H,3H] imidazo[4,5-b] pyridine test ligands and target protein Lumazine synthase (protein Data Bank 2C92), one-step synthesis of title compounds and further evaluation of them for in vitro antimicrobial activity. Active pocket of the target protein involved in the interaction with the test ligands molecules was found using Biopredicta tools in VLifeMDS 4.3 Suite. In-silico docking suggests H-bonding, hydrophobic interaction, charge interaction, aromatic interaction, and Vanderwaal forces responsible for stabilizing enzyme-inhibitor complex. Disc diffusion assay method was used for in vitro antimicrobial screening. Investigation of possible interaction between test ligands and target lumazine synthase of Mycobacterium tuberculosis suggested 1i and 2f as best fit candidates showing hydrogen bonding, hydrophobic, aromatic and Vanderwaal's forces. Among all derivatives 1g, 1j, 1k, 1l, 2a, 2c, 2d, 2e, 2h, and 2j exhibited potent activities against bacteria and fungi compared to the standard Ciprofloxacin and Fluconazole, respectively. The superiority of 1H imidazo [4,5-b] pyridine compounds having R' = Cl >No2 > NH2 at the phenyl/aliphatic moiety resident on the imidazopyridine, whereas leading 3H imidazo[4,5-b] pyridine compounds containing R/Ar = Cl > No2 > NH2> OCH3 substituents on the 2(nd) position of imidazole.

  18. In-silico docking based design and synthesis of [1H,3H] imidazo[4,5-b] pyridines as lumazine synthase inhibitors for their effective antimicrobial activity

    PubMed Central

    Harer, Sunil L.; Bhatia, Manish S.

    2014-01-01

    Purpose: The imidazopyridine moiety is important pharmacophore that has proven to be useful for a number of biologically relevant targets, also reported to display antibacterial, antifungal, antiviral properties. Riboflavin biosynthesis involving catalytic step of Lumazine synthase is absent in animals and human, but present in microorganism, one of marked advantage of this study. Still, this path is not exploited as antiinfective target. Here, we proposed different interactions between [1H,3H] imidazo[4,5-b] pyridine test ligands and target protein Lumazine synthase (protein Data Bank 2C92), one-step synthesis of title compounds and further evaluation of them for in vitro antimicrobial activity. Materials and Methods: Active pocket of the target protein involved in the interaction with the test ligands molecules was found using Biopredicta tools in VLifeMDS 4.3 Suite. In-silico docking suggests H-bonding, hydrophobic interaction, charge interaction, aromatic interaction, and Vanderwaal forces responsible for stabilizing enzyme-inhibitor complex. Disc diffusion assay method was used for in vitro antimicrobial screening. Results and Discussion: Investigation of possible interaction between test ligands and target lumazine synthase of Mycobacterium tuberculosis suggested 1i and 2f as best fit candidates showing hydrogen bonding, hydrophobic, aromatic and Vanderwaal's forces. Among all derivatives 1g, 1j, 1k, 1l, 2a, 2c, 2d, 2e, 2h, and 2j exhibited potent activities against bacteria and fungi compared to the standard Ciprofloxacin and Fluconazole, respectively. The superiority of 1H imidazo [4,5-b] pyridine compounds having R’ = Cl >No2 > NH2 at the phenyl/aliphatic moiety resident on the imidazopyridine, whereas leading 3H imidazo[4,5-b] pyridine compounds containing R/Ar = Cl > No2 > NH2> OCH3 substituents on the 2nd position of imidazole. PMID:25400412

  19. Cystathionine beta synthase gene dose dependent vascular remodeling in murine model of hyperhomocysteinemia.

    PubMed

    Tyagi, Neetu; Qipshidze, Natia; Sen, Utpal; Rodriguez, Walter; Ovechkin, Alexander; Tyagi, Suresh C

    2011-09-30

    Although children born with severe homocystinurea (i.e. cystathionine beta synthase homozygote knockout, CBS-/-) develop deleterious vascular complications with structural malformation and do not live past teenage, the heterozygote (CBS-/+) lives with apparently normal phenotype. Interestingly, this differential role of CBS expression in vascular remodeling is unclear. Peroxisome proliferator activated receptor gamma (PPARγ) is nuclear transcription factor that mitigates vascular complications. The hypothesis was that homocysteine (Hcy) decreased thioredoxin (Trx), peroxiredoxin (Prx), increased NADPH oxidase (NOX1), mitochondrial nitric oxide synthase (mtNOS) activity and reactive oxygen species (ROS) in mitochondria in a CBS gene dose-dependent manner. ROS transduced matrix metalloproteinase (MMP) activation causing thickening (fibrosis) of the basement membrane, rendering ineffective endothelial nitric oxide synthase (eNOS) and promoted endothelial-smooth muscle disconnection/uncoupling by antagonizing PPARγ. Wild type (WT-CBS+/+), CBS-/+ and CBS -/- mice were treated with or without ciglitazone (CZ, a PPARγ agonist) in food at birth. Aortic nuclear PPARγ expression was measured by EMSA. Aortic mtNOS activity and ROS production was measured using NO- and H(2)O(2)-electrodes, respectively. Aorta was analyzed for Trx, Prx, by Western blot, and PCR. MMP activity was by in situ zymography. Aortic function was measured in tissue myobath. The results suggested 90% morbidity in CBS-/- allele at 12 wks. However, treatment with the PPARγ agonist, CZ significantly reduced the morbidity to 20%. In addition, CZ restored the PPARγ activity in CBS-/+ and -/- mice to normal levels. The oxidative stress was alleviated by CZ treatment. In situ labeling with mito-tracker suggests co-localization of ROS with mitochondrial mitophagy. The mtNOS activity was increased in HHcy compared to WT. The data support the notion that Hcy decreases redoxins, increases mtNOS activity and

  20. Co-expression of peppermint geranyl diphosphate synthase small subunit enhances monoterpene production in transgenic tobacco plants.

    PubMed

    Yin, Jun-Lin; Wong, Woon-Seng; Jang, In-Cheol; Chua, Nam-Hai

    2017-02-01

    Monoterpenes are important for plant survival and useful to humans. In addition to their function in plant defense, monoterpenes are also used as flavors, fragrances and medicines. Several metabolic engineering strategies have been explored to produce monoterpene in tobacco but only trace amounts of monoterpenes have been detected. We investigated the effects of Solanum lycopersicum 1-deoxy-d-xylulose-5-phosphate synthase (SlDXS), Arabidopsis thaliana geranyl diphosphate synthase 1 (AtGPS) and Mentha × piperita geranyl diphosphate synthase small subunit (MpGPS.SSU) on production of monoterpene and geranylgeranyl diphosphate (GGPP) diversities, and plant morphology by transient expression in Nicotiana benthamiana and overexpression in transgenic Nicotiana tabacum. We showed that MpGPS.SSU could enhance the production of various monoterpenes such as (-)-limonene, (-)-linalool, (-)-α-pinene/β-pinene or myrcene, in transgenic tobacco by elevating geranyl diphosphate synthase (GPS) activity. In addition, overexpression of MpGPS.SSU in tobacco caused early flowering phenotype and increased shoot branching by elevating contents of GA 3 and cytokinins due to upregulated transcript levels of several plastidic 2-C-methyl-d-erythritol-4-phosphate (MEP) pathway genes, geranylgeranyl diphosphate synthases 3 (GGPPS3) and GGPPS4. Our method would allow the identification of new monoterpene synthase genes using transient expression in N. benthamiana and the improvement of monoterpene production in transgenic tobacco plants. © 2016 The Authors. New Phytologist © 2016 New Phytologist Trust.

  1. Removal of a putative inhibitory element reduces the calcium-dependent calmodulin activation of neuronal nitric-oxide synthase.

    PubMed

    Montgomery, H J; Romanov, V; Guillemette, J G

    2000-02-18

    Neuronal nitric-oxide synthase (NOS) and endothelial NOS are constitutive NOS isoforms that are activated by binding calmodulin in response to elevated intracellular calcium. In contrast, the inducible NOS isoform binds calmodulin at low basal levels of calcium in resting cells. Primary sequence comparisons show that each constitutive NOS isozyme contains a polypeptide segment within its reductase domain, which is absent in the inducible NOS enzyme. To study a possible link between the presence of these additional polypeptide segments in constitutive NOS enzymes and their calcium-dependent calmodulin activation, three deletion mutants were created. The putative inhibitory insert was removed from the FMN binding regions of the neuronal NOS holoenzyme and from two truncated neuronal NOS reductase enzymes in which the calmodulin binding region was either included or deleted. All three mutant enzymes showed reduced incorporation of FMN and required reconstitution with exogenous FMN for activity. The combined removal of both the calmodulin binding domain and the putative inhibitory insert did not result in a calmodulin-independent neuronal NOS reductase. Thus, although the putative inhibitory element has an effect on the calcium-dependent calmodulin activation of neuronal NOS, it does not have the properties of the typical autoinhibitory domain found in calmodulin-activated enzymes.

  2. Generation of poly-β-hydroxybutyrate from acetate in higher plants: Detection of acetoacetyl CoA reductase- and PHB synthase- activities in rice.

    PubMed

    Tsuda, Hirohisa; Shiraki, Mari; Inoue, Eri; Saito, Terumi

    2016-08-20

    It has been reported that Poly-β-hydroxybutyrate (PHB) is generated from acetate in the rice root. However, no information is available about the biosynthetic pathway of PHB from acetate in plant cells. In the bacterium Ralstonia eutropha H16 (R. eutropha), PHB is synthesized from acetyl CoA by the consecutive reaction of three enzymes: β-ketothiolase (EC: 2.3.1.9), acetoacetyl CoA reductase (EC: 1.1.1.36) and PHB synthase (EC: 2.3.1.-). Thus, in this study, we examined whether the above three enzymatic activities were also detected in rice seedlings. The results clearly showed that the activities of the above three enzymes were all detected in rice. In particular, the PHB synthase activity was detected specifically in the sonicated particulate fractions (2000g 10min precipitate (ppt) and the 8000g 30min ppt) of rice roots and leaves. In addition to these enzyme activities, several new experimental results were obtained on PHB synthesis in higher plants: (a) (14)C-PHB generated from 2-(14)C-acetate was mainly localized in the 2000g 10min ppt and the 8000g 30min ppt of rice root. (b) Addition of acetate (0.1-10mM) to culture medium of rice seedlings did not increase the content of PHB in the rice root or leaf. (c) In addition to C3 plants, PHB was generated from acetate in a C4 plant (corn) and in a CAM plant (Bryophyllum pinnatum). d) Washing with ethylenediaminetetraacetic acid (EDTA) strongly suggested that the PHB synthesized from acetate was of plant origin and was not bacterial contamination. Copyright © 2016 Elsevier GmbH. All rights reserved.

  3. The Structural Enzymology of Iterative Aromatic Polyketide Synthases: A Critical Comparison with Fatty Acid Synthases.

    PubMed

    Tsai, Shiou-Chuan Sheryl

    2018-06-20

    Polyketides are a large family of structurally complex natural products including compounds with important bioactivities. Polyketides are biosynthesized by polyketide synthases (PKSs), multienzyme complexes derived evolutionarily from fatty acid synthases (FASs). The focus of this review is to critically compare the properties of FASs with iterative aromatic PKSs, including type II PKSs and fungal type I nonreducing PKSs whose chemical logic is distinct from that of modular PKSs. This review focuses on structural and enzymological studies that reveal both similarities and striking differences between FASs and aromatic PKSs. The potential application of FAS and aromatic PKS structures for bioengineering future drugs and biofuels is highlighted.

  4. Interaction of human biliverdin reductase with Akt/protein kinase B and phosphatidylinositol-dependent kinase 1 regulates glycogen synthase kinase 3 activity: a novel mechanism of Akt activation

    PubMed Central

    Miralem, Tihomir; Lerner-Marmarosh, Nicole; Gibbs, Peter E. M.; Jenkins, Jermaine L.; Heimiller, Chelsea; Maines, Mahin D.

    2016-01-01

    Biliverdin reductase A (BVR) and Akt isozymes have overlapping pleiotropic functions in the insulin/PI3K/MAPK pathway. Human BVR (hBVR) also reduces the hemeoxygenase activity product biliverdin to bilirubin and is directly activated by insulin receptor kinase (IRK). Akt isoenzymes (Akt1–3) are downstream of IRK and are activated by phosphatidylinositol-dependent kinase 1 (PDK1) phosphorylating T308 before S473 autophosphorylation. Akt (RxRxxSF) and PDK1 (RFxFPxFS) binding motifs are present in hBVR. Phosphorylation of glycogen synthase kinase 3 (GSK3) isoforms α/β by Akts inhibits their activity; nonphosphorylated GSK3β inhibits activation of various genes. We examined the role of hBVR in PDK1/Akt1/GSK3 signaling and Akt1 in hBVR phosphorylation. hBVR activates phosphorylation of Akt1 at S473 independent of hBVR’s kinase competency. hBVR and Akt1 coimmunoprecipitated, and in-cell Förster resonance energy transfer (FRET) and glutathione S-transferase pulldown analyses identified Akt1 pleckstrin homology domain as the interactive domain. hBVR activates phosphorylation of Akt1 at S473 independent of hBVR’s kinase competency. Site-directed mutagenesis, mass spectrometry, and kinetic analyses identified S230 in hBVR 225RNRYLSF sequence as the Akt1 target. Underlined amino acids are the essential residues of the signaling motifs. In cells, hBVR-activated Akt1 increased both GSK3α/β and forkhead box of the O class transcription class 3 (FoxO3) phosphorylation and inhibited total GSK3 activity; depletion of hBVR released inhibition and stimulated glucose uptake. Immunoprecipitation analysis showed that PDK1 and hBVR interact through hBVR’s PDK1 binding 161RFGFPAFS motif and formation of the PDK1/hBVR/Akt1 complex. sihBVR blocked complex formation. Findings identify hBVR as a previously unknown coactivator of Akt1 and as a key mediator of Akt1/GSK3 pathway, as well as define a key role for hBVR in Akt1 activation by PDK1.—Miralem, T., Lerner-Marmarosh, N

  5. Interaction of human biliverdin reductase with Akt/protein kinase B and phosphatidylinositol-dependent kinase 1 regulates glycogen synthase kinase 3 activity: a novel mechanism of Akt activation.

    PubMed

    Miralem, Tihomir; Lerner-Marmarosh, Nicole; Gibbs, Peter E M; Jenkins, Jermaine L; Heimiller, Chelsea; Maines, Mahin D

    2016-08-01

    Biliverdin reductase A (BVR) and Akt isozymes have overlapping pleiotropic functions in the insulin/PI3K/MAPK pathway. Human BVR (hBVR) also reduces the hemeoxygenase activity product biliverdin to bilirubin and is directly activated by insulin receptor kinase (IRK). Akt isoenzymes (Akt1-3) are downstream of IRK and are activated by phosphatidylinositol-dependent kinase 1 (PDK1) phosphorylating T(308) before S(473) autophosphorylation. Akt (RxRxxSF) and PDK1 (RFxFPxFS) binding motifs are present in hBVR. Phosphorylation of glycogen synthase kinase 3 (GSK3) isoforms α/β by Akts inhibits their activity; nonphosphorylated GSK3β inhibits activation of various genes. We examined the role of hBVR in PDK1/Akt1/GSK3 signaling and Akt1 in hBVR phosphorylation. hBVR activates phosphorylation of Akt1 at S(473) independent of hBVR's kinase competency. hBVR and Akt1 coimmunoprecipitated, and in-cell Förster resonance energy transfer (FRET) and glutathione S-transferase pulldown analyses identified Akt1 pleckstrin homology domain as the interactive domain. hBVR activates phosphorylation of Akt1 at S(473) independent of hBVR's kinase competency. Site-directed mutagenesis, mass spectrometry, and kinetic analyses identified S(230) in hBVR (225)RNRYLSF sequence as the Akt1 target. Underlined amino acids are the essential residues of the signaling motifs. In cells, hBVR-activated Akt1 increased both GSK3α/β and forkhead box of the O class transcription class 3 (FoxO3) phosphorylation and inhibited total GSK3 activity; depletion of hBVR released inhibition and stimulated glucose uptake. Immunoprecipitation analysis showed that PDK1 and hBVR interact through hBVR's PDK1 binding (161)RFGFPAFS motif and formation of the PDK1/hBVR/Akt1 complex. sihBVR blocked complex formation. Findings identify hBVR as a previously unknown coactivator of Akt1 and as a key mediator of Akt1/GSK3 pathway, as well as define a key role for hBVR in Akt1 activation by PDK1.-Miralem, T., Lerner

  6. Characterization of Geraniol Synthase from the Peltate Glands of Sweet Basil1

    PubMed Central

    Iijima, Yoko; Gang, David R.; Fridman, Eyal; Lewinsohn, Efraim; Pichersky, Eran

    2004-01-01

    The monoterpene fraction of the lemon-scented sweet basil (Ocimum basilicum) cv Sweet Dani consists mostly of citral (a mixture of geranial and neral), with lower levels of geraniol and nerol. These compounds are stored in the peltate glands found on the leaf epidermis. Younger leaves, which have a higher density of such glands, also have a higher content of monoterpenes than older leaves. Geraniol synthase (GES) activity, generating geraniol from geranyl diphosphate, was shown to be localized exclusively or almost exclusively to glands. GES activity resides in a homodimeric protein that was purified to near homogeneity. Basil GES requires Mn2+ as a divalent metal cofactor for activity and produces only geraniol from geranyl diphosphate. Km values of 21 and 51 μm were obtained for geranyl diphosphate and Mn2+, respectively. In the presence of 18O-labeled water, GES catalyzed the formation of 18O-geraniol from geranyl diphosphate, indicating that the reaction mechanism of GES is similar to that of other monoterpene synthases and is different from the action of phosphatases. A GES cDNA was isolated based on analysis of a glandular trichome expressed sequence tag database, and the sequence of the protein encoded by this cDNA shows some similarity to sequences of other terpene synthases. The expression of the GES cDNA in Escherichia coli resulted in a protein with enzymatic activity essentially identical to that of plant-purified GES. RNA gel-blot analysis indicated that GES is expressed in glands but not in leaves of basil cv Sweet Dani, whose glands contain geraniol and citral, and not in glands or leaves of another basil variety that makes other monoterpenes but not geraniol or citral. PMID:14657409

  7. Riboflavin accumulation and characterization of cDNAs encoding lumazine synthase and riboflavin synthase in bitter melon (Momordica charantia).

    PubMed

    Tuan, Pham Anh; Kim, Jae Kwang; Lee, Sanghyun; Chae, Soo Cheon; Park, Sang Un

    2012-12-05

    Riboflavin (vitamin B2) is the universal precursor of the coenzymes flavin mononucleotide and flavin adenine dinucleotide--cofactors that are essential for the activity of a wide variety of metabolic enzymes in animals, plants, and microbes. Using the RACE PCR approach, cDNAs encoding lumazine synthase (McLS) and riboflavin synthase (McRS), which catalyze the last two steps in the riboflavin biosynthetic pathway, were cloned from bitter melon (Momordica charantia), a popular vegetable crop in Asia. Amino acid sequence alignments indicated that McLS and McRS share high sequence identity with other orthologous genes and carry an N-terminal extension, which is reported to be a plastid-targeting sequence. Organ expression analysis using quantitative real-time RT PCR showed that McLS and McRS were constitutively expressed in M. charantia, with the strongest expression levels observed during the last stage of fruit ripening (stage 6). This correlated with the highest level of riboflavin content, which was detected during ripening stage 6 by HPLC analysis. McLS and McRS were highly expressed in the young leaves and flowers, whereas roots exhibited the highest accumulation of riboflavin. The cloning and characterization of McLS and McRS from M. charantia may aid the metabolic engineering of vitamin B2 in crops.

  8. Molecular cloning and functional expression of geranylgeranyl pyrophosphate synthase from Coleus forskohlii Briq

    PubMed Central

    Engprasert, Surang; Taura, Futoshi; Kawamukai, Makoto; Shoyama, Yukihiro

    2004-01-01

    Background Isopentenyl diphosphate (IPP), a common biosynthetic precursor to the labdane diterpene forskolin, has been biosynthesised via a non-mevalonate pathway. Geranylgeranyl diphosphate (GGPP) synthase is an important branch point enzyme in terpenoid biosynthesis. Therefore, GGPP synthase is thought to be a key enzyme in biosynthesis of forskolin. Herein we report the first confirmation of the GGPP synthase gene in Coleus forskohlii Briq. Results The open reading frame for full-length GGPP synthase encodes a protein of 359 amino acids, in which 1,077 nucleotides long with calculated molecular mass of 39.3 kDa. Alignments of C. forskohlii GGPP synthase amino acid sequences revealed high homologies with other plant GGPP synthases. Several highly conserved regions, including two aspartate-rich motifs were identified. Transient expression of the N-terminal region of C. forskohlii GGPP synthase-GFP fusion protein in tobacco cells demonstrated subcellular localization in the chloroplast. Carotenoid production was observed in Escherichia coli harboring pACCAR25ΔcrtE from Erwinia uredovora and plasmid carrying C. forskohlii GGPP synthase. These results suggested that cDNA encoded functional GGPP synthase. Furthermore, C. forskohlii GGPP synthase expression was strong in leaves, decreased in stems and very little expression was observed in roots. Conclusion This investigation proposed that forskolin was synthesised via a non-mevalonate pathway. GGPP synthase is thought to be involved in the biosynthesis of forskolin, which is primarily synthesised in the leaves and subsequently accumulates in the stems and roots. PMID:15550168

  9. [Effect of vitamin C on the condition of NO-synthase system in experimental stomach ulcer].

    PubMed

    Zhuroms'kyĭ, V S; Skliarov, O Ia

    2011-01-01

    We investigated the effect of Vitamin C (Vit C) on the changes of activity of the enzymes of NO-synthase system, nitric oxide content, lipoperoxidation processes, activity of SOD and catalase in gastric mucosa (GM), and concentrations of L-arginine, Vit C and Vit E in the blood of rats under conditions of experimental ulcer of the stomach caused by adrenaline injection. Vit C displayed a pronounced antioxidant action, reduced the degree of destructive affections, diminished the activity of iNOS and lipoperoxidation processes, decreased the NO content and SOD activity. Furthermore, the concentration of L-arginine and Vit C in the blood was increased. Combined action of Vit C with L-arginine reduced the degree of GM lesions, activity of eNOS and the content of NO in GM whereas the concentration of L-arginine in blood was increased. Under conditions of Vit C action and iNOS and COX-2 blockage, the activity of NO-synthases and lipoperoxidation processes were slightly decreased, indicating on dominant action of Vit C.

  10. The Small Subunit of Snapdragon Geranyl Diphosphate Synthase Modifies the Chain Length Specificity of Tobacco Geranylgeranyl Diphosphate Synthase in Planta[W

    PubMed Central

    Orlova, Irina; Nagegowda, Dinesh A.; Kish, Christine M.; Gutensohn, Michael; Maeda, Hiroshi; Varbanova, Marina; Fridman, Eyal; Yamaguchi, Shinjiro; Hanada, Atsushi; Kamiya, Yuji; Krichevsky, Alexander; Citovsky, Vitaly; Pichersky, Eran; Dudareva, Natalia

    2009-01-01

    Geranyl diphosphate (GPP), the precursor of many monoterpene end products, is synthesized in plastids by a condensation of dimethylallyl diphosphate and isopentenyl diphosphate (IPP) in a reaction catalyzed by homodimeric or heterodimeric GPP synthase (GPPS). In the heterodimeric enzymes, a noncatalytic small subunit (GPPS.SSU) determines the product specificity of the catalytic large subunit, which may be either an active geranylgeranyl diphosphate synthase (GGPPS) or an inactive GGPPS-like protein. Here, we show that expression of snapdragon (Antirrhinum majus) GPPS.SSU in tobacco (Nicotiana tabacum) plants increased the total GPPS activity and monoterpene emission from leaves and flowers, indicating that the introduced catalytically inactive GPPS.SSU found endogenous large subunit partner(s) and formed an active snapdragon/tobacco GPPS in planta. Bimolecular fluorescence complementation and in vitro enzyme analysis of individual and hybrid proteins revealed that two of four GGPPS-like candidates from tobacco EST databases encode bona fide GGPPS that can interact with snapdragon GPPS.SSU and form a functional GPPS enzyme in plastids. The formation of chimeric GPPS in transgenic plants also resulted in leaf chlorosis, increased light sensitivity, and dwarfism due to decreased levels of chlorophylls, carotenoids, and gibberellins. In addition, these transgenic plants had reduced levels of sesquiterpene emission, suggesting that the export of isoprenoid intermediates from the plastids into the cytosol was decreased. These results provide genetic evidence that GPPS.SSU modifies the chain length specificity of phylogenetically distant GGPPS and can modulate IPP flux distribution between GPP and GGPP synthesis in planta. PMID:20028839

  11. Nitric oxide synthase expression in foetal placentas of cows with retained fetal membranes.

    PubMed

    Shixin, Fu; Li, Zhang; Chunhai, Luo; Chuang, Xu; Cheng, Xia; Zhe, Wang; Xiaobing, Li

    2011-10-01

    The objectives of this study were to investigate relationship of retained fetal membranes (RFM) to expression of NOS and NOS mRNA and to analyze pathohistological changes and the distribution of nitric oxide synthase (NOS) in foetal placentas of cows with RFM. Twenty cows were assigned to two groups, a control group (no retained fetal membranes, NRFM, n = 10) and a diseased group (RFM, n = 10). The endpoint method was used to detect the nitric oxide (NO) content and nitric oxide synthase (NOS) activity in foetal placental tissue fluid and the fluorescent quantitation PCR was used to measure the expression of NOS mRNA. Immunohistochemistry and hematoxylin-eosin staining were used to observe pathohistological changes. Tissue from RFM cows showed fibronecrosis of the chorionic villi, and a decreased number of trophoblastic cells. The majority of trophoblastic cells displayed vacuolar degeneration. Interstitium vessels were distended and congested. Expression of induced nitric oxide synthase (iNOS) protein and iNOS mRNA was significantly higher (P < 0.05) in the cytoplasm of placental villus trophoblastic cells in the RFM group. But expression of endothelial nitric oxide synthase (eNOS) protein and eNOS mRNA was significantly lower (P<0.05) in the RFM group. The NO content and NOS activity of cows with RFM were significantly higher (P < 0.05). A high expression of iNOS protein and iNOS mRNA in the cow foetal placenta could produce high content of NO, which might inhibit uterine contraction. So over expression of iNOS protein and iNOS mRNA might be an important agent of retained fetal membranes in cows, and it may be a potential diagnosis biomarker. Copyright © 2010 Elsevier Ltd. All rights reserved.

  12. Neurospora tryptophan synthase: N-terminal analysis and the sequence of the pyridoxal phosphate active site peptide

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pratt, M.L.; Hsu, P.Y.; DeMoss, J.A.

    1986-05-01

    Tryptophan synthase (TS), which catalyzes the final step of tryptophan biosynthesis, is a multifunctional protein requiring pyridoxal phosphate (B6P) for two of its three distinct enzyme activities. TS from Neurospora has a blocked N-terminal, is a homodimer of 150 KDa and binds one mole of B6P per mole of subunit. The authors shown the N-terminal residue to be acyl-serine. The B6P-active site of holoenzyme was labelled by reduction of the B6P-Schiff base with (/sup 3/H)-NaBH/sub 4/, and resulted in a proportionate loss of activity in the two B6P-requiring reactions. SDS-polyacrylamide gel electrophoresis of CNBr-generated peptides showed the labelled, active sitemore » peptide to be 6 KDa. The sequence of this peptide, purified to apparent homogeneity by a combination of C-18 reversed phase and TSK gel filtration HPLC is: gly-arg-pro-gly-gln-leu-his-lys-ala-glu-arg-leu-thr-glu-tyr-ala-gly-gly-ala-gln-ile-xxx-leu-lys-arg-glu-asp-leu-asn-his-xxx-gly-xxx-his-/sub ***/-ile-asn-asn-ala-leu. Although four residues (xxx, /sub ***/) are unidentified, this peptide is minimally 78% homologous with the corresponding peptide from yeast TS, in which residue (/sub ***/) is the lysine that binds B6P.« less

  13. Chamomile, an anti-inflammatory agent inhibits inducible nitric oxide synthase expression by blocking RelA/p65 activity

    PubMed Central

    Bhaskaran, Natarajan; Shukla, Sanjeev; Srivastava, Janmejai K; Gupta, Sanjay

    2010-01-01

    Chamomile has long been used in traditional medicine for the treatment of inflammation-related disorders. In this study we aimed to investigate the inhibitory effects of chamomile on nitric oxide (NO) production and inducible nitric oxide synthase (iNOS) expression, and to explore its potential anti-inflammatory mechanisms using RAW 264.7 macrophages. Chamomile treatment inhibited LPS-induced NO production and significantly blocked IL-1β , IL-6 and TNFα-induced NO levels in RAW 264.7 macrophages. Chamomile caused reduction in LPS-induced iNOS mRNA and protein expression. In RAW 264.7 macrophages, LPS-induced DNA binding activity of RelA/p65 was significantly inhibited by chamomile, an effect that was mediated through the inhibition of IKKβ , the upstream kinase regulating NF-κ B/Rel activity, and degradation of inhibitory factor-κ B. These results demonstrate that chamomile inhibits NO production and iNOS gene expression by inhibiting RelA/p65 activation and supports the utilization of chamomile as an effective anti-inflammatory agent. PMID:21042790

  14. Uncovering human METTL12 as a mitochondrial methyltransferase that modulates citrate synthase activity through metabolite-sensitive lysine methylation.

    PubMed

    Małecki, Jędrzej; Jakobsson, Magnus E; Ho, Angela Y Y; Moen, Anders; Rustan, Arild C; Falnes, Pål Ø

    2017-10-27

    Lysine methylation is an important and much-studied posttranslational modification of nuclear and cytosolic proteins but is present also in mitochondria. However, the responsible mitochondrial lysine-specific methyltransferases (KMTs) remain largely elusive. Here, we investigated METTL12, a mitochondrial human S -adenosylmethionine (AdoMet)-dependent methyltransferase and found it to methylate a single protein in mitochondrial extracts, identified as citrate synthase (CS). Using several in vitro and in vivo approaches, we demonstrated that METTL12 methylates CS on Lys-395, which is localized in the CS active site. Interestingly, the METTL12-mediated methylation inhibited CS activity and was blocked by the CS substrate oxaloacetate. Moreover, METTL12 was strongly inhibited by the reaction product S -adenosylhomocysteine (AdoHcy). In summary, we have uncovered a novel human mitochondrial KMT that introduces a methyl modification into a metabolic enzyme and whose activity can be modulated by metabolic cues. Based on the established naming nomenclature for similar enzymes, we suggest that METTL12 be renamed CS-KMT (gene name CSKMT ). © 2017 by The American Society for Biochemistry and Molecular Biology, Inc.

  15. Redox regulation and reaction mechanism of human cystathionine-beta-synthase: a PLP-dependent hemesensor protein.

    PubMed

    Banerjee, Ruma; Zou, Cheng-Gang

    2005-01-01

    Cystathionine beta-synthase in mammals lies at a pivotal crossroad in methionine metabolism directing flux toward cysteine synthesis and catabolism. The enzyme exhibits a modular organization and complex regulation. It catalyzes the beta-replacement of the hydroxyl group of serine with the thiolate of homocysteine and is unique in being the only known pyridoxal phosphate-dependent enzyme that also contains heme b as a cofactor. The heme functions as a sensor and modulates enzyme activity in response to redox change and to CO binding. Mutations in this enzyme are the single most common cause of hereditary hyperhomocysteinemia. Elucidation of the crystal structure of a truncated and highly active form of the human enzyme containing the heme- and pyridoxal phosphate binding domains has afforded a structural perspective on mechanistic and mutation analysis studies. The C-terminal regulatory domain containing two CBS motifs exerts intrasteric regulation and binds the allosteric activator, S-adenosylmethionine. Studies with mammalian cells in culture as well as with animal models have unraveled multiple layers of regulation of cystathionine beta-synthase in response to redox perturbations and reveal the important role of this enzyme in glutathione-dependent redox homestasis. This review discusses the recent advances in our understanding of the structure, mechanism, and regulation of cystathionine beta-synthase from the perspective of its physiological function, focusing on the clinically relevant human enzyme.

  16. Natural and engineered polyhydroxyalkanoate (PHA) synthase: key enzyme in biopolyester production.

    PubMed

    Zou, Huibin; Shi, Mengxun; Zhang, Tongtong; Li, Lei; Li, Liangzhi; Xian, Mo

    2017-10-01

    With the finite supply of petroleum and increasing concern with environmental issues associated with their harvest and processing, the development of more eco-friendly, sustainable alternative biopolymers that can effectively fill the role of petro-polymers has become a major focus. Polyhydroxyalkanoate (PHA) can be naturally produced by many species of bacteria and the PHA synthase is believed to be key enzyme in this natural pathway. Natural PHA synthases are diverse and can affect the properties of the produced PHAs, such as monomer composition, molecular weights, and material properties. Moreover, recent studies have led to major advances in the searching of PHA synthases that display specific properties, as well as engineering efforts that offer more efficient PHA synthases, increased PHA compound production, or even novel biopolyesters which cannot be naturally produced. In this article, we review the updated information of natural PHA synthases and their engineering strategies for improved performance in polyester production. We also speculate future trends on the development of robust PHA synthases and their application in biopolyester production.

  17. Genetic structure and regulation of isoprene synthase in Poplar (Populus spp.).

    PubMed

    Vickers, Claudia E; Possell, Malcolm; Nicholas Hewitt, C; Mullineaux, Philip M

    2010-07-01

    Isoprene is a volatile 5-carbon hydrocarbon derived from the chloroplastic methylerythritol 2-C-methyl-D: -erythritol 4-phosphate isoprenoid pathway. In plants, isoprene emission is controlled by the enzyme isoprene synthase; however, there is still relatively little known about the genetics and regulation of this enzyme. Isoprene synthase gene structure was analysed in three poplar species. It was found that genes encoding stromal isoprene synthase exist as a small gene family, the members of which encode virtually identical proteins and are differentially regulated. Accumulation of isoprene synthase protein is developmentally regulated, but does not differ between sun and shade leaves and does not increase when heat stress is applied. Our data suggest that, in mature leaves, isoprene emission rates are primarily determined by substrate (dimethylallyl diphosphate, DMADP) availability. In immature leaves, where isoprene synthase levels are variable, emission levels are also influenced by the amount of isoprene synthase protein. No thylakoid isoforms could be identified in Populus alba or in Salix babylonica. Together, these data show that control of isoprene emission at the genetic level is far more complicated than previously assumed.

  18. Haplotype analysis of the germacrene A synthase gene and association with cynaropicrin content and biological activities in Cynara cardunculus.

    PubMed

    Ferro, Ana Margarida; Ramos, Patrícia; Guerra, Ângela; Parreira, Paula; Brás, Teresa; Guerreiro, Olinda; Jerónimo, Eliana; Capel, Carmen; Capel, Juan; Yuste-Lisbona, Fernando J; Duarte, Maria F; Lozano, Rafael; Oliveira, M Margarida; Gonçalves, Sónia

    2018-04-01

    Cynara cardunculus: L. represents a natural source of terpenic compounds, with the predominant molecule being cynaropicrin. Cynaropicrin is gaining interest since it has been correlated to anti-hyperlipidaemia, antispasmodic and cytotoxicity activity against leukocyte cancer cells. The objective of this work was to screen a collection of C. cardunculus, from different origins, for new allelic variants in germacrene A synthase (GAS) gene involved in the cynaropicrin biosynthesis and correlate them with improved cynaropicrin content and biological activities. Using high-resolution melting, nine haplotypes were identified. The putative impact of the identified allelic variants in GAS protein was evaluated by bioinformatic tools and polymorphisms that putatively lead to protein conformational changes were described. Additionally, cynaropicrin and main pentacyclic triterpenes contents, and antithrombin, antimicrobial and antiproliferative activities were also determined in C. cardunculus leaf lipophilic-derived extracts. In this work we identified allelic variants with putative impact on GAS protein, which are significantly associated with cynaropicrin content and antiproliferative activity. The results obtained suggest that the identified polymorphisms should be explored as putative genetic markers correlated with biological properties in Cynara cardunculus.

  19. Molecular cloning and functional characterization of three terpene synthases from unripe fruit of black pepper (Piper nigrum).

    PubMed

    Jin, Zhehao; Kwon, Moonhyuk; Lee, Ah-Reum; Ro, Dae-Kyun; Wungsintaweekul, Juraithip; Kim, Soo-Un

    2018-01-15

    To identify terpene synthases (TPS) responsible for the biosynthesis of the sesquiterpenes that contribute to the characteristic flavors of black pepper (Piper nigrum), unripe peppercorn was subjected to the Illumina transcriptome sequencing. The BLAST analysis using amorpha-4,11-diene synthase as a query identified 19 sesquiterpene synthases (sesqui-TPSs), of which three full-length cDNAs (PnTPS1 through 3) were cloned. These sesqui-TPS cDNAs were expressed in E. coli to produce recombinant enzymes for in vitro assays, and also expressed in the engineered yeast strain to assess their catalytic activities in vivo. PnTPS1 produced β-caryophyllene as a main product and humulene as a minor compound, and thus was named caryophyllene synthase (PnCPS). Likewise, PnTPS2 and PnTPS3 were, respectively, named cadinol/cadinene synthase (PnCO/CDS) and germacrene D synthase (PnGDS). PnGDS expression in yeast yielded β-cadinene and α-copaene, the rearrangement products of germacrene D. Their k cat /K m values (20-37.7 s -1  mM -1 ) were comparable to those of other sesqui-TPSs. Among three PnTPSs, the transcript level of PnCPS was the highest, correlating with the predominant β-caryophyllene biosynthesis in the peppercorn. The products and rearranged products of three PnTPSs could account for about a half of the sesquiterpenes in number found in unripe peppercorn. Copyright © 2017 Elsevier Inc. All rights reserved.

  20. Increased nitric oxide synthase activity is essential for electromagnetic-pulse-induced blood-retinal barrier breakdown in vivo.

    PubMed

    Lu, Lianjun; Xu, Hui; Wang, Xiaowu; Guo, Guozhen

    2009-04-06

    To examine whether electromagnetic pulses (EMPs) affected the permeability of the blood-retinal barrier (BRB), gene expression of occludin and activity of nitric oxide synthase (NOS). Sprague-Dawley (SD) rats were used and randomized into EMP and control groups. Retinas were removed immediately, and 2 h or 24 h after EMP radiation. BRB permeability was analyzed by transmission electron microscopy and Evans Blue staining. Retinal NOS activity and concentrations of nitrite and nitrate were measured. Occludin mRNA and protein levels were detected by RT-PCR and Western blotting. Exposure of SD rats to EMP resulted in increased BRB permeability, with the greatest decrease in occludin at 24 h. Moreover, this permeability defect was also correlated with significant increases in the formation of NO and induction of NOS activity in SD rats. Furthermore, we found that treatment with NOS inhibitor N-nitro-L-arginine methyl ester (L-NAME) blocked BRB breakdown and prevented the increase in NO formation and induction of NOS activity, as well as the decrease in occluding expression. Taken together, these results support the view that NOS-dependent NO production is an important factor that contributes to EMP-induced BRB dysfunction, and suggests that NOS induction may play an important role in BRB breakdown.

  1. Stochastic thermodynamics of a chemical nanomachine: The channeling enzyme tryptophan synthase.

    PubMed

    Loutchko, Dimitri; Eisbach, Maximilian; Mikhailov, Alexander S

    2017-01-14

    The enzyme tryptophan synthase is characterized by a complex pattern of allosteric interactions that regulate the catalytic activity of its two subunits and opening or closing of their ligand gates. As a single macromolecule, it implements 13 different reaction steps, with an intermediate product directly channeled from one subunit to another. Based on experimental data, a stochastic model for the operation of tryptophan synthase has been earlier constructed [D. Loutchko, D. Gonze, and A. S. Mikhailov, J. Phys. Chem. B 120, 2179 (2016)]. Here, this model is used to consider stochastic thermodynamics of such a chemical nanomachine. The Gibbs energy landscape of the internal molecular states is determined, the production of entropy and its flow within the enzyme are analyzed, and the information exchange between the subunits resulting from allosteric cross-regulations and channeling is discussed.

  2. Functional Characterization of Novel Sesquiterpene Synthases from Indian Sandalwood, Santalum album

    PubMed Central

    Srivastava, Prabhakar Lal; Daramwar, Pankaj P.; Krithika, Ramakrishnan; Pandreka, Avinash; Shankar, S. Shiva; Thulasiram, Hirekodathakallu V.

    2015-01-01

    Indian Sandalwood, Santalum album L. is highly valued for its fragrant heartwood oil and is dominated by a blend of sesquiterpenes. Sesquiterpenes are formed through cyclization of farnesyl diphosphate (FPP), catalyzed by metal dependent terpene cyclases. This report describes the cloning and functional characterization of five genes, which encode two sesquisabinene synthases (SaSQS1, SaSQS2), bisabolene synthase (SaBS), santalene synthase (SaSS) and farnesyl diphosphate synthase (SaFDS) using the transcriptome sequencing of S. album. Using Illumina next generation sequencing, 33.32 million high quality raw reads were generated, which were assembled into 84,094 unigenes with an average length of 494.17 bp. Based on the transcriptome sequencing, five sesquiterpene synthases SaFDS, SaSQS1, SaSQS2, SaBS and SaSS involved in the biosynthesis of FPP, sesquisabinene, β-bisabolene and santalenes, respectively, were cloned and functionally characterized. Novel sesquiterpene synthases (SaSQS1 and SaSQS2) were characterized as isoforms of sesquisabinene synthase with varying kinetic parameters and expression levels. Furthermore, the feasibility of microbial production of sesquisabinene from both the unigenes, SaSQS1 and SaSQS2 in non-optimized bacterial cell for the preparative scale production of sesquisabinene has been demonstrated. These results may pave the way for in vivo production of sandalwood sesquiterpenes in genetically tractable heterologous systems. PMID:25976282

  3. Functional Characterization of Novel Sesquiterpene Synthases from Indian Sandalwood, Santalum album.

    PubMed

    Srivastava, Prabhakar Lal; Daramwar, Pankaj P; Krithika, Ramakrishnan; Pandreka, Avinash; Shankar, S Shiva; Thulasiram, Hirekodathakallu V

    2015-05-15

    Indian Sandalwood, Santalum album L. is highly valued for its fragrant heartwood oil and is dominated by a blend of sesquiterpenes. Sesquiterpenes are formed through cyclization of farnesyl diphosphate (FPP), catalyzed by metal dependent terpene cyclases. This report describes the cloning and functional characterization of five genes, which encode two sesquisabinene synthases (SaSQS1, SaSQS2), bisabolene synthase (SaBS), santalene synthase (SaSS) and farnesyl diphosphate synthase (SaFDS) using the transcriptome sequencing of S. album. Using Illumina next generation sequencing, 33.32 million high quality raw reads were generated, which were assembled into 84,094 unigenes with an average length of 494.17 bp. Based on the transcriptome sequencing, five sesquiterpene synthases SaFDS, SaSQS1, SaSQS2, SaBS and SaSS involved in the biosynthesis of FPP, sesquisabinene, β-bisabolene and santalenes, respectively, were cloned and functionally characterized. Novel sesquiterpene synthases (SaSQS1 and SaSQS2) were characterized as isoforms of sesquisabinene synthase with varying kinetic parameters and expression levels. Furthermore, the feasibility of microbial production of sesquisabinene from both the unigenes, SaSQS1 and SaSQS2 in non-optimized bacterial cell for the preparative scale production of sesquisabinene has been demonstrated. These results may pave the way for in vivo production of sandalwood sesquiterpenes in genetically tractable heterologous systems.

  4. A common active site of polyhydroxyalkanoate synthase from Bacillus cereus YB-4 is involved in polymerization and alcoholysis reactions.

    PubMed

    Hyakutake, Manami; Tomizawa, Satoshi; Mizuno, Kouhei; Hisano, Tamao; Abe, Hideki; Tsuge, Takeharu

    2015-06-01

    Polyhydroxyalkanoate (PHA) synthase from Bacillus cereus YB-4 (PhaRCYB4) catalyzes not only PHA polymerization but also alcoholytic cleavage of PHA chains. The alcoholysis activity of PhaRCYB4 is expressed when a hydroxyacyl-CoA monomer is absent but an alcohol compound is present. In this study, we performed alanine mutagenesis of the putative catalytic triad (Cys(151), Asp(306), and His(335)) in the PhaCYB4 subunit to identify the active site residues for polymerization and alcoholysis activities. Individual substitution of each triad residue with alanine resulted in loss of both polymerization and alcoholysis activities, suggesting that these residues are commonly shared between polymerization and alcoholysis reactions. The loss of activity was also observed following mutagenesis of the triad to other amino acids, except for one PhaRCYB4 mutant with a C151S substitution, which lost polymerization activity but still possessed cleavage activity towards PHA chains. The low-molecular-weight PHA isolated from the PhaRCYB4(C151S)-expressing strain showed a lower ratio of alcohol capping at the P(3HB) carboxy terminus than did that from the wild-type-expressing strain. This observation implies that hydrolysis activity of PhaRCYB4 might be elicited by the C151S mutation.

  5. PC-PLC/sphingomyelin synthase activity plays a central role in the development of myogenic tone in murine resistance arteries

    PubMed Central

    Zacharia, Joseph; Fairfax, Seth; Wier, Withrow Gil

    2015-01-01

    Myogenic tone is an intrinsic property of the vasculature that contributes to blood pressure control and tissue perfusion. Earlier investigations assigned a key role in myogenic tone to phospholipase C (PLC) and its products, inositol 1,4,5-trisphosphate (IP3) and diacylglycerol (DAG). Here, we used the PLC inhibitor, U-73122, and two other, specific inhibitors of PLC subtypes (PI-PLC and PC-PLC) to delineate the role of PLC in myogenic tone of pressurized murine mesenteric arteries. U-73122 inhibited depolarization-induced contractions (high external K+ concentration), thus confirming reports of nonspecific actions of U-73122 and its limited utility for studies of myogenic tone. Edelfosine, a specific inhibitor of PI-PLC, did not affect depolarization-induced contractions but modulated myogenic tone. Because PI-PLC produces IP3, we investigated the effect of blocking IP3 receptor-mediated Ca2+ release on myogenic tone. Incubation of arteries with xestospongin C did not affect tone, consistent with the virtual absence of Ca2+ waves in arteries with myogenic tone. D-609, an inhibitor of PC-PLC and sphingomyelin synthase, strongly inhibited myogenic tone and had no effect on depolarization-induced contraction. D-609 appeared to act by lowering cytoplasmic Ca2+ concentration to levels below those that activate contraction. Importantly, incubation of pressurized arteries with a membrane-permeable analog of DAG induced vasoconstriction. The results therefore mandate a reexamination of the signaling pathways activated by the Bayliss mechanism. Our results suggest that PI-PLC and IP3 are not required in maintaining myogenic tone, but DAG, produced by PC-PLC and/or SM synthase, is likely through multiple mechanisms to increase Ca2+ entry and promote vasoconstriction. PMID:25888510

  6. Variant estrogen receptor-c-Src molecular interdependence and c-Src structural requirements for endothelial NO synthase activation.

    PubMed

    Li, Lei; Hisamoto, Koji; Kim, Kyung Hee; Haynes, M Page; Bauer, Philip M; Sanjay, Archana; Collinge, Mark; Baron, Roland; Sessa, William C; Bender, Jeffrey R

    2007-10-16

    Little is known about the tyrosine kinase c-Src's function in the systemic circulation, in particular its role in arterial responses to hormonal stimuli. In human aortic and venous endothelial cells, c-Src is indispensable for 17beta-estradiol (E2)-stimulated phosphatidylinositol 3-kinase/Akt/endothelial NO synthase (eNOS) pathway activation, a possible mechanism in E2-mediated vascular protection. Here we show that c-Src supports basal and E2-stimulated NO production and is required for E2-induced vasorelaxation in murine aortas. Only membrane c-Src is structurally and functionally involved in E2-induced eNOS activation. Independent of c-Src kinase activity, c-Src is associated with an N-terminally truncated estrogen receptor alpha variant (ER46) and eNOS in the plasma membrane through its "open" (substrate-accessible) conformation. In the presence of E2, c-Src kinase is activated by membrane ER46 and in turn phosphorylates ER46 for subsequent ER46 and c-Src membrane recruitment, the assembly of an eNOS-centered membrane macrocomplex, and membrane-initiated eNOS activation. Overall, these results provide insights into a critical role for the tyrosine kinase c-Src in estrogen-stimulated arterial responses, and in membrane-initiated rapid signal transduction, for which obligate complex assembly and localization require the c-Src substrate-accessible structure.

  7. TALEN mediated targeted editing of GM2/GD2-synthase gene modulates anchorage independent growth by reducing anoikis resistance in mouse tumor cells.

    PubMed

    Mahata, Barun; Banerjee, Avisek; Kundu, Manjari; Bandyopadhyay, Uday; Biswas, Kaushik

    2015-03-12

    Complex ganglioside expression is highly deregulated in several tumors which is further dependent on specific ganglioside synthase genes. Here, we designed and constructed a pair of highly specific transcription-activator like effector endonuclease (TALENs) to disrupt a particular genomic locus of mouse GM2-synthase, a region conserved in coding sequence of all four transcript variants of mouse GM2-synthase. Our designed TALENs effectively work in different mouse cell lines and TALEN induced mutation rate is over 45%. Clonal selection strategy is undertaken to generate stable GM2-synthase knockout cell line. We have also demonstrated non-homologous end joining (NHEJ) mediated integration of neomycin cassette into the TALEN targeted GM2-synthase locus. Functionally, clonally selected GM2-synthase knockout clones show reduced anchorage-independent growth (AIG), reduction in tumor growth and higher cellular adhesion as compared to wild type Renca-v cells. Insight into the mechanism shows that, reduced AIG is due to loss in anoikis resistance, as both knockout clones show increased sensitivity to detachment induced apoptosis. Therefore, TALEN mediated precise genome editing at GM2-synthase locus not only helps us in understanding the function of GM2-synthase gene and complex gangliosides in tumorigenicity but also holds tremendous potential to use TALENs in translational cancer research and therapeutics.

  8. Protein phosphorylation as a mechanism for osmotic-stress activation of sucrose-phosphate synthase in spinach leaves.

    PubMed

    Toroser, D; Huber, S C

    1997-07-01

    Experiments were performed to investigated the mechanism of sucrose-phosphate synthase (SPS) activation by osmotic stress in darkened spinach (Spinacia oleracea L.) leaves. The activation was stable through immunopurification and was not the result of an increased SPS protein level. The previously described Ca(2+)-independent peak III kinase, obtained by ion-exchange chromatography, is confirmed to be the predominant enzyme catalyzing phosphorylation and inactivation of dephosphoserine-158-SPS. A new, Ca(2+)-dependent SPS-protein kinase activity (peak IV kinase) was also resolved and shown to phosphorylate and activate phosphoserine-158-SPS in vitro. The peak IV kinase also phosphorylated a synthetic peptide (SP29) based on the amino acid sequence surrounding serine-424, which also contains the motif described for the serine-158 regulatory phosphorylation site; i.e. basic residues at P-3 and P-6 and a hydrophobic residue at P-5. Peak IV kinase had a native molecular weight of approximately 150,000 as shown by gel filtration. The SP29 peptide was not phosphorylated by the inactivating peak III kinase. Osmotically stressed leaves showed increased peak IV kinase activity with the SP29 peptide as a substrate. Tryptic 32P-phosphopeptide analysis of SPS from excised spinach leaves fed [32P]inorganic P showed increased phosphorylation of the tryptic peptide containing serine-424. Therefore, at least part of the osmotic stress activation of SPS in dark leaves results from phosphorylation of serine-424 catalyzed by a Ca(2+)-dependent, 150-kD protein kinase.

  9. Redirection of the Reaction Specificity of a Thermophilic Acetolactate Synthase toward Acetaldehyde Formation

    PubMed Central

    Cheng, Maria; Yoshiyasu, Hayato; Okano, Kenji; Ohtake, Hisao; Honda, Kohsuke

    2016-01-01

    Acetolactate synthase and pyruvate decarboxylase are thiamine pyrophosphate-dependent enzymes that convert pyruvate into acetolactate and acetaldehyde, respectively. Although the former are encoded in the genomes of many thermophiles and hyperthermophiles, the latter has been found only in mesophilic organisms. In this study, the reaction specificity of acetolactate synthase from Thermus thermophilus was redirected to catalyze acetaldehyde formation to develop a thermophilic pyruvate decarboxylase. Error-prone PCR and mutant library screening led to the identification of a quadruple mutant with 3.1-fold higher acetaldehyde-forming activity than the wild-type. Site-directed mutagenesis experiments revealed that the increased activity of the mutant was due to H474R amino acid substitution, which likely generated two new hydrogen bonds near the thiamine pyrophosphate-binding site. These hydrogen bonds might result in the better accessibility of H+ to the substrate-cofactor-enzyme intermediate and a shift in the reaction specificity of the enzyme. PMID:26731734

  10. [BIOINFORMATIC SEARCH AND PHYLOGENETIC ANALYSIS OF THE CELLULOSE SYNTHASE GENES OF FLAX (LINUM USITATISSIMUM)].

    PubMed

    Pydiura, N A; Bayer, G Ya; Galinousky, D V; Yemets, A I; Pirko, Ya V; Podvitski, T A; Anisimova, N V; Khotyleva, L V; Kilchevsky, A V; Blume, Ya B

    2015-01-01

    A bioinformatic search of sequences encoding cellulose synthase genes in the flax genome, and their comparison to dicots orthologs was carried out. The analysis revealed 32 cellulose synthase gene candidates, 16 of which are highly likely to encode cellulose synthases, and the remaining 16--cellulose synthase-like proteins (Csl). Phylogenetic analysis of gene products of cellulose synthase genes allowed distinguishing 6 groups of cellulose synthase genes of different classes: CesA1/10, CesA3, CesA4, CesA5/6/2/9, CesA7 and CesA8. Paralogous sequences within classes CesA1/10 and CesA5/6/2/9 which are associated with the primary cell wall formation are characterized by a greater similarity within these classes than orthologous sequences. Whereas the genes controlling the biosynthesis of secondary cell wall cellulose form distinct clades: CesA4, CesA7, and CesA8. The analysis of 16 identified flax cellulose synthase gene candidates shows the presence of at least 12 different cellulose synthase gene variants in flax genome which are represented in all six clades of cellulose synthase genes. Thus, at this point genes of all ten known cellulose synthase classes are identify in flax genome, but their correct classification requires additional research.

  11. L-arginine transport in retinas from streptozotocin diabetic rats: correlation with the level of IL-1 beta and NO synthase activity.

    PubMed

    Carmo, A; Cunha-Vaz, J G; Carvalho, A P; Lopes, M C

    1999-11-01

    Several evidences suggest that the pro-inflammatory cytokines IL-1 beta and the radical NO are implicated as effectors molecules in the pancreatic beta-cells dysfunction; an event preceding the pathogenesis of diabetes. IL-1 beta induces the expression of the inducible isoform of NO synthase (iNOS), which use L-arginine as substrate to overproduce NO. However, it is not known whether these events may participate in the development of diabetic retinopathy, which is the main cause of blindness. In this work, we found an increased level of IL-1 beta in retinas from streptozotocin-induced (STZ) diabetic rats. We also observed that the activity of the NO synthase (NOS) and the L-arginine uptake are enhanced in retinas from STZ-induced diabetic rats as compared to retinas from control rats. We found that the uptake of L-arginine in retinas from control and diabetic rats occurs through a transporter resembling the Y + system, i.e. it is saturable, not affected over the pH range 6.5 to 7.4, and is independent of the extracellular Na+. Nevertheless, the L-arginine transport in retinas from diabetic rats occurs through a carrier with lower affinity (K(m) = 25 microM) and higher capacity (Vmax = 295 +/- 22.4 pmol L-arginine/mg protein) than in retinas from control rats (K(m) = 5 microM and Vmax = 158 +/- 12.8 pmol L-arginine/mg protein) which is correlated with the increased NOS activity and consequent depletion of the intracellular pool of L-arginine.

  12. Exploiting CELLULOSE SYNTHASE (CESA) Class Specificity to Probe Cellulose Microfibril Biosynthesis.

    PubMed

    Kumar, Manoj; Mishra, Laxmi; Carr, Paul; Pilling, Michael; Gardner, Peter; Mansfield, Shawn D; Turner, Simon

    2018-05-01

    Cellulose microfibrils are the basic units of cellulose in plants. The structure of these microfibrils is at least partly determined by the structure of the cellulose synthase complex. In higher plants, this complex is composed of 18 to 24 catalytic subunits known as CELLULOSE SYNTHASE A (CESA) proteins. Three different classes of CESA proteins are required for cellulose synthesis and for secondary cell wall cellulose biosynthesis these classes are represented by CESA4, CESA7, and CESA8. To probe the relationship between CESA proteins and microfibril structure, we created mutant cesa proteins that lack catalytic activity but retain sufficient structural integrity to allow assembly of the cellulose synthase complex. Using a series of Arabidopsis ( Arabidopsis thaliana ) mutants and genetic backgrounds, we found consistent differences in the ability of these mutant cesa proteins to complement the cellulose-deficient phenotype of the cesa null mutants. The best complementation was observed with catalytically inactive cesa4, while the equivalent mutation in cesa8 exhibited significantly lower levels of complementation. Using a variety of biophysical techniques, including solid-state nuclear magnetic resonance and Fourier transform infrared microscopy, to study these mutant plants, we found evidence for changes in cellulose microfibril structure, but these changes largely correlated with cellulose content and reflected differences in the relative proportions of primary and secondary cell walls. Our results suggest that individual CESA classes have similar roles in determining cellulose microfibril structure, and it is likely that the different effects of mutating members of different CESA classes are the consequence of their different catalytic activity and their influence on the overall rate of cellulose synthesis. © 2018 American Society of Plant Biologists. All Rights Reserved.

  13. Efficacy of glycogen synthase kinase-3β targeting against osteosarcoma via activation of β-catenin

    PubMed Central

    Yamamoto, Norio; Nishida, Hideji; Hayashi, Katsuhiro; Kimura, Hiroaki; Takeuchi, Akihiko; Miwa, Shinji; Igarashi, Kentaro; Kato, Takashi; Aoki, Yu; Higuchi, Takashi; Hirose, Mayumi; Hoffman, Robert M; Minamoto, Toshinari; Tsuchiya, Hiroyuki

    2016-01-01

    Development of innovative more effective therapy is required for refractory osteosarcoma patients. We previously established that glycogen synthase kinase-3β (GSK- 3β) is a therapeutic target in various cancer types. In the present study, we explored the therapeutic efficacy of GSK-3β inhibition against osteosarcoma and the underlying molecular mechanisms in an orthotopic mouse model. Expression and phosphorylation of GSK-3β in osteosarcoma and normal osteoblast cell lines was examined, together with efficacy of GSK-3β inhibition on cell survival, proliferation and apoptosis and on the growth of orthotopically-transplanted human osteosarcoma in nude mice. We also investigated changes in expression, phosphorylation and co-transcriptional activity of β-catenin in osteosarcoma cells following GSK-3β inhibition. Expression of the active form of GSK- 3β (tyrosine 216-phosphorylated) was higher in osteosarcoma than osteoblast cells. Inhibition of GSK-3β activity by pharmacological inhibitors or of its expression by RNA interference suppressed proliferation of osteosarcoma cells and induced apoptosis. Treatment with GSK-3β-specific inhibitors attenuated the growth of orthotopic osteosaroma in mice. Inhibition of GSK-3β reduced phosphorylation at GSK- 3β-phospho-acceptor sites in β-catenin and increased β-catenin expression, nuclear localization and co-transcriptional activity. These results suggest the efficacy of GSK-3β inhibitors is associated with activation of β-catenin, a putative tumor suppressor in bone and soft tissue sarcoma and an important component of osteogenesis. Our study thereby demonstrates a critical role for GSK-3β in sustaining survival and proliferation of osteosarcoma cells, and identifies this kinase as a potential therapeutic target against osteosarcoma. PMID:27780915

  14. Nitric Oxide Synthase and Cyclooxygenase Pathways: A Complex Interplay in Cellular Signaling.

    PubMed

    Sorokin, Andrey

    2016-01-01

    The cellular reaction to external challenges is a tightly regulated process consisting of integrated processes mediated by a variety of signaling molecules, generated as a result of modulation of corresponding biosynthetic systems. Both, nitric oxide synthase (NOS) and cyclooxygenase (COX) systems, consist of constitutive forms (NOS1, NOS3 and COX-1), which are mostly involved in housekeeping tasks, and inducible forms (NOS2 and COX-2), which shape the cellular response to stress and variety of bioactive agents. The complex interplay between NOS and COX pathways can be observed at least at three levels. Firstly, products of NOS and Cox systems can mediate the regulation and the expression of inducible forms (NOS2 and COX-2) in response of similar and dissimilar stimulus. Secondly, the reciprocal modulation of cyclooxygenase activity by nitric oxide and NOS activity by prostaglandins at the posttranslational level has been shown to occur. Mechanisms by which nitric oxide can modulate prostaglandin synthesis include direct S-nitrosylation of COX and inactivation of prostaglandin I synthase by peroxynitrite, product of superoxide reaction with nitric oxide. Prostaglandins, conversely, can promote an increased association of dynein light chain (DLC) (also known as protein inhibitor of neuronal nitric oxide synthase) with NOS1, thereby reducing its activity. The third level of interplay is provided by intracellular crosstalk of signaling pathways stimulated by products of NOS and COX which contributes significantly to the complexity of cellular signaling. Since modulation of COX and NOS pathways was shown to be principally involved in a variety of pathological conditions, the dissection of their complex relationship is needed for better understanding of possible therapeutic strategies. This review focuses on implications of interplay between NOS and COX for cellular function and signal integration.

  15. Virtual Screening of Novel Glucosamine-6-Phosphate Synthase Inhibitors.

    PubMed

    Lather, Amit; Sharma, Sunil; Khatkar, Anurag

    2018-01-01

    Infections caused by microorganisms are the major cause of death today. The tremendous and improper use of antimicrobial agents leads to antimicrobial resistance. Various currently available antimicrobial drugs are inadequate to control the infections and lead to various adverse drug reactions. Efforts based on computer-aided drug design (CADD) can excavate a large number of databases to generate new, potent hits and minimize the requirement of time as well as money for the discovery of newer antimicrobials. Pharmaceutical sciences also have made development with advances in drug designing concepts. The current research article focuses on the study of various G-6-P synthase inhibitors from literature cited molecular database. Docking analysis was conducted and ADMET data of various molecules was evaluated by Schrodinger Glide and PreADMET software, respectively. Here, the results presented efficacy of various inhibitors towards enzyme G-6-P synthase. Docking scores, binding energy and ADMET data of various molecules showed good inhibitory potential toward G-6-P synthase as compared to standard antibiotics. This novel antimicrobial drug target G-6-P synthase has not so extensively been explored for its application in antimicrobial therapy, so the work done so far proved highly essential. This article has helped the drug researchers and scientists to intensively explore about this wonderful antimicrobial drug target. The Schrodinger, Inc. (New York, USA) software was utilized to carry out the computational calculations and docking studies. The hardware configuration was Intel® core (TM) i5-4210U CPU @ 2.40GHz, RAM memory 4.0 GB under 64-bit window operating system. The ADMET data was calculated by using the PreADMET tool (PreADMET ver. 2.0). All the computational work was completed in the Laboratory for Enzyme Inhibition Studies, Department of Pharmaceutical Sciences, M.D. University, Rohtak, INDIA. Molecular docking studies were carried out to identify the binding

  16. CERAMIDE SYNTHASE 1 IS REGULATED BY PROTEASOMAL MEDIATED TURNOVER

    PubMed Central

    Sridevi, Priya; Alexander, Hannah; Laviad, Elad L.; Pewzner-Jung, Yael; Hannink, Mark; Futerman, Anthony H.; Alexander, Stephen

    2009-01-01

    Ceramide is an important bioactive lipid, intimately involved in many cellular functions, including the regulation of cell death, and in cancer and chemotherapy. Ceramide is synthesized de novo from sphinganine and acyl CoA via a family of 6 ceramide synthase enzymes, each having a unique preference for different fatty acyl CoA substrates and a unique tissue distribution. However, little is known regarding the regulation of these important enzymes. In this study we focus on ceramide synthase 1 (CerS1) which is the most structurally and functionally distinct of the enzymes, and describe a regulatory mechanism that specifically controls the level of CerS1 via ubiquitination and proteasome dependent protein turnover. We show that both endogenous and ectopically expressed CerS1 have rapid basal turnover and that diverse stresses including chemotherapeutic drugs, UV light and DTT can induce CerS1 turnover. The turnover requires CerS1 activity and is regulated by the opposing actions of p38 MAP kinase and protein kinase C (PKC). p38 MAP kinase is a positive regulator of turnover, while PKC is a negative regulator of turnover. CerS1 is phosphorylated in vivo and activation of PKC increases the phosphorylation of the protein. This study reveals a novel and highly specific mechanism by which CerS1 protein levels are regulated and which directly impacts ceramide homeostasis. PMID:19393694

  17. Antisense repression of sucrose phosphate synthase in transgenic muskmelon alters plant growth and fruit development

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tian, Hongmei; Ma, Leyuan; Zhao, Cong

    To unravel the roles of sucrose phosphate synthase (SPS) in muskmelon (Cucumis melo L.), we reduced its activity in transgenic muskmelon plants by an antisense approach. For this purpose, an 830 bp cDNA fragment of muskmelon sucrose phosphate synthase was expressed in antisense orientation behind the 35S promoter of the cauliflower mosaic virus. The phenotype of the antisense plants clearly differed from that of control plants. The transgenic plant leaves were markedly smaller, and the plant height and stem diameter were obviously shorter and thinner. Transmission electron microscope observation revealed that the membrane degradation of chloroplast happened in transgenic leavesmore » and the numbers of grana and grana lamella in the chloroplast were significantly less, suggesting that the slow growth and weaker phenotype of transgenic plants may be due to the damage of the chloroplast ultrastructure, which in turn results in the decrease of the net photosynthetic rate. The sucrose concentration and levels of sucrose phosphate synthase decreased in transgenic mature fruit, and the fruit size was smaller than the control fruit. Together, our results suggest that sucrose phosphate synthase may play an important role in regulating the muskmelon plant growth and fruit development.« less

  18. Rapid discovery and functional characterization of terpene synthases from four endophytic xylariaceae

    DOE PAGES

    Wu, Weihua; Tran, William; Taatjes, Craig A.; ...

    2016-02-17

    Endophytic fungi are ubiquitous plant endosymbionts that establish complex and poorly understood relationships with their host organisms. Many endophytic fungi are known to produce a wide spectrum of volatile organic compounds (VOCs) with potential energy applications, which have been described as "mycodiesel". Many of these mycodiesel hydrocarbons are terpenes, a chemically diverse class of compounds produced by many plants, fungi, and bacteria. Due to their high energy densities, terpenes, such as pinene and bisabolene, are actively being investigated as potential "drop-in" biofuels for replacing diesel and aviation fuel. In this study, we rapidly discovered and characterized 26 terpene synthases (TPSs)more » derived from four endophytic fungi known to produce mycodiesel hydrocarbons. The TPS genes were expressed in an E. coli strain harboring a heterologous mevalonate pathway designed to enhance terpene production, and their product profiles were determined using Solid Phase Micro-Extraction (SPME) and GC-MS. Lastly, out of the 26 TPS’s profiled, 12 TPS’s were functional, with the majority of them exhibiting both monoterpene and sesquiterpene synthase activity.« less

  19. Rapid discovery and functional characterization of terpene synthases from four endophytic xylariaceae

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wu, Weihua; Tran, William; Taatjes, Craig A.

    Endophytic fungi are ubiquitous plant endosymbionts that establish complex and poorly understood relationships with their host organisms. Many endophytic fungi are known to produce a wide spectrum of volatile organic compounds (VOCs) with potential energy applications, which have been described as "mycodiesel". Many of these mycodiesel hydrocarbons are terpenes, a chemically diverse class of compounds produced by many plants, fungi, and bacteria. Due to their high energy densities, terpenes, such as pinene and bisabolene, are actively being investigated as potential "drop-in" biofuels for replacing diesel and aviation fuel. In this study, we rapidly discovered and characterized 26 terpene synthases (TPSs)more » derived from four endophytic fungi known to produce mycodiesel hydrocarbons. The TPS genes were expressed in an E. coli strain harboring a heterologous mevalonate pathway designed to enhance terpene production, and their product profiles were determined using Solid Phase Micro-Extraction (SPME) and GC-MS. Lastly, out of the 26 TPS’s profiled, 12 TPS’s were functional, with the majority of them exhibiting both monoterpene and sesquiterpene synthase activity.« less

  20. Genome-wide identification, functional and evolutionary analysis of terpene synthases in pineapple.

    PubMed

    Chen, Xiaoe; Yang, Wei; Zhang, Liqin; Wu, Xianmiao; Cheng, Tian; Li, Guanglin

    2017-10-01

    Terpene synthases (TPSs) are vital for the biosynthesis of active terpenoids, which have important physiological, ecological and medicinal value. Although terpenoids have been reported in pineapple (Ananas comosus), genome-wide investigations of the TPS genes responsible for pineapple terpenoid synthesis are still lacking. By integrating pineapple genome and proteome data, twenty-one putative terpene synthase genes were found in pineapple and divided into five subfamilies. Tandem duplication is the cause of TPS gene family duplication. Furthermore, functional differentiation between each TPS subfamily may have occurred for several reasons. Sixty-two key amino acid sites were identified as being type-II functionally divergence between TPS-a and TPS-c subfamily. Finally, coevolution analysis indicated that multiple amino acid residues are involved in coevolutionary processes. In addition, the enzyme activity of two TPSs were tested. This genome-wide identification, functional and evolutionary analysis of pineapple TPS genes provide a new insight into understanding the roles of TPS family and lay the basis for further characterizing the function and evolution of TPS gene family. Copyright © 2017 Elsevier Ltd. All rights reserved.

  1. Purification and Characterization of the Crown Gall-specific Enzyme, Octopine Synthase 1

    PubMed Central

    Hack, Ethan; Kemp, John D.

    1980-01-01

    A single enzyme catalyzes the synthesis of all four N2-(1-carboxyethyl)-amino acid derivatives found in a crown gall tumor tissue induced by Agrobacterium tumefaciens (E. F. Sm. and Town.) Conn strain B6 on sunflower (Helianthus annuus L.). This enzyme, octopine synthase, has been purified by ammonium sulfate fractionation and chromatography on diethylaminoethylcellulose, blue agarose, and hydroxylapatite. The purified enzyme has all the N2-(1-carboxyethyl)-amino acid synthesizing activities found in crude preparations, and the relative activities with six amino acids remain nearly constant during purification. Although the maximum velocities (V) and Michaelis constants (Km) differ, the ratio V/Km is the same for all amino acid substrates. Thus an equimolar mixture of amino acids will give rise to an equimolar mixture of products. The kinetic properties of the enzyme are consistent with a partially ordered mechanism with arginine (NADPH, then arginine or pyruvate). Octopine synthase is a monomeric enzyme with a molecular weight of 39,000 by gel filtration and 38,000 by sodium dodecyl sulfate-polyacrylamide gel electrophoresis. Images PMID:16661312

  2. Differential Activity of the Oral Glucan Synthase Inhibitor SCY-078 against Wild-Type and Echinocandin-Resistant Strains of Candida Species.

    PubMed

    Pfaller, Michael A; Messer, Shawn A; Rhomberg, Paul R; Borroto-Esoda, Katyna; Castanheira, Mariana

    2017-08-01

    SCY-078 (formerly MK-3118) is a novel orally active inhibitor of fungal β-(1,3)-glucan synthase (GS). SCY-078 is a derivative of enfumafungin and is structurally distinct from the echinocandin class of antifungal agents. We evaluated the in vitro activity of this compound against wild-type (WT) and echinocandin-resistant isolates containing mutations in the FKS genes of Candida spp. Against 36 Candida spp. FKS mutants tested, 30 (83.3%) were non-WT to 1 or more echinocandins, and only 9 (25.0%) were non-WT (MIC, >WT-upper limit) to SCY-078. Among C. glabrata isolates carrying FKS alterations, 84.0% were non-WT to the echinocandins versus only 24.0% for SCY-078. In contrast to the echinocandin comparators, the activity of SCY-078 was minimally affected by the presence of FKS mutations, suggesting that this agent is useful in the treatment of Candida infections due to echinocandin-resistant strains. Copyright © 2017 American Society for Microbiology.

  3. Impact of trans-resveratrol-sulfates and -glucuronides on endothelial nitric oxide synthase activity, nitric oxide release and intracellular reactive oxygen species.

    PubMed

    Ladurner, Angela; Schachner, Daniel; Schueller, Katharina; Pignitter, Marc; Heiss, Elke H; Somoza, Veronika; Dirsch, Verena M

    2014-10-17

    Resveratrol (3,5,4'-trihydroxy-trans-stilbene) is a polyphenolic natural product mainly present in grape skin, berries and peanuts. In the vasculature resveratrol is thought to boost endothelial function by increasing endothelial nitric oxide synthase (eNOS) expression, by enhancing eNOS activity, and by reduction of reactive oxygen species (ROS) levels. Recent studies show that dietary resveratrol is metabolized in the liver and intestine into resveratrol-sulfate and -glucuronide derivatives questioning the relevance of multiple reported mechanistic in vitro data on resveratrol. In this study, we compare side by side different physiologically relevant resveratrol metabolites (resveratrol sulfates- and -glucuronides) and their parent compound in their influence on eNOS enzyme activity, endothelial NO release, and intracellular ROS levels. In contrast to resveratrol, none of the tested resveratrol metabolites elevated eNOS enzyme activity and endothelial NO release or affected intracellular ROS levels, leaving the possibility that not tested metabolites are active and able to explain in vivo findings.

  4. Impact of Trans-Resveratrol-Sulfates and -Glucuronides on Endothelial Nitric Oxide Synthase Activity, Nitric Oxide Release and Intracellular Reactive Oxygen Species

    PubMed Central

    Ladurner, Angela; Schachner, Daniel; Schueller, Katharina; Pignitter, Marc; Heiss, Elke H.; Somoza, Veronika; Dirsch, Verena M.

    2015-01-01

    Resveratrol (3,5,4′-trihydroxy-trans-stilbene) is a polyphenolic natural product mainly present in grape skin, berries and peanuts. In the vasculature resveratrol is thought to boost endothelial function by increasing endothelial nitric oxide synthase (eNOS) expression, by enhancing eNOS activity, and by reduction of reactive oxygen species (ROS) levels. Recent studies show that dietary resveratrol is metabolized in the liver and intestine into resveratrol-sulfate and -glucuronide derivatives questioning the relevance of multiple reported mechanistic in vitro data on resveratrol. In this study, we compare side by side different physiologically relevant resveratrol metabolites (resveratrol sulfates- and -glucuronides) and their parent compound in their influence on eNOS enzyme activity, endothelial NO release, and intracellular ROS levels. In contrast to resveratrol, none of the tested resveratrol metabolites elevated eNOS enzyme activity and endothelial NO release or affected intracellular ROS levels, leaving the possibility that not tested metabolites are active and able to explain in vivo findings. PMID:25329867

  5. Control activity of yeast geranylgeranyl diphosphate synthase from dimer interface through H-bonds and hydrophobic interaction.

    PubMed

    Chang, Chih-Kang; Teng, Kuo-Hsun; Lin, Sheng-Wei; Chang, Tao-Hsin; Liang, Po-Huang

    2013-04-23

    Previously we showed that yeast geranylgeranyl diphosphate synthase (GGPPS) becomes an inactive monomer when the first N-terminal helix involved in dimerization is deleted. This raises questions regarding why dimerization is required for GGPPS activity and which amino acids in the dimer interface are essential for dimerization-mediated activity. According to the GGPPS crystal structure, three amino acids (N101, N104, and Y105) located in the helix F of one subunit are near the active site of the other subunit. As presented here, when these residues were replaced individually with Ala caused insignificant activity changes, N101A/Y105A and N101A/N104A but not N104A/Y105A showed remarkably decreased k(cat) values (200-250-fold). The triple mutant N101A/N104A/Y105A displayed no detectable activity, although dimer was retained in these mutants. Because N101 and Y105 form H-bonds with H139 and R140 in the other subunit, respectively, we generated H139A/R140A double mutant and found it was inactive and became monomeric. Therefore, the multiple mutations apparently influence the integrity of the catalytic site due to the missing H-bonding network. Moreover, Met111, also on the highly conserved helix F, was necessary for dimer formation and enzyme activity. When Met111 was replaced with Glu, the negative-charged repulsion converted half of the dimer into a monomer. In conclusion, the H-bonds mainly through N101 for maintaining substrate binding stability and the hydrophobic interaction of M111 in dimer interface are essential for activity of yeast GGPPS.

  6. Diversity of sesquiterpene synthases in the basidiomycete Coprinus cinereus

    PubMed Central

    Agger, Sean; Lopez-Gallego, Fernando; Schmidt-Dannert, Claudia

    2009-01-01

    SUMMARY Fungi are a rich source of bioactive secondary metabolites and mushroom-forming fungi (Agaricomycetes) are especially known for the synthesis of numerous bioactive and often cytotoxic sesquiterpenoid secondary metabolites. Compared to the large number of sesquiterpene synthases identified in plants, less than a handful of unique sesquiterpene synthases have been described from fungi. Here we describe the functional characterization of six sesquiterpene synthases (Cop1 to Cop6) and two terpene oxidizing cytochrome P450 monooxygenases (Cox1 and Cox2) from Coprinus cinereus. The genes were cloned and, except for cop5, functionally expressed in Escherichia coli and/or Saccharomyces cerevisiae. Cop1 and Cop2 each synthesize germacrene A as the major product. Cop3 was identified as a α-muurolene synthase, an enzyme that has not been described previously, while Cop4 synthesizes δ-cadinene as its major product. Cop6 was originally annotated as a trichodiene synthase homolog, but instead was found to catalyze highly specific the synthesis of α-cuprenene. Co-expression of cop6 and the two monooxygenase genes next to it yields oxygenated α-cuprenene derivatives, including cuparophenol, suggesting that these genes encode the enzymes for the biosynthesis of antimicrobial quinone sesquiterpenoids (known as lagopodins) that were previously isolated from C. cinereus and other Coprinus species. PMID:19400802

  7. Inhibition of inducible nitric oxide synthase expression by novel nonsteroidal anti-inflammatory derivatives with gastrointestinal-sparing properties.

    PubMed Central

    Cirino, G.; Wheeler-Jones, C. P.; Wallace, J. L.; Del Soldato, P.; Baydoun, A. R.

    1996-01-01

    1. The effects of novel nitric oxide-releasing nonsteroidal anti-inflammatory compounds (NO-NSAIDs) on induction of nitric oxide (NO) synthase by bacterial lipopolysaccharide (LPS) were examined in a murine cultured macrophage cell line, J774. 2. LPS-induced nitrite production was markedly attenuated by the nitroxybutylester derivatives of flurbiprofen (FNBE), aspirin, ketoprofen, naproxen, diclofenac and ketorolac, with each compound reducing accumulated nitrite levels by > 40% at the maximum concentrations (100 micrograms ml-1) used. 3. Further examination revealed that nitrite production was inhibited in a concentration-dependent (1-100 micrograms ml-1) manner by FNBE which at 100 micrograms ml-1 decreased LPS-stimulated levels by 63.3 +/- 8.6% (n = 7). The parent compound flurbiprofen was relatively ineffective over the same concentration-range, inhibiting nitrite accumulation by 24 +/- 0.9% (n = 3) at the maximum concentration used (100 micrograms ml-1). 4. FNBE reduced LPS-induced nitrite production when added to cells up to 4 h after LPS. Thereafter, FNBE caused very little or no reduction in nitrite levels. Furthermore NO-NSAIDs (100 micrograms ml-1) did not inhibit the metabolism of L-[3H]-arginine to citrulline by NO synthase isolated from LPS-activated macrophages. 5. Western blot analysis demonstrated that NO synthase expression was markedly attenuated following co-incubation of J774 cell with LPS (1 microgram ml-1; 24 h) and FNBE (100 micrograms ml-1; 24 h). Thus taken together, these findings indicate that NO-NSAIDs inhibit induction of NO synthase without directly affecting enzyme activity. 6. In conclusion our results indicate that NO-NSAIDs can inhibit the inducible L-arginine-NO pathway, and are capable of suppressing NO synthesis by inhibiting expression of NO synthase. The clinical implications of these findings remain to be established. Images Figure 4 PMID:8730734

  8. Characterization of the human gene (TBXAS1) encoding thromboxane synthase.

    PubMed

    Miyata, A; Yokoyama, C; Ihara, H; Bandoh, S; Takeda, O; Takahashi, E; Tanabe, T

    1994-09-01

    The gene encoding human thromboxane synthase (TBXAS1) was isolated from a human EMBL3 genomic library using human platelet thromboxane synthase cDNA as a probe. Nucleotide sequencing revealed that the human thromboxane synthase gene spans more than 75 kb and consists of 13 exons and 12 introns, of which the splice donor and acceptor sites conform to the GT/AG rule. The exon-intron boundaries of the thromboxane synthase gene were similar to those of the human cytochrome P450 nifedipine oxidase gene (CYP3A4) except for introns 9 and 10, although the primary sequences of these enzymes exhibited 35.8% identity each other. The 1.2-kb of the 5'-flanking region sequence contained potential binding sites for several transcription factors (AP-1, AP-2, GATA-1, CCAAT box, xenobiotic-response element, PEA-3, LF-A1, myb, basic transcription element and cAMP-response element). Primer-extension analysis indicated the multiple transcription-start sites, and the major start site was identified as an adenine residue located 142 bases upstream of the translation-initiation site. However, neither a typical TATA box nor a typical CAAT box is found within the 100-b upstream of the translation-initiation site. Southern-blot analysis revealed the presence of one copy of the thromboxane synthase gene per haploid genome. Furthermore, a fluorescence in situ hybridization study revealed that the human gene for thromboxane synthase is localized to band q33-q34 of the long arm of chromosome 7. A tissue-distribution study demonstrated that thromboxane synthase mRNA is widely expressed in human tissues and is particularly abundant in peripheral blood leukocyte, spleen, lung and liver. The low but significant levels of mRNA were observed in kidney, placenta and thymus.

  9. Altering carbon allocation in hybrid poplar (Populus alba × grandidentata) impacts cell wall growth and development.

    PubMed

    Unda, Faride; Kim, Hoon; Hefer, Charles; Ralph, John; Mansfield, Shawn D

    2017-07-01

    Galactinol synthase is a pivotal enzyme involved in the synthesis of the raffinose family of oligosaccharides (RFOs) that function as transport carbohydrates in the phloem, as storage compounds in sink tissues and as soluble metabolites that combat both abiotic and biotic stress in several plant species. Hybrid poplar (Populus alba × grandidentata) overexpressing the Arabidopsis thaliana GolS3 (AtGolS3) gene showed clear effects on development; the extreme overexpressing lines were stunted and had cell wall traits characteristic of tension wood, whereas lines with only moderate up-regulation grew normally and had moderately altered secondary cell wall composition and ultrastructure. Stem cross-sections of the developing xylem revealed a significant increase in the number of vessels, as well as the clear presence of a G-layer in the fibres. Furthermore, AtGolS3-OE lines possessed higher cellulose and lower lignin contents, an increase in cellulose crystallinity, and significantly altered hemicellulose-derived carbohydrates, notably manifested by their mannose and xylose contents. In addition, the transgenic plants displayed elevated xylem starch content. Transcriptome interrogation of the transgenic plants showed a significant up-regulation of genes involved in the synthesis of myo-inositol, along with genes involved in sucrose degradation. The results suggest that the overexpression of GolS and its product galactinol may serve as a molecular signal that initiates metabolic changes, culminating in a change in cell wall development and potentially the formation of tension wood. © 2016 The Authors. Plant Biotechnology Journal published by Society for Experimental Biology and The Association of Applied Biologists and John Wiley & Sons Ltd.

  10. Phosphoproteomics links glycogen synthase kinase-3 to RNA splicing.

    PubMed

    Khoa, Le Tran Phuc; Dou, Yali

    2017-11-03

    Protein kinases play essential biological roles by phosphorylating a diverse range of signaling molecules, but deciphering their direct physiological targets remains a challenge. A new study by Shinde et al. uses phosphoproteomics to identify glycogen synthase kinase-3 (GSK-3) substrates in mouse embryonic stem cells (mESCs), providing a broad profile of GSK-3 activity and defining a new role for this central kinase in regulating RNA splicing. © 2017 by The American Society for Biochemistry and Molecular Biology, Inc.

  11. Interleukin-33 Increases Antibacterial Defense by Activation of Inducible Nitric Oxide Synthase in Skin

    PubMed Central

    Jiang, Ziwei; Zhang, Tian; Wang, Yue; Li, Zhiheng; Wu, Yelin; Ji, Shizhao; Xiao, Shichu; Ryffel, Bernhard; Radek, Katherine A.; Xia, Zhaofan; Lai, Yuping

    2014-01-01

    Interleukin-33 (IL-33) is associated with multiple diseases, including asthma, rheumatoid arthritis, tissue injuries and infections. Although IL-33 has been indicated to be involved in Staphylococcus aureus (S. aureus) wound infection, little is known about how IL-33 is regulated as a mechanism to increase host defense against skin bacterial infections. To explore the underlying intricate mechanism we first evaluated the expression of IL-33 in skin from S. aureus-infected human patients. Compared to normal controls, IL-33 was abundantly increased in skin of S. aureus-infected patients. We next developed a S. aureus cutaneous infection mouse model and found that IL-33 was significantly increased in dermal macrophages of infected mouse skin. The expression of IL-33 by macrophages was induced by staphylococcal peptidoglycan (PGN) and lipoteichoic acid (LTA) via activation of toll-like receptor 2(TLR2) –mitogen-activated protein kinase (MAPK)-AKT-signal transducer and activator of transcription 3(STAT3) signaling pathway as PGN and LTA failed to induce IL-33 in Tlr2-deficient peritoneal macrophages, and MAPK,AKT, STAT3 inhibitors significantly decreased PGN- or LTA-induced IL-33. IL-33, in turn, acted on macrophages to induce microbicidal nitric oxygen (NO) release. This induction was dependent on inducible nitric oxide synthase (iNOS) activation, as treatment of macrophages with an inhibitor of iNOS, aminoguanidine, significantly decreased IL-33-induced NO release. Moreover, aminoguanidine significantly blocked the capacity of IL-33 to inhibit the growth of S. aureus, and IL-33 silencing in macrophages significantly increased the survival of S. aureus in macrophages. Furthermore, the administration of IL-33-neutralizing antibody into mouse skin decreased iNOS production but increased the survival of S. aureus in skin. These findings reveal that IL-33 can promote antimicrobial capacity of dermal macrophages, thus enhancing antimicrobial defense against skin bacterial

  12. Arginase reciprocally regulates nitric oxide synthase activity and contributes to endothelial dysfunction in aging blood vessels

    NASA Technical Reports Server (NTRS)

    Berkowitz, Dan E.; White, Ron; Li, Dechun; Minhas, Khalid M.; Cernetich, Amy; Kim, Soonyul; Burke, Sean; Shoukas, Artin A.; Nyhan, Daniel; Champion, Hunter C.; hide

    2003-01-01

    BACKGROUND: Although abnormal L-arginine NO signaling contributes to endothelial dysfunction in the aging cardiovascular system, the biochemical mechanisms remain controversial. L-arginine, the NO synthase (NOS) precursor, is also a substrate for arginase. We tested the hypotheses that arginase reciprocally regulates NOS by modulating L-arginine bioavailability and that arginase is upregulated in aging vasculature, contributing to depressed endothelial function. METHODS AND RESULTS: Inhibition of arginase with (S)-(2-boronoethyl)-L-cysteine, HCl (BEC) produced vasodilation in aortic rings from young (Y) adult rats (maximum effect, 46.4+/-9.4% at 10(-5) mol/L, P<0.01). Similar vasorelaxation was elicited with the additional arginase inhibitors N-hydroxy-nor-L-arginine (nor-NOHA) and difluoromethylornithine (DFMO). This effect required intact endothelium and was prevented by 1H-oxadiazole quinoxalin-1-one (P<0.05 and P<0.001, respectively), a soluble guanylyl cyclase inhibitor. DFMO-elicited vasodilation was greater in old (O) compared with Y rat aortic rings (60+/-6% versus 39+/-6%, P<0.05). In addition, BEC restored depressed L-arginine (10(-4) mol/L)-dependent vasorelaxant responses in O rings to those of Y. Arginase activity and expression were increased in O rings, whereas NOS activity and cyclic GMP levels were decreased. BEC and DFMO suppressed arginase activity and restored NOS activity and cyclic GMP levels in O vessels to those of Y. CONCLUSIONS: These findings demonstrate that arginase modulates NOS activity, likely by regulating intracellular L-arginine availability. Arginase upregulation contributes to endothelial dysfunction of aging and may therefore be a therapeutic target.

  13. GMP Synthase Is Required for Virulence Factor Production and Infection by Cryptococcus neoformans*

    PubMed Central

    Chitty, Jessica L.; Tatzenko, Tayla L.; Williams, Simon J.; Koh, Y. Q. Andre E.; Corfield, Elizabeth C.; Butler, Mark S.; Robertson, Avril A. B.; Cooper, Matthew A.; Kappler, Ulrike; Kobe, Bostjan; Fraser, James A.

    2017-01-01

    Over the last four decades the HIV pandemic and advances in medical treatments that also cause immunosuppression have produced an ever-growing cohort of individuals susceptible to opportunistic pathogens. Of these, AIDS patients are particularly vulnerable to infection by the encapsulated yeast Cryptococcus neoformans. Most commonly found in the environment in purine-rich bird guano, C. neoformans experiences a drastic change in nutrient availability during host infection, ultimately disseminating to colonize the purine-poor central nervous system. Investigating the consequences of this challenge, we have characterized C. neoformans GMP synthase, the second enzyme in the guanylate branch of de novo purine biosynthesis. We show that in the absence of GMP synthase, C. neoformans becomes a guanine auxotroph, the production of key virulence factors is compromised, and the ability to infect nematodes and mice is abolished. Activity assays performed using recombinant protein unveiled differences in substrate binding between the C. neoformans and human enzymes, with structural insights into these kinetic differences acquired via homology modeling. Collectively, these data highlight the potential of GMP synthase to be exploited in the development of new therapeutic agents for the treatment of disseminated, life-threatening fungal infections. PMID:28062578

  14. TALEN mediated targeted editing of GM2/GD2-synthase gene modulates anchorage independent growth by reducing anoikis resistance in mouse tumor cells

    PubMed Central

    Mahata, Barun; Banerjee, Avisek; Kundu, Manjari; Bandyopadhyay, Uday; Biswas, Kaushik

    2015-01-01

    Complex ganglioside expression is highly deregulated in several tumors which is further dependent on specific ganglioside synthase genes. Here, we designed and constructed a pair of highly specific transcription-activator like effector endonuclease (TALENs) to disrupt a particular genomic locus of mouse GM2-synthase, a region conserved in coding sequence of all four transcript variants of mouse GM2-synthase. Our designed TALENs effectively work in different mouse cell lines and TALEN induced mutation rate is over 45%. Clonal selection strategy is undertaken to generate stable GM2-synthase knockout cell line. We have also demonstrated non-homologous end joining (NHEJ) mediated integration of neomycin cassette into the TALEN targeted GM2-synthase locus. Functionally, clonally selected GM2-synthase knockout clones show reduced anchorage-independent growth (AIG), reduction in tumor growth and higher cellular adhesion as compared to wild type Renca-v cells. Insight into the mechanism shows that, reduced AIG is due to loss in anoikis resistance, as both knockout clones show increased sensitivity to detachment induced apoptosis. Therefore, TALEN mediated precise genome editing at GM2-synthase locus not only helps us in understanding the function of GM2-synthase gene and complex gangliosides in tumorigenicity but also holds tremendous potential to use TALENs in translational cancer research and therapeutics. PMID:25762467

  15. Studies on identifying the binding sites of folate and its derivatives in Lactobacillus casei thymidylate synthase

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Maley, F.; Maley, G.F.

    1983-01-01

    It was shown that folate and its derivatives have a profound effect on stabilizing thymidylate synthase in vitro and in vivo, as a consequence of ternary formation between the folate, dUMP, or FdUMP, and the synthase. The degree to which complex formation is affected can be revealed qualitatively by circular dichroism and quantitatively by equilibrium dialysis using the Lactobacillus casei synthase. In contrast to the pteroylmonoglutamates, the pteroylpolyglutamates bind to thymidylate synthase in the absence of dUMP, but even their binding affinity is increased greatly by this nucleotide or its analogues. Similarly, treatment of the synthase with carboxypeptidase A preventsmore » the binding of the pteroylmonoglutamates and reduces the binding of the polyglutamates without affecting dUMP binding. The latter does not protect against carboxypeptidase inactivation but does potentiate the protective effect of the pteroylpolyglutamates. To determine the region of the synthase involved in the binding of the glutamate residues, Pte(/sup 14/C)GluGlu6 was activated by a water soluble carbodiimide in the presence and absence of dUMP. This folate derivative behaved as a competitive inhibitor of 5,10-CH/sub 2/H/sub 4/PteGlu, in contrast to methotrexate which was non-competitive. Separation of the five cyanogen bromide peptides from the L. casei synthase revealed 80% of the radioactivity to be associated with CNBr-2 and about 15% with CNBr-4. Chymotrypsin treatment of CNBr-2 yielded two /sup 14/C-labeled peaks on high performance liquid chromatography, with the slower migrating one being separated further into two peaks by Bio-gel P2 chromatography. All three peptides came from the same region of CNBr-2, encompassing residues 47-61 of the enzyme. From these studies it would appear that the residues most probably involved in the fixation of PteGlu7 are lysines 50 and 58. In contrast, methotrexate appeared to bind to another region of CNBr-2.« less

  16. Identification of the uridine 5'-diphosphoglucose (UDP-Glc) binding subunit of cellulose synthase in Acetobacter xylinum using the photoaffinity probe 5-azido-UDP-Glc

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lin, F.C.; Brown, R.M. Jr.; Drake, R.R. Jr.

    1990-03-25

    Photoaffinity labeling of purified cellulose synthase with (beta-32P)5-azidouridine 5'-diphosphoglucose (UDP-Glc) has been used to identify the UDP-Glc binding subunit of the cellulose synthase from Acetobacter xylinum strain ATCC 53582. The results showed exclusive labeling of an 83-kDa polypeptide. Photoinsertion of (beta-32P)5-azido-UDP-Glc is stimulated by the cellulose synthase activator, bis-(3'----5') cyclic diguanylic acid. Addition of increasing amounts of UDP-Glc prevents photolabeling of the 83-kDa polypeptide. The reversible and photocatalyzed binding of this photoprobe also showed saturation kinetics. These studies demonstrate that the 83-kDa polypeptide is the catalytic subunit of the cellulose synthase in A. xylinum strain ATCC 53582.

  17. Flavone inhibits nitric oxide synthase (NOS) activity, nitric oxide production and protein S-nitrosylation in breast cancer cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhu, Wenzhen; Yang, Bingwu; Fu, Huiling

    As the core structure of flavonoids, flavone has been proved to possess anticancer effects. Flavone's growth inhibitory functions are related to NO. NO is synthesized by nitric oxide synthase (NOS), and generally increased in a variety of cancer cells. NO regulates multiple cellular responses by S-nitrosylation. In this study, we explored flavone-induced regulations on nitric oxide (NO)-related cellular processes in breast cancer cells. Our results showed that, flavone suppresses breast cancer cell proliferation and induces apoptosis. Flavone restrains NO synthesis by does-dependent inhibiting NOS enzymatic activity. The decrease of NO generation was detected by fluorescence microscopy and flow cytometry. Flavone-inducedmore » inhibitory effect on NOS activity is dependent on intact cell structure. For the NO-induced protein modification, flavone treatment significantly down-regulated protein S-nitrosylation, which was detected by “Biotin-switch” method. The present study provides a novel, NO-related mechanism for the anticancer function of flavone. - Highlights: • Flavone inhibits proliferation and induces apoptosis in MCF-7 cells. • Flavone decreases nitric oxide production by inhibiting NOS enzymatic activity in breast cancer cells. • Flavone down-regulates protein S-nitrosylation.« less

  18. Transcription factor CitERF71 activates the terpene synthase gene CitTPS16 involved in the synthesis of E-geraniol in sweet orange fruit.

    PubMed

    Li, Xiang; Xu, Yaying; Shen, Shuling; Yin, Xueren; Klee, Harry; Zhang, Bo; Chen, Kunsong; Hancock, Robert

    2017-10-13

    The unique flavor of Citrus fruit depends on complex combinations of soluble sugars, organic acids, and volatile compounds. The monoterpene E-geraniol is an important volatile, contributing to flavor in sweet orange (Citrus sinensis Osbeck). Moreover, antifungal activity of E-geraniol has also been observed. However, the terpene synthase (TPS) responsible for its synthesis has not been identified in sweet orange. Terpene synthase 16 (CitTPS16) was shown to catalyze synthesis of E-geraniol in vitro, and transient overexpression of CitTPS16 in fruits and leaves of Newhall sweet orange resulted in E-geraniol accumulation in vivo. Having identified the responsible enzyme, we next examined transcriptional regulation of CitTPS16 in the fruit. Among cloned members of the AP2/ERF transcription factor gene family, CitERF71 showed a similar expression pattern to CitTPS16. Moreover, CitERF71 was able to activate the CitTPS16 promoter based on results from transient dual-luciferase assays and yeast one-hybrid assays. EMSAs showed that CitERF71 directly binds to ACCCGCC and GGCGGG motifs in the CitTPS16 promoter. These results indicate an important role for CitERF71 in transcriptional regulation of CitTP16 and, therefore, in controlling production of E-geraniol in Citrus fruit. © The Author 2017. Published by Oxford University Press on behalf of the Society for Experimental Biology.

  19. 9-ING-41, a small-molecule glycogen synthase kinase-3 inhibitor, is active in neuroblastoma.

    PubMed

    Ugolkov, Andrey V; Bondarenko, Gennadiy I; Dubrovskyi, Oleksii; Berbegall, Ana P; Navarro, Samuel; Noguera, Rosa; O'Halloran, Thomas V; Hendrix, Mary J; Giles, Francis J; Mazar, Andrew P

    2018-05-25

    Advanced stage neuroblastoma is a very aggressive pediatric cancer with limited treatment options and a high mortality rate. Glycogen synthase kinase-3β (GSK-3β) is a potential therapeutic target in neuroblastoma. Using immunohistochemical staining, we observed positive GSK-3β expression in 67% of human neuroblastomas (34 of 51 cases). Chemically distinct GSK-3 inhibitors (AR-A014418, TDZD-8, and 9-ING-41) suppressed the growth of neuroblastoma cells, whereas 9-ING-41, a clinically relevant small-molecule GSK-3β inhibitor with broad-spectrum preclinical antitumor activity, being the most potent. Inhibition of GSK-3 resulted in a decreased expression of the antiapoptotic molecule XIAP and an increase in neuroblastoma cell apoptosis. Mouse xenograft studies showed that the combination of clinically relevant doses of CPT-11 and 9-ING-41 led to greater antitumor effect than was observed with either agent alone. These data support the inclusion of patients with advanced neuroblastoma in clinical studies of 9-ING-41, especially in combination with CPT-11.

  20. Nitric oxide synthase during early embryonic development in silkworm Bombyx mori: Gene expression, enzyme activity, and tissue distribution.

    PubMed

    Kitta, Ryo; Kuwamoto, Marina; Yamahama, Yumi; Mase, Keisuke; Sawada, Hiroshi

    2016-12-01

    To elucidate the mechanism for embryonic diapause or the breakdown of diapause in Bombyx mori, we biochemically analyzed nitric oxide synthase (NOS) during the embryogenesis of B. mori. The gene expression and enzyme activity of B. mori NOS (BmNOS) were examined in diapause, non-diapause, and HCl-treated diapause eggs. In the case of HCl-treated diapause eggs, the gene expression and enzyme activity of BmNOS were induced by HCl treatment. However, in the case of diapause and non-diapause eggs during embryogenesis, changes in the BmNOS activity and gene expressions did not coincide except 48-60 h after oviposition in diapause eggs. The results imply that changes in BmNOS activity during the embryogenesis of diapause and non-diapause eggs are regulated not only at the level of transcription but also post-transcription. The distribution and localization of BmNOS were also investigated with an immunohistochemical technique using antibodies against the universal NOS; the localization of BmNOS was observed mainly in the cytoplasm of yolk cells in diapause eggs and HCl-treated diapause eggs. These data suggest that BmNOS has an important role in the early embryonic development of the B. mori. © 2016 Japanese Society of Developmental Biologists.

  1. Bornyl-diphosphate synthase from Lavandula angustifolia: A major monoterpene synthase involved in essential oil quality.

    PubMed

    Despinasse, Yolande; Fiorucci, Sébastien; Antonczak, Serge; Moja, Sandrine; Bony, Aurélie; Nicolè, Florence; Baudino, Sylvie; Magnard, Jean-Louis; Jullien, Frédéric

    2017-05-01

    Lavender essential oils (EOs) of higher quality are produced by a few Lavandula angustifolia cultivars and mainly used in the perfume industry. Undesirable compounds such as camphor and borneol are also synthesized by lavender leading to a depreciated EO. Here, we report the cloning of bornyl diphosphate synthase of lavender (LaBPPS), an enzyme that catalyzes the production of bornyl diphosphate (BPP) and then by-products such as borneol or camphor, from an EST library. Compared to the BPPS of Salvia officinalis, the functional characterization of LaBPPS showed several differences in amino acid sequence, and the distribution of catalyzed products. Molecular modeling of the enzyme's active site suggests that the carbocation intermediates are more stable in LaBPPS than in SoBPPS leading probably to a lower efficiency of LaBPPS to convert GPP into BPP. Quantitative RT-PCR performed from leaves and flowers at different development stages of L. angustifolia samples show a clear correlation between transcript level of LaBPPS and accumulation of borneol/camphor, suggesting that LaBPPS is mainly responsible of in vivo biosynthesis of borneol/camphor in fine lavender. A phylogenetic analysis of terpene synthases (TPS) pointed out the basal position of LaBPPS in the TPSb clade, suggesting that LaBPPS could be an ancestor of others lavender TPSb. Finally, borneol could be one of the first monoterpenes to be synthesized in the Lavandula subgenus. Knowledge gained from these experiments will facilitate future studies to improve the lavender oils through metabolic engineering or plant breeding. Accession numbers: LaBPPS: KM015221. Copyright © 2017. Published by Elsevier Ltd.

  2. Isolation and structural determination of squalene synthase inhibitor from Prunus mume fruit.

    PubMed

    Choi, Sung-Won; Hur, Nam-Yoon; Ahn, Soon-Cheol; Kim, Dong-Seob; Lee, Jae-Kwon; Kim, Dae-Ok; Park, Seung-Kook; Kim, Byung-Yong; Baik, Moo-Yeol

    2007-12-01

    Squalene synthase plays an important role in the cholesterol biosynthetic pathway. Inhibiting this enzyme in hypercholesterolemia can lower not only plasma cholesterol but also plasma triglyceride levels. A squalene synthase inhibitor was screened from Prunus mume fruit, and then purified via sequential processes of ethanol extraction, HP-20 column chromatography, ethyl acetate extraction, silica gel column chromatography, and crystallization. The squalene synthase inhibitor was identified as chlorogenic acid with a molecular mass of 354 Da and a molecular formula of C16H18O9 based on UV spectrophotometry, 1H and 13C NMRs, and mass spectrometry. Chlorogenic acid inhibited the squalene synthase of pig liver with an IC50 level of 100 nM. Since chlorogenic acid was an effective inhibitor against the squalene synthase of an animal source, it may be a potential therapeutic agent for hypercholesterolemia.

  3. C75, a fatty acid synthase inhibitor, modulates AMP-activated protein kinase to alter neuronal energy metabolism.

    PubMed

    Landree, Leslie E; Hanlon, Andrea L; Strong, David W; Rumbaugh, Gavin; Miller, Ian M; Thupari, Jagan N; Connolly, Erin C; Huganir, Richard L; Richardson, Christine; Witters, Lee A; Kuhajda, Francis P; Ronnett, Gabriele V

    2004-01-30

    C75, a synthetic inhibitor of fatty acid synthase (FAS), is hypothesized to alter the metabolism of neurons in the hypothalamus that regulate feeding behavior to contribute to the decreased food intake and profound weight loss seen with C75 treatment. In the present study, we characterize the suitability of primary cultures of cortical neurons for studies designed to investigate the consequences of C75 treatment and the alteration of fatty acid metabolism in neurons. We demonstrate that in primary cortical neurons, C75 inhibits FAS activity and stimulates carnitine palmitoyltransferase-1 (CPT-1), consistent with its effects in peripheral tissues. C75 alters neuronal ATP levels and AMP-activated protein kinase (AMPK) activity. Neuronal ATP levels are affected in a biphasic manner with C75 treatment, decreasing initially, followed by a prolonged increase above control levels. Cerulenin, a FAS inhibitor, causes a similar biphasic change in ATP levels, although levels do not exceed control. C75 and cerulenin modulate AMPK phosphorylation and activity. TOFA, an inhibitor of acetyl-CoA carboxylase, increases ATP levels, but does not affect AMPK activity. Several downstream pathways are affected by C75 treatment, including glucose metabolism and acetyl-CoA carboxylase (ACC) phosphorylation. These data demonstrate that C75 modulates the levels of energy intermediates, thus, affecting the energy sensor AMPK. Similar effects in hypothalamic neurons could form the basis for the effects of C75 on feeding behavior.

  4. Interrogation of Benzomalvin Biosynthesis Using Fungal Artificial Chromosomes with Metabolomic Scoring (FAC-MS): Discovery of a Benzodiazepine Synthase Activity.

    PubMed

    Clevenger, Kenneth D; Ye, Rosa; Bok, Jin Woo; Thomas, Paul M; Islam, Md Nurul; Miley, Galen P; Robey, Matthew T; Chen, Cynthia; Yang, KaHoua; Swyers, Michael; Wu, Edward; Gao, Peng; Wu, Chengcang C; Keller, Nancy P; Kelleher, Neil L

    2018-03-20

    The benzodiazepine benzomalvin A/D is a fungally derived specialized metabolite and inhibitor of the substance P receptor NK1, biosynthesized by a three-gene nonribosomal peptide synthetase cluster. Here, we utilize fungal artificial chromosomes with metabolomic scoring (FAC-MS) to perform molecular genetic pathway dissection and targeted metabolomics analysis to assign the in vivo role of each domain in the benzomalvin biosynthetic pathway. The use of FAC-MS identified the terminal cyclizing condensation domain as BenY-C T and the internal C-domains as BenZ-C 1 and BenZ-C 2 . Unexpectedly, we also uncovered evidence suggesting BenY-C T or a yet to be identified protein mediates benzodiazepine formation, representing the first reported benzodiazepine synthase enzymatic activity. This work informs understanding of what defines a fungal C T domain and shows how the FAC-MS platform can be used as a tool for in vivo analyses of specialized metabolite biosynthesis and for the discovery and dissection of new enzyme activities.

  5. Role of sucrose phosphate synthase in sucrose biosynthesis in ripening bananas and its relationship to the respiratory climacteric.

    PubMed

    Hubbard, N L; Pharr, D M; Huber, S C

    1990-09-01

    During ripening of bananas (Musa spp. [AAA group, Cavendish subgroup]), there is a massive conversion of starch to sucrose. Also during ripening there is a rise in respiration known as the respiratory climacteric. In this study changes in carbohydrate content, activities of starch and sucrose metabolizing enzymes, and respiration were measured to assess their potential interrelationships. Sucrose phosphate synthase activity increased dramatically during the first 4 days after initiation of ripening by ethylene treatment. Starch concentration decreased and sucrose concentration increased during this time period. Developmental changes in sucrose phosphate synthase activity were measured with limiting substrate (plus Pi) and saturating substrate concentrations. Activities were not parallel under the two assay conditions, providing tentative evidence that kinetically different forms of the enzyme may exist at different stages of ripening. Sucrose accumulation rate was most highly correlated with sucrose phosphate synthase activity assayed with limiting substrate concentrations (plus Pi). The cumulative amount of CO(2) respired during ripening was positively correlated with sugar accumulation (R(2) = 0.97). From this linear regression it was calculated that a constant 0.605 millimoles of CO(2) was evolved per mole of sucrose formed throughout ripening. Using this quantity, the percentage of the total respiratory ATP produced which was required for the conversion of starch to sucrose was calculated assuming different models for carbon export from the amyloplast. The results suggest that sucrose biosynthesis during ripening constitutes a significant sink for respiratory ATP.

  6. Eckmaxol, a Phlorotannin Extracted from Ecklonia maxima, Produces Anti-β-amyloid Oligomer Neuroprotective Effects Possibly via Directly Acting on Glycogen Synthase Kinase 3β.

    PubMed

    Wang, Jialing; Zheng, Jiachen; Huang, Chunhui; Zhao, Jiaying; Lin, Jiajia; Zhou, Xuezhen; Naman, C Benjamin; Wang, Ning; Gerwick, William H; Wang, Qinwen; Yan, Xiaojun; Cui, Wei; He, Shan

    2018-04-10

    Alzheimer's disease is a progressive neurodegenerative disorder that mainly affects the elderly. Soluble β-amyloid oligomer, which can induce neurotoxicity, is generally regarded as the main neurotoxin in Alzheimer's disease. Here we report that eckmaxol, a phlorotannin extracted from the brown alga Ecklonia maxima, could produce neuroprotective effects in SH-SY5Y cells. Eckmaxol effectively prevented but did not rescue β-amyloid oligomer-induced neuronal apoptosis and increase of intracellular reactive oxygen species. Eckmaxol also significantly reversed the decreased expression of phospho-Ser9-glycogen synthase kinase 3β and increased expression of phospho-extracellular signal-regulated kinase, which was induced by Aβ oligomer. Moreover, both glycogen synthase kinase 3β and mitogen activated protein kinase inhibitors produced neuroprotective effects in SH-SY5Y cells. Furthermore, eckmaxol showed favorable interaction in the ATP binding site of glycogen synthase kinase 3β and mitogen activated protein kinase. These results suggested that eckmaxol might produce neuroprotective effects via concurrent inhibition of glycogen synthase kinase 3β and extracellular signal-regulated kinase pathways, possibly via directly acting on glycogen synthase kinase 3β and mitogen activated protein kinase. Based on the central role that β-amyloid oligomers play in the pathogenesis of Alzheimer's disease and the high annual production of Ecklonia maxima for alginate and other nutritional ingredients, this report represents a new candidate for the treatment of Alzheimer's disease, and also expands the potential application of Ecklonia maxima and its constituents in the field of pharmacology.

  7. Emerging lipid-lowering drugs: squalene synthase inhibitors.

    PubMed

    Elsayed, Raghda K; Evans, Jeffery D

    2008-06-01

    Lapaquistat was the only squalene synthase inhibitor in Phase III clinical trials in Europe and the United States, but was recently discontinued from clinical development. Unlike statins, the inhibition of de novo cholesterol biosynthesis by lapaquistat does not deplete mevalonate, a precursor of isoprenoids. Isoprenoids are critical in cell growth and metabolism. The present review will focus on the chemistry, pharmacology, and lipid-lowering effects of novel squalene synthase inhibitors. A search of Pubmed, IPA, and GoogleScholar for studies (animal and human) and review articles published in English between 1990 and April 2008, using the search terms "squalene synthase inhibitors" or "lapaquistat". All clinical trials identified were then cross-referenced for their citations. All literature identified was then complied for this analysis. Lapaquistat mainly targets LDL-C, but may have some effect on HDL-C and TG. Preliminary reports on Phase II and Phase III associated lapaquistat 100 mg with elevated hepatic enzymes. Hepatotoxicity, possible drug-drug interaction with statins, and the investigation of a statin/coenzyme Q10 combination are among the few challenges that impeded lapaquistat's clinical development.

  8. Low-Dose Ribavirin Treatments Attenuate Neuroinflammatory Activation of BV-2 Cells by Interfering with Inducible Nitric Oxide Synthase

    PubMed Central

    Bozic, Iva; Savic, Danijela; Jovanovic, Marija; Bjelobaba, Ivana; Laketa, Danijela; Nedeljkovic, Nadezda; Stojiljkovic, Mirjana; Pekovic, Sanja; Lavrnja, Irena

    2015-01-01

    Microglia play a key role in defending central nervous system from various internal and external threats. However, their excessive and/or chronic activation is associated with deleterious effects in a variety of neurodegenerative diseases. Previously, we have shown that ribavirin when applied in clinically relevant dosage (10 μM) modulates activated microglia in complex fashion inducing both anti- and proinflammatory effects, simultaneously causing cytotoxicity. Here, we examined potential of low-dose ribavirin (0.1 and 1 μM) to modulate activated BV-2 microglia. Morphological and functional activation of BV-2 cells was achieved with lipopolysaccharide (LPS) stimulation. Our results demonstrated that low-dose ribavirin did not induce cell death, while 10 μM ribavirin promoted LPS induced apoptosis. We determined that 1 μM ribavirin was equally efficient in deactivation of LPS induced morphological changes as 10 μM ribavirin treatment. Ribavirin showed halfway success in reducing markers of functional activation of microglia. Namely, none of the doses had effect on LPS triggered production of proinflammatory cytokine tumor necrosis factor alpha. On the other hand, low-dose ribavirin proved its effectiveness in reduction of another inflammatory mediator, nitric oxide, by inhibiting inducible form of nitric oxide synthase. Our results imply that low-dose ribavirin may alleviate nitrosative stress during neuroinflammation. PMID:26413464

  9. Glycogen synthase kinase 3: more than a namesake.

    PubMed

    Rayasam, Geetha Vani; Tulasi, Vamshi Krishna; Sodhi, Reena; Davis, Joseph Alex; Ray, Abhijit

    2009-03-01

    Glycogen synthase kinase 3 (GSK3), a constitutively acting multi-functional serine threonine kinase is involved in diverse physiological pathways ranging from metabolism, cell cycle, gene expression, development and oncogenesis to neuroprotection. These diverse multiple functions attributed to GSK3 can be explained by variety of substrates like glycogen synthase, tau protein and beta catenin that are phosphorylated leading to their inactivation. GSK3 has been implicated in various diseases such as diabetes, inflammation, cancer, Alzheimer's and bipolar disorder. GSK3 negatively regulates insulin-mediated glycogen synthesis and glucose homeostasis, and increased expression and activity of GSK3 has been reported in type II diabetics and obese animal models. Consequently, inhibitors of GSK3 have been demonstrated to have anti-diabetic effects in vitro and in animal models. However, inhibition of GSK3 poses a challenge as achieving selectivity of an over achieving kinase involved in various pathways with multiple substrates may lead to side effects and toxicity. The primary concern is developing inhibitors of GSK3 that are anti-diabetic but do not lead to up-regulation of oncogenes. The focus of this review is the recent advances and the challenges surrounding GSK3 as an anti-diabetic therapeutic target.

  10. Three 1-Aminocyclopropane-1-Carboxylate Synthase Genes Regulated by Primary and Secondary Pollination Signals in Orchid Flowers1

    PubMed Central

    Bui, Anhthu Q.; Neill, Sharman D. O'

    1998-01-01

    The temporal and spatial expression patterns of three 1-aminocyclopropane-1-carboxylate (ACC) synthase genes were investigated in pollinated orchid (Phalaenopsis spp.) flowers. Pollination signals initiate a cascade of development events in multiple floral organs, including the induction of ethylene biosynthesis, which coordinates several postpollination developmental responses. The initiation and propagation of ethylene biosynthesis is regulated by the coordinated expression of three distinct ACC synthase genes in orchid flowers. One ACC synthase gene (Phal-ACS1) is regulated by ethylene and participates in amplification and interorgan transmission of the pollination signal, as we have previously described in a related orchid genus. Two additional ACC synthase genes (Phal-ACS2 and Phal-ACS3) are expressed primarily in the stigma and ovary of pollinated orchid flowers. Phal-ACS2 mRNA accumulated in the stigma within 1 h after pollination, whereas Phal-ACS1 mRNA was not detected until 6 h after pollination. Similar to the expression of Phal-ACS2, the Phal-ACS3 gene was expressed within 2 h after pollination in the ovary. Exogenous application of auxin, but not ACC, mimicked pollination by stimulating a rapid increase in ACC synthase activity in the stigma and ovary and inducing Phal-ACS2 and Phal-ACS3 mRNA accumulation in the stigma and ovary, respectively. These results provide the basis for an expanded model of interorgan regulation of three ACC synthase genes that respond to both primary (Phal-ACS2 and Phal-ACS3) and secondary (Phal-ACS1) pollination signals. PMID:9449850

  11. Hybrid polyketide synthases

    DOEpatents

    Fortman, Jeffrey L.; Hagen, Andrew; Katz, Leonard; Keasling, Jay D.; Poust, Sean; Zhang, Jingwei; Zotchev, Sergey

    2016-05-10

    The present invention provides for a polyketide synthase (PKS) capable of synthesizing an even-chain or odd-chain diacid or lactam or diamine. The present invention also provides for a host cell comprising the PKS and when cultured produces the even-chain diacid, odd-chain diacid, or KAPA. The present invention also provides for a host cell comprising the PKS capable of synthesizing a pimelic acid or KAPA, and when cultured produces biotin.

  12. 2.0 Angstrom Structure of Prostaglandin H2 Synthase-1 Reconstituted with a Manganese Porphyrin Cofactor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gupta,K.; Selinsky, B.; Loll, P.

    2006-01-01

    Prostaglandin H{sub 2} synthase (EC 1.14.99.1) is a clinically important drug target that catalyzes two key steps in the biosynthesis of the eicosanoid hormones. The enzyme contains spatially distinct cyclooxygenase and peroxidase active sites, both of which require a heme cofactor. Substitution of ferric heme by Mn{sup III} protoporphyrin IX greatly diminishes the peroxidase activity, but has little effect on the cyclooxygenase activity. Here, the 2.0 Angstrom resolution crystal structure of the Mn{sup III} form of ovine prostaglandin H{sub 2} synthase-1 is described (R = 21.8%, R{sub free} = 23.7%). Substitution of Mn{sup III} for Fe{sup III} causes no structuralmore » perturbations in the protein. However, the out-of-plane displacement of the manganese ion with respect to the porphyrin is greater than that of the iron by approximately 0.2 Angstroms. This perturbation may help to explain the altered catalytic properties of the manganese enzyme.« less

  13. Purification and Biochemical Properties of Phytochromobilin Synthase from Etiolated Oat Seedlings1

    PubMed Central

    McDowell, Michael T.; Lagarias, J. Clark

    2001-01-01

    Plant phytochromes are dependent on the covalent attachment of the linear tetrapyrrole chromophore phytochromobilin (PΦB) for photoactivity. In planta, biliverdin IXα (BV) is reduced by the plastid-localized, ferredoxin (Fd)-dependent enzyme PΦB synthase to yield 3Z-PΦB. Here, we describe the >50,000-fold purification of PΦB synthase from etioplasts from dark-grown oat (Avena sativa L. cv Garry) seedlings using traditional column chromatography and preparative electrophoresis. Thus, PΦB synthase is a very low abundance enzyme with a robust turnover rate. We estimate the turnover rate to be >100 s−1, which is similar to that of mammalian NAD(P)H-dependent BV reductase. Oat PΦB synthase is a monomer with a subunit mass of 29 kD. However, two distinct charged forms of the enzymes were identified by native isoelectric focusing. The ability of PΦB synthase to reduce BV is dependent on reduced 2Fe-2S Fds. A Km for spinach (Spinacea oleracea) Fd was determined to be 3 to 4 μm. PΦB synthase has a high affinity for its bilin substrate, with a sub-micromolar Km for BV. PMID:11500553

  14. Eukaryotic beta-alanine synthases are functionally related but have a high degree of structural diversity.

    PubMed Central

    Gojković, Z; Sandrini, M P; Piskur, J

    2001-01-01

    beta-Alanine synthase (EC 3.5.1.6), which catalyzes the final step of pyrimidine catabolism, has only been characterized in mammals. A Saccharomyces kluyveri pyd3 mutant that is unable to grow on N-carbamyl-beta-alanine as the sole nitrogen source and exhibits diminished beta-alanine synthase activity was used to clone analogous genes from different eukaryotes. Putative PYD3 sequences from the yeast S. kluyveri, the slime mold Dictyostelium discoideum, and the fruit fly Drosophila melanogaster complemented the pyd3 defect. When the S. kluyveri PYD3 gene was expressed in S. cerevisiae, which has no pyrimidine catabolic pathway, it enabled growth on N-carbamyl-beta-alanine as the sole nitrogen source. The D. discoideum and D. melanogaster PYD3 gene products are similar to mammalian beta-alanine synthases. In contrast, the S. kluyveri protein is quite different from these and more similar to bacterial N-carbamyl amidohydrolases. All three beta-alanine synthases are to some degree related to various aspartate transcarbamylases, which catalyze the second step of the de novo pyrimidine biosynthetic pathway. PYD3 expression in yeast seems to be inducible by dihydrouracil and N-carbamyl-beta-alanine, but not by uracil. This work establishes S. kluyveri as a model organism for studying pyrimidine degradation and beta-alanine production in eukaryotes. PMID:11454750

  15. Molecular cloning of the human UMP synthase gene and characterization of point mutations in two hereditary orotic aciduria families

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Suchi, Mariko; Mizuno, Haruo; Tsuboi, Takashi

    Uridine monophosphate (UMP) synthase is a bifunctional enzyme catalyzing the last two steps of de novo pyrimidine biosynthesis, orotate phosphoribosyltransferase (OPRT) and orotidine-5{prime}-monophosphate decarboxylase (ODC). Loss of either enzymatic activity results in hereditary orotic aciduria, a rare autosomal recessive disorder characterized by retarded growth, anemia, and excessive urinary excretion of orotic acid. We have isolated the UMP synthase chromosomal gene from a {lambda}EMBL-3 human genomic library and report a single-copy gene spanning {approximately}15 kb. The UMP synthase genomic structure encodes six exons ranging in size from 115 bp to 672 bp, and all splicing junctions adhere to the canonical GT/AGmore » rule. Cognate promoter elements implicated in glucocorticoid- and cAMP-mediated regulation as well as in liver-, myeloid-, and lymphocyte-specific expression are located within the 5{prime} flanking sequence. Molecular investigation of UMP synthase deficiency in a Japanese orotic aciduria patient revealed mutations R96G (A- to-G transition; nt 286) and G429R (G-to-C transversion; nt 1285) in one allele and V109G (T-to-G transversion; nt 326) in the other allele. Expression of human UMP synthase cDNAs containing these mutations in pyrimidine auxotrophic Escherichia coli and in recombinant baculovirus-infected Sf21 cells demonstrates impaired activity presumably associated with the urinary orotic acid substrate accumulations observed in vivo. We further establish the identity of two polymorphisms, G213A ({nu} = .26) and 440 Gpoly ({nu} = .27) located in exons 3 and 6, respectively, which did not significantly compromise either OPRT or ODC function. 76 refs., 5 figs., 7 tabs.« less

  16. Inactivation of ceramide synthase 2 catalytic activity in mice affects transcription of genes involved in lipid metabolism and cell division.

    PubMed

    Bickert, Andreas; Kern, Paul; van Uelft, Martina; Herresthal, Stefanie; Ulas, Thomas; Gutbrod, Katharina; Breiden, Bernadette; Degen, Joachim; Sandhoff, Konrad; Schultze, Joachim L; Dörmann, Peter; Hartmann, Dieter; Bauer, Reinhard; Willecke, Klaus

    2018-07-01

    The replacement of two consecutive histidine residues by alanine residues in the catalytic center of ceramide synthase 2 in a new transgenic mouse mutant (CerS2 H/A) leads to inactivation of catalytic activity and reduces protein level to 60% of the WT level. We show here by qRT-PCR and transcriptome analyses that several transcripts of genes involved in lipid metabolism and cell division are differentially regulated in livers of CerS2 H/A mice. Thus, very long chain ceramides produced by CerS2 are required for transcriptional regulation of target genes. The hepatocellular carcinomata previously described in old CerS2 KO mice were already present in 8-week-old CerS2 H/A animals and thus are caused by the loss of CerS2 catalytic activity already during early life. Copyright © 2018 Elsevier B.V. All rights reserved.

  17. Inhibition of ATP Synthase by Chlorinated Adenosine Analogue

    PubMed Central

    Chen, Lisa S.; Nowak, Billie J.; Ayres, Mary L.; Krett, Nancy L.; Rosen, Steven T.; Zhang, Shuxing; Gandhi, Varsha

    2009-01-01

    8-Chloroadenosine (8-Cl-Ado) is a ribonucleoside analogue that is currently in clinical trial for chronic lymphocytic leukemia. Based on the decline in cellular ATP pool following 8-Cl-Ado treatment, we hypothesized that 8-Cl-ADP and 8-Cl-ATP may interfere with ATP synthase, a key enzyme in ATP production. Mitochondrial ATP synthase is composed of two major parts; FO intermembrane base and F1 domain, containing α and β subunits. Crystal structures of both α and β subunits that bind to the substrate, ADP, are known in tight binding (αdpβdp) and loose binding (αtpβtp) states. Molecular docking demonstrated that 8-Cl-ADP/8-Cl-ATP occupied similar binding modes as ADP/ATP in the tight and loose binding sites of ATP synthase, respectively, suggesting that the chlorinated nucleotide metabolites may be functional substrates and inhibitors of the enzyme. The computational predictions were consistent with our whole cell biochemical results. Oligomycin, an established pharmacological inhibitor of ATP synthase, decreased both ATP and 8-Cl-ATP formation from exogenous substrates, however, did not affect pyrimidine nucleoside analogue triphosphate accumulation. Synthesis of ATP from ADP was inhibited in cells loaded with 8-Cl-ATP. These biochemical studies are in consent with the computational modeling; in the αtpβtp state 8-Cl-ATP occupies similar binding as ANP, a non-hydrolyzable ATP mimic that is a known inhibitor. Similarly, in the substrate binding site (αdpβdp) 8-Cl-ATP occupies a similar position as ATP mimic ADP-BeF3 −. Collectively, our current work suggests that 8-Cl-ADP may serve as a substrate and the 8-Cl-ATP may be an inhibitor of ATP synthase. PMID:19477165

  18. ATP synthase promotes germ cell differentiation independent of oxidative phosphorylation

    PubMed Central

    Teixeira, Felipe K.; Sanchez, Carlos G.; Hurd, Thomas R.; Seifert, Jessica R. K.; Czech, Benjamin; Preall, Jonathan B.; Hannon, Gregory J.; Lehmann, Ruth

    2015-01-01

    The differentiation of stem cells is a tightly regulated process essential for animal development and tissue homeostasis. Through this process, attainment of new identity and function is achieved by marked changes in cellular properties. Intrinsic cellular mechanisms governing stem cell differentiation remain largely unknown, in part because systematic forward genetic approaches to the problem have not been widely used1,2. Analysing genes required for germline stem cell differentiation in the Drosophila ovary, we find that the mitochondrial ATP synthase plays a critical role in this process. Unexpectedly, the ATP synthesizing function of this complex was not necessary for differentiation, as knockdown of other members of the oxidative phosphorylation system did not disrupt the process. Instead, the ATP synthase acted to promote the maturation of mitochondrial cristae during differentiation through dimerization and specific upregulation of the ATP synthase complex. Taken together, our results suggest that ATP synthase-dependent crista maturation is a key developmental process required for differentiation independent of oxidative phosphorylation. PMID:25915123

  19. Controlling Citrate Synthase Expression by CRISPR/Cas9 Genome Editing for n-Butanol Production in Escherichia coli.

    PubMed

    Heo, Min-Ji; Jung, Hwi-Min; Um, Jaeyong; Lee, Sang-Woo; Oh, Min-Kyu

    2017-02-17

    Genome editing using CRISPR/Cas9 was successfully demonstrated in Esherichia coli to effectively produce n-butanol in a defined medium under microaerobic condition. The butanol synthetic pathway genes including those encoding oxygen-tolerant alcohol dehydrogenase were overexpressed in metabolically engineered E. coli, resulting in 0.82 g/L butanol production. To increase butanol production, carbon flux from acetyl-CoA to citric acid cycle should be redirected to acetoacetyl-CoA. For this purpose, the 5'-untranslated region sequence of gltA encoding citrate synthase was designed using an expression prediction program, UTR designer, and modified using the CRISPR/Cas9 genome editing method to reduce its expression level. E. coli strains with decreased citrate synthase expression produced more butanol and the citrate synthase activity was correlated with butanol production. These results demonstrate that redistributing carbon flux using genome editing is an efficient engineering tool for metabolite overproduction.

  20. Cooperative functioning between phenylalanine ammonia lyase and isochorishmate synthase activities contributes to salicylic acid biosynthesis in soybean

    USDA-ARS?s Scientific Manuscript database

    Salicylic acid (SA), an essential regulator of plant defense, is derived from chorismate via either the phenylalanine ammonia lyase (PAL), or the isochorishmate synthase (ICS) catalyzed steps. The ICS pathway is thought to be the primary contributor of defense-related SA, at least in Arabidopsis. We...

  1. A single gene for lycopene cyclase, phytoene synthase, and regulation of carotene biosynthesis in Phycomyces

    PubMed Central

    Arrach, Nabil; Fernández-Martín, Rafael; Cerdá-Olmedo, Enrique; Avalos, Javier

    2001-01-01

    Previous complementation and mapping of mutations that change the usual yellow color of the Zygomycete Phycomyces blakesleeanus to white or red led to the definition of two structural genes for carotene biosynthesis. We have cloned one of these genes, carRA, by taking advantage of its close linkage to the other, carB, responsible for phytoene dehydrogenase. The sequences of the wild type and six mutants have been established, compared with sequences in other organisms, and correlated with the mutant phenotypes. The carRA and carB coding sequences are separated by 1,381 untranslated nucleotides and are divergently transcribed. Gene carRA contains separate domains for two enzymes, lycopene cyclase and phytoene synthase, and regulates the overall activity of the pathway and its response to physical and chemical stimuli from the environment. The lycopene cyclase domain of carRA derived from a duplication of a gene from a common ancestor of fungi and Brevibacterium linens; the phytoene synthase domain is similar to the phytoene and squalene synthases of many organisms; but the regulatory functions appear to be specific to Phycomyces. PMID:11172012

  2. A recruiting protein of geranylgeranyl diphosphate synthase controls metabolic flux toward chlorophyll biosynthesis in rice.

    PubMed

    Zhou, Fei; Wang, Cheng-Yuan; Gutensohn, Michael; Jiang, Ling; Zhang, Peng; Zhang, Dabing; Dudareva, Natalia; Lu, Shan

    2017-06-27

    In plants, geranylgeranyl diphosphate (GGPP) is produced by plastidic GGPP synthase (GGPPS) and serves as a precursor for vital metabolic branches, including chlorophyll, carotenoid, and gibberellin biosynthesis. However, molecular mechanisms regulating GGPP allocation among these biosynthetic pathways localized in the same subcellular compartment are largely unknown. We found that rice contains only one functionally active GGPPS, OsGGPPS1, in chloroplasts. A functionally active homodimeric enzyme composed of two OsGGPPS1 subunits is located in the stroma. In thylakoid membranes, however, the GGPPS activity resides in a heterodimeric enzyme composed of one OsGGPPS1 subunit and GGPPS recruiting protein (OsGRP). OsGRP is structurally most similar to members of the geranyl diphosphate synthase small subunit type II subfamily. In contrast to members of this subfamily, OsGRP enhances OsGGPPS1 catalytic efficiency and specificity of GGPP production on interaction with OsGGPPS1. Structural biology and protein interaction analyses demonstrate that affinity between OsGRP and OsGGPPS1 is stronger than between two OsGGPPS1 molecules in homodimers. OsGRP determines OsGGPPS1 suborganellar localization and directs it to a large protein complex in thylakoid membranes, consisting of geranylgeranyl reductase (OsGGR), light-harvesting-like protein 3 (OsLIL3), protochlorophyllide oxidoreductase (OsPORB), and chlorophyll synthase (OsCHLG). Taken together, genetic and biochemical analyses suggest OsGRP functions in recruiting OsGGPPS1 from the stroma toward thylakoid membranes, thus providing a mechanism to control GGPP flux toward chlorophyll biosynthesis.

  3. Nuclear glycogen and glycogen synthase kinase 3.

    PubMed

    Ragano-Caracciolo, M; Berlin, W K; Miller, M W; Hanover, J A

    1998-08-19

    Glycogen is the principal storage form of glucose in animal cells. It accumulates in electron-dense cytoplasmic granules and is synthesized by glycogen synthase (GS), the rate-limiting enzyme of glycogen deposition. Glycogen synthase kinase-3 (GSK-3) is a protein kinase that phosphorylates GS. Two nearly identical forms of GSK-3 exist: GSK-3 alpha and GSK-3 beta. Both are constitutively active in resting cells and their activity can be modulated by hormones and growth factors. GSK-3 is implicated in the regulation of many physiological responses in mammalian cells by phosphorylating substrates including neuronal cell adhesion molecule, neurofilaments, synapsin I, and tau. Recent observations point to functions for glycogen and glycogen metabolism in the nucleus. GSK-3 phosphorylates several transcription factors, and we have recently shown that it modifies the major nuclear pore protein p62. It also regulates PK1, a protein kinase required for maintaining the interphase state and for DNA replication in cycling Xenopus egg extracts. Recently, glycogen was shown to be required for nuclear reformation in vitro using ovulated Xenopus laevis egg lysates. Because neither glycogen nor GSK-3 has been localized to the nuclear envelope or intranuclear sites, glycogen and GSK-3 activites were measured in rat liver nuclei and nuclear reformation extracts. Significant quantities of glycogen-like material co-purified with the rat-liver nuclear envelope. GSK-3 is also highly enriched in the glycogen pellet of egg extracts of Xenopus that is required for nuclear assembly in vitro. Based on the finding that enzymes of glycogen metabolism copurify with glycogen, we propose that glycogen may serve a structural role as a scaffold for nuclear assembly and sequestration of critical kinases and phosphatases in the nucleus. Copyright 1998 Academic Press.

  4. The type I fatty acid and polyketide synthases: a tale of two megasynthases

    PubMed Central

    Tsai, Shiou-Chuan

    2008-01-01

    This review chronicles the synergistic growth of the fields of fatty acid and polyketide synthesis over the last century. In both animal fatty acid synthases and modular polyketide synthases, similar catalytic elements are covalently linked in the same order in megasynthases. Whereas in fatty acid synthases the basic elements of the design remain immutable, guaranteeing the faithful production of saturated fatty acids, in the modular polyketide synthases, the potential of the basic design has been exploited to the full for the elaboration of a wide range of secondary metabolites of extraordinary structural diversity. PMID:17898897

  5. Directed evolution and expression tuning of geraniol synthase for efficient geraniol production in Escherichia coli.

    PubMed

    Tashiro, Miki; Fujii, Akira; Kawai-Noma, Shigeko; Saito, Kyoichi; Umeno, Daisuke

    2017-11-17

    To achieve an efficient production of geraniol and its derivatives in Escherichia coli, we aimed to improve the activity of geraniol synthase (GES) through a single round of mutagenesis and screening for higher substrate consumption. We isolated GES variants that outperform their parent in geraniol production. The analysis of GES variants indicated that the expression level of GES was the bottleneck for geraniol synthesis. Over-expression of the mutant GES M53 with a 5'-untranslated sequence designed for high translational efficiency, along with the additional expression of mevalonate pathway enzymes, isopentenyl pyrophosphate isomerase, and geranyl pyrophosphate synthase, yielded 300 mg/L/12 h geraniol and its derivatives (>1000 mg/L/42 h in total) in a shaking flask.

  6. Endometrial nitric oxide synthase activity in mares susceptible or resistant to persistent breeding-induced endometritis and the effect of a specific iNOS inhibitor in vitro.

    PubMed

    Khan, F A; Chenier, T S; Foster, R A; Hewson, J; Scholtz, E L

    2018-06-01

    Emerging research suggests that the nitric oxide system may play a role in persistent breeding-induced endometritis (PBIE) in the mare. Differences in uterine nitric oxide (NO) levels between mares susceptible or resistant to PBIE and a dose-dependent inhibitory effect of NO on uterine contractility have been demonstrated. The objectives of this study were to investigate the difference in total nitric oxide synthase (NOS) activity of the endometrium between susceptible and resistant mares and the effect of a specific inducible nitric oxide synthase (iNOS) inhibitor on the endometrial NOS activity in vitro. Six susceptible and six resistant mares were selected based on preset criteria and the results of an intrauterine challenge with killed spermatozoa during oestrus. Endometrial biopsy samples were collected 24 hr post-challenge and cultured at 37°C for 24 hr in L-arginine supplemented minimum essential medium with or without a specific iNOS inhibitor (1,400 W dihydrochloride, 1 mM). The medium and the cultured endometrial tissue were collected after 24 hr of culture and assayed for NO and total protein, respectively. Total NO content of the medium, normalized to endometrial tissue wet weight or total protein, was used as a measure of endometrial NOS activity. Non-parametric tests were applied for statistical analysis. Susceptible mares had significantly greater endometrial NOS activity than resistant mares. The iNOS inhibitor treatment significantly reduced NOS activity in endometrial samples derived from susceptible and resistant mares. These findings provide a basis for in vivo testing of specific iNOS inhibitors as preventative or therapeutic options for PBIE in mares. © 2018 Blackwell Verlag GmbH.

  7. Nitric Oxide Synthase and Neuronal NADPH Diaphorase are Identical in Brain and Peripheral Tissues

    NASA Astrophysics Data System (ADS)

    Dawson, Ted M.; Bredt, David S.; Fotuhi, Majid; Hwang, Paul M.; Snyder, Solomon H.

    1991-09-01

    NADPH diaphorase staining neurons, uniquely resistant to toxic insults and neurodegenerative disorders, have been colocalized with neurons in the brain and peripheral tissue containing nitric oxide synthase (EC 1.14.23.-), which generates nitric oxide (NO), a recently identified neuronal messenger molecule. In the corpus striatum and cerebral cortex, NO synthase immunoreactivity and NADPH diaphorase staining are colocalized in medium to large aspiny neurons. These same neurons colocalize with somatostatin and neuropeptide Y immunoreactivity. NO synthase immunoreactivity and NADPH diaphorase staining are colocalized in the pedunculopontine nucleus with choline acetyltransferase-containing cells and are also colocalized in amacrine cells of the inner nuclear layer and ganglion cells of the retina, myenteric plexus neurons of the intestine, and ganglion cells of the adrenal medulla. Transfection of human kidney cells with NO synthase cDNA elicits NADPH diaphorase staining. The ratio of NO synthase to NADPH diaphorase staining in the transfected cells is the same as in neurons, indicating that NO synthase fully accounts for observed NADPH staining. The identity of neuronal NO synthase and NADPH diaphorase suggests a role for NO in modulating neurotoxicity.

  8. Identification and evaluation of novel acetolactate synthase inhibitors as antifungal agents.

    PubMed

    Richie, Daryl L; Thompson, Katherine V; Studer, Christian; Prindle, Vivian C; Aust, Thomas; Riedl, Ralph; Estoppey, David; Tao, Jianshi; Sexton, Jessica A; Zabawa, Thomas; Drumm, Joseph; Cotesta, Simona; Eichenberger, Jürg; Schuierer, Sven; Hartmann, Nicole; Movva, N Rao; Tallarico, John A; Ryder, Neil S; Hoepfner, Dominic

    2013-05-01

    High-throughput phenotypic screening against the yeast Saccharomyces cerevisiae revealed a series of triazolopyrimidine-sulfonamide compounds with broad-spectrum antifungal activity, no significant cytotoxicity, and low protein binding. To elucidate the target of this series, we have applied a chemogenomic profiling approach using the S. cerevisiae deletion collection. All compounds of the series yielded highly similar profiles that suggested acetolactate synthase (Ilv2p, which catalyzes the first common step in branched-chain amino acid biosynthesis) as a possible target. The high correlation with profiles of known Ilv2p inhibitors like chlorimuron-ethyl provided further evidence for a similar mechanism of action. Genome-wide mutagenesis in S. cerevisiae identified 13 resistant clones with 3 different mutations in the catalytic subunit of acetolactate synthase that also conferred cross-resistance to established Ilv2p inhibitors. Mapping of the mutations into the published Ilv2p crystal structure outlined the chlorimuron-ethyl binding cavity, and it was possible to dock the triazolopyrimidine-sulfonamide compound into this pocket in silico. However, fungal growth inhibition could be bypassed through supplementation with exogenous branched-chain amino acids or by the addition of serum to the medium in all of the fungal organisms tested except for Aspergillus fumigatus. Thus, these data support the identification of the triazolopyrimidine-sulfonamide compounds as inhibitors of acetolactate synthase but suggest that targeting may be compromised due to the possibility of nutrient bypass in vivo.

  9. Identification and Evaluation of Novel Acetolactate Synthase Inhibitors as Antifungal Agents

    PubMed Central

    Richie, Daryl L.; Thompson, Katherine V.; Studer, Christian; Prindle, Vivian C.; Aust, Thomas; Riedl, Ralph; Estoppey, David; Tao, Jianshi; Sexton, Jessica A.; Zabawa, Thomas; Drumm, Joseph; Cotesta, Simona; Eichenberger, Jürg; Schuierer, Sven; Hartmann, Nicole; Movva, N. Rao; Tallarico, John A.

    2013-01-01

    High-throughput phenotypic screening against the yeast Saccharomyces cerevisiae revealed a series of triazolopyrimidine-sulfonamide compounds with broad-spectrum antifungal activity, no significant cytotoxicity, and low protein binding. To elucidate the target of this series, we have applied a chemogenomic profiling approach using the S. cerevisiae deletion collection. All compounds of the series yielded highly similar profiles that suggested acetolactate synthase (Ilv2p, which catalyzes the first common step in branched-chain amino acid biosynthesis) as a possible target. The high correlation with profiles of known Ilv2p inhibitors like chlorimuron-ethyl provided further evidence for a similar mechanism of action. Genome-wide mutagenesis in S. cerevisiae identified 13 resistant clones with 3 different mutations in the catalytic subunit of acetolactate synthase that also conferred cross-resistance to established Ilv2p inhibitors. Mapping of the mutations into the published Ilv2p crystal structure outlined the chlorimuron-ethyl binding cavity, and it was possible to dock the triazolopyrimidine-sulfonamide compound into this pocket in silico. However, fungal growth inhibition could be bypassed through supplementation with exogenous branched-chain amino acids or by the addition of serum to the medium in all of the fungal organisms tested except for Aspergillus fumigatus. Thus, these data support the identification of the triazolopyrimidine-sulfonamide compounds as inhibitors of acetolactate synthase but suggest that targeting may be compromised due to the possibility of nutrient bypass in vivo. PMID:23478965

  10. Nitric oxide synthase and soluble guanylate cyclase are involved in spinal cord wind-up activity of monoarthritic, but not of normal rats.

    PubMed

    Laurido, Claudio; Hernández, Alejandro; Constandil, Luis; Pelissier, Teresa

    2003-11-27

    While increasing evidence points to a role for the nitric oxide (NO)/cyclic guanosine 3,5-monophosphate (GMPc) cascade in hyperalgesia and allodynia, participation of the NO/GMPc pathway in synaptic processing in the spinal cord, i.e. wind-up activity, is less clear. We studied the effects of intrathecal administration of Nomega-nitro-L-arginine methyl ester (L-NAME) and methylene blue, inhibitors of NO synthase and guanylate cyclase respectively, on wind-up activity developed in a C-fiber reflex response paradigm. 5, 10 and 20 microg i.t. of L-NAME or methylene blue did not modify spinal wind-up in normal rats, while a dose-dependent inhibition of wind-up was observed in monoarthritic rats. Results suggest that the NO/GMPc pathway plays a non-significant role in wind-up activity evoked in normal animals, while it may be essential in chronic pain processing.

  11. Characterization of a monoterpene synthase from Paeonia lactiflora producing α-pinene as its single product.

    PubMed

    Ma, Xiaohui; Guo, Juan; Ma, Ying; Jin, Baolong; Zhan, Zhilai; Yuan, Yuan; Huang, Luqi

    2016-07-01

    To identify a terpene synthase that catalyzes the conversion of geranyl pyrophosphate (GPP) to α-pinene and is involved in the biosynthesis of paeoniflorin. Two new terpene synthase genes were isolated from the transcriptome data of Peaonia lactiflora. Phylogenetic analysis and sequence characterization revealed that one gene, named PlPIN, encoded a monoterpene synthase that might be involved in the biosynthesis of paeoniflorin. In vitro enzyme assay showed that, in contrast to most monoterpene synthases, PlPIN encoded an α-pinene synthase which converted GPP into α-pinene as a single product. This newly identified α-pinene synthase could be used for improving paeoniflorin accumulation by metabolic engineering or for producing α-pinene via synthetic biology.

  12. Apoptosis of Trypanosoma musculi co-cultured with LPS activated macrophages: enhanced expression of nitric oxide synthase INF-gamma and caspase.

    PubMed

    Gugssa, A; Gebru, S; Lee, C M; Baccetti, B; Anderson, W

    2005-08-01

    Trypanosoma musculi-macrophage co-cultures were studied to investigate the biological role of lipopolysaccharide (LPS) induced cytokines in controlling the proliferation of parasites in vitro. Macrophages, isolated by peritoneal lavage, sustained the growth and proliferation of the parasites. Macrophages activated with LPS were characterized by up-regulation of nitric oxide synthase (iNOS) and phagocytosis of fluorescent latex spheres. Activated macrophages showed marked inhibition of the association and proliferation of the parasites. The LPS treated macrophages produced cytokines, especially interferon gamma (INF-gamma), which was detected by Western blot. Trypanosomes, inhibited from association with macrophages, did not proliferate and instead formed clusters held together by their flagella. Cells in these clusters were apoptotic, as demonstrated by the Apoptag reaction and gel fragmentation assay. In addition, high levels of caspase 8 and caspase 3 were shown in floating trypanosome clusters. The results would suggest that INF-gamma and other cytokines released by activated macrophages, possibly functioning through the INF-gammaR1, Fas ligand, CD95 or other death ligands in the trypanosome plasma membrane initiates the apoptosis cascade in trypanosomes.

  13. GMP Synthase Is Required for Virulence Factor Production and Infection by Cryptococcus neoformans.

    PubMed

    Chitty, Jessica L; Tatzenko, Tayla L; Williams, Simon J; Koh, Y Q Andre E; Corfield, Elizabeth C; Butler, Mark S; Robertson, Avril A B; Cooper, Matthew A; Kappler, Ulrike; Kobe, Bostjan; Fraser, James A

    2017-02-17

    Over the last four decades the HIV pandemic and advances in medical treatments that also cause immunosuppression have produced an ever-growing cohort of individuals susceptible to opportunistic pathogens. Of these, AIDS patients are particularly vulnerable to infection by the encapsulated yeast Cryptococcus neoformans Most commonly found in the environment in purine-rich bird guano, C. neoformans experiences a drastic change in nutrient availability during host infection, ultimately disseminating to colonize the purine-poor central nervous system. Investigating the consequences of this challenge, we have characterized C. neoformans GMP synthase, the second enzyme in the guanylate branch of de novo purine biosynthesis. We show that in the absence of GMP synthase, C. neoformans becomes a guanine auxotroph, the production of key virulence factors is compromised, and the ability to infect nematodes and mice is abolished. Activity assays performed using recombinant protein unveiled differences in substrate binding between the C. neoformans and human enzymes, with structural insights into these kinetic differences acquired via homology modeling. Collectively, these data highlight the potential of GMP synthase to be exploited in the development of new therapeutic agents for the treatment of disseminated, life-threatening fungal infections. © 2017 by The American Society for Biochemistry and Molecular Biology, Inc.

  14. Mechanical stimulation of skeletal muscle cells mitigates glucocorticoid-induced decreases in prostaglandin production and prostaglandin synthase activity

    NASA Technical Reports Server (NTRS)

    Chromiak, J. A.; Vandenburgh, H. H.

    1994-01-01

    The glucocorticoid dexamethasone (Dex) induces a decline in protein synthesis and protein content in tissue cultured, avian skeletal muscle cells, and this atrophy is attenuated by repetitive mechanical stretch. Since the prostaglandin synthesis inhibitor indomethacin mitigated this stretch attenuation of muscle atrophy, the effects of Dex and mechanical stretch on prostaglandin production and prostaglandin H synthase (PGHS) activity were examined. In static cultures, 10(-8) M Dex reduced PGF2 alpha production 55-65% and PGE2 production 84-90% after 24-72 h of incubation. Repetitive 10% stretch-relaxations of non-Dex-treated cultures increased PGF2 alpha efflux 41% at 24 h and 276% at 72 h, and increased PGE2 production 51% at 24 h and 236% at 72 h. Mechanical stimulation of Dex-treated cultures increased PGF2 alpha production 162% after 24 h, returning PGF2 alpha efflux to the level of non-Dex-treated cultures. At 72 h, stretch increased PGF2 alpha efflux 65% in Dex-treated cultures. Mechanical stimulation of Dex-treated cultures also increased PGE2 production at 24 h, but not at 72 h. Dex reduced PGHS activity in the muscle cultures by 70% after 8-24 h of incubation, and mechanical stimulation of the Dex-treated cultures increased PGHS activity by 98% after 24 h. Repetitive mechanical stimulation attenuates the catabolic effects of Dex on cultured skeletal muscle cells in part by mitigating the Dex-induced declines in PGHS activity and prostaglandin production.

  15. Only One of the Five Ralstonia solanacearum Long-Chain 3-Ketoacyl-Acyl Carrier Protein Synthase Homologues Functions in Fatty Acid Synthesis

    PubMed Central

    Cheng, Juanli; Ma, Jincheng; Lin, Jinshui; Fan, Zhen-Chuan; Cronan, John E.

    2012-01-01

    Ralstonia solanacearum, a major phytopathogenic bacterium, causes a bacterial wilt disease in diverse plants. Although fatty acid analyses of total membranes of R. solanacearum showed that they contain primarily palmitic (C16:0), palmitoleic (C16:1) and cis-vaccenic (C18:1) acids, little is known regarding R. solanacearum fatty acid synthesis. The R. solanacearum GMI1000 genome is unusual in that it contains four genes (fabF1, fabF2, fabF3, and fabF4) annotated as encoding 3-ketoacyl-acyl carrier protein synthase II homologues and one gene (fabB) annotated as encoding 3-ketoacyl-acyl carrier protein synthase I. We have analyzed this puzzling apparent redundancy and found that only one of these genes, fabF1, encoded a long-chain 3-ketoacyl-acyl carrier protein synthase, whereas the other homologues did not play roles in R. solanacearum fatty acid synthesis. Mutant strains lacking fabF1 are nonviable, and thus, FabF1 is essential for R. solanacearum fatty acid biosynthesis. Moreover, R. solanacearum FabF1 has the activities of both 3-ketoacyl-acyl carrier protein synthase II and 3-ketoacyl-acyl carrier protein synthase I. PMID:22194290

  16. Aminoadipate semialdehyde synthase mRNA knockdown reduces the lysine requirement of a mouse hepatic cell line

    USDA-ARS?s Scientific Manuscript database

    a-Aminoadipate d-semialdehyde synthase (AASS) is the bifunctional enzyme containing the lysine a-ketoglutarate reductase (LKR) and saccharopine dehydrogenase activities responsible for the first two steps in the irreversible catabolism of lysine. A rare disease in humans, familial hyperlysinemia, c...

  17. Transient hypoxia stimulates mitochondrial biogenesis in brain subcortex by a neuronal nitric oxide synthase-dependent mechanism

    EPA Science Inventory

    The adaptive mechanisms that protect brain metabolism during and after hypoxia, for instance, during hypoxic preconditioning, are coordinated in part by nitric oxide (NO). We tested the hypothesis that acute transient hypoxia stimulates NO synthase (NOS)-activated mechanisms of m...

  18. Role of Sucrose Phosphate Synthase in Sucrose Biosynthesis in Ripening Bananas and Its Relationship to the Respiratory Climacteric 1

    PubMed Central

    Hubbard, Natalie L.; Pharr, D. Mason; Huber, Steven C.

    1990-01-01

    During ripening of bananas (Musa spp. [AAA group, Cavendish subgroup]), there is a massive conversion of starch to sucrose. Also during ripening there is a rise in respiration known as the respiratory climacteric. In this study changes in carbohydrate content, activities of starch and sucrose metabolizing enzymes, and respiration were measured to assess their potential interrelationships. Sucrose phosphate synthase activity increased dramatically during the first 4 days after initiation of ripening by ethylene treatment. Starch concentration decreased and sucrose concentration increased during this time period. Developmental changes in sucrose phosphate synthase activity were measured with limiting substrate (plus Pi) and saturating substrate concentrations. Activities were not parallel under the two assay conditions, providing tentative evidence that kinetically different forms of the enzyme may exist at different stages of ripening. Sucrose accumulation rate was most highly correlated with sucrose phosphate synthase activity assayed with limiting substrate concentrations (plus Pi). The cumulative amount of CO2 respired during ripening was positively correlated with sugar accumulation (R2 = 0.97). From this linear regression it was calculated that a constant 0.605 millimoles of CO2 was evolved per mole of sucrose formed throughout ripening. Using this quantity, the percentage of the total respiratory ATP produced which was required for the conversion of starch to sucrose was calculated assuming different models for carbon export from the amyloplast. The results suggest that sucrose biosynthesis during ripening constitutes a significant sink for respiratory ATP. PMID:16667688

  19. Polypeptide composition of bacterial cyclic diguanylic acid-dependent cellulose synthase and the occurrence of immunologically crossreacting proteins in higher plants

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mayer, R.; Ross, P.; Weinhouse, H.

    1991-06-15

    To comprehend the catalytic and regulatory mechanism of the cyclic diguanylic acid (c-di-GMP)-dependent cellulose synthase of Acetobacter xylinum and its relatedness to similar enzymes in other organisms, the structure of this enzyme was analyzed at the polypeptide level. The enzyme, purified 350-fold by enzyme-product entrapment, contains three major peptides (90, 67, and 54 kDa), which, based on direct photoaffinity and immunochemical labeling and amino acid sequence analysis, are constituents of the native cellulose synthase. Labeling of purified synthase with either ({sup 32}P)c-di-GMP or ({alpha}-{sup 32}P)UDP-glucose indicates that activator- and substrate-specific binding sites are most closely associated with the 67- andmore » 54-kDa peptides, respectively, whereas marginal photolabeling is detected in the 90-k-Da peptide. However, antibodies raised against a protein derived from the cellulose synthase structural gene (bcsB) specifically label all three peptides. The authors suggest that the structurally related 67- and 54-kDa peptides are fragments proteolytically derived from the 90-kDa peptide encoded by bcsB. The anti-cellulose synthase antibodies crossreact with a similar set of peptides derived from other cellulose-producing microorganisms and plants such as Agrobacterium tumefaciens, Rhizobium leguminosarum, mung bean, peas, barley, and cotton. The occurrence of such cellulose synthase-like structures in plant species suggests that a common enzymatic mechanism for cellulose biogenesis is employed throughout nature.« less

  20. (-)-Epigallocatechin 3-Gallate Synthetic Analogues Inhibit Fatty Acid Synthase and Show Anticancer Activity in Triple Negative Breast Cancer.

    PubMed

    Crous-Masó, Joan; Palomeras, Sònia; Relat, Joana; Camó, Cristina; Martínez-Garza, Úrsula; Planas, Marta; Feliu, Lidia; Puig, Teresa

    2018-05-11

    (-)-Epigallocatechin 3-gallate (EGCG) is a natural polyphenol from green tea with reported anticancer activity and capacity to inhibit the lipogenic enzyme fatty acid synthase (FASN), which is overexpressed in several human carcinomas. To improve the pharmacological profile of EGCG, we previously developed a family of EGCG derivatives and the lead compounds G28, G37 and G56 were characterized in HER2-positive breast cancer cells overexpressing FASN. Here, diesters G28, G37 and G56 and two G28 derivatives, monoesters M1 and M2, were synthesized and assessed in vitro for their cytotoxic, FASN inhibition and apoptotic activities in MDA-MB-231 triple-negative breast cancer (TNBC) cells. All compounds displayed moderate to high cytotoxicity and significantly blocked FASN activity, monoesters M1 and M2 being more potent inhibitors than diesters. Interestingly, G28, M1, and M2 also diminished FASN protein expression levels, but only monoesters M1 and M2 induced apoptosis. Our results indicate that FASN inhibition by such polyphenolic compounds could be a new strategy in TNBC treatment, and highlight the potential anticancer activities of monoesters. Thus, G28, G37, G56, and most importantly M1 and M2, are anticancer candidates (alone or in combination) to be further characterized in vitro and in vivo.

  1. Light and Fungal Elicitor Induce 3-Deoxy-d-arabino-Heptulosonate 7-Phosphate Synthase mRNA in Suspension Cultured Cells of Parsley (Petroselinum crispum L.) 1

    PubMed Central

    Henstrand, John M.; McCue, Kent F.; Brink, Kent; Handa, Avtar K.; Herrmann, Klaus M.; Conn, Eric E.

    1992-01-01

    Light and fungal elicitor induce mRNA encoding 3-deoxy-d-arabino-heptulosonate 7-phosphate (DAHP) synthase in suspension cultured cells of parsley (Petroselinum crispum L.). The kinetics and dose response of mRNA accumulation were similar for DAHP synthase and phenylalanine ammonia-lyase (PAL). Six micrograms of elicitor from Phytophthora megasperma f. glycinia gave a detectable induction within 1 hour. Induction of DAHP synthase and PAL mRNAs by light was transient, reaching maximal levels at 4 hours and returning to pretreatment levels after 24 hours. Our data suggest that either light or fungal elicitor transcriptionally activate DAHP synthase. A coordinate regulation for key enzymes in the synthesis of primary and secondary metabolites is indicated. ImagesFigure 1 PMID:16668708

  2. Cloning and characterization of indole synthase (INS) and a putative tryptophan synthase α-subunit (TSA) genes from Polygonum tinctorium.

    PubMed

    Jin, Zhehao; Kim, Jin-Hee; Park, Sang Un; Kim, Soo-Un

    2016-12-01

    Two cDNAs for indole-3-glycerol phosphate lyase homolog were cloned from Polygonum tinctorium. One encoded cytosolic indole synthase possibly in indigoid synthesis, whereas the other encoded a putative tryptophan synthase α-subunit. Indigo is an old natural blue dye produced by plants such as Polygonum tinctorium. Key step in plant indigoid biosynthesis is production of indole by indole-3-glycerol phosphate lyase (IGL). Two tryptophan synthase α-subunit (TSA) homologs, PtIGL-short and -long, were isolated by RACE PCR from P. tinctorium. The genome of the plant contained two genes coding for IGL. The short and the long forms, respectively, encoded 273 and 316 amino acid residue-long proteins. The short form complemented E. coli ΔtnaA ΔtrpA mutant on tryptophan-depleted agar plate signifying production of free indole, and thus was named indole synthase gene (PtINS). The long form, either intact or without the transit peptide sequence, did not complement the mutant and was tentatively named PtTSA. PtTSA was delivered into chloroplast as predicted by 42-residue-long targeting sequence, whereas PtINS was localized in cytosol. Genomic structure analysis suggested that a TSA duplicate acquired splicing sites during the course of evolution toward PtINS so that the targeting sequence-containing pre-mRNA segment was deleted as an intron. PtINS had about two to fivefolds higher transcript level than that of PtTSA, and treatment of 2,1,3-benzothiadiazole caused the relative transcript level of PtINS over PtTSA was significantly enhanced in the plant. The results indicate participation of PtINS in indigoid production.

  3. Hydroxychavicol, a Piper betle leaf component, induces apoptosis of CML cells through mitochondrial reactive oxygen species-dependent JNK and endothelial nitric oxide synthase activation and overrides imatinib resistance.

    PubMed

    Chakraborty, Jayashree B; Mahato, Sanjit K; Joshi, Kalpana; Shinde, Vaibhav; Rakshit, Srabanti; Biswas, Nabendu; Choudhury Mukherjee, Indrani; Mandal, Labanya; Ganguly, Dipyaman; Chowdhury, Avik A; Chaudhuri, Jaydeep; Paul, Kausik; Pal, Bikas C; Vinayagam, Jayaraman; Pal, Churala; Manna, Anirban; Jaisankar, Parasuraman; Chaudhuri, Utpal; Konar, Aditya; Roy, Siddhartha; Bandyopadhyay, Santu

    2012-01-01

    Alcoholic extract of Piper betle (Piper betle L.) leaves was recently found to induce apoptosis of CML cells expressing wild type and mutated Bcr-Abl with imatinib resistance phenotype. Hydroxy-chavicol (HCH), a constituent of the alcoholic extract of Piper betle leaves, was evaluated for anti-CML activity. Here, we report that HCH and its analogues induce killing of primary cells in CML patients and leukemic cell lines expressing wild type and mutated Bcr-Abl, including the T315I mutation, with minimal toxicity to normal human peripheral blood mononuclear cells. HCH causes early but transient increase of mitochondria-derived reactive oxygen species. Reactive oxygen species-dependent persistent activation of JNK leads to an increase in endothelial nitric oxide synthase-mediated nitric oxide generation. This causes loss of mitochondrial membrane potential, release of cytochrome c from mitochondria, cleavage of caspase 9, 3 and poly-adenosine diphosphate-ribose polymerase leading to apoptosis. One HCH analogue was also effective in vivo in SCID mice against grafts expressing the T315I mutation, although to a lesser extent than grafts expressing wild type Bcr-Abl, without showing significant bodyweight loss. Our data describe the role of JNK-dependent endothelial nitric oxide synthase-mediated nitric oxide for anti-CML activity of HCH and this molecule merits further testing in pre-clinical and clinical settings. © 2011 Japanese Cancer Association.

  4. UVB light upregulates prostaglandin synthases and prostaglandin receptors in mouse keratinocytes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Black, Adrienne T.; Gray, Joshua P.; Shakarjian, Michael P.

    Prostaglandins belong to a class of cyclic lipid-derived mediators synthesized from arachidonic acid via COX-1, COX-2 and various prostaglandin synthases. Members of this family include prostaglandins such as PGE{sub 2}, PGF{sub 2{alpha}}, PGD{sub 2} and PGI{sub 2} (prostacyclin) as well as thromboxane. In the present studies we analyzed the effects of UVB on prostaglandin production and prostaglandin synthase expression in primary cultures of undifferentiated and calcium-differentiated mouse keratinocytes. Both cell types were found to constitutively synthesize PGE{sub 2}, PGD{sub 2} and the PGD{sub 2} metabolite PGJ{sub 2}. Twenty-four hours after treatment with UVB (25 mJ/cm{sup 2}), production of PGE{sub 2}more » and PGJ{sub 2} increased, while PGD{sub 2} production decreased. This was associated with increased expression of COX-2 mRNA and protein. UVB (2.5-25 mJ/cm{sup 2}) also caused marked increases in mRNA expression for the prostanoid synthases PGDS, mPGES-1, mPGES-2, PGFS and PGIS, as well as expression of receptors for PGE{sub 2} (EP1 and EP2), PGD{sub 2} (DP and CRTH2) and prostacyclin (IP). UVB was more effective in inducing COX-2 and DP in differentiated cells and EP1 and IP in undifferentiated cells. UVB readily activated keratinocyte PI-3-kinase (PI3K)/Akt, JNK and p38 MAP signaling pathways which are known to regulate COX-2 expression. While inhibition of PI3K suppressed UVB-induced mPGES-1 and CRTH2 expression, JNK inhibition suppressed mPGES-1, PGIS, EP2 and CRTH2, and p38 kinase inhibition only suppressed EP1 and EP2. These data indicate that UVB modulates expression of prostaglandin synthases and receptors by distinct mechanisms. Moreover, both the capacity of keratinocytes to generate prostaglandins and their ability to respond to these lipid mediators are stimulated by exposure to UVB.« less

  5. Fatty acid synthase plays a role in cancer metabolism beyond providing fatty acids for phospholipid synthesis or sustaining elevations in glycolytic activity

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hopperton, Kathryn E., E-mail: kathryn.hopperton@mail.utoronto.ca; Duncan, Robin E., E-mail: robin.duncan@uwaterloo.ca; Bazinet, Richard P., E-mail: richard.bazinet@utoronto.ca

    Fatty acid synthase is over-expressed in many cancers and its activity is required for cancer cell survival, but the role of endogenously synthesized fatty acids in cancer is unknown. It has been suggested that endogenous fatty acid synthesis is either needed to support the growth of rapidly dividing cells, or to maintain elevated glycolysis (the Warburg effect) that is characteristic of cancer cells. Here, we investigate both hypotheses. First, we compared utilization of fatty acids synthesized endogenously from {sup 14}C-labeled acetate to those supplied exogenously as {sup 14}C-labeled palmitate in the culture medium in human breast cancer (MCF-7 and MDA-MB-231)more » and untransformed breast epithelial cells (MCF-10A). We found that cancer cells do not produce fatty acids that are different from those derived from exogenous palmitate, that these fatty acids are esterified to the same lipid and phospholipid classes in the same proportions, and that their distribution within neutral lipids is not different from untransformed cells. These results suggest that endogenously synthesized fatty acids do not fulfill a specific function in cancer cells. Furthermore, we observed that cancer cells excrete endogenously synthesized fatty acids, suggesting that they are produced in excess of requirements. We next investigated whether lipogenic activity is involved in the maintenance of high glycolytic activity by culturing both cancer and non-transformed cells under anoxic conditions. Although anoxia increased glycolysis 2–3 fold, we observed no concomitant increase in lipogenesis. Our results indicate that breast cancer cells do not have a specific qualitative or quantitative requirement for endogenously synthesized fatty acids and that increased de novo lipogenesis is not required to sustain elevations in glycolytic activity induced by anoxia in these cells. - Highlights: • Fatty acid synthase (FASN) is over-expressed in cancer but its function is unknown. • We

  6. Transcriptional activation of the human inducible nitric-oxide synthase promoter by Kruppel-like factor 6.

    PubMed

    Warke, Vishal G; Nambiar, Madhusoodana P; Krishnan, Sandeep; Tenbrock, Klaus; Geller, David A; Koritschoner, Nicolas P; Atkins, James L; Farber, Donna L; Tsokos, George C

    2003-04-25

    Nitric oxide is a ubiquitous free radical that plays a key role in a broad spectrum of signaling pathways in physiological and pathophysiological processes. We have explored the transcriptional regulation of inducible nitric-oxide synthase (iNOS) by Krüppel-like factor 6 (KLF6), an Sp1-like zinc finger transcription factor. Study of serial deletion constructs of the iNOS promoter revealed that the proximal 0.63-kb region can support a 3-6-fold reporter activity similar to that of the full-length 16-kb promoter. Within the 0.63-kb region, we identified two CACCC sites (-164 to -168 and -261 to -265) that bound KLF6 in both electrophoretic mobility shift and chromatin immunoprecipitation assays. Mutation of both these sites abrogated the KLF6-induced enhancement of the 0.63-kb iNOS promoter activity. The binding of KLF6 to the iNOS promoter was significantly increased in Jurkat cells, primary T lymphocytes, and COS-7 cells subjected to NaCN-induced hypoxia, heat shock, serum starvation, and phorbol 12-myristate 13-acetate/ ionophore stimulation. Furthermore, in KLF6-transfected and NaCN-treated COS-7 cells, there was a 3-4-fold increase in the expression of the endogenous iNOS mRNA and protein that correlated with increased production of nitric oxide. These findings indicate that KLF6 is a potential transactivator of the human iNOS promoter in diverse pathophysiological conditions.

  7. Decarboxylation of malonyl-(acyl carrier protein) by 3-oxoacyl-(acyl carrier protein) synthases in plant fatty acid biosynthesis.

    PubMed Central

    Winter, E; Brummel, M; Schuch, R; Spener, F

    1997-01-01

    In order to identify regulatory steps in fatty acid biosynthesis, the influence of intermediate 3-oxoacyl-(acyl carrier proteins) (3-oxoacyl-ACPs) and end-product acyl-ACPs of the fatty acid synthase reaction on the condensation reaction was investigated in vitro, using total fatty acid synthase preparations and purified 3-oxoacyl-ACP synthases (KASs; EC 2.3.1.41) from Cuphea lanceolata seeds. KAS I and II in the fatty acid synthase preparations were assayed for the elongation of octanoyl- and hexadecanoyl-ACP respectively, and the accumulation of the corresponding condensation product 3-oxoacyl-ACP was studied by modulating the content of the reducing equivalentS NADH and NADPH. Complete omission of reducing equivalents resulted with either KAS in the abnormal synthesis of acetyl-ACP from malonyl-ACP by a decarboxylation reaction. Supplementation with NADPH or NADH, separately or in combination with recombinant 3-oxoacyl-ACP reductase (EC 1.1.1.100), led to a decrease in the amount of acetyl-ACP and a simultaneous increase in elongation products. This demonstrates that the accumulation of 3-oxoacyl-ACP inhibits the condensation reaction on the one hand, and induces the decarboxylation of malonyl-ACP on the other. By carrying out similar experiments with purified enzymes, this decarboxylation was attributed to the action of KAS. Our data point to a regulatory mechanism for the degradation of malonyl-ACP in plants which is activated by the accumulation of the fatty acid synthase intermediate 3-oxoacyl-ACP. PMID:9020860

  8. Decarboxylation of malonyl-(acyl carrier protein) by 3-oxoacyl-(acyl carrier protein) synthases in plant fatty acid biosynthesis.

    PubMed

    Winter, E; Brummel, M; Schuch, R; Spener, F

    1997-01-15

    In order to identify regulatory steps in fatty acid biosynthesis, the influence of intermediate 3-oxoacyl-(acyl carrier proteins) (3-oxoacyl-ACPs) and end-product acyl-ACPs of the fatty acid synthase reaction on the condensation reaction was investigated in vitro, using total fatty acid synthase preparations and purified 3-oxoacyl-ACP synthases (KASs; EC 2.3.1.41) from Cuphea lanceolata seeds. KAS I and II in the fatty acid synthase preparations were assayed for the elongation of octanoyl- and hexadecanoyl-ACP respectively, and the accumulation of the corresponding condensation product 3-oxoacyl-ACP was studied by modulating the content of the reducing equivalentS NADH and NADPH. Complete omission of reducing equivalents resulted with either KAS in the abnormal synthesis of acetyl-ACP from malonyl-ACP by a decarboxylation reaction. Supplementation with NADPH or NADH, separately or in combination with recombinant 3-oxoacyl-ACP reductase (EC 1.1.1.100), led to a decrease in the amount of acetyl-ACP and a simultaneous increase in elongation products. This demonstrates that the accumulation of 3-oxoacyl-ACP inhibits the condensation reaction on the one hand, and induces the decarboxylation of malonyl-ACP on the other. By carrying out similar experiments with purified enzymes, this decarboxylation was attributed to the action of KAS. Our data point to a regulatory mechanism for the degradation of malonyl-ACP in plants which is activated by the accumulation of the fatty acid synthase intermediate 3-oxoacyl-ACP.

  9. Terminal Olefin Profiles and Phylogenetic Analyses of Olefin Synthases of Diverse Cyanobacterial Species.

    PubMed

    Zhu, Tao; Scalvenzi, Thibault; Sassoon, Nathalie; Lu, Xuefeng; Gugger, Muriel

    2018-07-01

    Cyanobacteria can synthesize alkanes and alkenes, which are considered to be infrastructure-compatible biofuels. In terms of physiological function, cyanobacterial hydrocarbons are thought to be essential for membrane flexibility for cell division, size, and growth. The genetic basis for the biosynthesis of terminal olefins (1-alkenes) is a modular type I polyketide synthase (PKS) termed olefin synthase (Ols). The modular architectures of Ols and structural characteristics of alkenes have been investigated only in a few species of the small percentage (approximately 10%) of cyanobacteria that harbor putative Ols pathways. In this study, investigations of the domains, modular architectures, and phylogenies of Ols in 28 cyanobacterial strains suggested distinctive pathway evolution. Structural feature analyses revealed 1-alkenes with three carbon chain lengths (C 15 , C 17 , and C 19 ). In addition, the total cellular fatty acid profile revealed the diversity of the carbon chain lengths, while the fatty acid feeding assay indicated substrate carbon chain length specificity of cyanobacterial Ols enzymes. Finally, in silico analyses suggested that the N terminus of the modular Ols enzyme exhibited characteristics typical of a fatty acyl-adenylate ligase (FAAL), suggesting a mechanism of fatty acid activation via the formation of acyl-adenylates. Our results shed new light on the diversity of cyanobacterial terminal olefins and a mechanism for substrate activation in the biosynthesis of these olefins. IMPORTANCE Cyanobacterial terminal olefins are hydrocarbons with promising applications as advanced biofuels. Despite the basic understanding of the genetic basis of olefin biosynthesis, the structural diversity and phylogeny of the key modular olefin synthase (Ols) have been poorly explored. An overview of the chemical structural traits of terminal olefins in cyanobacteria is provided in this study. In addition, we demonstrated by in vivo fatty acid feeding assays that

  10. Functional identification of a Lippia dulcis bornyl diphosphate synthase that contains a duplicated, inhibitory arginine-rich motif.

    PubMed

    Hurd, Matthew C; Kwon, Moonhyuk; Ro, Dae-Kyun

    2017-08-26

    Lippia dulcis (Aztec sweet herb) contains the potent natural sweetener hernandulcin, a sesquiterpene ketone found in the leaves and flowers. Utilizing the leaves for agricultural application is challenging due to the presence of the bitter-tasting and toxic monoterpene, camphor. To unlock the commercial potential of L. dulcis leaves, the first step of camphor biosynthesis by a bornyl diphosphate synthase needs to be elucidated. Two putative monoterpene synthases (LdTPS3 and LdTPS9) were isolated from L. dulcis leaf cDNA. To elucidate their catalytic functions, E. coli-produced recombinant enzymes with truncations of their chloroplast transit peptides were assayed with geranyl diphosphate (GPP). In vitro enzyme assays showed that LdTPS3 encodes bornyl diphosphate synthase (thus named LdBPPS) while LdTPS9 encodes linalool synthase. Interestingly, the N-terminus of LdBPPS possesses two arginine-rich (RRX 8 W) motifs, and enzyme assays showed that the presence of both RRX 8 W motifs completely inhibits the catalytic activity of LdBPPS. Only after the removal of the putative chloroplast transit peptide and the first RRX 8 W, LdBPPS could react with GPP to produce bornyl diphosphate. LdBPPS is distantly related to the known bornyl diphosphate synthase from sage in a phylogenetic analysis, indicating a converged evolution of camphor biosynthesis in sage and L. dulcis. The discovery of LdBPPS opens up the possibility of engineering L. dulcis to remove the undesirable product, camphor. Copyright © 2017 Elsevier Inc. All rights reserved.

  11. Glycogen synthase kinase 3: more than a namesake

    PubMed Central

    Rayasam, Geetha Vani; Tulasi, Vamshi Krishna; Sodhi, Reena; Davis, Joseph Alex; Ray, Abhijit

    2009-01-01

    Glycogen synthase kinase 3 (GSK3), a constitutively acting multi-functional serine threonine kinase is involved in diverse physiological pathways ranging from metabolism, cell cycle, gene expression, development and oncogenesis to neuroprotection. These diverse multiple functions attributed to GSK3 can be explained by variety of substrates like glycogen synthase, τ protein and β catenin that are phosphorylated leading to their inactivation. GSK3 has been implicated in various diseases such as diabetes, inflammation, cancer, Alzheimer's and bipolar disorder. GSK3 negatively regulates insulin-mediated glycogen synthesis and glucose homeostasis, and increased expression and activity of GSK3 has been reported in type II diabetics and obese animal models. Consequently, inhibitors of GSK3 have been demonstrated to have anti-diabetic effects in vitro and in animal models. However, inhibition of GSK3 poses a challenge as achieving selectivity of an over achieving kinase involved in various pathways with multiple substrates may lead to side effects and toxicity. The primary concern is developing inhibitors of GSK3 that are anti-diabetic but do not lead to up-regulation of oncogenes. The focus of this review is the recent advances and the challenges surrounding GSK3 as an anti-diabetic therapeutic target. British Journal of Pharmacology (2009) doi:10.1111/j.1476-5381.2008.00085.x PMID:19366350

  12. Squalene synthase inhibitors: An update on the search for new antihyperlipidemic and antiatherosclerotic agents.

    PubMed

    Kourounakis, A P; Katselou, M G; Matralis, A N; Ladopoulou, E M; Bavavea, E

    2011-01-01

    Atherosclerosis and related heart disease is strongly associated with elevated blood levels of total (and LDL) cholesterol. Due to the widespread incidence as well as severity of this pathological condition, major efforts have been made for the discovery and development of hypocholesteroleamic agents. In the past few decades, HMG-CoA reductase inhibitors (statins) are being extensively used as lipid lowering drugs. These agents act predominantly by inhibiting the enzyme 3-hydroxy-3-methylglutaryl coenzyme A reductase (HMGR) that is the rate limiting step of cholesterol biosynthesis. Both the success as well as drawbacks of HMGRIs, have led to the investigation and design of inhibitors of other (downstream) enzymes involved in the multistep cholesterol biosynthetic pathway. One such class of agents consists of the squalene sythase inhibitors which act at the first and solely committed step towards the biosynthesis of the cholesterol nucleus. This target is considered not to interfere with the biosynthesis of other biologically important molecules and thus a better side-effect profile is expected for these inhibitors. Several classes of squalene synthase inhibitors (SQSIs), such as substrate or transition-state analogues, zaragozic acids or 2,8- dioxabicyclo[3.2.1]octane derivatives, dicarboxylic acid and quinuclidine derivatives, 4,1-benzoxazepine as well as substituted morpholine derivatives, have been studied as potent inhibitors of squalene synthase. So far only one benzoxazepine derivative (TAK-475) has been evaluated in advanced clinical trials. In this article we review the up to date research and literature on the therapeutic potential of this relatively new class of compounds, the drug discovery efforts towards the development of active squalene synthase inhibitors, their activity profile and effectiveness, as well as their structure-activity relationships.

  13. Cloning and kinetic characterization of Arabidopsis thaliana solanesyl diphosphate synthase.

    PubMed

    Hirooka, Kazutake; Bamba, Takeshi; Fukusaki, Ei-ichiro; Kobayashi, Akio

    2003-03-01

    trans -Long-chain prenyl diphosphate synthases catalyse the sequential condensation of isopentenyl diphosphate (C(5)) units with allylic diphosphate to produce the C(30)-C(50) prenyl diphosphates, which are precursors of the side chains of prenylquinones. Based on the relationship between product specificity and the region around the first aspartate-rich motif in trans -prenyl diphosphate synthases characterized so far, we have isolated the cDNA for a member of trans -long-chain prenyl diphosphate synthases from Arabidopsis thaliana. The cDNA was heterologously expressed in Escherichia coli, and the recombinant His(6)-tagged protein was purified and characterized. Product analysis revealed that the cDNA encodes solanesyl diphosphate (C(45)) synthase (At-SPS). At-SPS utilized farnesyl diphosphate (FPP; C(15)) and geranylgeranyl diphosphate (GGPP; C(20)), but did not accept either the C(5) or the C(10) allylic diphosphate as a primer substrate. The Michaelis constants for FPP and GGPP were 5.73 microM and 1.61 microM respectively. We also performed an analysis of the side chains of prenylquinones extracted from the A. thaliana plant, and showed that its major prenylquinones, i.e. plastoquinone and ubiquinone, contain the C(45) prenyl moiety. This suggests that At-SPS might be devoted to the biosynthesis of either or both of the prenylquinone side chains. This is the first established trans -long-chain prenyl diphosphate synthase from a multicellular organism.

  14. The red wine extract-induced activation of endothelial nitric oxide synthase is mediated by a great variety of polyphenolic compounds.

    PubMed

    Auger, Cyril; Chaabi, Mehdi; Anselm, Eric; Lobstein, Annelise; Schini-Kerth, Valérie B

    2010-07-01

    Phenolic extracts from red wine (RWPs) have been shown to induce nitric oxide (NO)-mediated vasoprotective effects, mainly by causing the PI3-kinase/Akt-dependent activation of endothelial NO synthase (eNOS). RWPs contain several hundreds of phenolic compounds. The aim of the present study was to identify red wine phenolic compounds capable of activating eNOS in endothelial cells using multi-step fractionation. The red wine phenolic extract was fractionated using Sephadex LH-20 and preparative RP-HPLC approaches. The ability of a fraction to activate eNOS was assessed by determining the phosphorylation level of Akt and eNOS by Western blot analysis, and NO formation by electron spin resonance spectroscopy. Tentative identification of phenolic compounds in fractions was performed by MALDI-TOF and HPLC-MS techniques. Separation of RWPs by Sephadex LH-20 generated nine fractions (fractions A to I), of which fractions F, G, H and I caused significant eNOS activation. Fraction F was then subjected to semi-preparative RP-HPLC to generate ten subfractions (subfraction SF1 to SF10), all of which caused eNOS activation. The active fractions and subfractions contained mainly procyanidins and anthocyanins. Isolation of phenolic compounds from SF9 by semi-preparative RP-HLPC lead to the identification of petunidin-O-coumaroyl-glucoside as a potent activator of eNOS.

  15. Exploiting CELLULOSE SYNTHASE (CESA) Class Specificity to Probe Cellulose Microfibril Biosynthesis1[OPEN

    PubMed Central

    Mishra, Laxmi; Carr, Paul; Gardner, Peter

    2018-01-01

    Cellulose microfibrils are the basic units of cellulose in plants. The structure of these microfibrils is at least partly determined by the structure of the cellulose synthase complex. In higher plants, this complex is composed of 18 to 24 catalytic subunits known as CELLULOSE SYNTHASE A (CESA) proteins. Three different classes of CESA proteins are required for cellulose synthesis and for secondary cell wall cellulose biosynthesis these classes are represented by CESA4, CESA7, and CESA8. To probe the relationship between CESA proteins and microfibril structure, we created mutant cesa proteins that lack catalytic activity but retain sufficient structural integrity to allow assembly of the cellulose synthase complex. Using a series of Arabidopsis (Arabidopsis thaliana) mutants and genetic backgrounds, we found consistent differences in the ability of these mutant cesa proteins to complement the cellulose-deficient phenotype of the cesa null mutants. The best complementation was observed with catalytically inactive cesa4, while the equivalent mutation in cesa8 exhibited significantly lower levels of complementation. Using a variety of biophysical techniques, including solid-state nuclear magnetic resonance and Fourier transform infrared microscopy, to study these mutant plants, we found evidence for changes in cellulose microfibril structure, but these changes largely correlated with cellulose content and reflected differences in the relative proportions of primary and secondary cell walls. Our results suggest that individual CESA classes have similar roles in determining cellulose microfibril structure, and it is likely that the different effects of mutating members of different CESA classes are the consequence of their different catalytic activity and their influence on the overall rate of cellulose synthesis. PMID:29523715

  16. Structural and Functional Studies of a Pyran Synthase Domain from a trans-Acyltransferase Assembly Line.

    PubMed

    Wagner, Drew T; Zhang, Zhicheng; Meoded, Roy A; Cepeda, Alexis J; Piel, Jörn; Keatinge-Clay, Adrian T

    2018-04-20

    trans-Acyltransferase assembly lines possess enzymatic domains often not observed in their better characterized cis-acyltransferase counterparts. Within this repertoire of largely unexplored biosynthetic machinery is a class of enzymes called the pyran synthases that catalyze the formation of five- and six-membered cyclic ethers from diverse polyketide chains. The 1.55 Å resolution crystal structure of a pyran synthase domain excised from the ninth module of the sorangicin assembly line highlights the similarity of this enzyme to the ubiquitous dehydratase domain and provides insight into the mechanism of ring formation. Functional assays of point mutants reveal the central importance of the active site histidine that is shared with the dehydratases as well as the supporting role of a neighboring semiconserved asparagine.

  17. Probing the Role of Active Site Water in the Sesquiterpene Cyclization Reaction Catalyzed by Aristolochene Synthase.

    PubMed

    Chen, Mengbin; Chou, Wayne K W; Al-Lami, Naeemah; Faraldos, Juan A; Allemann, Rudolf K; Cane, David E; Christianson, David W

    2016-05-24

    Aristolochene synthase (ATAS) is a high-fidelity terpenoid cyclase that converts farnesyl diphosphate exclusively into the bicyclic hydrocarbon aristolochene. Previously determined crystal structures of ATAS complexes revealed trapped active site water molecules that could potentially interact with catalytic intermediates: water "w" hydrogen bonds with S303 and N299, water molecules "w1" and "w2" hydrogen bond with Q151, and a fourth water molecule coordinates to the Mg(2+)C ion. There is no obvious role for water in the ATAS mechanism because the enzyme exclusively generates a hydrocarbon product. Thus, these water molecules are tightly controlled so that they cannot react with carbocation intermediates. Steady-state kinetics and product distribution analyses of eight ATAS mutants designed to perturb interactions with active site water molecules (S303A, S303H, S303D, N299A, N299L, N299A/S303A, Q151H, and Q151E) indicate relatively modest effects on catalysis but significant effects on sesquiterpene product distributions. X-ray crystal structures of S303A, N299A, N299A/S303A, and Q151H mutants reveal minimal perturbation of active site solvent structure. Seven of the eight mutants generate farnesol and nerolidol, possibly resulting from addition of the Mg(2+)C-bound water molecule to the initially formed farnesyl cation, but no products are generated that would suggest enhanced reactivity of other active site water molecules. However, intermediate germacrene A tends to accumulate in these mutants. Thus, apart from the possible reactivity of Mg(2+)C-bound water, active site water molecules in ATAS are not directly involved in the chemistry of catalysis but instead contribute to the template that governs the conformation of the flexible substrate and carbocation intermediates.

  18. Tomatidine Is a Lead Antibiotic Molecule That Targets Staphylococcus aureus ATP Synthase Subunit C.

    PubMed

    Lamontagne Boulet, Maxime; Isabelle, Charles; Guay, Isabelle; Brouillette, Eric; Langlois, Jean-Philippe; Jacques, Pierre-Étienne; Rodrigue, Sébastien; Brzezinski, Ryszard; Beauregard, Pascale B; Bouarab, Kamal; Boyapelly, Kumaraswamy; Boudreault, Pierre-Luc; Marsault, Éric; Malouin, François

    2018-06-01

    Methicillin-resistant Staphylococcus aureus (MRSA) is a leading cause of deadly hospital-acquired infections. The discovery of anti- Staphylococcus antibiotics and new classes of drugs not susceptible to the mechanisms of resistance shared among bacteria is imperative. We recently showed that tomatidine (TO), a steroidal alkaloid from solanaceous plants, possesses potent antibacterial activity against S. aureus small-colony variants (SCVs), the notoriously persistent form of this bacterium that has been associated with recurrence of infections. Here, using genomic analysis of in vitro -generated TO-resistant S. aureus strains to identify mutations in genes involved in resistance, we identified the bacterial ATP synthase as the cellular target. Sequence alignments were performed to highlight the modified sequences, and the structural consequences of the mutations were evaluated in structural models. Overexpression of the atpE gene in S. aureus SCVs or introducing the mutation found in the atpE gene of one of the high-level TO-resistant S. aureus mutants into the Bacillus subtilis atpE gene provided resistance to TO and further validated the identity of the cellular target. FC04-100, a TO derivative which also possesses activity against non-SCV strains, prevents high-level resistance development in prototypic strains and limits the level of resistance observed in SCVs. An ATP synthesis assay allowed the observation of a correlation between antibiotic potency and ATP synthase inhibition. The selectivity index (inhibition of ATP production by mitochondria versus that of bacterial ATP synthase) is estimated to be >10 5 -fold for FC04-100. Copyright © 2018 American Society for Microbiology.

  19. The identification of cutin synthase: formation of the plant polyester cutin.

    PubMed

    Yeats, Trevor H; Martin, Laetitia B B; Viart, Hélène M-F; Isaacson, Tal; He, Yonghua; Zhao, Lingxia; Matas, Antonio J; Buda, Gregory J; Domozych, David S; Clausen, Mads H; Rose, Jocelyn K C

    2012-07-01

    A hydrophobic cuticle consisting of waxes and the polyester cutin covers the aerial epidermis of all land plants, providing essential protection from desiccation and other stresses. We have determined the enzymatic basis of cutin polymerization through characterization of a tomato extracellular acyltransferase, CD1, and its substrate, 2-mono(10,16-dihydroxyhexadecanoyl)glycerol. CD1 has in vitro polyester synthesis activity and is required for cutin accumulation in vivo, indicating that it is a cutin synthase.

  20. Complementation analysis of mutants of nitric oxide synthase reveals that the active site requires two hemes.

    PubMed Central

    Xie, Q W; Leung, M; Fuortes, M; Sassa, S; Nathan, C

    1996-01-01

    For catalytic activity, nitric oxide synthases (NOSs) must be dimeric. Previous work revealed that the requirements for stable dimerization included binding of tetrahydrobiopterin (BH4), arginine, and heme. Here we asked what function is served by dimerization. We assessed the ability of individually inactive mutants of mouse inducible NOS (iNOS; NOS2), each deficient in binding a particular cofactor or cosubstrate, to complement each other by generating NO upon cotransfection into human epithelial cells. The ability of the mutants to homodimerize was gauged by gel filtration and/or PAGE under partially denaturing conditions, both followed by immunoblot. Their ability to heterodimerize was assessed by coimmunoprecipitation. Heterodimers that contained only one COOH-terminal hemimer and only one BH4-binding site could both form and function, even though the NADPH-, FAD-, and FMN-binding domains (in the COOH-terminal hemimer) and the BH4-binding sites (in the NH2-terminal hemimer) were contributed by opposite chains. Heterodimers that contained only one heme-binding site (Cys-194) could also form, either in cis or in trans to the nucleotide-binding domains. However, for NO production, both chains had to bind heme. Thus, NO production by iNOS requires dimerization because the active site requires two hemes. Images Fig. 2 Fig. 3 Fig. 4 Fig. 7 PMID:8643499

  1. Silencing Glycogen Synthase Kinase-3β Inhibits Acetaminophen Hepatotoxicity and Attenuates JNK Activation and Loss of Glutamate Cysteine Ligase and Myeloid Cell Leukemia Sequence 1*

    PubMed Central

    Shinohara, Mie; Ybanez, Maria D.; Win, Sanda; Than, Tin Aung; Jain, Shilpa; Gaarde, William A.; Han, Derick; Kaplowitz, Neil

    2010-01-01

    Previously we demonstrated that c-Jun N-terminal kinase (JNK) plays a central role in acetaminophen (APAP)-induced liver injury. In the current work, we examined other possible signaling pathways that may also contribute to APAP hepatotoxicity. APAP treatment to mice caused glycogen synthase kinase-3β (GSK-3β) activation and translocation to mitochondria during the initial phase of APAP-induced liver injury (∼1 h). The silencing of GSK-3β, but not Akt-2 (protein kinase B) or glycogen synthase kinase-3α (GSK-3α), using antisense significantly protected mice from APAP-induced liver injury. The silencing of GSK-3β affected several key pathways important in conferring protection against APAP-induced liver injury. APAP treatment was observed to promote the loss of glutamate cysteine ligase (GCL, rate-limiting enzyme in GSH synthesis) in liver. The silencing of GSK-3β decreased the loss of hepatic GCL, and promoted greater GSH recovery in liver following APAP treatment. Silencing JNK1 and -2 also prevented the loss of GCL. APAP treatment also resulted in GSK-3β translocation to mitochondria and the degradation of myeloid cell leukemia sequence 1 (Mcl-1) in mitochondrial membranes in liver. The silencing of GSK-3β reduced Mcl-1 degradation caused by APAP treatment. The silencing of GSK-3β also resulted in an inhibition of the early phase (0–2 h), and blunted the late phase (after 4 h) of JNK activation and translocation to mitochondria in liver following APAP treatment. Taken together our results suggest that activation of GSK-3β is a key mediator of the initial phase of APAP-induced liver injury through modulating GCL and Mcl-1 degradation, as well as JNK activation in liver. PMID:20061376

  2. Inhibition by sodium nitroprusside of the expression of inducible nitric oxide synthase in rat neutrophils.

    PubMed Central

    Mariotto, S; Cuzzolin, L; Adami, A; Del Soldato, P; Suzuki, H; Benoni, G

    1995-01-01

    A well-known nitric oxide (NO)-releasing compound, sodium nitroprusside (SNP), decreases in a dose-dependent manner NO synthase (NOS) activity induced in rat neutrophils by treatment with lipopolysaccharide (LPS). This inhibitory action of SNP seems not to be due to its direct effect on the enzyme activity. The strong nitrosonium ion (NO+) character of SNP could be responsible for its inhibition of NOS induction in neutrophils. PMID:7542530

  3. Functional and Structural Characterization of a (+)-Limonene Synthase from Citrus sinensis.

    PubMed

    Morehouse, Benjamin R; Kumar, Ramasamy P; Matos, Jason O; Olsen, Sarah Naomi; Entova, Sonya; Oprian, Daniel D

    2017-03-28

    Terpenes make up the largest and most diverse class of natural compounds and have important commercial and medical applications. Limonene is a cyclic monoterpene (C 10 ) present in nature as two enantiomers, (+) and (-), which are produced by different enzymes. The mechanism of production of the (-)-enantiomer has been studied in great detail, but to understand how enantiomeric selectivity is achieved in this class of enzymes, it is important to develop a thorough biochemical description of enzymes that generate (+)-limonene, as well. Here we report the first cloning and biochemical characterization of a (+)-limonene synthase from navel orange (Citrus sinensis). The enzyme obeys classical Michaelis-Menten kinetics and produces exclusively the (+)-enantiomer. We have determined the crystal structure of the apoprotein in an "open" conformation at 2.3 Å resolution. Comparison with the structure of (-)-limonene synthase (Mentha spicata), which is representative of a fully closed conformation (Protein Data Bank entry 2ONG ), reveals that the short H-α1 helix moves nearly 5 Å inward upon substrate binding, and a conserved Tyr flips to point its hydroxyl group into the active site.

  4. Inducible in vivo DNA footprints define sequences necessary for UV light activation of the parsley chalcone synthase gene.

    PubMed Central

    Schulze-Lefert, P; Dangl, J L; Becker-André, M; Hahlbrock, K; Schulz, W

    1989-01-01

    We began characterization of the protein--DNA interactions necessary for UV light induced transcriptional activation of the gene encoding chalcone synthase (CHS), a key plant defense enzyme. Three light dependent in vivo footprints appear on a 90 bp stretch of the CHS promoter with a time course correlated with the onset of CHS transcription. We define a minimal light responsive promoter by functional analysis of truncated CHS promoter fusions with a reporter gene in transient expression experiments in parsley protoplasts. Two of the three footprinted sequence 'boxes' reside within the minimal promoter. Replacement of 10 bp within either of these 'boxes' leads to complete loss of light responsiveness. We conclude that these sequences define the necessary cis elements of the minimal CHS promoter's light responsive element. One of the functionally defined 'boxes' is homologous to an element implicated in regulation of genes involved in photosynthesis. These data represent the first example in a plant defense gene of an induced change in protein--DNA contacts necessary for transcriptional activation. Also, our data argue strongly that divergent light induced biosynthetic pathways share common regulatory units. Images PMID:2566481

  5. Reaction mechanism of recombinant 3-oxoacyl-(acyl-carrier-protein) synthase III from Cuphea wrightii embryo, a fatty acid synthase type II condensing enzyme.

    PubMed

    Abbadi, A; Brummel, M; Schütt, B S; Slabaugh, M B; Schuch, R; Spener, F

    2000-01-01

    A unique feature of fatty acid synthase (FAS) type II of higher plants and bacteria is 3-oxoacyl-[acyl-carrier-protein (ACP)] synthase III (KAS III), which catalyses the committing condensing reaction. Working with KAS IIIs from Cuphea seeds we obtained kinetic evidence that KAS III catalysis follows a Ping-Pong mechanism and that these enzymes have substrate-binding sites for acetyl-CoA and malonyl-ACP. It was the aim of the present study to identify these binding sites and to elucidate the catalytic mechanism of recombinant Cuphea wrightii KAS III, which we expressed in Escherichia coli. We engineered mutants, which allowed us to dissect the condensing reaction into three stages, i.e. formation of acyl-enzyme, decarboxylation of malonyl-ACP, and final Claisen condensation. Incubation of recombinant enzyme with [1-(14)C]acetyl-CoA-labelled Cys(111), and the replacement of this residue by Ala and Ser resulted in loss of overall condensing activity. The Cys(111)Ser mutant, however, still was able to bind acetyl-CoA and to catalyse subsequent binding and decarboxylation of malonyl-ACP to acetyl-ACP. We replaced His(261) with Ala and Arg and found that the former lost activity, whereas the latter retained overall condensing activity, which indicated a general-base action of His(261). Double mutants Cys(111)Ser/His(261)Ala and Cys(111)Ser/His(261)Arg were not able to catalyse overall condensation, but the double mutant containing Arg induced decarboxylation of [2-(14)C]malonyl-ACP, a reaction indicating the role of His(261) in general-acid catalysis. Finally, alanine scanning revealed the involvement of Arg(150) and Arg(306) in KAS III catalysis. The results offer for the first time a detailed mechanism for a condensing reaction catalysed by a FAS type II condensing enzyme.

  6. Reaction mechanism of recombinant 3-oxoacyl-(acyl-carrier-protein) synthase III from Cuphea wrightii embryo, a fatty acid synthase type II condensing enzyme.

    PubMed Central

    Abbadi, A; Brummel, M; Schütt, B S; Slabaugh, M B; Schuch, R; Spener, F

    2000-01-01

    A unique feature of fatty acid synthase (FAS) type II of higher plants and bacteria is 3-oxoacyl-[acyl-carrier-protein (ACP)] synthase III (KAS III), which catalyses the committing condensing reaction. Working with KAS IIIs from Cuphea seeds we obtained kinetic evidence that KAS III catalysis follows a Ping-Pong mechanism and that these enzymes have substrate-binding sites for acetyl-CoA and malonyl-ACP. It was the aim of the present study to identify these binding sites and to elucidate the catalytic mechanism of recombinant Cuphea wrightii KAS III, which we expressed in Escherichia coli. We engineered mutants, which allowed us to dissect the condensing reaction into three stages, i.e. formation of acyl-enzyme, decarboxylation of malonyl-ACP, and final Claisen condensation. Incubation of recombinant enzyme with [1-(14)C]acetyl-CoA-labelled Cys(111), and the replacement of this residue by Ala and Ser resulted in loss of overall condensing activity. The Cys(111)Ser mutant, however, still was able to bind acetyl-CoA and to catalyse subsequent binding and decarboxylation of malonyl-ACP to acetyl-ACP. We replaced His(261) with Ala and Arg and found that the former lost activity, whereas the latter retained overall condensing activity, which indicated a general-base action of His(261). Double mutants Cys(111)Ser/His(261)Ala and Cys(111)Ser/His(261)Arg were not able to catalyse overall condensation, but the double mutant containing Arg induced decarboxylation of [2-(14)C]malonyl-ACP, a reaction indicating the role of His(261) in general-acid catalysis. Finally, alanine scanning revealed the involvement of Arg(150) and Arg(306) in KAS III catalysis. The results offer for the first time a detailed mechanism for a condensing reaction catalysed by a FAS type II condensing enzyme. PMID:10600651

  7. Double function hydroperoxide lyases/epoxyalcohol synthases (CYP74C) of higher plants: identification and conversion into allene oxide synthases by site-directed mutagenesis.

    PubMed

    Toporkova, Yana Y; Gorina, Svetlana S; Bessolitsyna, Elena K; Smirnova, Elena O; Fatykhova, Valeria S; Brühlmann, Fredi; Ilyina, Tatiana M; Mukhtarova, Lucia S; Grechkin, Alexander N

    2018-04-01

    The CYP74C subfamily of fatty acid hydroperoxide transforming enzymes includes hydroperoxide lyases (HPLs) and allene oxide synthases (AOSs). This work reports a new facet of the putative CYP74C HPLs. Initially, we found that the recombinant CYP74C13_MT (Medicago truncatula) behaved predominantly as the epoxyalcohol synthase (EAS) towards the 9(S)-hydroperoxide of linoleic acid. At the same time, the CYP74C13_MT mostly possessed the HPL activity towards the 13(S)-hydroperoxides of linoleic and α-linolenic acids. To verify whether this dualistic behaviour of CYP74C13_MT is occasional or typical, we also examined five similar putative HPLs (CYP74C). These were CYP74C4_ST (Solanum tuberosum), CYP74C2 (Cucumis melo), CYP74C1_CS and CYP74C31 (both of Cucumis sativus), and CYP74C13_GM (Glycine max). All tested enzymes behaved predominantly as EAS toward 9-hydroperoxide of linoleic acid. Oxiranyl carbinols such as (9S,10S,11S,12Z)-9,10-epoxy-11-hydroxy-12-octadecenoic acids were the major EAS products. Besides, the CYP74C31 possessed an additional minor 9-AOS activity. The mutant forms of CYP74C13_MT, CYP74C1_CS, and CYP74C31 with substitutions at the catalytically essential domains, namely the "hydroperoxide-binding domain" (I-helix), or the SRS-1 domain near the N-terminus, showed strong AOS activity. These HPLs to AOSs conversions were observed for the first time. Until now a large part of CYP74C enzymes has been considered as 9/13-HPLs. Notwithstanding, these results show that all studied putative CYP74C HPLs are in fact the versatile HPL/EASs that can be effortlessly mutated into specific AOSs. Copyright © 2018 Elsevier B.V. All rights reserved.

  8. Isolation of a new dual-functional caffeine synthase gene encoding an enzyme for the conversion of 7-methylxanthine to caffeine from coffee (Coffea arabica L.).

    PubMed

    Mizuno, Kouichi; Okuda, Akira; Kato, Misako; Yoneyama, Naho; Tanaka, Hiromi; Ashihara, Hiroshi; Fujimura, Tatsuhito

    2003-01-16

    In coffee and tea plants, caffeine is synthesized from xanthosine via a pathway that includes three methylation steps. We report the isolation of a bifunctional coffee caffeine synthase (CCS1) clone from coffee endosperm by reverse transcription-polymerase chain reaction (RT-PCR) and rapid amplification of cDNA ends (RACE) technique using previously reported sequence information for theobromine synthases (CTSs). The predicted amino acid sequences of CCS1 are more than 80% identical to CTSs and are about 40% similar to those of tea caffeine synthase (TCS1). Interestingly, CCS1 has dual methylation activity like tea TCS1.

  9. Functional characterization of nine Norway Spruce TPS genes and evolution of gymnosperm terpene synthases of the TPS-d subfamily.

    PubMed

    Martin, Diane M; Fäldt, Jenny; Bohlmann, Jörg

    2004-08-01

    Constitutive and induced terpenoids are important defense compounds for many plants against potential herbivores and pathogens. In Norway spruce (Picea abies L. Karst), treatment with methyl jasmonate induces complex chemical and biochemical terpenoid defense responses associated with traumatic resin duct development in stems and volatile terpenoid emissions in needles. The cloning of (+)-3-carene synthase was the first step in characterizing this system at the molecular genetic level. Here we report the isolation and functional characterization of nine additional terpene synthase (TPS) cDNAs from Norway spruce. These cDNAs encode four monoterpene synthases, myrcene synthase, (-)-limonene synthase, (-)-alpha/beta-pinene synthase, and (-)-linalool synthase; three sesquiterpene synthases, longifolene synthase, E,E-alpha-farnesene synthase, and E-alpha-bisabolene synthase; and two diterpene synthases, isopimara-7,15-diene synthase and levopimaradiene/abietadiene synthase, each with a unique product profile. To our knowledge, genes encoding isopimara-7,15-diene synthase and longifolene synthase have not been previously described, and this linalool synthase is the first described from a gymnosperm. These functionally diverse TPS account for much of the structural diversity of constitutive and methyl jasmonate-induced terpenoids in foliage, xylem, bark, and volatile emissions from needles of Norway spruce. Phylogenetic analyses based on the inclusion of these TPS into the TPS-d subfamily revealed that functional specialization of conifer TPS occurred before speciation of Pinaceae. Furthermore, based on TPS enclaves created by distinct branching patterns, the TPS-d subfamily is divided into three groups according to sequence similarities and functional assessment. Similarities of TPS evolution in angiosperms and modeling of TPS protein structures are discussed.

  10. Structure and conformational states of the bovine mitochondrial ATP synthase by cryo-EM.

    PubMed

    Zhou, Anna; Rohou, Alexis; Schep, Daniel G; Bason, John V; Montgomery, Martin G; Walker, John E; Grigorieff, Nikolaus; Rubinstein, John L

    2015-10-06

    Adenosine triphosphate (ATP), the chemical energy currency of biology, is synthesized in eukaryotic cells primarily by the mitochondrial ATP synthase. ATP synthases operate by a rotary catalytic mechanism where proton translocation through the membrane-inserted FO region is coupled to ATP synthesis in the catalytic F1 region via rotation of a central rotor subcomplex. We report here single particle electron cryomicroscopy (cryo-EM) analysis of the bovine mitochondrial ATP synthase. Combining cryo-EM data with bioinformatic analysis allowed us to determine the fold of the a subunit, suggesting a proton translocation path through the FO region that involves both the a and b subunits. 3D classification of images revealed seven distinct states of the enzyme that show different modes of bending and twisting in the intact ATP synthase. Rotational fluctuations of the c8-ring within the FO region support a Brownian ratchet mechanism for proton-translocation-driven rotation in ATP synthases.

  11. Structure and conformational states of the bovine mitochondrial ATP synthase by cryo-EM

    PubMed Central

    Zhou, Anna; Rohou, Alexis; Schep, Daniel G; Bason, John V; Montgomery, Martin G; Walker, John E; Grigorieff, Nikolaus; Rubinstein, John L

    2015-01-01

    Adenosine triphosphate (ATP), the chemical energy currency of biology, is synthesized in eukaryotic cells primarily by the mitochondrial ATP synthase. ATP synthases operate by a rotary catalytic mechanism where proton translocation through the membrane-inserted FO region is coupled to ATP synthesis in the catalytic F1 region via rotation of a central rotor subcomplex. We report here single particle electron cryomicroscopy (cryo-EM) analysis of the bovine mitochondrial ATP synthase. Combining cryo-EM data with bioinformatic analysis allowed us to determine the fold of the a subunit, suggesting a proton translocation path through the FO region that involves both the a and b subunits. 3D classification of images revealed seven distinct states of the enzyme that show different modes of bending and twisting in the intact ATP synthase. Rotational fluctuations of the c8-ring within the FO region support a Brownian ratchet mechanism for proton-translocation-driven rotation in ATP synthases. DOI: http://dx.doi.org/10.7554/eLife.10180.001 PMID:26439008

  12. Conservation and Role of Electrostatics in Thymidylate Synthase.

    PubMed

    Garg, Divita; Skouloubris, Stephane; Briffotaux, Julien; Myllykallio, Hannu; Wade, Rebecca C

    2015-11-27

    Conservation of function across families of orthologous enzymes is generally accompanied by conservation of their active site electrostatic potentials. To study the electrostatic conservation in the highly conserved essential enzyme, thymidylate synthase (TS), we conducted a systematic species-based comparison of the electrostatic potential in the vicinity of its active site. Whereas the electrostatics of the active site of TS are generally well conserved, the TSs from minimal organisms do not conform to the overall trend. Since the genomes of minimal organisms have a high thymidine content compared to other organisms, the observation of non-conserved electrostatics was surprising. Analysis of the symbiotic relationship between minimal organisms and their hosts, and the genetic completeness of the thymidine synthesis pathway suggested that TS from the minimal organism Wigglesworthia glossinidia (W.g.b.) must be active. Four residues in the vicinity of the active site of Escherichia coli TS were mutated individually and simultaneously to mimic the electrostatics of W.g.b TS. The measured activities of the E. coli TS mutants imply that conservation of electrostatics in the region of the active site is important for the activity of TS, and suggest that the W.g.b. TS has the minimal activity necessary to support replication of its reduced genome.

  13. Structure-based design of bacterial nitric oxide synthase inhibitors

    DOE PAGES

    Holden, Jeffrey K.; Kang, Soosung; Hollingsworth, Scott A.; ...

    2014-12-18

    Inhibition of bacterial nitric oxide synthase (bNOS) has the potential to improve the efficacy of antimicrobials used to treat infections by Gram-positive pathogens Staphylococcus aureus and Bacillus anthracis. However, inhibitor specificity toward bNOS over the mammalian NOS (mNOS) isoforms remains a challenge because of the near identical NOS active sites. One key structural difference between the NOS isoforms is the amino acid composition of the pterin cofactor binding site that is adjacent to the NOS active site. Previously, we demonstrated that a NOS inhibitor targeting both the active and pterin sites was potent and functioned as an antimicrobial. Here wemore » present additional crystal structures, binding analyses, and bacterial killing studies of inhibitors that target both the active and pterin sites of a bNOS and function as antimicrobials. Lastly, these data provide a framework for continued development of bNOS inhibitors, as each molecule represents an excellent chemical scaffold for the design of isoform selective bNOS inhibitors.« less

  14. Oligosaccharide Binding in Escherichia coli Glycogen Synthase

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sheng, Fang; Yep, Alejandra; Feng, Lei

    2010-11-17

    Glycogen/starch synthase elongates glucan chains and is the key enzyme in the synthesis of glycogen in bacteria and starch in plants. Cocrystallization of Escherichia coli wild-type glycogen synthase (GS) with substrate ADPGlc and the glucan acceptor mimic HEPPSO produced a closed form of GS and suggests that domain-domain closure accompanies glycogen synthesis. Cocrystallization of the inactive GS mutant E377A with substrate ADPGlc and oligosaccharide results in the first oligosaccharide-bound glycogen synthase structure. Four bound oligosaccharides are observed, one in the interdomain cleft (G6a) and three on the N-terminal domain surface (G6b, G6c, and G6d). Extending from the center of themore » enzyme to the interdomain cleft opening, G6a mostly interacts with the highly conserved N-terminal domain residues lining the cleft of GS. The surface-bound oligosaccharides G6c and G6d have less interaction with enzyme and exhibit a more curled, helixlike structural arrangement. The observation that oligosaccharides bind only to the N-terminal domain of GS suggests that glycogen in vivo probably binds to only one side of the enzyme to ensure unencumbered interdomain movement, which is required for efficient, continuous glucan-chain synthesis.« less

  15. Protective effects of agmatine on lipopolysaccharide-injured microglia and inducible nitric oxide synthase activity.

    PubMed

    Ahn, Soo Kyung; Hong, Samin; Park, Yu Mi; Choi, Ja Yong; Lee, Won Taek; Park, Kyung Ah; Lee, Jong Eun

    2012-12-17

    Proinflammatory factors released from activated microglia contribute to maintaining homeostasis against various noxious stimuli in the central nervous system. If excessive, however, they may initiate a pathologic neuroinflammatory process. In this investigation, we evaluated whether agmatine, a primary polyamine known to protect neurons, reduces lipopolysaccharide (LPS)-induced damage to microglia in vitro and in vivo. For in vitro study, BV2-immortalized murine microglia were exposed to LPS with agmatine treatment. After 24hours, cell viability and the amount of nitrite generated were determined. For in vivo study, LPS was microinjected into the corpus callosum of adult male albino mice. Agmatine was intraperitoneally administered at the time of injury. Brains were evaluated 24hours after LPS microinjection to check for immunoreactivity with a microglial marker of ionized calcium binding adaptor molecule 1 (Iba1) and inducible nitric oxide synthase (iNOS). Using western blot analysis, protein expression of iNOS as well as that of the proinflammatory cytokines, tumor necrosis factor (TNF)-α and interleukin (IL)-1β, was determined. Agmatine significantly reduced the LPS-induced BV2 microglial cytotoxicity from over 80% to less than 60% (p<0.001), as determined by lactate dehydrogenase assay. It suppressed the nitrite production from 16.4±3.14μM to 5.5±1.27μM (p<0.001), as measured using the Griess reaction. Agmatine also decreased the activities of microglia and iNOS induced by LPS microinjection into corpus callosum. Our findings reveal that agmatine attenuates LPS-induced microglial damage and suggest that agmatine may serve as a novel therapeutic strategy for neuroinflammatory diseases. Copyright © 2012 Elsevier Inc. All rights reserved.

  16. Cloning and functional characterization of three terpene synthases from lavender (Lavandula angustifolia).

    PubMed

    Landmann, Christian; Fink, Barbara; Festner, Maria; Dregus, Márta; Engel, Karl-Heinz; Schwab, Wilfried

    2007-09-15

    The essential oil of lavender (Lavandula angustifolia) is mainly composed of mono- and sesquiterpenes. Using a homology-based PCR strategy, two monoterpene synthases (LaLIMS and LaLINS) and one sesquiterpene synthase (LaBERS) were cloned from lavender leaves and flowers. LaLIMS catalyzed the formation of (R)-(+)-limonene, terpinolene, (1R,5S)-(+)-camphene, (1R,5R)-(+)-alpha-pinene, beta-myrcene and traces of alpha-phellandrene. The proportions of these products changed significantly when Mn(2+) was supplied as the cofactor instead of Mg(2+). The second enzyme LaLINS produced exclusively (R)-(-)-linalool, the main component of lavender essential oil. LaBERS transformed farnesyl diphosphate and represents the first reported trans-alpha-bergamotene synthase. It accepted geranyl diphosphate with higher affinity than farnesyl diphosphate and also produced monoterpenes, albeit at low rates. LaBERS is probably derived from a parental monoterpene synthase by the loss of the plastidial signal peptide and by broadening its substrate acceptance spectrum. The identification and description of the first terpene synthases from L. angustifolia forms the basis for the biotechnological modification of essential oil composition in lavender.

  17. Producing alpha-olefins using polyketide synthases

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fortman, Jeffrey L.; Katz, Leonard; Steen, Eric J.

    2018-01-02

    The present invention provides for a polyketide synthase (PKS) capable of synthesizing an .alpha.-olefin, such as 1-hexene or butadiene. The present invention also provides for a host cell comprising the PKS and when cultured produces the .alpha.-olefin.

  18. CELLULOSE SYNTHASE INTERACTIVE1 Is Required for Fast Recycling of Cellulose Synthase Complexes to the Plasma Membrane in Arabidopsis

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lei, Lei; Singh, Abhishek; Bashline, Logan

    Plants are constantly subjected to various biotic and abiotic stresses and have evolved complex strategies to cope with these stresses. For example, plant cells endocytose plasma membrane material under stress and subsequently recycle it back when the stress conditions are relieved. Cellulose biosynthesis is a tightly regulated process that is performed by plasma membrane-localized cellulose synthase (CESA) complexes (CSCs). However, the regulatory mechanism of cellulose biosynthesis under abiotic stress has not been well explored. In this study, we show that small CESA compartments (SmaCCs) or microtubule-associated cellulose synthase compartments (MASCs) are critical for fast recovery of CSCs to the plasmamore » membrane after stress is relieved in Arabidopsis thaliana. This SmaCC/MASC-mediated fast recovery of CSCs is dependent on CELLULOSE SYNTHASE INTERACTIVE1 (CSI1), a protein previously known to represent the link between CSCs and cortical microtubules. Independently, AP2M, a core component in clathrin-mediated endocytosis, plays a role in the formation of SmaCCs/MASCs. Together, our study establishes a model in which CSI1-dependent SmaCCs/MASCs are formed through a process that involves endocytosis, which represents an important mechanism for plants to quickly regulate cellulose synthesis under abiotic stress.« less

  19. Germacrene C synthase from Lycopersicon esculentum cv. VFNT Cherry tomato: cDNA isolation, characterization, and bacterial expression of the multiple product sesquiterpene cyclase

    PubMed Central

    Colby, Sheila M.; Crock, John; Dowdle-Rizzo, Barbara; Lemaux, Peggy G.; Croteau, Rodney

    1998-01-01

    Germacrene C was found by GC-MS and NMR analysis to be the most abundant sesquiterpene in the leaf oil of Lycopersicon esculentum cv. VFNT Cherry, with lesser amounts of germacrene A, guaia-6,9-diene, germacrene B, β-caryophyllene, α-humulene, and germacrene D. Soluble enzyme preparations from leaves catalyzed the divalent metal ion-dependent cyclization of [1-3H]farnesyl diphosphate to these same sesquiterpene olefins, as determined by radio-GC. To obtain a germacrene synthase cDNA, a set of degenerate primers was constructed based on conserved amino acid sequences of related terpenoid cyclases. With cDNA prepared from leaf epidermis-enriched mRNA, these primers amplified a 767-bp fragment that was used as a hybridization probe to screen the cDNA library. Thirty-one clones were evaluated for functional expression of terpenoid cyclase activity in Escherichia coli by using labeled geranyl, farnesyl, and geranylgeranyl diphosphates as substrates. Nine cDNA isolates expressed sesquiterpene synthase activity, and GC-MS analysis of the products identified germacrene C with smaller amounts of germacrene A, B, and D. None of the expressed proteins was active with geranylgeranyl diphosphate; however, one truncated protein converted geranyl diphosphate to the monoterpene limonene. The cDNA inserts specify a deduced polypeptide of 548 amino acids (Mr = 64,114), and sequence comparison with other plant sesquiterpene cyclases indicates that germacrene C synthase most closely resembles cotton δ-cadinene synthase (50% identity). PMID:9482865

  20. Synergistic Substrate Inhibition of ent-Copalyl Diphosphate Synthase: A Potential Feed-Forward Inhibition Mechanism Limiting Gibberellin Metabolism1[OA

    PubMed Central

    Prisic, Sladjana; Peters, Reuben J.

    2007-01-01

    Gibberellins (GAs) or gibberellic acids are ubiquitous diterpenoid phytohormones required for many aspects of plant growth and development, including repression of photosynthetic pigment production (i.e. deetiolation) in the absence of light. The committed step in GA biosynthesis is catalyzed in plastids by ent-copalyl diphosphate synthase (CPS), whose substrate, (E,E,E,)-geranylgeranyl diphosphate (GGPP), is also a direct precursor of carotenoids and the phytol side chain of chlorophyll. Accordingly, during deetiolation, GA production is repressed, whereas flux toward these photosynthetic pigments through their common GGPP precursor is dramatically increased. How this is accomplished has been unclear because no mechanism for regulation of CPS activity has been reported. We present here kinetic analysis of recombinant pseudomature CPS from Arabidopsis (Arabidopsis thaliana; rAtCPS) demonstrating that Mg2+ and GGPP exert synergistic substrate inhibition effects on CPS activity. These results suggest that GA metabolism may be limited by feed-forward inhibition of CPS; in particular, the effect of Mg2+ because light induces increases in plastid Mg2+ levels over a similar range as that observed here to affect rAtCPS activity. Notably, this effect is most pronounced in the GA-specific AtCPS because the corresponding activity of the resin acid biosynthetic enzyme abietadiene synthase is 100-fold less sensitive to [Mg2+]. Furthermore, Mg2+ allosterically activates the plant porphobilinogen synthase involved in chlorophyll production. Hence, Mg2+ may have a broad role in regulating plastidial metabolic flux during deetiolation. Finally, the observed synergistic substrate/feed-forward inhibition of CPS also seems to provide a novel example of direct regulation of enzymatic activity in hormone biosynthesis. PMID:17384166

  1. Asymmetric Synthesis of (R)-1-Alkyl Substituted Tetrahydro-ß-carbolines Catalyzed by Strictosidine Synthases.

    PubMed

    Pressnitz, Desiree; Fischereder, Eva-Maria; Pletz, Jakob; Kofler, Christina; Hammerer, Lucas; Hiebler, Katharina; Lechner, Horst; Richter, Nina; Eger, Elisabeth; Kroutil, Wolfgang

    2018-05-31

    Stereoselective methods for the synthesis of tetrahydro-ß-carbolines are of significant interest due to the broad spectrum of biological activity of the target molecules. In the plant kingdom strictosidine synthases catalyze the C-C coupling via a Pictet-Spengler reaction of tryptamine and secologanin to exclusively form the (S)-configured tetrahydro-ß-carboline (S)-strictosidine. Investigating the biocatalytic Pictet-Spengler reaction of tryptamine with small-molecular-weight aliphatic aldehydes revealed that the strictosidine synthases gave unexpectedly access to the (R)-configured product. Developing an efficient expression method of the catalyst allowed the preparative transformation of various aldehydes giving the products with up to >98% ee. With this tool in hand a chemoenzymatic two-step synthesis of (R)-harmicine was achieved giving (R)-harmicine in 67% overall yield in optically pure form. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Novel Interconnections in Lipid Metabolism Revealed by Overexpression of Sphingomyelin Synthase-1*

    PubMed Central

    Deevska, Gergana M.; Dotson, Patrick P.; Karakashian, Alexander A.; Isaac, Giorgis; Wrona, Mark; Kelly, Samuel B.; Merrill, Alfred H.; Nikolova-Karakashian, Mariana N.

    2017-01-01

    This study investigates the consequences of elevating sphingomyelin synthase 1 (SMS1) activity, which generates the main mammalian sphingolipid, sphingomyelin. HepG2 cells stably transfected with SMS1 (HepG2-SMS1) exhibit elevated enzyme activity in vitro and increased sphingomyelin content (mainly C22:0- and C24:0-sphingomyelin) but lower hexosylceramide (Hex-Cer) levels. HepG2-SMS1 cells have fewer triacylglycerols than controls but similar diacylglycerol acyltransferase activity, triacylglycerol secretion, and mitochondrial function. Treatment with 1 mm palmitate increases de novo ceramide synthesis in both cell lines to a similar degree, causing accumulation of C16:0-ceramide (and some C18:0-, C20:0-, and C22:0-ceramides) as well as C16:0- and C18:0-Hex-Cers. In these experiments, the palmitic acid is delivered as a complex with delipidated BSA (2:1, mol/mol) and does not induce significant lipotoxicity. Based on precursor labeling, the flux through SM synthase also increases, which is exacerbated in HepG2-SMS1 cells. In contrast, palmitate-induced lipid droplet formation is significantly reduced in HepG2-SMS1 cells. [14C]Choline and [3H]palmitate tracking shows that SMS1 overexpression apparently affects the partitioning of palmitate-enriched diacylglycerol between the phosphatidylcholine and triacylglycerol pathways, to the benefit of the former. Furthermore, triacylglycerols from HepG2-SMS1 cells are enriched in polyunsaturated fatty acids, which is indicative of active remodeling. Together, these results delineate novel metabolic interactions between glycerolipids and sphingolipids. PMID:28087695

  3. β-Adrenergic-mediated vasodilation in young men and women: cyclooxygenase restrains nitric oxide synthase

    PubMed Central

    Limberg, Jacqueline K.; Johansson, Rebecca E.; Peltonen, Garrett L.; Harrell, John W.; Kellawan, J. Mikhail; Eldridge, Marlowe W.; Sebranek, Joshua J.

    2016-01-01

    We tested the hypothesis that women exhibit greater vasodilator responses to β-adrenoceptor stimulation compared with men. We further hypothesized women exhibit a greater contribution of nitric oxide synthase and cyclooxygenase to β-adrenergic-mediated vasodilation compared with men. Forearm blood flow (Doppler ultrasound) was measured in young men (n = 29, 26 ± 1 yr) and women (n = 33, 25 ± 1 yr) during intra-arterial infusion of isoproterenol (β-adrenergic agonist). In subset of subjects, isoproterenol responses were examined before and after local inhibition of nitric oxide synthase [NG-monomethyl-l-arginine (l-NMMA); 6 male/10 female] and/or cyclooxygenase (ketorolac; 5 male/5 female). Vascular conductance (blood flow ÷ mean arterial pressure) was calculated to assess vasodilation. Vascular conductance increased with isoproterenol infusion (P < 0.01), and this effect was not different between men and women (P = 0.41). l-NMMA infusion had no effect on isoproterenol-mediated dilation in men (P > 0.99) or women (P = 0.21). In contrast, ketorolac infusion markedly increased isoproterenol-mediated responses in both men (P < 0.01) and women (P = 0.04) and this rise was lost with subsequent l-NMMA infusion (men, P < 0.01; women, P < 0.05). β-Adrenergic vasodilation is not different between men and women and sex differences in the independent contribution of nitric oxide synthase and cyclooxygenase to β-mediated vasodilation are not present. However, these data are the first to demonstrate β-adrenoceptor activation of cyclooxygenase suppresses nitric oxide synthase signaling in human forearm microcirculation and may have important implications for neurovascular control in both health and disease. PMID:26747505

  4. Molecular cloning and expression of Chimonanthus praecox farnesyl pyrophosphate synthase gene and its possible involvement in the biosynthesis of floral volatile sesquiterpenoids.

    PubMed

    Xiang, Lin; Zhao, Kaige; Chen, Longqing

    2010-01-01

    Farnesyl pyrophosphate (FPP) synthase catalyzes the biosynthesis of FPP, which is the precursors of sesquiterpenoids such as floral scent volatiles, from isopentenyl pyrophosphate (IPP) and dimethylallyl pyrophosphate (DMAPP). cDNA encoding wintersweet (Chimonanthus praecox L.) FPP synthase was isolated by the RT-PCR and RACE methods. The deduced amino acid sequence showed a high identity to plant FPP synthases. Expression of the gene in Escherichia coli yielded FPPS activity that catalyzed the synthesis of FPP as a main product. Tissue-specific and developmental analyses of the mRNA levels of CpFPPS and volatile sesquiterpenoids levels in C. praecox flowers revealed that the FPPS may play a regulatory role in floral volatile sesquiterpenoids of wintersweet. Copyright © 2010 Elsevier Masson SAS. All rights reserved.

  5. Genetics Home Reference: N-acetylglutamate synthase deficiency

    MedlinePlus

    ... Hyperammonemia due to N-acetylglutamate synthase deficiency Screening, Technology and Research in Genetics Patient Support and Advocacy Resources (4 links) Children Living with Inherited Metabolic Diseases National Organization for ...

  6. The identification of cutin synthase: formation of the plant polyester cutin

    PubMed Central

    Yeats, Trevor H.; Martin, Laetitia B. B.; Viart, Hélène M.-F.; Isaacson, Tal; He, Yonghua; Zhao, Lingxia; Matas, Antonio J.; Buda, Gregory J.; Domozych, David S.; Clausen, Mads H.; Rose, Jocelyn K. C.

    2012-01-01

    A hydrophobic cuticle consisting of waxes and the polyester cutin covers the aerial epidermis of all land plants, providing essential protection from desiccation and other stresses. We have determined the enzymatic basis of cutin polymerization through characterization of a tomato extracellular acyltransferase, CD1, and its substrate, 2-mono(10,16-dihydroxyhexadecanoyl)glycerol (2-MHG). CD1 has in vitro polyester synthesis activity and is required for cutin accumulation in vivo, indicating that it is a cutin synthase. PMID:22610035

  7. The Sucrose Synthase Gene Family in Chinese Pear (Pyrus bretschneideri Rehd.): Structure, Expression, and Evolution.

    PubMed

    Abdullah, Muhammad; Cao, Yungpeng; Cheng, Xi; Meng, Dandan; Chen, Yu; Shakoor, Awais; Gao, Junshan; Cai, Yongping

    2018-05-11

    Sucrose synthase (SS) is a key enzyme involved in sucrose metabolism that is critical in plant growth and development, and particularly quality of the fruit. Sucrose synthase gene families have been identified and characterized in plants various plants such as tobacco, grape, rice, and Arabidopsis . However, there is still lack of detailed information about sucrose synthase gene in pear. In the present study, we performed a systematic analysis of the pear ( Pyrus bretschneideri Rehd.) genome and reported 30 sucrose synthase genes. Subsequently, gene structure, phylogenetic relationship, chromosomal localization, gene duplications, promoter regions, collinearity, RNA-Seq data and qRT-PCR were conducted on these sucrose synthase genes. The transcript analysis revealed that 10 PbSSs genes (30%) were especially expressed in pear fruit development. Additionally, qRT-PCR analysis verified the RNA-seq data and shown that PbSS30 , PbSS24 , and PbSS15 have a potential role in the pear fruit development stages. This study provides important insights into the evolution of sucrose synthase gene family in pear and will provide assistance for further investigation of sucrose synthase genes functions in the process of fruit development, fruit quality and resistance to environmental stresses.

  8. Structural and mechanistic analysis of engineered trichodiene synthase enzymes from Trichoderma harzianum: towards higher catalytic activities empowering sustainable agriculture.

    PubMed

    Kumari, Indu; Chaudhary, Nitika; Sandhu, Padmani; Ahmed, Mushtaq; Akhter, Yusuf

    2016-06-01

    Trichoderma spp. are well-known bioagents for the plant growth promotion and pathogen suppression. The beneficial activities of the fungus Trichoderma spp. are attributed to their ability to produce and secrete certain secondary metabolites such as trichodermin that belongs to trichothecene family of molecules. The initial steps of trichodermin biosynthetic pathway in Trichoderma are similar to the trichothecenes from Fusarium sporotrichioides. Trichodiene synthase (TS) encoded by tri5 gene in Trichoderma catalyses the conversion of farnesyl pyrophosphate to trichodiene as reported earlier. In this study, we have carried out a comprehensive comparative sequence and structural analysis of the TS, which revealed the conserved residues involved in catalytic activity of the protein. In silico, modelled tertiary structure of TS protein showed stable structural behaviour during simulations. Two single-substitution mutants, i.e. D109E, D248Y and one double-substitution mutant (D109E and D248Y) of TS with potentially higher activities are screened out. The mutant proteins showed more stability than the wild type, an increased number of electrostatic interactions and better binding energies with the ligand, which further elucidates the amino acid residues involved in the reaction mechanism. These results will lead to devise strategies for higher TS activity to ultimately enhance the trichodermin production by Trichoderma spp. for its better exploitation in the sustainable agricultural practices.

  9. Activation of Two Sequential H-transfers in the Thymidylate Synthase Catalyzed Reaction

    PubMed Central

    Islam, Zahidul; Strutzenberg, Timothy S.; Ghosh, Ananda K.; Kohen, Amnon

    2015-01-01

    Thymidylate synthase (TSase) catalyzes the de novo biosynthesis of thymidylate, a precursor for DNA, and is thus an important target for chemotherapeutics and antibiotics. Two sequential C-H bond cleavages catalyzed by TSase are of particular interest: a reversible proton abstraction from the 2′-deoxy-uridylate substrate, followed by an irreversible hydride transfer forming the thymidylate product. QM/MM calculations of the former predicted a mechanism where the abstraction of the proton leads to formation of a novel nucleotide-folate intermediate that is not covalently bound to the enzyme (Wang, Z.; Ferrer, S.; Moliner, V.; Kohen, A. Biochemistry 2013, 52, 2348–2358). Existence of such intermediate would hold promise as a target for a new class of drugs. Calculations of the subsequent hydride transfer predicted a concerted H-transfer and elimination of the enzymatic cysteine (Kanaan, N.; Ferrer, S.; Marti, S.; Garcia-Viloca, M.; Kohen, A.; Moliner, V. J. Am. Chem. Soc. 2011, 133, 6692–6702). A key to both C-H activations is a highly conserved arginine (R166) that stabilizes the transition state of both H-transfers. Here we test these predictions by studying the R166 to lysine mutant of E. coli TSase (R166K) using intrinsic kinetic isotope effects (KIEs) and their temperature dependence to assess effects of the mutation on both chemical steps. The findings confirmed the predictions made by the QM/MM calculations, implicate R166 as an integral component of both reaction coordinates, and thus provide critical support to the nucleotide-folate intermediate as a new target for rational drug design. PMID:26576323

  10. The structure of the Mycobacterium smegmatis trehalose synthase reveals an unusual active site configuration and acarbose-binding mode†

    PubMed Central

    Caner, Sami; Nguyen, Nham; Aguda, Adeleke; Zhang, Ran; Pan, Yuan T; Withers, Stephen G; Brayer, Gary D

    2013-01-01

    Trehalose synthase (TreS) catalyzes the reversible conversion of maltose into trehalose in mycobacteria as one of three biosynthetic pathways to this nonreducing disaccharide. Given the importance of trehalose to survival of mycobacteria, there has been considerable interest in understanding the enzymes involved in its production; indeed the structures of the key enzymes in the other two pathways have already been determined. Herein, we present the first structure of TreS from Mycobacterium smegmatis, thereby providing insights into the catalytic machinery involved in this intriguing intramolecular reaction. This structure, which is of interest both mechanistically and as a potential pharmaceutical target, reveals a narrow and enclosed active site pocket within which intramolecular substrate rearrangements can occur. We also present the structure of a complex of TreS with acarbose, revealing a hitherto unsuspected oligosaccharide-binding site within the C-terminal domain. This may well provide an anchor point for the association of TreS with glycogen, thereby enhancing its role in glycogen biosynthesis and degradation. PMID:23735230

  11. Identification of avian wax synthases

    PubMed Central

    2012-01-01

    Background Bird species show a high degree of variation in the composition of their preen gland waxes. For instance, galliform birds like chicken contain fatty acid esters of 2,3-alkanediols, while Anseriformes like goose or Strigiformes like barn owl contain wax monoesters in their preen gland secretions. The final biosynthetic step is catalyzed by wax synthases (WS) which have been identified in pro- and eukaryotic organisms. Results Sequence similarities enabled us to identify six cDNAs encoding putative wax synthesizing proteins in chicken and two from barn owl and goose. Expression studies in yeast under in vivo and in vitro conditions showed that three proteins from chicken performed WS activity while a sequence from chicken, goose and barn owl encoded a bifunctional enzyme catalyzing both wax ester and triacylglycerol synthesis. Mono- and bifunctional WS were found to differ in their substrate specificities especially with regard to branched-chain alcohols and acyl-CoA thioesters. According to the expression patterns of their transcripts and the properties of the enzymes, avian WS proteins might not be confined to preen glands. Conclusions We provide direct evidence that avian preen glands possess both monofunctional and bifunctional WS proteins which have different expression patterns and WS activities with different substrate specificities. PMID:22305293

  12. Structural analyses of human thymidylate synthase reveal a site that may control conformational switching between active and inactive states.

    PubMed

    Chen, Dan; Jansson, Anna; Sim, Daniel; Larsson, Andreas; Nordlund, Pär

    2017-08-11

    Thymidylate synthase (TS) is the sole enzyme responsible for de novo biosynthesis of thymidylate (TMP) and is essential for cell proliferation and survival. Inhibition of human TS (hTS) has been extensively investigated for cancer chemotherapy, but several aspects of its activity and regulation are still uncertain. In this study, we performed comprehensive structural and biophysical studies of hTS using crystallography and thermal shift assay and provided the first detailed structural information on the conformational changes induced by ligand binding to the hTS active site. We found that upon binding of the antifolate agents raltitrexed and nolatrexed, the two insert regions in hTS, the functions of which are unclear, undergo positional shifts toward the catalytic center. We investigated the inactive conformation of hTS and found that the two insert regions are also involved in the conformational transition between the active and inactive state of hTS. Moreover, we identified a ligand-binding site in the dimer interface, suggesting that the cavity in the dimer interface could serve as an allosteric site of hTS to regulate the conformational switching between the active and inactive states. On the basis of these findings, we propose a regulatory mechanism of hTS activity that involves allosteric regulation of interactions of hTS with its own mRNA depending on cellular demands for TMP. © 2017 by The American Society for Biochemistry and Molecular Biology, Inc.

  13. Affinity comparison of different THCA synthase to CBGA using modeling computational approaches.

    PubMed

    Alaoui, Moulay Abdelaziz El; Ibrahimi, Azeddine; Semlali, Oussama; Tarhda, Zineb; Marouane, Melloul; Najwa, Alaoui; Soulaymani, Abdelmajid; Fahime, Elmostafa El

    2014-01-01

    The Δ(9-)Tetrahydrocannabinol (THCA) is the primary psychoactive compound of Cannabis Sativa. It is produced by Δ(1-) Tetrahydrocannabinolic acid synthase (THCA) which catalyzes the oxidative cyclization of cannabigerolic acid (CBGA) the precursor of the THCA. In this study, we were interested by the three dimensional structure of THCA synthase protein. Generation of models were done by MODELLER v9.11 and homology modeling with Δ1-tetrahydrocannabinolic acid (THCA) synthase X ray structure (PDB code 3VTE) on the basis of sequences retrieved from GenBank. Procheck, Errat, and Verify 3D tools were used to verify the reliability of the six 3D models obtained, the overall quality factor and the Prosa Z-score were also used to check the quality of the six modeled proteins. The RMSDs for C-alpha atoms, main-chain atoms, side-chain atoms and all atoms between the modeled structures and the corresponding template ranged between 0.290 Å-1.252 Å, reflecting the good quality of the obtained models. Our study of the CBGA-THCA synthase docking demonstrated that the active site pocket was successfully recognized using computational approach. The interaction energy of CBGA computed in 'fiber types' proteins ranged between -4.1 95 kcal/mol and -5.95 kcal/mol whereas in the 'drug type' was about -7.02 kcal/mol to -7.16 kcal/mol, which maybe indicate the important role played by the interaction energy of CBGA in the determination of the THCA level in Cannabis Sativa L. varieties. Finally, we have proposed an experimental design in order to explore the binding energy source of ligand-enzyme in Cannabis Sativa and the production level of the THCA in the absence of any information regarding the correlation between the enzyme affinity and THCA level production. This report opens the doors to more studies predicting the binding site pocket with accuracy from the perspective of the protein affinity and THCA level produced in Cannabis Sativa.

  14. Glycogen synthase kinase-3 inhibition attenuates fibroblast activation and development of fibrosis following renal ischemia-reperfusion in mice

    PubMed Central

    Singh, Shailendra P.; Tao, Shixin; Fields, Timothy A.; Webb, Sydney; Harris, Raymond C.; Rao, Reena

    2015-01-01

    ABSTRACT Glycogen synthase kinase-3β (GSK3β) is a serine/threonine protein kinase that plays an important role in renal tubular injury and regeneration in acute kidney injury. However, its role in the development of renal fibrosis, often a long-term consequence of acute kidney injury, is unknown. Using a mouse model of renal fibrosis induced by ischemia-reperfusion injury, we demonstrate increased GSK3β expression and activity in fibrotic kidneys, and its presence in myofibroblasts in addition to tubular epithelial cells. Pharmacological inhibition of GSK3 using TDZD-8 starting before or after ischemia-reperfusion significantly suppressed renal fibrosis by reducing the myofibroblast population, collagen-1 and fibronectin deposition, inflammatory cytokines, and macrophage infiltration. GSK3 inhibition in vivo reduced TGF-β1, SMAD3 activation and plasminogen activator inhibitor-1 levels. Consistently in vitro, TGF-β1 treatment increased GSK3β expression and GSK3 inhibition abolished TGF-β1-induced SMAD3 activation and α-smooth muscle actin (α-SMA) expression in cultured renal fibroblasts. Importantly, overexpression of constitutively active GSK3β stimulated α-SMA expression even in the absence of TGF-β1 treatment. These results suggest that TGF-β regulates GSK3β, which in turn is important for TGF-β–SMAD3 signaling and fibroblast-to-myofibroblast differentiation. Overall, these studies demonstrate that GSK3 could promote renal fibrosis by activation of TGF-β signaling and the use of GSK3 inhibitors might represent a novel therapeutic approach for progressive renal fibrosis that develops as a consequence of acute kidney injury. PMID:26092126

  15. The Maize Gene terpene synthase 1 Encodes a Sesquiterpene Synthase Catalyzing the Formation of (E)-β-Farnesene, (E)-Nerolidol, and (E,E)-Farnesol after Herbivore Damage1

    PubMed Central

    Schnee, Christiane; Köllner, Tobias G.; Gershenzon, Jonathan; Degenhardt, Jörg

    2002-01-01

    Maize (Zea mays) emits a mixture of volatile compounds upon attack by the Egyptian cotton leafworm (Spodoptera littoralis). These substances, primarily mono- and sesquiterpenes, are used by parasitic wasps to locate the lepidopteran larvae, which are their natural hosts. This interaction among plant, lepidopteran larvae, and hymenopteran parasitoids benefits the plant and has been termed indirect defense. The committed step in the biosynthesis of the different skeletal types of mono- and sesquiterpenes is catalyzed by terpene synthases, a class of enzymes that forms a large variety of mono- and sesquiterpene products from prenyl diphosphate precursors. We isolated a terpene synthase gene, terpene synthase 1 (tps1), from maize that exhibits only a low degree of sequence identity to previously identified terpene synthases. Upon expression in a bacterial system, the encoded enzyme produced the acyclic sesquiterpenes, (E)-β-farnesene, (E,E)-farnesol, and (3R)-(E)-nerolidol, the last an intermediate in the formation of (3E)-4,8-dimethyl-1,3,7-nonatriene. Both (E)-β-farnesene and (3E)-4,8-dimethyl-1,3,7-nonatriene are prominent compounds of the maize volatile blend that is emitted after herbivore damage. The biochemical characteristics of the encoded enzyme are similar to those of terpene synthases from both gymnosperms and dicotyledonous angiosperms, suggesting that catalysis involves a similar electrophilic reaction mechanism. The transcript level of tps1 in the maize cv B73 was elevated after herbivory, mechanical damage, and treatment with elicitors. In contrast, the increase in the transcript level of the tps1 gene or gene homolog in the maize cv Delprim after herbivory was less pronounced, suggesting that the regulation of terpene synthase expression may vary among maize varieties. PMID:12481088

  16. Sequence of a cDNA and expression of the gene encoding a putative epidermal chitin synthase of Manduca sexta.

    PubMed

    Zhu, Yu-Cheng; Specht, Charles A; Dittmer, Neal T; Muthukrishnan, Subbaratnam; Kanost, Michael R; Kramer, Karl J

    2002-11-01

    Glycosyltransferases are enzymes that synthesize oligosaccharides, polysaccharides and glycoconjugates. One type of glycosyltransferase is chitin synthase, a very important enzyme in biology, which is utilized by insects, fungi, and other invertebrates to produce chitin, a polysaccharide of beta-1,4-linked N-acetylglucosamine. Chitin is an important component of the insect's exoskeletal cuticle and gut lining. To identify and characterize a chitin synthase gene of the tobacco hornworm, Manduca sexta, degenerate primers were designed from two highly conserved regions in fungal and nematode chitin synthase protein sequences and then used to amplify a similar region from Manduca cDNA. A full-length cDNA of 5152 nucleotides was assembled for the putative Manduca chitin synthase gene, MsCHS1, and sequencing of genomic DNA verified the contiguity of the sequence. The MsCHS1 cDNA has an ORF of 4692 nucleotides that encodes a transmembrane protein of 1564 amino acid residues with a mass of approximately 179 kDa (GenBank no. AY062175). It is most similar, over its entire length of protein sequence, to putative chitin synthases from other insects and nematodes, with 68% identity to enzymes from both the blow fly, Lucilia cuprina, and the fruit fly, Drosophila melanogaster. The similarity with fungal chitin synthases is restricted to the putative catalytic domain, and the MsCHS1 protein has, at equivalent positions, several amino acids that are essential for activity as revealed by mutagenesis of the fungal enzymes. A 5.3-kb transcript of MsCHS1 was identified by northern blot hybridization of RNA from larval epidermis, suggesting that the enzyme functions to make chitin deposited in the cuticle. Further examination by RT-PCR showed that MsCHS1 expression is regulated in the epidermis, with the amount of transcript increasing during phases of cuticle deposition.

  17. Exploring the Influence of Domain Architecture on the Catalytic Function of Diterpene Synthases.

    PubMed

    Pemberton, Travis A; Chen, Mengbin; Harris, Golda G; Chou, Wayne K W; Duan, Lian; Köksal, Mustafa; Genshaft, Alex S; Cane, David E; Christianson, David W

    2017-04-11

    Terpenoid synthases catalyze isoprenoid cyclization reactions underlying the generation of more than 80,000 natural products. Such dramatic chemodiversity belies the fact that these enzymes generally consist of only three domain folds designated as α, β, and γ. Catalysis by class I terpenoid synthases occurs exclusively in the α domain, which is found with α, αα, αβ, and αβγ domain architectures. Here, we explore the influence of domain architecture on catalysis by taxadiene synthase from Taxus brevifolia (TbTS, αβγ), fusicoccadiene synthase from Phomopsis amygdali (PaFS, (αα) 6 ), and ophiobolin F synthase from Aspergillus clavatus (AcOS, αα). We show that the cyclization fidelity and catalytic efficiency of the α domain of TbTS are severely compromised by deletion of the βγ domains; however, retention of the β domain preserves significant cyclization fidelity. In PaFS, we previously demonstrated that one α domain similarly influences catalysis by the other α domain [ Chen , M. , Chou , W. K. W. , Toyomasu , T. , Cane , D. E. , and Christianson , D. W. ( 2016 ) ACS Chem. Biol. 11 , 889 - 899 ]. Here, we show that the hexameric quaternary structure of PaFS enables cluster channeling. We also show that the α domains of PaFS and AcOS can be swapped so as to make functional chimeric αα synthases. Notably, both cyclization fidelity and catalytic efficiency are altered in all chimeric synthases. Twelve newly formed and uncharacterized C 20 diterpene products and three C 25 sesterterpene products are generated by these chimeras. Thus, engineered αβγ and αα terpenoid cyclases promise to generate chemodiversity in the greater family of terpenoid natural products.

  18. 5-Fluoroindole Resistance Identifies Tryptophan Synthase Beta Subunit Mutants in Arabidopsis Thaliana

    PubMed Central

    Barczak, A. J.; Zhao, J.; Pruitt, K. D.; Last, R. L.

    1995-01-01

    A study of the biochemical genetics of the Arabidopsis thaliana tryptophan synthase beta subunit was initiated by characterization of mutants resistant to the inhibitor 5-fluoroindole. Thirteen recessive mutations were recovered that are allelic to trp2-1, a mutation in the more highly expressed of duplicate tryptophan synthase beta subunit genes (TSB1). Ten of these mutations (trp2-2 through trp2-11) cause a tryptophan requirement (auxotrophs), whereas three (trp2-100 through trp2-102) remain tryptophan prototrophs. The mutations cause a variety of changes in tryptophan synthase beta expression. For example, two mutations (trp2-5 and trp2-8) cause dramatically reduced accumulation of TSB mRNA and immunologically detectable protein, whereas trp2-10 is associated with increased mRNA and protein. A correlation exists between the quantity of mutant beta and wild-type alpha subunit levels in the trp2 mutant plants, suggesting that the synthesis of these proteins is coordinated or that the quantity or structure of the beta subunit influences the stability of the alpha protein. The level of immunologically detectable anthranilate synthase alpha subunit protein is increased in the trp2 mutants, suggesting the possibility of regulation of anthranilate synthase levels in response to tryptophan limitation. PMID:7635295

  19. Resistance Phenotypes Mediated by Aminoacyl-Phosphatidylglycerol Synthases

    PubMed Central

    Arendt, Wiebke; Hebecker, Stefanie; Jäger, Sonja; Nimtz, Manfred

    2012-01-01

    The specific aminoacylation of the phospholipid phosphatidylglycerol (PG) with alanine or with lysine catalyzed by aminoacyl-phosphatidylglycerol synthases (aaPGS) was shown to render various organisms less susceptible to antibacterial agents. This study makes use of Pseudomonas aeruginosa chimeric mutant strains producing lysyl-phosphatidylglycerol (L-PG) instead of the naturally occurring alanyl-phosphatidylglycerol (A-PG) to study the resulting impact on bacterial resistance. Consequences of such artificial phospholipid composition were studied in the presence of an overall of seven antimicrobials (β-lactams, a lipopeptide antibiotic, cationic antimicrobial peptides [CAMPs]) to quantitatively assess the effect of A-PG substitution (with L-PG, L-PG and A-PG, increased A-PG levels). For the employed Gram-negative P. aeruginosa model system, an exclusive charge repulsion mechanism does not explain the attenuated antimicrobial susceptibility due to PG modification. Additionally, the specificity of nine orthologous aaPGS enzymes was experimentally determined. The newly characterized protein sequences allowed for the establishment of a significant group of A-PG synthase sequences which were bioinformatically compared to the related group of L-PG synthesizing enzymes. The analysis revealed a diverse origin for the evolution of A-PG and L-PG synthases, as the specificity of an individual enzyme is not reflected in terms of a characteristic sequence motif. This finding is relevant for future development of potential aaPGS inhibitors. PMID:22267511

  20. Crystal Structure of Toxoplasma gondii Porphobilinogen Synthase

    PubMed Central

    Jaffe, Eileen K.; Shanmugam, Dhanasekaran; Gardberg, Anna; Dieterich, Shellie; Sankaran, Banumathi; Stewart, Lance J.; Myler, Peter J.; Roos, David S.

    2011-01-01

    Porphobilinogen synthase (PBGS) is essential for heme biosynthesis, but the enzyme of the protozoan parasite Toxoplasma gondii (TgPBGS) differs from that of its human host in several important respects, including subcellular localization, metal ion dependence, and quaternary structural dynamics. We have solved the crystal structure of TgPBGS, which contains an octamer in the crystallographic asymmetric unit. Crystallized in the presence of substrate, each active site contains one molecule of the product porphobilinogen. Unlike prior structures containing a substrate-derived heterocycle directly bound to an active site zinc ion, the product-bound TgPBGS active site contains neither zinc nor magnesium, placing in question the common notion that all PBGS enzymes require an active site metal ion. Unlike human PBGS, the TgPBGS octamer contains magnesium ions at the intersections between pro-octamer dimers, which are presumed to function in allosteric regulation. TgPBGS includes N- and C-terminal regions that differ considerably from previously solved crystal structures. In particular, the C-terminal extension found in all apicomplexan PBGS enzymes forms an intersubunit β-sheet, stabilizing a pro-octamer dimer and preventing formation of hexamers that can form in human PBGS. The TgPBGS structure suggests strategies for the development of parasite-selective PBGS inhibitors. PMID:21383008

  1. Inhibition of ATP Hydrolysis by Thermoalkaliphilic F1Fo-ATP Synthase Is Controlled by the C Terminus of the ɛ Subunit

    PubMed Central

    Keis, Stefanie; Stocker, Achim; Dimroth, Peter; Cook, Gregory M.

    2006-01-01

    The F1Fo-ATP synthases of alkaliphilic bacteria exhibit latent ATPase activity, and for the thermoalkaliphile Bacillus sp. strain TA2.A1, this activity is intrinsic to the F1 moiety. To study the mechanism of ATPase inhibition, we developed a heterologous expression system in Escherichia coli to produce TA2F1 complexes from this thermoalkaliphile. Like the native F1Fo-ATP synthase, the recombinant TA2F1 was blocked in ATP hydrolysis activity, and this activity was stimulated by the detergent lauryldimethylamine oxide. To determine if the C-terminal domain of the ɛ subunit acts as an inhibitor of ATPase activity and if an electrostatic interaction plays a role, a TA2F1 mutant with either a truncated ɛ subunit [i.e., TA2F1(ɛΔC)] or substitution of basic residues in the second α-helix of ɛ with nonpolar alanines [i.e., TA2F1(ɛ6A)] was constructed. Both mutants showed ATP hydrolysis activity at low and high concentrations of ATP. Treatment of the purified F1Fo-ATP synthase and TA2F1(ɛWT) complex with proteases revealed that the ɛ subunit was resistant to proteolytic digestion. In contrast, the ɛ subunit of TA2F1(ɛ6A) was completely degraded by trypsin, indicating that the C-terminal arm was in a conformation where it was no longer protected from proteolytic digestion. In addition, ATPase activity was not further activated by protease treatment when compared to the untreated control, supporting the observation that ɛ was responsible for inhibition of ATPase activity. To study the effect of the alanine substitutions in the ɛ subunit in the entire holoenzyme, we reconstituted recombinant TA2F1 complexes with F1-stripped native membranes of strain TA2.A1. The reconstituted TA2FoF1(ɛWT) was blocked in ATP hydrolysis and exhibited low levels of ATP-driven proton pumping consistent with the F1Fo-ATP synthase in native membranes. Reconstituted TA2FoF1(ɛ6A) exhibited ATPase activity that correlated with increased ATP-driven proton pumping, confirming that the

  2. Aurone synthase is a catechol oxidase with hydroxylase activity and provides insights into the mechanism of plant polyphenol oxidases

    PubMed Central

    Molitor, Christian; Mauracher, Stephan Gerhard

    2016-01-01

    Tyrosinases and catechol oxidases belong to the family of polyphenol oxidases (PPOs). Tyrosinases catalyze the o-hydroxylation and oxidation of phenolic compounds, whereas catechol oxidases were so far defined to lack the hydroxylation activity and catalyze solely the oxidation of o-diphenolic compounds. Aurone synthase from Coreopsis grandiflora (AUS1) is a specialized plant PPO involved in the anabolic pathway of aurones. We present, to our knowledge, the first crystal structures of a latent plant PPO, its mature active and inactive form, caused by a sulfation of a copper binding histidine. Analysis of the latent proenzyme’s interface between the shielding C-terminal domain and the main core provides insights into its activation mechanisms. As AUS1 did not accept common tyrosinase substrates (tyrosine and tyramine), the enzyme is classified as a catechol oxidase. However, AUS1 showed hydroxylase activity toward its natural substrate (isoliquiritigenin), revealing that the hydroxylase activity is not correlated with the acceptance of common tyrosinase substrates. Therefore, we propose that the hydroxylase reaction is a general functionality of PPOs. Molecular dynamics simulations of docked substrate–enzyme complexes were performed, and a key residue was identified that influences the plant PPO’s acceptance or rejection of tyramine. Based on the evidenced hydroxylase activity and the interactions of specific residues with the substrates during the molecular dynamics simulations, a novel catalytic reaction mechanism for plant PPOs is proposed. The presented results strongly suggest that the physiological role of plant catechol oxidases were previously underestimated, as they might hydroxylate their—so far unknown—natural substrates in vivo. PMID:26976571

  3. Identification of new benzamide inhibitor against α-subunit of tryptophan synthase from Mycobacterium tuberculosis through structure-based virtual screening, anti-tuberculosis activity and molecular dynamics simulations.

    PubMed

    Naz, Sadia; Farooq, Umar; Ali, Sajid; Sarwar, Rizwana; Khan, Sara; Abagyan, Ruben

    2018-03-13

    Multi-drug-resistant tuberculosis and extensively drug-resistant tuberculosis has emerged as global health threat, causing millions of deaths worldwide. Identification of new drug candidates for tuberculosis (TB) by targeting novel and less explored protein targets will be invaluable for antituberculosis drug discovery. We performed structure-based virtual screening of eMolecules database against a homology model of relatively unexplored protein target: the α-subunit of tryptophan synthase (α-TRPS) from Mycobacterium tuberculosis essential for bacterial survival. Based on physiochemical properties analysis and molecular docking, the seven candidate compounds were selected and evaluated through whole cell-based activity against the H37Rv strain of M. tuberculosis. A new Benzamide inhibitor against α-subunit of tryptophan synthase (α-TRPS) from M. tuberculosis has been identified causing 100% growth inhibition at 25 μg/ml and visible bactericidal activity at 6 μg/ml. This benzamide inhibitor displayed a good predicted binding score (-48.24 kcal/mol) with the α-TRPS binding pocket and has logP value (2.95) comparable to Rifampicin. Further refinement of docking results and evaluation of inhibitor-protein complex stability were investigated through Molecular dynamic (MD) simulations studies. Following MD simulations, Root mean square deviation, Root mean square fluctuation and secondary structure analysis confirmed that protein did not unfold and ligand stayed inside the active pocket of protein during the explored time scale. This identified benzamide inhibitor against the α-subunit of TRPS from M. tuberculosis could be considered as candidate for drug discovery against TB and will be further evaluated for enzyme-based inhibition in future studies.

  4. An efficient approach for cloning the dNDP-glucose synthase gene from actinomycetes and its application in Streptomyces spectabilis, a spectinomycin producer.

    PubMed

    Hyun, C; Kim, S S; Sohng, J K; Hahn, J; Kim, J; Suh, J

    2000-02-01

    Specifically designed PCR primers were applied to amplify a segment of dTDP-glucose synthase gene from six actinomycete strains. About 300-bp or 580-bp DNA fragments were obtained from all the organisms tested. By DNA sequence analysis, seven amplified fragments showed high homology with dTDP-glucose synthase genes that participate in the biosynthesis of secondary metabolites or in deoxy-sugar moieties in lipopolysaccharides. In addition, we have cloned a 45-kb region of DNA from Streptomyces spectabilis ATCC27741, a spectinomycin producer which contained the dTDP-glucose synthase and dTDP-glucose 4,6-dehydratase genes named spcD and spcE, respectively. The spcE gene was expressed in Escherichia coli and the activity was assayed in cell extracts. The enzyme showed substrate specificity only to dTDP-glucose.

  5. Testis-specific ATP synthase peripheral stalk subunits required for tissue-specific mitochondrial morphogenesis in Drosophila.

    PubMed

    Sawyer, Eric M; Brunner, Elizabeth C; Hwang, Yihharn; Ivey, Lauren E; Brown, Olivia; Bannon, Megan; Akrobetu, Dennis; Sheaffer, Kelsey E; Morgan, Oshauna; Field, Conroy O; Suresh, Nishita; Gordon, M Grace; Gunnell, E Taylor; Regruto, Lindsay A; Wood, Cricket G; Fuller, Margaret T; Hales, Karen G

    2017-03-23

    In Drosophila early post-meiotic spermatids, mitochondria undergo dramatic shaping into the Nebenkern, a spherical body with complex internal structure that contains two interwrapped giant mitochondrial derivatives. The purpose of this study was to elucidate genetic and molecular mechanisms underlying the shaping of this structure. The knotted onions (knon) gene encodes an unconventionally large testis-specific paralog of ATP synthase subunit d and is required for internal structure of the Nebenkern as well as its subsequent disassembly and elongation. Knon localizes to spermatid mitochondria and, when exogenously expressed in flight muscle, alters the ratio of ATP synthase complex dimers to monomers. By RNAi knockdown we uncovered mitochondrial shaping roles for other testis-expressed ATP synthase subunits. We demonstrate the first known instance of a tissue-specific ATP synthase subunit affecting tissue-specific mitochondrial morphogenesis. Since ATP synthase dimerization is known to affect the degree of inner mitochondrial membrane curvature in other systems, the effect of Knon and other testis-specific paralogs of ATP synthase subunits may be to mediate differential membrane curvature within the Nebenkern.

  6. The Crystal Structures of the Open and Catalytically Competent Closed Conformation of Escherichia coli Glycogen Synthase

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sheng, Fang; Jia, Xiaofei; Yep, Alejandra

    2009-07-06

    Escherichia coli glycogen synthase (EcGS, EC 2.4.1.21) is a retaining glycosyltransferase (GT) that transfers glucose from adenosine diphosphate glucose to a glucan chain acceptor with retention of configuration at the anomeric carbon. EcGS belongs to the GT-B structural superfamily. Here we report several EcGS x-ray structures that together shed considerable light on the structure and function of these enzymes. The structure of the wild-type enzyme bound to ADP and glucose revealed a 15.2 degrees overall domain-domain closure and provided for the first time the structure of the catalytically active, closed conformation of a glycogen synthase. The main chain carbonyl groupmore » of His-161, Arg-300, and Lys-305 are suggested by the structure to act as critical catalytic residues in the transglycosylation. Glu-377, previously thought to be catalytic is found on the alpha-face of the glucose and plays an electrostatic role in the active site and as a glucose ring locator. This is also consistent with the structure of the EcGS(E377A)-ADP-HEPPSO complex where the glucose moiety is either absent or disordered in the active site« less

  7. Cruentaren A Binds F1F0 ATP Synthase To Modulate the Hsp90 Protein Folding Machinery

    PubMed Central

    2015-01-01

    The molecular chaperone Hsp90 requires the assistance of immunophilins, co-chaperones, and partner proteins for the conformational maturation of client proteins. Hsp90 inhibition represents a promising anticancer strategy due to the dependence of numerous oncogenic signaling pathways upon Hsp90 function. Historically, small molecules have been designed to inhibit ATPase activity at the Hsp90 N-terminus; however, these molecules also induce the pro-survival heat shock response (HSR). Therefore, inhibitors that exhibit alternative mechanisms of action that do not elicit the HSR are actively sought. Small molecules that disrupt Hsp90-co-chaperone interactions can destabilize the Hsp90 complex without induction of the HSR, which leads to inhibition of cell proliferation. In this article, selective inhibition of F1F0 ATP synthase by cruentaren A was shown to disrupt the Hsp90-F1F0 ATP synthase interaction and result in client protein degradation without induction of the HSR. PMID:24450340

  8. Torque generation mechanism of ATP synthase

    NASA Astrophysics Data System (ADS)

    Miller, John; Maric, Sladjana; Scoppa, M.; Cheung, M.

    2010-03-01

    ATP synthase is a rotary motor that produces adenosine triphosphate (ATP), the chemical currency of life. Our proposed electric field driven torque (EFT) model of FoF1-ATP synthase describes how torque, which scales with the number of c-ring proton binding sites, is generated by the proton motive force (pmf) across the mitochondrial inner membrane. When Fo is coupled to F1, the model predicts a critical pmf to drive ATP production. In order to fully understand how the electric field resulting from the pmf drives the c-ring to rotate, it is important to examine the charge distributions in the protonated c-ring and a-subunit containing the proton channels. Our calculations use a self-consistent field approach based on a refinement of reported structural data. The results reveal changes in pKa for key residues on the a-subunit and c-ring, as well as titration curves and protonation state energy diagrams. Health implications will be briefly discussed.

  9. Mitochondrial β-Cyanoalanine Synthase Is Essential for Root Hair Formation in Arabidopsis thaliana[W

    PubMed Central

    García, Irene; Castellano, José María; Vioque, Blanca; Solano, Roberto; Gotor, Cecilia; Romero, Luis C.

    2010-01-01

    Cyanide is stoichiometrically produced as a coproduct of the ethylene biosynthesis pathway and is detoxified by β-cyanoalanine synthase enzymes. The molecular and phenotypical analysis of T-DNA insertion mutants of the mitochondrial β-cyanoalanine synthase CYS-C1 suggests that discrete accumulation of cyanide is not toxic for the plant and does not alter mitochondrial respiration rates but does act as a strong inhibitor of root hair development. The cys-c1 null allele is defective in root hair formation and accumulates cyanide in root tissues. The root hair defect is phenocopied in wild-type plants by the exogenous addition of cyanide to the growth medium and is reversed by the addition of hydroxocobalamin or by genetic complementation with the CYS-C1 gene. Hydroxocobalamin not only recovers the root phenotype of the mutant but also the formation of reactive oxygen species at the initial step of root hair tip growth. Transcriptional profiling of the cys-c1 mutant reveals that cyanide accumulation acts as a repressive signal for several genes encoding enzymes involved in cell wall rebuilding and the formation of the root hair tip as well as genes involved in ethylene signaling and metabolism. Our results demonstrate that mitochondrial β-cyanoalanine synthase activity is essential to maintain a low level of cyanide for proper root hair development. PMID:20935247

  10. The role of glycogen synthase kinase 3 beta in brain injury induced by myocardial ischemia/reperfusion injury in a rat model of diabetes mellitus.

    PubMed

    Zhao, Bo; Gao, Wen-Wei; Liu, Ya-Jing; Jiang, Meng; Liu, Lian; Yuan, Quan; Hou, Jia-Bao; Xia, Zhong-Yuan

    2017-10-01

    Myocardial ischemia/reperfusion injury can lead to severe brain injury. Glycogen synthase kinase 3 beta is known to be involved in myo-cardial ischemia/reperfusion injury and diabetes mellitus. However, the precise role of glycogen synthase kinase 3 beta in myocardial ischemia/reperfusion injury-induced brain injury is unclear. In this study, we observed the effects of glycogen synthase kinase 3 beta on brain injury induced by myocardial ischemia/reperfusion injury in diabetic rats. Rat models of diabetes mellitus were generated via intraperitoneal injection of streptozotocin. Models of myocardial ischemia/reperfusion injury were generated by occluding the anterior descending branch of the left coronary artery. Post-conditioning comprised three cycles of ischemia/reperfusion. Immunohistochemical staining and western blot assays demonstrated that after 48 hours of reperfusion, the structure of the brain was seriously damaged in the experimental rats compared with normal controls. Expression of Bax, interleukin-6, interleukin-8, terminal deoxynucleotidyl transferase dUTP nick end labeling, and cleaved caspase-3 in the brain was significantly increased, while expression of Bcl-2, interleukin-10, and phospho-glycogen synthase kinase 3 beta was decreased. Diabetes mellitus can aggravate inflammatory reactions and apoptosis. Ischemic post-conditioning with glycogen synthase kinase 3 beta inhibitor lithium chloride can effectively reverse these changes. Our results showed that myocardial ischemic post-conditioning attenuated myocardial ischemia/reperfusion injury-induced brain injury by activating glyco-gen synthase kinase 3 beta. According to these results, glycogen synthase kinase 3 beta appears to be an important factor in brain injury induced by myocardial ischemia/reperfusion injury.

  11. Genetics Home Reference: GM3 synthase deficiency

    MedlinePlus

    ... GM3 synthase deficiency is characterized by recurrent seizures (epilepsy) and problems with brain development. Within the first ... Testing (1 link) Genetic Testing Registry: Amish infantile epilepsy syndrome Other Diagnosis and Management Resources (2 links) ...

  12. Detection of the enzymatically-active polyhydroxyalkanoate synthase subunit gene, phaC, in cyanobacteria via colony PCR.

    PubMed

    Lane, Courtney E; Benton, Michael G

    2015-12-01

    A colony PCR-based assay was developed to rapidly determine if a cyanobacterium of interest contains the requisite genetic material, the PHA synthase PhaC subunit, to produce polyhydroxyalkanoates (PHAs). The test is both high throughput and robust, owing to an extensive sequence analysis of cyanobacteria PHA synthases. The assay uses a single detection primer set and a single reaction condition across multiple cyanobacteria strains to produce an easily detectable positive result - amplification via PCR as evidenced by a band in electrophoresis. In order to demonstrate the potential of the presence of phaC as an indicator of a cyanobacteria's PHA accumulation capabilities, the ability to produce PHA was assessed for five cyanobacteria with a traditional in vivo PHA granule staining using an oxazine dye. The confirmed in vivo staining results were then compared to the PCR-based assay results and found to be in agreement. The colony PCR assay was capable of successfully detecting the phaC gene in all six of the diverse cyanobacteria tested which possessed the gene, while exhibiting no undesired product formation across the nine total cyanobacteria strains tested. The colony PCR quick prep provides sufficient usable DNA template such that this assay could be readily expanded to assess multiple genes of interest simultaneously. Copyright © 2015 Elsevier Ltd. All rights reserved.

  13. Soluble Starch Synthase III-1 in Amylopectin Metabolism of Banana Fruit: Characterization, Expression, Enzyme Activity, and Functional Analyses

    PubMed Central

    Miao, Hongxia; Sun, Peiguang; Liu, Qing; Jia, Caihong; Liu, Juhua; Hu, Wei; Jin, Zhiqiang; Xu, Biyu

    2017-01-01

    Soluble starch synthase (SS) is one of the key enzymes involved in amylopectin biosynthesis in plants. However, no information is currently available about this gene family in the important fruit crop banana. Herein, we characterized the function of MaSSIII-1 in amylopectin metabolism of banana fruit and described the putative role of the other MaSS family members. Firstly, starch granules, starch and amylopectin content were found to increase during banana fruit development, but decline during storage. The SS activity started to increase later than amylopectin and starch content. Secondly, four putative SS genes were cloned and characterized from banana fruit. Among them, MaSSIII-1 showed the highest expression in banana pulp during fruit development at transcriptional levels. Further Western blot analysis suggested that the protein was gradually increased during banana fruit development, but drastically reduced during storage. This expression pattern was highly consistent with changes in starch granules, amylopectin content, and SS activity at the late phase of banana fruit development. Lastly, overexpression of MaSSIII-1 in tomato plants distinctly changed the morphology of starch granules and significantly increased the total starch accumulation, amylopectin content, and SS activity at mature-green stage in comparison to wild-type. The findings demonstrated that MaSSIII-1 is a key gene expressed in banana fruit and responsible for the active amylopectin biosynthesis, this is the first report in a fresh fruit species. Such a finding may enable the development of molecular markers for banana breeding and genetic improvement of nutritional value and functional properties of banana fruit. PMID:28424724

  14. Producing dicarboxylic acids using polyketide synthases

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Katz, Leonard; Fortman, Jeffrey L.; Keasling, Jay D.

    The present invention provides for a polyketide synthase (PKS) capable of synthesizing a dicarboxylic acid (diacid). Such diacids include diketide-diacids and triketide-diacids. The invention includes recombinant nucleic acid encoding the PKS, and host cells comprising the PKS. The invention also includes methods for producing the diacids.

  15. By activating Fas/ceramide synthase 6/p38 kinase in lipid rafts, Stichoposide D inhibits growth of leukemia xenografts

    PubMed Central

    Yun, Seong-Hoon; Park, Eun-Seon; Shin, Sung-Won; Ju, Mi-Ha; Han, Jin-Yeong; Jeong, Jin-Sook; Kim, Sung-Hyun; Stonik, Valentin A.; Kwak, Jong-Young; Park, Joo-In

    2015-01-01

    Stichoposide D (STD) is a marine triterpene glycoside isolated from sea cucumbers. We examined the molecular mechanisms underlying the antitumor activity of STD in human leukemia cells. The role of Fas (CD95), ceramide synthase 6 (CerS6) and p38 kinase during STD-induced apoptosis was examined in human leukemia cells. In addition, the antitumor effects of STD in K562 and HL-60 leukemia xenograft models were investigated. We found that STD induces Fas translocation to lipid rafts, and thus mediates cell apoptosis. We also observed the activation of CerS6 and p38 kinase during STD-induced apoptosis. The use of methyl-β-cyclodextrin and nystatin to disrupt lipid rafts prevents the clustering of Fas and the activation of CerS6 and p38 kinase, and also inhibits STD-induced apoptosis. Specific inhibition by Fas, CerS6, and p38 kinase siRNA transfection partially blocked STD-induced apoptosis. In addition, STD has antitumor activity through the activation of CerS6 and p38 kinase without displaying any toxicity in HL-60 and K562 xenograft models. We observed that the anti-tumor effect of STD is partially prevented in CerS6 shRNA-silenced xenograft models. We first report that Fas/CerS6/p38 kinase activation in lipid rafts by STD is involved in its anti-leukemic activity. We also established that STD is able to enhance the chemosensitivity of K562 cells to etoposide or Ara-C. These data suggest that STD may be used alone or in combination with other chemotherapeutic agents to treat leukemia. PMID:26318294

  16. Medicinal Chemistry of ATP Synthase: A Potential Drug Target of Dietary Polyphenols and Amphibian Antimicrobial Peptides

    PubMed Central

    Ahmad, Zulfiqar; Laughlin, Thomas F.

    2015-01-01

    In this review we discuss the inhibitory effects of dietary polyphenols and amphibian antimicrobial/antitumor peptides on ATP synthase. In the beginning general structural features highlighting catalytic and motor functions of ATP synthase will be described. Some details on the presence of ATP synthase on the surface of several animal cell types, where it is associated with multiple cellular processes making it an interesting drug target with respect to dietary polyphenols and amphibian antimicrobial peptides will also be reviewed. ATP synthase is known to have distinct polyphenol and peptide binding sites at the interface of α/β subunits. Molecular interaction of polyphenols and peptides with ATP synthase at their respective binding sites will be discussed. Binding and inhibition of other proteins or enzymes will also be covered so as to understand the therapeutic roles of both types of molecules. Lastly, the effects of polyphenols and peptides on the inhibition of Escherichia coli cell growth through their action on ATP synthase will also be presented. PMID:20586714

  17. Functional analysis of (4 S)-limonene synthase mutants reveals determinants of catalytic outcome in a model monoterpene synthase

    DOE PAGES

    Srividya, Narayanan; Davis, Edward M.; Croteau, Rodney B.; ...

    2015-03-02

    We used crystal structural data for (4S)-limonene synthase [(4S)-LS] of spearmint (Mentha spicata L.) to infer which amino acid residues are in close proximity to the substrate and carbocation intermediates of the enzymatic reaction. Alanine-scanning mutagenesis of 48 amino acids combined with enzyme fidelity analysis [percentage of (-)-limonene produced] indicated which residues are most likely to constitute the active site. Furthermore, the mutation of residues W324 and H579 caused a significant drop in enzyme activity and formation of products (myrcene, linalool, and terpineol) characteristic of a premature termination of the reaction. A double mutant (W324A/H579A) had no detectable enzyme activity,more » indicating that either substrate binding or the terminating reaction was impaired. Exchanges to other aromatic residues (W324H, W324F, W324Y, H579F, H579Y, and H579W) resulted in enzyme catalysts with significantly reduced activity. Sequence comparisons across the angiosperm lineage provided evidence that W324 is a conserved residue, whereas the position equivalent to H579 is occupied by aromatic residues (H, F, or Y). Our results are consistent with a critical role of W324 and H579 in the stabilization of carbocation intermediates. Finally, the potential of these residues to serve as the catalytic base facilitating the terminal deprotonation reaction is discussed.« less

  18. Engineering modular polyketide synthases for production of biofuels and industrial chemicals.

    PubMed

    Cai, Wenlong; Zhang, Wenjun

    2018-04-01

    Polyketide synthases (PKSs) are one of the most profound biosynthetic factories for producing polyketides with diverse structures and biological activities. These enzymes have been historically studied and engineered to make un-natural polyketides for drug discovery, and have also recently been explored for synthesizing biofuels and industrial chemicals due to their versatility and customizability. Here, we review recent advances in the mechanistic understanding and engineering of modular PKSs for producing polyketide-derived chemicals, and provide perspectives on this relatively new application of PKSs. Copyright © 2017 Elsevier Ltd. All rights reserved.

  19. Functional characterization and expression of GASCL1 and GASCL2, two anther-specific chalcone synthase like enzymes from Gerbera hybrida.

    PubMed

    Kontturi, Juha; Osama, Raisa; Deng, Xianbao; Bashandy, Hany; Albert, Victor A; Teeri, Teemu H

    2017-02-01

    The chalcone synthase superfamily consists of type III polyketidesynthases (PKSs), enzymes responsible for producing plant secondary metabolites with various biological and pharmacological activities. Anther-specific chalcone synthase-like enzymes (ASCLs) represent an ancient group of type III PKSs involved in the biosynthesis of sporopollenin, the main component of the exine layer of moss spores and mature pollen grains of seed plants. In the latter, ASCL proteins are localized in the tapetal cells of the anther where they participate in sporopollenin biosynthesis and exine formation within the locule. It is thought that the enzymes responsible for sporopollenin biosynthesis are highly conserved, and thus far, each angiosperm species with a genome sequenced has possessed two ASCL genes, which in Arabidopsis thaliana are PKSA and PKSB. The Gerbera hybrida (gerbera) PKS protein family consists of three chalcone synthases (GCHS1, GCHS3 and GCHS4) and three 2-pyrone synthases (G2PS1, G2PS2 and G2PS3). In previous studies we have demonstrated the functions of chalcone synthases in flavonoid biosynthesis, and the involvement of 2-pyrone synthases in the biosynthesis of antimicrobial compounds found in gerbera. In this study we expanded the gerbera PKS-family by functionally characterizing two gerbera ASCL proteins. In vitro enzymatic studies using purified recombinant proteins showed that both GASCL1 and GASCL2 were able to use medium and long-chain acyl-CoA starters and perform two to three condensation reactions of malonyl-CoA to produce tri- and tetraketide 2-pyrones, usually referred to as alpha-pyrones in sporopollenin literature. Both GASCL1 and GASCL2 genes were expressed only in floral organs, with most expression observed in anthers. In the anthers, transcripts of both genes showed strict tapetum-specific localization. Copyright © 2016 Elsevier Ltd. All rights reserved.

  20. Geranylgeranyl Diphosphate Synthase Modulates Fetal Lung Branching Morphogenesis Possibly through Controlling K-Ras Prenylation.

    PubMed

    Jia, Wen-Jun; Jiang, Shan; Tang, Qiao-Li; Shen, Di; Xue, Bin; Ning, Wen; Li, Chao-Jun

    2016-06-01

    G proteins play essential roles in regulating fetal lung development, and any defects in their expression or function (eg, activation or posttranslational modification) can lead to lung developmental malformation. Geranylgeranyl diphosphate synthase (GGPPS) can modulate protein prenylation that is required for protein membrane-anchoring and activation. Here, we report that GGPPS regulates fetal lung branching morphogenesis possibly through controlling K-Ras prenylation during fetal lung development. GGPPS was continuously expressed in lung epithelium throughout whole fetal lung development. Specific deletion of geranylgeranyl diphosphate synthase 1 (Ggps1) in lung epithelium during fetal lung development resulted in neonatal respiratory distress syndrome-like disease. The knockout mice died at postnatal day 1 of respiratory failure, and the lungs showed compensatory pneumonectasis, pulmonary atelectasis, and hyaline membranes. Subsequently, we proved that lung malformations in Ggps1-deficient mice resulted from the failure of fetal lung branching morphogenesis. Further investigation revealed Ggps1 deletion blocked K-Ras geranylgeranylation and extracellular signal-related kinase 1 or 2/mitogen-activated protein kinase signaling, which in turn disturbed fibroblast growth factor 10 regulation on fetal lung branching morphogenesis. Collectively, our data suggest that GGPPS is essential for maintaining fetal lung branching morphogenesis, which is possibly through regulating K-Ras prenylation. Copyright © 2016 American Society for Investigative Pathology. Published by Elsevier Inc. All rights reserved.

  1. The Polyketide Components of Waxes and the Cer-cqu Gene Cluster Encoding a Novel Polyketide Synthase, the β-Diketone Synthase, DKS.

    PubMed

    von Wettstein-Knowles, Penny

    2017-07-10

    The primary function of the outermost, lipophilic layer of plant aerial surfaces, called the cuticle, is preventing non-stomatal water loss. Its exterior surface is often decorated with wax crystals, imparting a blue-grey color. Identification of the barley Cer-c , -q and -u genes forming the 101 kb Cer-cqu gene cluster encoding a novel polyketide synthase-the β-diketone synthase (DKS), a lipase/carboxyl transferase, and a P450 hydroxylase, respectively, establishes a new, major pathway for the synthesis of plant waxes. The major product is a β-diketone (14,16-hentriacontane) aliphatic that forms long, thin crystalline tubes. A pathway branch leads to the formation of esterified alkan-2-ols.

  2. Transcriptome profiling of the Australian arid-land plant Eremophila serrulata (A.DC.) Druce (Scrophulariaceae) for the identification of monoterpene synthases.

    PubMed

    Kracht, Octavia Natascha; Ammann, Ann-Christin; Stockmann, Julia; Wibberg, Daniel; Kalinowski, Jörn; Piotrowski, Markus; Kerr, Russell; Brück, Thomas; Kourist, Robert

    2017-04-01

    Plant terpenoids are a large and highly diverse class of metabolites with an important role in the immune defense. They find wide industrial application as active pharmaceutical ingredients, aroma and fragrance compounds. Several Eremophila sp. derived terpenoids have been documented. To elucidate the terpenoid metabolism, the transcriptome of juvenile and mature Eremophila serrulata (A.DC.) Druce (Scrophulariaceae) leaves was sequenced and a transcript library was generated. We report on the first transcriptomic dataset of an Eremophila plant. IlluminaMiSeq sequencing (2 × 300 bp) revealed 7,093,266 paired reads, which could be assembled to 34,505 isogroups. To enable detection of terpene biosynthetic genes, leaves were separately treated with methyl jasmonate, a well-documented inducer of plant secondary metabolites. In total, 21 putative terpene synthase genes were detected in the transcriptome data. Two terpene synthase isoenzymatic genes, termed ES01 and ES02, were successfully expressed in E. coli. The resulting proteins catalyzed the conversion of geranyl pyrophosphate, the universal substrate of monoterpene synthases to myrcene and Z-(b)-ocimene, respectively. The transcriptomic data and the discovery of the first terpene synthases from Eremophila serrulata are the initial step for the understanding of the terpene metabolism in this medicinally important plant genus. Copyright © 2017 Elsevier Ltd. All rights reserved.

  3. Rice terpene synthase 24 (OsTPS24) encodes a jasmonate-responsive monoterpene synthase that produces an antibacterial γ-terpinene against rice pathogen.

    PubMed

    Yoshitomi, Kayo; Taniguchi, Shiduku; Tanaka, Keiichiro; Uji, Yuya; Akimitsu, Kazuya; Gomi, Kenji

    2016-02-01

    Rice is one of the most important crops worldwide and is widely used as a model plant for molecular studies of monocotyledonous species. The plant hormone jasmonic acid (JA) is involved in rice-pathogen interactions. In addition, volatile compounds, including terpenes, whose production is induced by JA, are known to be involved in the rice defense system. In this study, we analyzed the JA-induced terpene synthase OsTPS24 in rice. We found that OsTPS24 was localized in chloroplasts and produced a monoterpene, γ-terpinene. The amount of γ-terpinene increased after JA treatment. γ-Terpinene had significant antibacterial activity against Xanthomonas oryzae pv. oryzae (Xoo); however, it did not show significant antifungal activity against Magnaporthe oryzae. The antibacterial activity of the γ-terpinene against Xoo was caused by damage to bacterial cell membranes. These results suggest that γ-terpinene plays an important role in JA-induced resistance against Xoo, and that it functions as an antibacterial compound in rice. Copyright © 2015 Elsevier GmbH. All rights reserved.

  4. Cloning of a cDNA encoding 1-aminocyclopropane-1-carboxylate synthase and expression of its mRNA in ripening apple fruit.

    PubMed

    Dong, J G; Kim, W T; Yip, W K; Thompson, G A; Li, L; Bennett, A B; Yang, S F

    1991-08-01

    1-Aminocyclopropane-1-carboxylate (ACC) synthase (EC 4.4.1.14) purified from apple (Malus sylvestris Mill.) fruit was subjected to trypsin digestion. Following separation by reversed-phase high-pressure liquid chromatography, ten tryptic peptides were sequenced. Based on the sequences of three tryptic peptides, three sets of mixed oligonucleotide probes were synthesized and used to screen a plasmid cDNA library prepared from poly(A)(+) RNA of ripe apple fruit. A 1.5-kb (kilobase) cDNA clone which hybridized to all three probes were isolated. The clone contained an open reading frame of 1214 base pairs (bp) encoding a sequence of 404 amino acids. While the polyadenine tail at the 3'-end was intact, it lacked a portion of sequence at the 5'-end. Using the RNA-based polymerase chain reaction, an additional sequence of 148 bp was obtained at the 5'-end. Thus, 1362 bp were sequenced and they encode 454 amino acids. The deduced amino-acid sequence contained peptide sequences corresponding to all ten tryptic fragments, confirming the identity of the cDNA clone. Comparison of the deduced amino-acid sequence between ACC synthase from apple fruit and those from tomato (Lycopersicon esculentum Mill.) and winter squash (Cucurbita maxima Duch.) fruits demonstrated the presence of seven highly conserved regions, including the previously identified region for the active site. The size of the translation product of ACC-synthase mRNA was similar to that of the mature protein on sodium dodecyl sulfate-polyacrylamide gel electrophoresis (SDS-PAGE), indicating that apple ACC-synthase undergoes only minor, if any, post-translational proteolytic processing. Analysis of ACC-synthase mRNA by in-vitro translation-immunoprecipitation, and by Northern blotting indicates that the ACC-synthase mRNA was undetectable in unripe fruit, but was accumulated massively during the ripening proccess. These data demonstrate that the expression of the ACC-synthase gene is developmentally regulated.

  5. Cyanide detoxification in an insect herbivore: Molecular identification of β-cyanoalanine synthases from Pieris rapae.

    PubMed

    van Ohlen, Maike; Herfurth, Anna-Maria; Kerbstadt, Henrike; Wittstock, Ute

    2016-03-01

    Cyanogenic compounds occur widely in the plant kingdom. Therefore, many herbivores are adapted to the presence of these compounds in their diet by either avoiding cyanide release or by efficient cyanide detoxification mechanisms. The mechanisms of adaptation are not fully understood. Larvae of Pieris rapae (Lepidoptera: Pieridae) are specialist herbivores on glucosinolate-containing plants. They are exposed to cyanide during metabolism of phenylacetonitrile, a product of benzylglucosinolate breakdown catalyzed by plant myrosinases and larval nitrile-specifier protein (NSP) in the gut. Cyanide is metabolized to β-cyanoalanine and thiocyanate in the larvae. Here, we demonstrate that larvae of P. rapae possess β-cyanoalanine activity in their gut. We have identified three gut-expressed cDNAs designated PrBSAS1-PrBSAS3 which encode proteins with similarity to β-substituted alanine synthases (BSAS). Characterization of recombinant PrBSAS1-PrBSAS3 shows that they possess β-cyanoalanine activity. In phylogenetic trees, PrBSAS1-PrBSAS3, the first characterized insect BSAS, group together with a characterized mite β-cyanoalanine synthase and bacterial enzymes indicating a similar evolutionary history. Copyright © 2015 The Authors. Published by Elsevier Ltd.. All rights reserved.

  6. Protein-Protein Interactions, Not Substrate Recognition, Dominate the Turnover of Chimeric Assembly Line Polyketide Synthases*

    PubMed Central

    Klaus, Maja; Ostrowski, Matthew P.; Austerjost, Jonas; Robbins, Thomas; Lowry, Brian; Cane, David E.; Khosla, Chaitan

    2016-01-01

    The potential for recombining intact polyketide synthase (PKS) modules has been extensively explored. Both enzyme-substrate and protein-protein interactions influence chimeric PKS activity, but their relative contributions are unclear. We now address this issue by studying a library of 11 bimodular and 8 trimodular chimeric PKSs harboring modules from the erythromycin, rifamycin, and rapamycin synthases. Although many chimeras yielded detectable products, nearly all had specific activities below 10% of the reference natural PKSs. Analysis of selected bimodular chimeras, each with the same upstream module, revealed that turnover correlated with the efficiency of intermodular chain translocation. Mutation of the acyl carrier protein (ACP) domain of the upstream module in one chimera at a residue predicted to influence ketosynthase-ACP recognition led to improved turnover. In contrast, replacement of the ketoreductase domain of the upstream module by a paralog that produced the enantiomeric ACP-bound diketide caused no changes in processing rates for each of six heterologous downstream modules compared with those of the native diketide. Taken together, these results demonstrate that protein-protein interactions play a larger role than enzyme-substrate recognition in the evolution or design of catalytically efficient chimeric PKSs. PMID:27246853

  7. Potential for quantifying expression of the Geobacteraceae citrate synthase gene to assess the activity of Geobacteraceae in the subsurface and on current-harvesting electrodes

    USGS Publications Warehouse

    Holmes, Dawn E.; Nevin, Kelly P.; O'Neil, Regina A.; Ward, Joy E.; Adams, Lorrie A.; Woodard, Trevor L.; Vrionis, Helen A.; Lovely, Derek R.

    2005-01-01

    The Geobacteraceae citrate synthase is phylogenetically distinct from those of other prokaryotes and is a key enzyme in the central metabolism of Geobacteraceae. Therefore, the potential for using levels of citrate synthase mRNA to estimate rates of Geobacter metabolism was evaluated in pure culture studies and in four different Geobacteraceae-dominated environments. Quantitative reverse transcription-PCR studies with mRNA extracted from cultures of Geobacter sulfurreducens grown in chemostats with Fe(III) as the electron acceptor or in batch with electrodes as the electron acceptor indicated that transcript levels of the citrate synthase gene, gltA, increased with increased rates of growth/Fe(III) reduction or current production, whereas the expression of the constitutively expressed housekeeping genes recA, rpoD, and proC remained relatively constant. Analysis of mRNA extracted from groundwater collected from a U(VI)-contaminated site undergoing in situ uranium bioremediation revealed a remarkable correspondence between acetate levels in the groundwater and levels of transcripts of gltA. The expression of gltA was also significantly greater in RNA extracted from groundwater beneath a highway runoff recharge pool that was exposed to calcium magnesium acetate in June, when acetate concentrations were high, than in October, when the levels had significantly decreased. It was also possible to detect gltA transcripts on current-harvesting anodes deployed in freshwater sediments. These results suggest that it is possible to monitor the in situ metabolic rate of Geobacteraceae by tracking the expression of the citrate synthase gene.

  8. Corn silk induces nitric oxide synthase in murine macrophages.

    PubMed

    Kim, Kyung A; Choi, Sang Kyu; Choi, Hye Seon

    2004-12-31

    Corn silk has been purified as an anticoagulant previously and the active component is a polysaccharide with a molecular mass of 135 kDa. It activates murine macrophages to induce nitric oxide synthase (NOS) and generate substantial amounts of NO in time and dose-dependent manners. It was detectable first at 15 h after stimulation by corn silk, peaked at 24 h, and undetectable by 48 h. Induction of NOS is inhibited by pyrolidine dithiocarbamate (PDTC) and genistein, an inhibitor of nuclear factor kappa B (NF-kappaB) and tyrosine kinase, respectively, indicating that iNOS stimulated by corn silk is associated with tyrosine kinase and NF-kappaB signaling pathways. IkappaB-alpha degradation was detectible at 10 min, and the level was restored at 120 min after treatment of corn silk. Corn silk induced nuclear translocation of NF-kappaB by phosphorylation and degradation of IkappaB-alpha.

  9. Phosphorylation Regulates myo-Inositol-3-phosphate Synthase

    PubMed Central

    Deranieh, Rania M.; He, Quan; Caruso, Joseph A.; Greenberg, Miriam L.

    2013-01-01

    myo-Inositol-3-phosphate synthase (MIPS) plays a crucial role in inositol homeostasis. Transcription of the coding gene INO1 is highly regulated. However, regulation of the enzyme is not well defined. We previously showed that MIPS is indirectly inhibited by valproate, suggesting that the enzyme is post-translationally regulated. Using 32Pi labeling and phosphoamino acid analysis, we show that yeast MIPS is a phosphoprotein. Mass spectrometry analysis identified five phosphosites, three of which are conserved in the human MIPS. Analysis of phosphorylation-deficient and phosphomimetic site mutants indicated that the three conserved sites in yeast (Ser-184, Ser-296, and Ser-374) and humans (Ser-177, Ser-279, and Ser-357) affect MIPS activity. Both S296A and S296D yeast mutants and S177A and S177D human mutants exhibited decreased enzymatic activity, suggesting that a serine residue is critical at that location. The phosphomimetic mutations S184D (human S279D) and S374D (human S357D) but not the phosphodeficient mutations decreased activity, suggesting that phosphorylation of these two sites is inhibitory. The double mutation S184A/S374A caused an increase in MIPS activity, conferred a growth advantage, and partially rescued sensitivity to valproate. Our findings identify a novel mechanism of regulation of inositol synthesis by phosphorylation of MIPS. PMID:23902760

  10. Lack of Cross-Resistance of Imazaquin-Resistant Xanthium strumarium Acetolactate Synthase to Flumetsulam and Chlorimuron.

    PubMed

    Schmitzer, P. R.; Eilers, R. J.; Cseke, C.

    1993-09-01

    Acetolactate synthase (ALS) was isolated from a field population of cocklebur (Xanthium strumarium) that developed resistance to the herbicide Scepter following three consecutive years of application. The active ingredient of Scepter, imazaquin, gave an inhibitor concentration required to produce 50% inhibition of the enzyme activity that was more than 300 times greater for the resistant enzyme than for the wild-type cocklebur ALS. Tests with flumetsulam and chlorimuron show that the resistant ALS was not cross-resistant to these two other classes of ALS inhibitors.

  11. Lack of Cross-Resistance of Imazaquin-Resistant Xanthium strumarium Acetolactate Synthase to Flumetsulam and Chlorimuron.

    PubMed Central

    Schmitzer, P. R.; Eilers, R. J.; Cseke, C.

    1993-01-01

    Acetolactate synthase (ALS) was isolated from a field population of cocklebur (Xanthium strumarium) that developed resistance to the herbicide Scepter following three consecutive years of application. The active ingredient of Scepter, imazaquin, gave an inhibitor concentration required to produce 50% inhibition of the enzyme activity that was more than 300 times greater for the resistant enzyme than for the wild-type cocklebur ALS. Tests with flumetsulam and chlorimuron show that the resistant ALS was not cross-resistant to these two other classes of ALS inhibitors. PMID:12231935

  12. Inhibition of Glycogen Synthase Kinase-3ß Enhances Cognitive Recovery after Stroke: The Role of TAK1

    ERIC Educational Resources Information Center

    Venna, Venugopal Reddy; Benashski, Sharon E.; Chauhan, Anjali; McCullough, Louise D.

    2015-01-01

    Memory deficits are common among stroke survivors. Identifying neuroprotective agents that can prevent memory impairment or improve memory recovery is a vital area of research. Glycogen synthase kinase-3ß (GSK-3ß) is involved in several essential intracellular signaling pathways. Unlike many other kinases, GSK-3ß is active only when…

  13. Identification of the 11-cis-specific retinyl-ester synthase in retinal Müller cells as multifunctional O-acyltransferase (MFAT)

    PubMed Central

    Kaylor, Joanna J.; Cook, Jeremy D.; Makshanoff, Jacob; Bischoff, Nicholas; Yong, Jennifer; Travis, Gabriel H.

    2014-01-01

    Absorption of a photon by a rhodopsin or cone-opsin pigment isomerizes its 11-cis-retinaldehyde (11-cis-RAL) chromophore to all-trans-retinaldehyde (all-trans-RAL), which dissociates after a brief period of activation. Light sensitivity is restored to the resulting apo-opsin when it recombines with another 11-cis-RAL. Conversion of all-trans-RAL to 11-cis-RAL is carried out by an enzyme pathway called the visual cycle in cells of the retinal pigment epithelium. A second visual cycle is present in Müller cells of the retina. The retinol isomerase for this noncanonical pathway is dihydroceramide desaturase (DES1), which catalyzes equilibrium isomerization of retinol. Because 11-cis-retinol (11-cis-ROL) constitutes only a small fraction of total retinols in an equilibrium mixture, a subsequent step involving selective removal of 11-cis-ROL is required to drive synthesis of 11-cis-retinoids for production of visual chromophore. Selective esterification of 11-cis-ROL is one possibility. Crude homogenates of chicken retinas rapidly convert all-trans-ROL to 11-cis-retinyl esters (11-cis-REs) with minimal formation of other retinyl-ester isomers. This enzymatic activity implies the existence of an 11-cis-specific retinyl-ester synthase in Müller cells. Here, we evaluated multifunctional O-acyltransferase (MFAT) as a candidate for this 11-cis-RE-synthase. MFAT exhibited much higher catalytic efficiency as a synthase of 11-cis-REs versus other retinyl-ester isomers. Further, we show that MFAT is expressed in Müller cells. Finally, homogenates of cells coexpressing DES1 and MFAT catalyzed the conversion of all-trans-ROL to 11-cis-RP, similar to what we observed with chicken-retina homogenates. MFAT is therefore an excellent candidate for the retinyl-ester synthase that cooperates with DES1 to drive synthesis of 11-cis-retinoids by mass action. PMID:24799687

  14. The Structure of Sucrose Synthase-1 from Arabidopsis thaliana and Its Functional Implications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zheng, Yi; Anderson, Spencer; Zhang, Yanfeng

    2014-10-02

    Sucrose transport is the central system for the allocation of carbon resources in vascular plants. During growth and development, plants control carbon distribution by coordinating sites of sucrose synthesis and cleavage in different plant organs and different cellular locations. Sucrose synthase, which reversibly catalyzes sucrose synthesis and cleavage, provides a direct and reversible means to regulate sucrose flux. Depending on the metabolic environment, sucrose synthase alters its cellular location to participate in cellulose, callose, and starch biosynthesis through its interactions with membranes, organelles, and cytoskeletal actin. The x-ray crystal structure of sucrose synthase isoform 1 from Arabidopsis thaliana (AtSus1) hasmore » been determined as a complex with UDP-glucose and as a complex with UDP and fructose, at 2.8- and 2.85-{angstrom} resolutions, respectively. The AtSus1 structure provides insights into sucrose catalysis and cleavage, as well as the regulation of sucrose synthase and its interactions with cellular targets.« less

  15. Regulation of phospholipid synthesis in phosphatidylserine synthase-deficient (chol) mutants of Saccharomyces cerevisiae

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Letts, V.A.; Henry, S.A.

    1985-08-01

    Saccharomyces cerevisiae mutants, chol, are deficient in the synthesis of the phospholipid phosphatidylserine owing to lowered activity of the membrane-associated enzyme phosphatidylserine synthase. These mutants are auxotrophic for ethanolamine or choline and, in the absence of these supplements, cannot synthesize phosphatidylethanolamine or phosphatidylcholine (PC). The authors exploited these characteristics of the chol mutants to examine the regulation of phospholipid metabolism in S. cerevisiae. Macromolecular synthesis and phospholipid metabolism were examined in chol cells starved for ethanolamine. Coupled to the decline in PC biosynthesis was a simultaneous decrease in the overall rate of phospholipid synthesis. In particular, the rate of synthesismore » of phosphatidylinositol decreased in parallel with the decline in PC biosynthesis. However, under conditions of ethanolamine deprivation in chol cells, the cytoplasmic enzyme inositol-1-phosphate synthase could not be repressed by exogenous inositol, and the endogenous synthesis of the phospholipid precursor inositol appeared to be elevated. The implications of these findings with respect to the coordinated regulation of phospholipid synthesis are discussed.« less

  16. Human molybdopterin synthase gene: identification of a bicistronic transcript with overlapping reading frames.

    PubMed Central

    Stallmeyer, B; Drugeon, G; Reiss, J; Haenni, A L; Mendel, R R

    1999-01-01

    A universal molybdenum-containing cofactor (MoCo) is essential for the activity of all human molybdoenzymes, including sulphite oxidase. The free cofactor is highly unstable, and all organisms share a similar biosynthetic pathway. The involved enzymes exhibit homologies, even between bacteria and humans. We have exploited these homologies to isolate a cDNA for the heterodimeric molybdopterin (MPT)-synthase. This enzyme is necessary for the conversion of an unstable precursor into molybdopterin, the organic moiety of MoCo. The corresponding transcript shows a bicistronic structure, encoding the small and large subunits of the MPT-synthase in two different open reading frames (ORFs) that overlap by 77 nucleotides. In various human tissues, only one size of mRNA coinciding with the bicistronic transcript was detected. In vitro translation and mutagenesis experiments demonstrated that each ORF is translated independently, leading to the synthesis of a 10-kDa protein and a 21-kDa protein for the small and large subunits, respectively, and indicated that the 3'-proximal ORF of the bicistronic transcript is translated by leaky scanning. PMID:10053003

  17. Biophysical Characterization of a Thermoalkaliphilic Molecular Motor with a High Stepping Torque Gives Insight into Evolutionary ATP Synthase Adaptation*

    PubMed Central

    McMillan, Duncan G. G.; Watanabe, Rikiya; Ueno, Hiroshi; Cook, Gregory M.; Noji, Hiroyuki

    2016-01-01

    F1F0 ATP synthases are bidirectional molecular motors that translocate protons across the cell membrane by either synthesizing or hydrolyzing ATP. Alkaliphile ATP synthases are highly adapted, performing oxidative phosphorylation at high pH against an inverted pH gradient (acidin/alkalineout). Unlike mesophilic ATP synthases, alkaliphilic enzymes have tightly regulated ATP hydrolysis activity, which can be relieved in the presence of lauryldimethylamine oxide. Here, we characterized the rotary dynamics of the Caldalkalibacillus thermarum TA2.A1 F1 ATPase (TA2F1) with two forms of single molecule analysis, a magnetic bead duplex and a gold nanoparticle. TA2F1 rotated in a counterclockwise direction in both systems, adhering to Michaelis-Menten kinetics with a maximum rotation rate (Vmax) of 112.4 revolutions/s. TA2F1 displayed 120° unitary steps coupled with ATP hydrolysis. Torque measurements revealed the highest torque (52.4 piconewtons) derived from an F1 molecule using fluctuation theorem. The implications of high torque in terms of extreme environment adaptation are discussed. PMID:27624936

  18. Identification and characterization of two bisabolene synthases from linear glandular trichomes of sunflower (Helianthus annuus L., Asteraceae).

    PubMed

    Aschenbrenner, Anna-Katharina; Kwon, Moonhyuk; Conrad, Jürgen; Ro, Dae-Kyun; Spring, Otmar

    2016-04-01

    Sunflower is known to produce a variety of bisabolene-type sesquiterpenes and accumulates these substances in trichomes of leaves, stems and flowering parts. A bioinformatics approach was used to identify the enzyme responsible for the initial step in the biosynthesis of these compounds from its precursor farnesyl pyrophosphate. Based on sequence similarity with a known bisabolene synthases from Arabidopsis thaliana AtTPS12, candidate genes of Helianthus were searched in EST-database and used to design specific primers. PCR experiments identified two candidates in the RNA pool of linear glandular trichomes of sunflower. Their sequences contained the typical motifs of sesquiterpene synthases and their expression in yeast functionally characterized them as bisabolene synthases. Spectroscopic analysis identified the stereochemistry of the product of both enzymes as (Z)-γ-bisabolene. The origin of the two sunflower bisabolene synthase genes from the transcripts of linear trichomes indicates that they may be involved in the synthesis of sesquiterpenes produced in these trichomes. Comparison of the amino acid sequences of the sunflower bisabolene synthases showed high similarity with sesquiterpene synthases from other Asteracean species and indicated putative evolutionary origin from a β-farnesene synthase. Copyright © 2016 Elsevier Ltd. All rights reserved.

  19. Inhibition of neuronal nitric oxide synthase in ovine model of acute lung injury*

    PubMed Central

    Enkhbaatar, Perenlei; Connelly, Rhykka; Wang, Jianpu; Nakano, Yoshimitsu; Lange, Matthias; Hamahata, Atsumori; Horvath, Eszter; Szabo, Csaba; Jaroch, Stefan; Hölscher, Peter; Hillmann, Margrit; Traber, Lillian D.; Schmalstieg, Frank C.; Herndon, David N.; Traber, Daniel L.

    2013-01-01

    Objective Acute respiratory distress syndrome/acute lung injury is a serious complication of burn patients with concomitant smoke inhalation injury. Nitric oxide has been shown to play a major role in pulmonary dysfunction from thermal damage. In this study, we have tested the hypothesis that inhibition of neuronal nitric oxide synthase could ameliorate the severity of acute lung injury using our well-established ovine model of cutaneous burn and smoke inhalation. Design Prospective, randomized, controlled, experimental animals study. Setting Investigational intensive care unit at university hospital. Subjects Adult female sheep Interventions Female sheep (n = 16) were surgically prepared for the study. Seven days after surgery, all sheep were randomly allocated into three study groups: sham (noninjured, nontreated, n = 6); control (injured, treated with saline, n = 6); and neuronal nitric oxide synthase (injured, treated with specific neuronal nitric oxide synthase inhibitor, ZK 234238 (n = 4). Control and neuronal nitric oxide synthase groups were given a cutaneous burn (40% of total body surface, third degree) and insufflated with cotton smoke (48 breaths, <40°C) under halothane anesthesia. Animals in sham group received fake injury also under halothane anesthesia. After injury or fake injury procedure, all sheep were placed on ventilators and resuscitated with lactated Ringer's solution. Neuronal nitric oxide synthase group was administered with continuous infusion of ZK 234238 started 1 hr postinjury with a dose of 100 μg/kg/hr. Sham and control groups received same amount of saline. Measurements and Main Results Cardiopulmonary hemodynamics monitored during the 24-hr experimental time period was stable in the sham group. Control sheep developed multiple signs of acute lung injury. This pathophysiology included decreased pulmonary gas exchange and lung compliance, increased pulmonary edema, and inflammatory indices, such as interleukin-8. Treatment of

  20. The α-Terpineol to 1,8-Cineole Cyclization Reaction of Tobacco Terpene Synthases1

    PubMed Central

    Piechulla, Birgit; Bartelt, Richard; Brosemann, Anne; Bouwmeester, Harro; Hippauf, Frank

    2016-01-01

    Flowers of Nicotiana species emit a characteristic blend including the cineole cassette monoterpenes. This set of terpenes is synthesized by multiproduct enzymes, with either 1,8-cineole or α-terpineol contributing most to the volatile spectrum, thus referring to cineole or terpineol synthase, respectively. To understand the molecular and structural requirements of the enzymes that favor the biochemical formation of α-terpineol and 1,8-cineole, site-directed mutagenesis, in silico modeling, and semiempiric calculations were performed. Our results indicate the formation of α-terpineol by a nucleophilic attack of water. During this attack, the α-terpinyl cation is stabilized by π-stacking with a tryptophan side chain (tryptophan-253). The hypothesized catalytic mechanism of α-terpineol-to-1,8-cineole conversion is initiated by a catalytic dyad (histidine-502 and glutamate-249), acting as a base, and a threonine (threonine-278) providing the subsequent rearrangement from terpineol to cineol by catalyzing the autoprotonation of (S)-(−)-α-terpineol, which is the favored enantiomer product of the recombinant enzymes. Furthermore, by site-directed mutagenesis, we were able to identify amino acids at positions 147, 148, and 266 that determine the different terpineol-cineole ratios in Nicotiana suaveolens cineole synthase and Nicotiana langsdorffii terpineol synthase. Since amino acid 266 is more than 10 Å away from the active site, an indirect effect of this amino acid exchange on the catalysis is discussed. PMID:27729471