Sample records for gamma scanner tgs

  1. TGS pipeline primed for Argentine growth, CEO says

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Share, J.

    Nowhere in Latin America has the privatization process been more aggressively pursued than in Argentina where President Carlos Menem has successfully turned over the bulk of state companies to the private sector. In the energy sector, that meant the divestiture in 1992 of Gas del Estado, the state-owned integrated gas transportation and distribution company. It was split in two transportation companies: Transportadora de Gas del Sur (TGS) and Transportadora de Gas del Norte (TGN), and eight distribution companies. TGS is the largest transporter of natural gas in Argentina, delivering more than 60 percent of that nation`s total gas consumption withmore » a capacity of 1.9 Bcf/d. This is the second in a series of Pipeline and Gas Journal special reports that discuss the evolving strategies of the natural gas industry as it continues to restructure amid deregulation. The article focuses on TGS, the Argentine pipeline system in which Enron Corp. is a key participant.« less

  2. Using triple gamma coincidences with a pixelated semiconductor Compton-PET scanner: a simulation study

    NASA Astrophysics Data System (ADS)

    Kolstein, M.; Chmeissani, M.

    2016-01-01

    The Voxel Imaging PET (VIP) Pathfinder project presents a novel design using pixelated semiconductor detectors for nuclear medicine applications to achieve the intrinsic image quality limits set by physics. The conceptual design can be extended to a Compton gamma camera. The use of a pixelated CdTe detector with voxel sizes of 1 × 1 × 2 mm3 guarantees optimal energy and spatial resolution. However, the limited time resolution of semiconductor detectors makes it impossible to use Time Of Flight (TOF) with VIP PET. TOF is used in order to improve the signal to noise ratio (SNR) by using only the most probable portion of the Line-Of-Response (LOR) instead of its entire length. To overcome the limitation of CdTe time resolution, we present in this article a simulation study using β+-γ emitting isotopes with a Compton-PET scanner. When the β+ annihilates with an electron it produces two gammas which produce a LOR in the PET scanner, while the additional gamma, when scattered in the scatter detector, provides a Compton cone that intersects with the aforementioned LOR. The intersection indicates, within a few mm of uncertainty along the LOR, the origin of the beta-gamma decay. Hence, one can limit the part of the LOR used by the image reconstruction algorithm.

  3. Improved ferroelectric and pyroelectric parameters in iminodiacetic acid doped TGS crystal

    NASA Astrophysics Data System (ADS)

    Rai, Chitharanjan; Sreenivas, K.; Dharmaprakash, S. M.

    2010-01-01

    Single crystals of Iminodiacetic acid (HN(CH 2COOH) 2) doped Triglycine sulphate (IDATGS) has been grown from aqueous solution at constant temperature by slow evaporation technique. The concentration of the dopant in the TGS solution was 2 mol%. The X-ray diffraction analysis indicates that there is significant change in the lattice parameters compared to pure TGS crystal. The IDATGS crystal has larger transition temperature and observed higher and uniform figure of merit over most part of the ferroelectric phase. These crystals also exhibit higher internal bias field and micro-hardness number compared to pure TGS. Therefore IDATGS may be a potential material for IR detectors.

  4. Fast-neutron/gamma-ray radiography scanner for the detection of contraband in air cargo containers

    NASA Astrophysics Data System (ADS)

    Eberhardt, J.; Liu, Y.; Rainey, S.; Roach, G.; Sowerby, B.; Stevens, R.; Tickner, J.

    2006-05-01

    There is a worldwide need for efficient inspection of cargo containers at airports, seaports and road border crossings. The main objectives are the detection of contraband such as illicit drugs, explosives and weapons. Due to the large volume of cargo passing through Australia's airports every day, it is critical that any scanning system should be capable of working on unpacked or consolidated cargo, taking at most 1-2 minutes per container. CSIRO has developed a fast-neutron/gamma-ray radiography (FNGR) method for the rapid screening of air freight. By combining radiographs obtained using 14 MeV neutrons and 60Co gamma-rays, high resolution images showing both density and material composition are obtained. A near full-scale prototype scanner has been successfully tested in the laboratory. With the support of the Australian Customs Service, a full-scale scanner has recently been installed and commissioned at Brisbane International Airport.

  5. Minimum Detectable Activity for Tomographic Gamma Scanning System

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Venkataraman, Ram; Smith, Susan; Kirkpatrick, J. M.

    2015-01-01

    For any radiation measurement system, it is useful to explore and establish the detection limits and a minimum detectable activity (MDA) for the radionuclides of interest, even if the system is to be used at far higher values. The MDA serves as an important figure of merit, and often a system is optimized and configured so that it can meet the MDA requirements of a measurement campaign. The non-destructive assay (NDA) systems based on gamma ray analysis are no exception and well established conventions, such the Currie method, exist for estimating the detection limits and the MDA. However, the Tomographicmore » Gamma Scanning (TGS) technique poses some challenges for the estimation of detection limits and MDAs. The TGS combines high resolution gamma ray spectrometry (HRGS) with low spatial resolution image reconstruction techniques. In non-imaging gamma ray based NDA techniques measured counts in a full energy peak can be used to estimate the activity of a radionuclide, independently of other counting trials. However, in the case of the TGS each “view” is a full spectral grab (each a counting trial), and each scan consists of 150 spectral grabs in the transmission and emission scans per vertical layer of the item. The set of views in a complete scan are then used to solve for the radionuclide activities on a voxel by voxel basis, over 16 layers of a 10x10 voxel grid. Thus, the raw count data are not independent trials any more, but rather constitute input to a matrix solution for the emission image values at the various locations inside the item volume used in the reconstruction. So, the validity of the methods used to estimate MDA for an imaging technique such as TGS warrant a close scrutiny, because the pair-counting concept of Currie is not directly applicable. One can also raise questions as to whether the TGS, along with other image reconstruction techniques which heavily intertwine data, is a suitable method if one expects to measure samples whose

  6. Optical Properties of TGS Crystal with L-Valine Admixture

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Stadnyk, V. Yo., E-mail: vasylstadnyk@ukr.net; Romanyuk, N. A.; Kiryk, Yu. I.

    2010-11-15

    The thermal expansion and temperature and the spectral dependences of the refractive indices and birefringence of triglycine sulphate (TGS) crystals with a 5% L-valine admixture have been investigated. It is established that the introduction of L-valine weakens the temperature dependence of the refractive indices and the birefringence and thermal expansion of TGS crystals. The parameters of the Sellmeier formula, refractions, and electronic polarizabilities are calculated. The changes observed may be related to the increase in hardness of admixture-containing crystals, the decrease in the spontaneous polarization, the replacement of the refraction components of the valine bond, or the spontaneous electro-optic effect.

  7. Semi-Tomographic Gamma Scanning Technique for Non-Destructive Assay of Radioactive Waste Drums

    NASA Astrophysics Data System (ADS)

    Gu, Weiguo; Rao, Kaiyuan; Wang, Dezhong; Xiong, Jiemei

    2016-12-01

    Segmented gamma scanning (SGS) and tomographic gamma scanning (TGS) are two traditional detection techniques for low and intermediate level radioactive waste drum. This paper proposes one detection method named semi-tomographic gamma scanning (STGS) to avoid the poor detection accuracy of SGS and shorten detection time of TGS. This method and its algorithm synthesize the principles of SGS and TGS. In this method, each segment is divided into annual voxels and tomography is used in the radiation reconstruction. The accuracy of STGS is verified by experiments and simulations simultaneously for the 208 liter standard waste drums which contains three types of nuclides. The cases of point source or multi-point sources, uniform or nonuniform materials are employed for comparison. The results show that STGS exhibits a large improvement in the detection performance, and the reconstruction error and statistical bias are reduced by one quarter to one third or less for most cases if compared with SGS.

  8. Study of the growth and pyroelectric properties of TGS crystals doped with aniline-family dipolar molecules

    NASA Astrophysics Data System (ADS)

    Zhang, Kecong; Song, Jiancheng; Wang, Min; Fang, Changshui; Lu, Mengkai

    1987-04-01

    TGS crystals doped with aniline-family dipolar molecules (aniline, 2-aminobenzoic acid, 3-aminobenzoic acid, 3-aminobenzene-sulphonic acid, 4-aminobenzenesulphonic acid and 4-nitroraniline) have been grown by the slow-cooling solution method. The influence of these dopants on the growth habits, crystal morphology pyroelectric properties, and structure parameters of TGS crystals has been systematically investigated. The effects of the domain structure of the seed crystal on the pyroelectric properties of the doped crystals have been studied. It is found that the spontaneous polarization (P), pyroelectric coefficient (lambda), and internal bias field of the doped crystals are slightly higher than those of the pure TGS, and the larger the dipole moment of the dopant molecule, the higher the P and lambda of the doped TGS crystal.

  9. TGS-TB: Total Genotyping Solution for Mycobacterium tuberculosis Using Short-Read Whole-Genome Sequencing

    PubMed Central

    Sekizuka, Tsuyoshi; Yamashita, Akifumi; Murase, Yoshiro; Iwamoto, Tomotada; Mitarai, Satoshi; Kato, Seiya; Kuroda, Makoto

    2015-01-01

    Whole-genome sequencing (WGS) with next-generation DNA sequencing (NGS) is an increasingly accessible and affordable method for genotyping hundreds of Mycobacterium tuberculosis (Mtb) isolates, leading to more effective epidemiological studies involving single nucleotide variations (SNVs) in core genomic sequences based on molecular evolution. We developed an all-in-one web-based tool for genotyping Mtb, referred to as the Total Genotyping Solution for TB (TGS-TB), to facilitate multiple genotyping platforms using NGS for spoligotyping and the detection of phylogenies with core genomic SNVs, IS6110 insertion sites, and 43 customized loci for variable number tandem repeat (VNTR) through a user-friendly, simple click interface. This methodology is implemented with a KvarQ script to predict MTBC lineages/sublineages and potential antimicrobial resistance. Seven Mtb isolates (JP01 to JP07) in this study showing the same VNTR profile were accurately discriminated through median-joining network analysis using SNVs unique to those isolates. An additional IS6110 insertion was detected in one of those isolates as supportive genetic information in addition to core genomic SNVs. The results of in silico analyses using TGS-TB are consistent with those obtained using conventional molecular genotyping methods, suggesting that NGS short reads could provide multiple genotypes to discriminate multiple strains of Mtb, although longer NGS reads (≥300-mer) will be required for full genotyping on the TGS-TB web site. Most available short reads (~100-mer) can be utilized to discriminate the isolates based on the core genome phylogeny. TGS-TB provides a more accurate and discriminative strain typing for clinical and epidemiological investigations; NGS strain typing offers a total genotyping solution for Mtb outbreak and surveillance. TGS-TB web site: https://gph.niid.go.jp/tgs-tb/. PMID:26565975

  10. A Mobile Automated Tomographic Gamma Scanning System - 13231

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kirkpatrick, J.M.; LeBlanc, P.J.; Nakazawa, D.

    2013-07-01

    Canberra Industries have recently designed and built a new automated Tomographic Gamma Scanning (TGS) system for mobile deployment. The TGS technique combines high-resolution gamma spectroscopy with low spatial resolution 3-dimensional image reconstruction to provide increased accuracy over traditional approaches for the assay of non-uniform source distributions in low-to medium-density, non-heterogeneous matrices. Originally pioneered by R. Estep at Los Alamos National Laboratory (LANL), the TGS method has been further developed and commercialized by Canberra Industries in recent years. The present system advances the state of the art on several fronts: it is designed to be housed in a standard cargo transportmore » container for ease of transport, allowing waste characterization at multiple facilities under the purview of a single operator. Conveyor feed, drum rotator, and detector and collimator positioning mechanisms operated by programmable logic control (PLC) allow automated batch mode operation. The variable geometry settings can accommodate a wide range of waste packaging, including but not limited to standard 220 liter drums, 380 liter overpack drums, and smaller 20 liter cans. A 20 mCi Eu-152 transmission source provides attenuation corrections for drum matrices up to 1 g/cm{sup 3} in TGS mode; the system can be operated in Segmented Gamma Scanning (SGS) mode to measure higher density drums. To support TGS assays at higher densities, the source shield is sufficient to house an alternate Co-60 transmission source of higher activity, up to 250 mCi. An automated shutter and attenuator assembly is provided for operating the system with a dual intensity transmission source. The system's 1500 kg capacity rotator turntable can handle heavy containers such as concrete lined 380 liter overpack drums. Finally, data acquisition utilizes Canberra's Broad Energy Germanium (BEGE) detector and Lynx MCA, with 32 k channels, providing better than 0.1 ke

  11. Enhancement in ferroelectric, pyroelectric and photoluminescence properties in dye doped TGS crystals

    NASA Astrophysics Data System (ADS)

    Sinha, Nidhi; Goel, Neeti; Singh, B. K.; Gupta, M. K.; Kumar, Binay

    2012-06-01

    Pure and dye doped (0.1 and 0.2 mol%) Triglycine Sulfate (TGS) single crystals were grown by slow evaporation technique. A pyramidal coloring pattern, along with XRD and FT-IR studies confirmed the dye doping. Decrease in dielectric constant and increase in Curie temperature (Tc) were observed with increasing doping concentration. Low absorption cut off (231 nm) and high optical transparency (>90%) resulting in large band gap was observed in UV-VIS studies. In addition, strong hyper-luminescent emission bands at 350 and 375 nm were observed in which the relative intensity were found to be reversed as a result of doping. In P-E hysteresis loop studies, a higher curie temperature and an improved and more uniform figure of merit over a large region of the ferroelectric phase were observed. The improved dielectric, optical and ferroelectric/pyroelectric properties make the dye doped TGS crystals better candidate for various opto- and piezo-electronics applications.

  12. STS 51-L Memorial Montage designed by Bill Corey of TGS

    NASA Technical Reports Server (NTRS)

    1986-01-01

    The STS 51-L Memorial Montage designed by Bill Corey of TGS Technology. The montage contains portraits of each of the STS 51-L crewmembers, a view of the Challenger at liftoff, the mission patch and a statement which reads 'They slipped the surly bonds of earth to touch the face of God'. At the end of the statement is a bird flying.

  13. Monitoring Radionuclide Transport and Spatial Distribution with a 1D Gamma-Ray Scanner

    NASA Astrophysics Data System (ADS)

    Dozier, R.; Erdmann, B.; Sams, A.; Barber, K.; DeVol, T. A.; Moysey, S. M.; Powell, B. A.

    2016-12-01

    Understanding radionuclide movement in the environment is important for informing strategies for radioactive waste management and disposal. A 1-dimensional (1D) gamma-ray emission scanning system was developed to investigate radionuclide transport behavior within soils. Two case studies illustrate the use of the system for non-destructively monitoring transport processes within a soil column. The first case study explores the system capabilities for simultaneously detecting technetium-99m (99mTc), iodine-131 (131I), and sodium-22 (22Na) moving through a column (length = 14.1 cm, diameter = 3.8 cm) packed with soil from the Department of Energy's Savannah River Site. A sodium iodide (NaI) detector was placed at 4 cm above the influent and a Bismuth germanate (BGO) detector at about 10 cm above the influent. The NaI detector results show 99mTc, 131I, and 22Na having similar breakthrough curves with the tail of 99mTc being lower than that of 131I and 22Na. NaCl tracer results compliment the gamma-ray emission measurements. These results are promising because we are able to monitor movement of the isotopes in the column in real-time. In the second case study, the 1D gamma scanner was used to quantify radionuclide mobility within a lysimeter (length = 51 cm, diameter = 10 cm). A cementitious waste form containing cobalt-60 (60Co), barium-133 (133Ba), cesium-137 (137Cs), and europium-152 (152Eu), with the amount of each contained in the cement ranging from 3 to 8.5 MBq, was placed at the midpoint of the lysimeter. The lysimeter was then exposed to natural rainfall and environmental conditions and effluent samples were collected and quantified on a quarterly basis. Following 3.3 years of exposure, the radionuclide distribution in the lysimeter was quantified with a 0.64 cm collimated high-purity germanium gamma-ray spectrometer. Diffusion of 137Cs away from the cementitious wasteform was observed. No movement was seen for 133Ba, 60Co, or 152Eu within the detection limits

  14. Novel edge treatment method for improving the transmission reconstruction quality in Tomographic Gamma Scanning.

    PubMed

    Han, Miaomiao; Guo, Zhirong; Liu, Haifeng; Li, Qinghua

    2018-05-01

    Tomographic Gamma Scanning (TGS) is a method used for the nondestructive assay of radioactive wastes. In TGS, the actual irregular edge voxels are regarded as regular cubic voxels in the traditional treatment method. In this study, in order to improve the performance of TGS, a novel edge treatment method is proposed that considers the actual shapes of these voxels. The two different edge voxel treatment methods were compared by computing the pixel-level relative errors and normalized mean square errors (NMSEs) between the reconstructed transmission images and the ideal images. Both methods were coupled with two different interative algorithms comprising Algebraic Reconstruction Technique (ART) with a non-negativity constraint and Maximum Likelihood Expectation Maximization (MLEM). The results demonstrated that the traditional method for edge voxel treatment can introduce significant error and that the real irregular edge voxel treatment method can improve the performance of TGS by obtaining better transmission reconstruction images. With the real irregular edge voxel treatment method, MLEM algorithm and ART algorithm can be comparable when assaying homogenous matrices, but MLEM algorithm is superior to ART algorithm when assaying heterogeneous matrices. Copyright © 2018 Elsevier Ltd. All rights reserved.

  15. Increasing condom use and declining STI prevalence in high-risk MSM and TGs: evaluation of a large-scale prevention program in Tamil Nadu, India.

    PubMed

    Subramanian, Thilakavathi; Ramakrishnan, Lakshmi; Aridoss, Santhakumar; Goswami, Prabuddhagopal; Kanguswami, Boopathi; Shajan, Mathew; Adhikary, Rajat; Purushothaman, Girish Kumar Chethrapilly; Ramamoorthy, Senthil Kumar; Chinnaswamy, Eswaramurthy; Veeramani, Ilaya Bharathy; Paranjape, Ramesh Shivram

    2013-09-17

    This paper presents an evaluation of Avahan, a large scale HIV prevention program that was implemented using peer-mediated strategies, condom distribution and sexually transmitted infection (STI) clinical services among high-risk men who have sex with men (HR-MSM) and male to female transgender persons (TGs) in six high-prevalence state of Tamil Nadu, in southern India. Two rounds of large scale cross-sectional bio-behavioural surveys among HR-MSM and TGs and routine program monitoring data were used to assess changes in program coverage, condom use and prevalence of STIs (including HIV) and their association to program exposure. The Avahan program for HR-MSM and TGs in Tamil Nadu was significantly scaled up and contacts by peer educators reached 77 percent of the estimated denominator by the end of the program's fourth year. Exposure to the program increased between the two rounds of surveys for both HR-MSM (from 66 percent to 90 percent; AOR = 4.6; p < 0.001) and TGs (from 74.5 percent to 83 percent; AOR = 1.82; p < 0.06). There was an increase in consistent condom use by HR-MSM with their regular male partners (from 33 percent to 46 percent; AOR = 1.9; p < 0.01). Last time condom use with paying male partners (up from 81 percent to 94 percent; AOR = 3.6; p < 0.001) also showed an increase. Among TGs, the increase in condom use with casual male partners (18 percent to 52 percent; AOR = 1.8; p < 0.27) was not significant, and last time condom use declined significantly with paying male partners (93 percent to 80 percent; AOR = 0.32; p < 0.015). Syphilis declined significantly among both HR-MSM (14.3 percent to 6.8 percent; AOR = 0.37; p < 0.001) and TGs (16.6 percent to 4.2 percent; AOR = 0.34; p < 0.012), while change in HIV prevalence was not found to be significant for HR-MSM (9.7 percent to 10.9 percent) and TGs (12 percent to 9.8 percent). For both groups, change in condom use with commercial and non-commercial partners was found to be strongly linked

  16. Increasing condom use and declining STI prevalence in high-risk MSM and TGs: evaluation of a large-scale prevention program in Tamil Nadu, India

    PubMed Central

    2013-01-01

    Background This paper presents an evaluation of Avahan, a large scale HIV prevention program that was implemented using peer-mediated strategies, condom distribution and sexually transmitted infection (STI) clinical services among high-risk men who have sex with men (HR-MSM) and male to female transgender persons (TGs) in six high-prevalence state of Tamil Nadu, in southern India. Methods Two rounds of large scale cross-sectional bio-behavioural surveys among HR-MSM and TGs and routine program monitoring data were used to assess changes in program coverage, condom use and prevalence of STIs (including HIV) and their association to program exposure. Results The Avahan program for HR-MSM and TGs in Tamil Nadu was significantly scaled up and contacts by peer educators reached 77 percent of the estimated denominator by the end of the program’s fourth year. Exposure to the program increased between the two rounds of surveys for both HR-MSM (from 66 percent to 90 percent; AOR = 4.6; p < 0.001) and TGs (from 74.5 percent to 83 percent; AOR = 1.82; p < 0.06). There was an increase in consistent condom use by HR-MSM with their regular male partners (from 33 percent to 46 percent; AOR = 1.9; p < 0.01). Last time condom use with paying male partners (up from 81 percent to 94 percent; AOR = 3.6; p < 0.001) also showed an increase. Among TGs, the increase in condom use with casual male partners (18 percent to 52 percent; AOR = 1.8; p < 0.27) was not significant, and last time condom use declined significantly with paying male partners (93 percent to 80 percent; AOR = 0.32; p < 0.015). Syphilis declined significantly among both HR-MSM (14.3 percent to 6.8 percent; AOR = 0.37; p < 0.001) and TGs (16.6 percent to 4.2 percent; AOR = 0.34; p < 0.012), while change in HIV prevalence was not found to be significant for HR-MSM (9.7 percent to 10.9 percent) and TGs (12 percent to 9.8 percent). For both groups, change in

  17. Growth of triglycine sulfate (TGS) crystals by solution technique

    NASA Technical Reports Server (NTRS)

    Lal, R. B.; Kroes, R. L.; Wilcox, W. R.

    1982-01-01

    The growth of crystals from solution is greatly influenced by buoyancy driven convection. In a low-g environment, convection is greatly suppressed and diffusion becomes the predominant mechanism for thermal and mass transport. An experiment to grow TGS crystals by solution technique during the orbital Spacelab III mission has been designed. Crystals are grown by a new and unique technique of extracting heat from the crystal through a sting. The cooling at the sting tip is responsible for the desired supersaturation near the growing crystal. Calculations indicate that the cooled sting technique for solution crystal growth is necessary in low-g to maintain a maximum growth rate of 1 mm/day. Results of groundbased work in support of the flight experiment are discussed.

  18. A SPECT Scanner for Rodent Imaging Based on Small-Area Gamma Cameras

    NASA Astrophysics Data System (ADS)

    Lage, Eduardo; Villena, José L.; Tapias, Gustavo; Martinez, Naira P.; Soto-Montenegro, Maria L.; Abella, Mónica; Sisniega, Alejandro; Pino, Francisco; Ros, Domènec; Pavia, Javier; Desco, Manuel; Vaquero, Juan J.

    2010-10-01

    We developed a cost-effective SPECT scanner prototype (rSPECT) for in vivo imaging of rodents based on small-area gamma cameras. Each detector consists of a position-sensitive photomultiplier tube (PS-PMT) coupled to a 30 x 30 Nal(Tl) scintillator array and electronics attached to the PS-PMT sockets for adapting the detector signals to an in-house developed data acquisition system. The detector components are enclosed in a lead-shielded case with a receptacle to insert the collimators. System performance was assessed using 99mTc for a high-resolution parallel-hole collimator, and for a 0.75-mm pinhole collimator with a 60° aperture angle and a 42-mm collimator length. The energy resolution is about 10.7% of the photopeak energy. The overall system sensitivity is about 3 cps/μCi/detector and planar spatial resolution ranges from 2.4 mm at 1 cm source-to-collimator distance to 4.1 mm at 4.5 cm with parallel-hole collimators. With pinhole collimators planar spatial resolution ranges from 1.2 mm at 1 cm source-to-collimator distance to 2.4 mm at 4.5 cm; sensitivity at these distances ranges from 2.8 to 0.5 cps/μCi/detector. Tomographic hot-rod phantom images are presented together with images of bone, myocardium and brain of living rodents to demonstrate the feasibility of preclinical small-animal studies with the rSPECT.

  19. The effect of impurity on temperature variations in the refractive indices and thickness of TGS crystals

    NASA Astrophysics Data System (ADS)

    Stadnyk, V. Yo.; Andriyevsky, B. V.; Gaba, V. M.; Kogut, Z. A.

    2016-06-01

    Temperature dependences of optical path difference δΔi and the relative changes in thickness δ l i/ l of TGS crystals doped with L-valine are studied. Temperature dependences of the relative changes in refractive indices δ n i/( n-1) are calculated. The anisotropy coefficients of refractive indices An-1(T) and linear expansion Aα(T) are calculated, and a characteristic minimum of these dependences is found near the phase transition temperature.

  20. Development of TGS2611 methane sensor and SHT11 humidity and temperature sensor for measuring greenhouse gas on peatlands in south kalimantan, indonesia

    NASA Astrophysics Data System (ADS)

    Sugriwan, I.; Soesanto, O.

    2017-05-01

    The research was focused on development of data acquisition system to monitor the content of methane, relative humidity and temperature on peatlands in South Kalimantan, Indonesia. Methane is one of greenhouse gases that emitted from peatlands; while humidity and temperature are important parameters of microclimate on peatlands. The content of methane, humidity and temperature are three parameters were monitored digitally, real time, continuously and automatically record by data acquisition systems that interfaced to the personal computer. The hardware of data acquisition system consists of power supply unit, TGS2611 methane gas sensor, SHT11 humidity and temperature sensors, voltage follower, ATMega8535 microcontroller, 16 × 2 LCD character and personal computer. ATMega8535 module is a device to manage all part in measuring instrument. The software which is responsible to take sensor data, calculate characteristic equation and send data to 16 × 2 LCD character are Basic Compiler. To interface between measuring instrument and personal computer is maintained by Delphi 7. The result of data acquisition showed on 16 × 2 LCD characters, PC monitor and database with developed by XAMPP. Methane, humidity, and temperature which release from peatlands are trapped by Closed-Chamber Measurement with dimension 60 × 50 × 40 cm3. TGS2611 methane gas sensor and SHT11 humidity and temperature sensor are calibrated to determine transfer function used to data communication between sensors and microcontroller and integrated into ATMega8535 Microcontroller. Calculation of RS and RL of TGS2611 methane gas sensor refer to data sheet and obtained respectively 1360 ohm and 905 ohm. The characteristic equation of TGS2611 satisfies equation VRL = 0.561 ln n - 2.2641 volt, with n is a various concentrations and VRL in volt. The microcontroller maintained the voltage signal than interfaced it to liquid crystal displays and personal computer (laptop) to display result of the measurement

  1. A feasibility study of ortho-positronium decays measurement with the J-PET scanner based on plastic scintillators

    NASA Astrophysics Data System (ADS)

    Kamińska, D.; Gajos, A.; Czerwiński, E.; Alfs, D.; Bednarski, T.; Białas, P.; Curceanu, C.; Dulski, K.; Głowacz, B.; Gupta-Sharma, N.; Gorgol, M.; Hiesmayr, B. C.; Jasińska, B.; Korcyl, G.; Kowalski, P.; Krzemień, W.; Krawczyk, N.; Kubicz, E.; Mohammed, M.; Niedźwiecki, Sz.; Pawlik-Niedźwiecka, M.; Raczyński, L.; Rudy, Z.; Silarski, M.; Wieczorek, A.; Wiślicki, W.; Zgardzińska, B.; Zieliński, M.; Moskal, P.

    2016-08-01

    We present a study of the application of the Jagiellonian positron emission tomograph (J-PET) for the registration of gamma quanta from decays of ortho-positronium (o-Ps). The J-PET is the first positron emission tomography scanner based on organic scintillators in contrast to all current PET scanners based on inorganic crystals. Monte Carlo simulations show that the J-PET as an axially symmetric and high acceptance scanner can be used as a multi-purpose detector well suited to pursue research including e.g. tests of discrete symmetries in decays of ortho-positronium in addition to the medical imaging. The gamma quanta originating from o-Ps decay interact in the plastic scintillators predominantly via the Compton effect, making the direct measurement of their energy impossible. Nevertheless, it is shown in this paper that the J-PET scanner will enable studies of the { o-Ps }→ 3γ decays with angular and energy resolution equal to σ (θ ) ≈ {0.4°} and σ (E) ≈ 4.1 {keV}, respectively. An order of magnitude shorter decay time of signals from plastic scintillators with respect to the inorganic crystals results not only in better timing properties crucial for the reduction of physical and instrumental background, but also suppresses significantly the pile-ups, thus enabling compensation of the lower efficiency of the plastic scintillators by performing measurements with higher positron source activities.

  2. Toward VIP-PIX: A Low Noise Readout ASIC for Pixelated CdTe Gamma-Ray Detectors for Use in the Next Generation of PET Scanners.

    PubMed

    Macias-Montero, Jose-Gabriel; Sarraj, Maher; Chmeissani, Mokhtar; Puigdengoles, Carles; Lorenzo, Gianluca De; Martínez, Ricardo

    2013-08-01

    VIP-PIX will be a low noise and low power pixel readout electronics with digital output for pixelated Cadmium Telluride (CdTe) detectors. The proposed pixel will be part of a 2D pixel-array detector for various types of nuclear medicine imaging devices such as positron-emission tomography (PET) scanners, Compton gamma cameras, and positron-emission mammography (PEM) scanners. Each pixel will include a SAR ADC that provides the energy deposited with 10-bit resolution. Simultaneously, the self-triggered pixel which will be connected to a global time-to-digital converter (TDC) with 1 ns resolution will provide the event's time stamp. The analog part of the readout chain and the ADC have been fabricated with TSMC 0.25 μ m mixed-signal CMOS technology and characterized with an external test pulse. The power consumption of these parts is 200 μ W from a 2.5 V supply. It offers 4 switchable gains from ±10 mV/fC to ±40 mV/fC and an input charge dynamic range of up to ±70 fC for the minimum gain for both polarities. Based on noise measurements, the expected equivalent noise charge (ENC) is 65 e - RMS at room temperature.

  3. A Central Positron Source to Perform the Timing Alignment of Detectors in a PET Scanner

    NASA Astrophysics Data System (ADS)

    Thompson, C. J.; Camborde, M.-L.; Casey, M. E.

    2005-10-01

    Accurate timing alignment and stability are important to maximize the true counts and minimize the random counts in positron emission tomography. Its importance increases in time-of-flight (TOF) scanners. We propose using a central positron emitting source enclosed in a detector which detects the excess energy of the positron before it annihilates as a timing reference. All crystals can be time-aligned with respect to this central source. We evaluated 10 /spl mu/Ci /sup 22/Na and /sup 68/Ge sources embedded in cylinders of plastic scintillator coupled to a fast PMT. Light flashes produced after the parent isotope emits positrons are detected, and the anode signals from the PMT are the reference time for each positron decay. The time delay before the gamma ray is detected by the scanner's conventional gamma ray detectors is the time offset to be applied to that crystal. Since all detectors are almost the same distance from the central source, TOF errors are minimized. Preliminary results show a mean signal amplitude of >0.5 V from /sup 22/Na at 1000-V PMT bias, a timing FWHM of 850 ps with respect to a small LSO crystal. This suggests it could be useful to align both conventional and TOF PET scanners.

  4. Modification of a medical PET scanner for PEPT studies

    NASA Astrophysics Data System (ADS)

    Sadrmomtaz, Alireza; Parker, D. J.; Byars, L. G.

    2007-04-01

    Over the last 20 years, positron emission tomography (PET) has developed as the most powerful functional imaging modality in medicine. Over the same period the University of Birmingham Positron Imaging Centre has applied PET to study engineering processes and developed the alternative technique of positron emission particle tracking (PEPT) in which a single radioactively labelled tracer particle is tracked by detecting simultaneously the pairs of back-to-back photons arising from positron/electron annihilation. Originally PEPT was performed using a pair of multiwire detectors, and more recently using a pair of digital gamma camera heads. In 2002 the Positron Imaging Centre acquired a medical PET scanner, an ECAT 931/08, previously used at Hammersmith Hospital. This scanner has been rebuilt in a flexible geometry for use in PEPT studies. This paper presents initial results from this system. Fast moving tracer particles can be rapidly and accurately located.

  5. Side scanner for supermarkets: a new scanner design standard

    NASA Astrophysics Data System (ADS)

    Cheng, Charles K.; Cheng, J. K.

    1996-09-01

    High speed UPC bar code has become a standard mode of data capture for supermarkets in the US, Europe, and Japan. The influence of the ergonomics community on the design of the scanner is evident. During the past decade the ergonomic issues of cashier in check-outs has led to occupational hand-wrist cumulative trauma disorders, in most cases causing carpal tunnel syndrome, a permanent hand injury. In this paper, the design of a side scanner to resolve the issues is discussed. The complex optical module and the sensor for aforesaid side scanner is described. The ergonomic advantages offer the old counter mounted vertical scanner has been experimentally proved by the industrial funded study at an independent university.

  6. SU-F-T-578: Characterization of Vidar DosimetryPro Advantage RED Scanner with Application to SBRT and SRS QA

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dumas, M; Wen, N

    Purpose: To use Gafchromic EBT3 film to quantify key dosimetric characteristics of the Vidar DosimetryPro Advantage RED film scanner for use in SBRT/SRS QA, by analyzing scanner uniformity and dose sensitivity. Method: Gafchromic EBT3 film was used in this study. Films were irradiated using 6MV FFF and 10MV FFF beams from a Varian Edge linear accelerator, with setup of 100cm SAD at depth 5 cm. Nine doses were delivered per film, with calibration dose ranges of 1–10 Gy and 3–24 Gy for 6MV FFF, and 3–27 Gy for 10MV FFF. Films were scanned with the long side of the filmmore » parallel to the detector array. Dose calibration curves were fitted to a 3rd degree polynomial. The derivative of a calibration curve was taken to determine the scanner’s sensitivity per dose delivered (OD/Gy). Scanner non-uniformity was calculated in 2 dimensions by taking the mean of standard deviation in each row and column. Absolute dose SRS/SBRT Gamma analyses were performed with passing criteria of 3% and 1mm DTA. For comparison, Gamma analyses were also performed using an Epson Expression 10000 XL. Results: Uniformity for the Vidar scanner was 0.37% +/− 0.03% in the perpendicular to scan direction and 0.67% +/− 0.05% in the parallel to scan direction, with an overall uniformity of 0.52% +/− 0.03%. Epson red channel uniformity was 0.85% +/− 0.05% and 0.88% +/− 0.08% for the green channel. The Vidar average dose sensitivity from 1–10 Gy was 0.112 OD/Gy and 0.061 OD/Gy for 3–24 Gy. SBRT/SRS Gamma pass rates were 97.8 +/− 1.4 for Vidar and 97.5 +/− 1.4 for Epson. Conclusion: The Vidar scanner has 41% less non-uniformity compared to Epson XL10000 green channel. The dose sensitivity is 2–3 time greater for the Vidar scanner compared to the Epson in the SRS/SBRT dose range of 5–24 Gy.« less

  7. Segmented Gamma Scanner for Small Containers of Uranium Processing Waste- 12295

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Morris, K.E.; Smith, S.K.; Gailey, S.

    2012-07-01

    The Segmented Gamma Scanner (SGS) is commonly utilized in the assay of 55-gallon drums containing radioactive waste. Successfully deployed calibration methods include measurement of vertical line source standards in representative matrices and mathematical efficiency calibrations. The SGS technique can also be utilized to assay smaller containers, such as those used for criticality safety in uranium processing facilities. For such an application, a Can SGS System is aptly suited for the identification and quantification of radionuclides present in fuel processing wastes. Additionally, since the significant presence of uranium lumping can confound even a simple 'pass/fail' measurement regimen, the high-resolution gamma spectroscopymore » allows for the use of lump-detection techniques. In this application a lump correction is not required, but the application of a differential peak approach is used to simply identify the presence of U-235 lumps. The Can SGS is similar to current drum SGSs, but differs in the methodology for vertical segmentation. In the current drum SGS, the drum is placed on a rotator at a fixed vertical position while the detector, collimator, and transmission source are moved vertically to effect vertical segmentation. For the Can SGS, segmentation is more efficiently done by raising and lowering the rotator platform upon which the small container is positioned. This also reduces the complexity of the system mechanism. The application of the Can SGS introduces new challenges to traditional calibration and verification approaches. In this paper, we revisit SGS calibration methodology in the context of smaller waste containers, and as applied to fuel processing wastes. Specifically, we discuss solutions to the challenges introduced by requiring source standards to fit within the confines of the small containers and the unavailability of high-enriched uranium source standards. We also discuss the implementation of a previously used technique for

  8. 51. View of upper radar scanner switch in radar scanner ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    51. View of upper radar scanner switch in radar scanner building 105 from upper catwalk level showing emanating waveguides from upper switch (upper one-fourth of photograph) and emanating waveguides from lower radar scanner switch in vertical runs. - Clear Air Force Station, Ballistic Missile Early Warning System Site II, One mile west of mile marker 293.5 on Parks Highway, 5 miles southwest of Anderson, Anderson, Denali Borough, AK

  9. Space-multiplexed optical scanner.

    PubMed

    Riza, Nabeel A; Yaqoob, Zahid

    2004-05-01

    A low-loss two-dimensional optical beam scanner that is capable of delivering large (e.g., > 10 degrees) angular scans along the elevation as well as the azimuthal direction is presented. The proposed scanner is based on a space-switched parallel-serial architecture that employs a coarse-scanner module and a fine-scanner module that produce an ultrahigh scan space-fill factor, e.g., 900 x 900 distinguishable beams in a 10 degrees (elevation) x 10 degrees (azimuth) scan space. The experimentally demonstrated one-dimensional version of the proposed scanner has a supercontinuous scan, 100 distinguishable beam spots in a 2.29 degrees total scan range, and 1.5-dB optical insertion loss.

  10. Dual mode scanner-tracker

    NASA Astrophysics Data System (ADS)

    Mongeon, R. J.

    1984-11-01

    The beam of a laser radar is moved over the field of view by means of a pair of scanner/trackers arranged in cascade along the laser beam. One of the scanner/trackers operates at high speed, with high resolution and a wide field and is located in the demagnified portion of the laser beam. The two scanner/trackers complement each other to achieve high speed, high resolution scanning as well as tracking of moving targets. A beam steering telescope for an airborne laser radar which incorporates the novel dual mode scanner/tracker is also shown. The other scanner/tracker operates at low speed with low resolution and a wide field and is located in the magnified portion of the laser beam.

  11. Scanner imaging systems, aircraft

    NASA Technical Reports Server (NTRS)

    Ungar, S. G.

    1982-01-01

    The causes and effects of distortion in aircraft scanner data are reviewed and an approach to reduce distortions by modelling the effect of aircraft motion on the scanner scene is discussed. With the advent of advanced satellite borne scanner systems, the geometric and radiometric correction of aircraft scanner data has become increasingly important. Corrections are needed to reliably simulate observations obtained by such systems for purposes of evaluation. It is found that if sufficient navigational information is available, aircraft scanner coordinates may be related very precisely to planimetric ground coordinates. However, the potential for a multivalue remapping transformation (i.e., scan lines crossing each other), adds an inherent uncertainty, to any radiometric resampling scheme, which is dependent on the precise geometry of the scan and ground pattern.

  12. Fast, high-resolution 3D dosimetry utilizing a novel optical-CT scanner incorporating tertiary telecentric collimation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sakhalkar, H. S.; Oldham, M.

    2008-01-15

    This study introduces a charge coupled device (CCD) area detector based optical-computed tomography (optical-CT) scanner for comprehensive verification of radiation dose distributions recorded in nonscattering radiochromic dosimeters. Defining characteristics include: (i) a very fast scanning time of {approx}5 min to acquire a complete three-dimensional (3D) dataset, (ii) improved image formation through the use of custom telecentric optics, which ensures accurate projection images and minimizes artifacts from scattered and stray-light sources, and (iii) high resolution (potentially 50 {mu}m) isotropic 3D dose readout. The performance of the CCD scanner for 3D dose readout was evaluated by comparison with independent 3D readout frommore » the single laser beam OCTOPUS-scanner for the same PRESAGE dosimeters. The OCTOPUS scanner was considered the 'gold standard' technique in light of prior studies demonstrating its accuracy. Additional comparisons were made against calculated dose distributions from the ECLIPSE treatment-planning system. Dose readout for the following treatments were investigated: (i) a single rectangular beam irradiation to investigate small field and very steep dose gradient dosimetry away from edge effects, (ii) a 2-field open beam parallel-opposed irradiation to investigate dosimetry along steep dose gradients, and (iii) a 7-field intensity modulated radiation therapy (IMRT) irradiation to investigate dosimetry for complex treatment delivery involving modulation of fluence and for dosimetry along moderate dose gradients. Dose profiles, dose-difference plots, and gamma maps were employed to evaluate quantitative estimates of agreement between independently measured and calculated dose distributions. Results indicated that dose readout from the CCD scanner was in agreement with independent gold-standard readout from the OCTOPUS-scanner as well as the calculated ECLIPSE dose distribution for all treatments, except in regions within a few

  13. Evaluation of a commercial flatbed document scanner and radiographic film scanner for radiochromic EBT film dosimetry

    PubMed Central

    Parker, Brent C.; Neck, Daniel W.; Henkelmann, Greg; Rosen, Isaac I.

    2010-01-01

    The purpose of this study was to quantify the performance and assess the utility of two different types of scanners for radiochromic EBT film dosimetry: a commercial flatbed document scanner and a widely used radiographic film scanner. We evaluated the Epson Perfection V700 Photo flatbed scanner and the Vidar VXR Dosimetry Pro Advantage scanner as measurement devices for radiochromic EBT film. Measurements were made of scan orientation effects, response uniformity, and scanner noise. Scanners were tested using films irradiated with eight separate 3×3 cm2 fields to doses ranging from 0.115–5.119 Gy. ImageJ and RIT software was used for analyzing the Epson and Vidar scans, respectively. For repeated scans of a single film, the measurements in each dose region were reproducible to within ±0.3% standard deviation (SD) with both scanners. Film‐to‐film variations for corresponding doses were measured to be within ±0.4% SD for both Epson scanner and Vidar scanners. Overall, the Epson scanner showed a 10% smaller range of pixel value compared to the Vidar scanner. Scanner noise was small: ±0.3% SD for the Epson and ±0.2% for the Vidar. Overall measurement uniformity for blank film in both systems was better than ±0.2%, provided that the leading and trailing 2 cm film edges were neglected in the Vidar system. In this region artifacts are attributed to the film rollers. Neither system demonstrated a clear measurement advantage. The Epson scanner is a relatively inexpensive method for analyzing radiochromic film, but there is a lack of commercially available software. For a clinic already using a Vidar scanner, applying it to radiochromic film is attractive because commercial software is available. However, care must be taken to avoid using the leading and trailing film edges. PACS number: 87.55.Qr

  14. Forensics for flatbed scanners

    NASA Astrophysics Data System (ADS)

    Gloe, Thomas; Franz, Elke; Winkler, Antje

    2007-02-01

    Within this article, we investigate possibilities for identifying the origin of images acquired with flatbed scanners. A current method for the identification of digital cameras takes advantage of image sensor noise, strictly speaking, the spatial noise. Since flatbed scanners and digital cameras use similar technologies, the utilization of image sensor noise for identifying the origin of scanned images seems to be possible. As characterization of flatbed scanner noise, we considered array reference patterns and sensor line reference patterns. However, there are particularities of flatbed scanners which we expect to influence the identification. This was confirmed by extensive tests: Identification was possible to a certain degree, but less reliable than digital camera identification. In additional tests, we simulated the influence of flatfielding and down scaling as examples for such particularities of flatbed scanners on digital camera identification. One can conclude from the results achieved so far that identifying flatbed scanners is possible. However, since the analyzed methods are not able to determine the image origin in all cases, further investigations are necessary.

  15. Enhanced thermal and pyroelectric properties in 0-3 TGS:PVDF composites doped with graphene for infrared application

    NASA Astrophysics Data System (ADS)

    Feng, Xiaodong; Wang, Minqiang; Li, Le; Yang, Zhi; Cao, Minghui; Cheng, Z.-Y.

    Pyroelectric composites of triglycine sulfate (TGS)-polyvinylidene difluoride (PVDF) doped with graphene are studied. It is found that the graphene can effectively improve the polling efficiency and thermal property of the composites so that the infrared detective performance can be significantly improved. For example, by adding about 0.83 wt.% of graphene, the infrared detective property can be improved by more than 30%. It is also found that the size of the graphene plays a critical role on the property improvement. For example, the small-sized graphene prepared by ultrasonic exfoliation (UE) method is more effective than the big-sized graphene prepared by electrochemical exfoliation (EE) method.

  16. Complete-arch accuracy of intraoral scanners.

    PubMed

    Treesh, Joshua C; Liacouras, Peter C; Taft, Robert M; Brooks, Daniel I; Raiciulescu, Sorana; Ellert, Daniel O; Grant, Gerald T; Ye, Ling

    2018-04-30

    Intraoral scanners have shown varied results in complete-arch applications. The purpose of this in vitro study was to evaluate the complete-arch accuracy of 4 intraoral scanners based on trueness and precision measurements compared with a known reference (trueness) and with each other (precision). Four intraoral scanners were evaluated: CEREC Bluecam, CEREC Omnicam, TRIOS Color, and Carestream CS 3500. A complete-arch reference cast was created and printed using a 3-dimensional dental cast printer with photopolymer resin. The reference cast was digitized using a laboratory-based white light 3-dimensional scanner. The printed reference cast was scanned 10 times with each intraoral scanner. The digital standard tessellation language (STL) files from each scanner were then registered to the reference file and compared with differences in trueness and precision using a 3-dimensional modeling software. Additionally, scanning time was recorded for each scan performed. The Wilcoxon signed rank, Kruskal-Wallis, and Dunn tests were used to detect differences for trueness, precision, and scanning time (α=.05). Carestream CS 3500 had the lowest overall trueness and precision compared with Bluecam and TRIOS Color. The fourth scanner, Omnicam, had intermediate trueness and precision. All of the scanners tended to underestimate the size of the reference file, with exception of the Carestream CS 3500, which was more variable. Based on visual inspection of the color rendering of signed differences, the greatest amount of error tended to be in the posterior aspects of the arch, with local errors exceeding 100 μm for all scans. The single capture scanner Carestream CS 3500 had the overall longest scan times and was significantly slower than the continuous capture scanners TRIOS Color and Omnicam. Significant differences in both trueness and precision were found among the scanners. Scan times of the continuous capture scanners were faster than the single capture scanners

  17. Experimental Evaluation of Depth-of-Interaction Correction in a Small-Animal Positron Emission Tomography Scanner

    PubMed Central

    Green, Michael V.; Ostrow, Harold G.; Seidel, Jurgen; Pomper, Martin G.

    2013-01-01

    Human and small-animal positron emission tomography (PET) scanners with cylindrical geometry and conventional detectors exhibit a progressive reduction in radial spatial resolution with increasing radial distance from the geometric axis of the scanner. This “depth-of-interaction” (DOI) effect is sufficiently deleterious that many laboratories have devised novel schemes to reduce the magnitude of this effect and thereby yield PET images of greater quantitative accuracy. Here we examine experimentally the effects of a particular DOI correction method (dual-scintillator phoswich detectors with pulse shape discrimination) implemented in a small-animal PET scanner by comparing the same phantom and same mouse images with and without DOI correction. The results suggest that even this relatively coarse, two-level estimate of radial gamma ray interaction position significantly reduces the DOI parallax error. This study also confirms two less appreciated advantages of DOI correction: a reduction in radial distortion and radial source displacement as a source is moved toward the edge of the field of view and a resolution improvement detectable in the central field of view likely owing to improved spatial sampling. PMID:21084028

  18. Experimental evaluation of depth-of-interaction correction in a small-animal positron emission tomography scanner.

    PubMed

    Green, Michael V; Ostrow, Harold G; Seidel, Jurgen; Pomper, Martin G

    2010-12-01

    Human and small-animal positron emission tomography (PET) scanners with cylindrical geometry and conventional detectors exhibit a progressive reduction in radial spatial resolution with increasing radial distance from the geometric axis of the scanner. This "depth-of-interaction" (DOI) effect is sufficiently deleterious that many laboratories have devised novel schemes to reduce the magnitude of this effect and thereby yield PET images of greater quantitative accuracy. Here we examine experimentally the effects of a particular DOI correction method (dual-scintillator phoswich detectors with pulse shape discrimination) implemented in a small-animal PET scanner by comparing the same phantom and same mouse images with and without DOI correction. The results suggest that even this relatively coarse, two-level estimate of radial gamma ray interaction position significantly reduces the DOI parallax error. This study also confirms two less appreciated advantages of DOI correction: a reduction in radial distortion and radial source displacement as a source is moved toward the edge of the field of view and a resolution improvement detectable in the central field of view likely owing to improved spatial sampling.

  19. A Simple X-Y Scanner.

    ERIC Educational Resources Information Center

    Halse, M. R.; Hudson, W. J.

    1986-01-01

    Describes an X-Y scanner used to create acoustic holograms. Scanner is computer controlled and can be adapted to digitize pictures. Scanner geometry is discussed. An appendix gives equipment details. The control program in ATOM BASIC and 6502 machine code is available from the authors. (JM)

  20. An operational multispectral scanner for bathymetric surveys - The ABS NORDA scanner

    NASA Technical Reports Server (NTRS)

    Haimbach, Stephen P.; Joy, Richard T.; Hickman, G. Daniel

    1987-01-01

    The Naval Ocean Research and Development Activity (NORDA) is developing the Airborne Bathymetric Survey (ABS) system, which will take shallow water depth soundings from a Navy P-3 aircraft. The system combines active and passive sensors to obtain optical measurements of water depth. The ABS NORDA Scanner is the systems passive multispectral scanner whose design goal is to provide 100 percent coverage of the seafloor, to depths of 20 m in average coastal waters. The ABS NORDA Scanner hardware and operational environment is discussed in detail. The optical model providing the basis for depth extraction is reviewed and the proposed data processing routine discussed.

  1. Contruction and physical parameters of multiscan whole-body scanner (in Czech)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Silar, J.; Smidova, M.; Vacek, J.

    The construction of a commercial whole-body scanner which permits scanning in the form of a photographic picture, and the distribution in the human body of the activity of gamma emitters having an energy of up to 1.3 MeV, at relatively short intervals are described. The results are presented of the measurement of physical parameters affecting the scanning possibilities of a Model No. 602 Multiscan, produced by Cyclotron Corporation. The resulting radiometric parameters are listed. The results of measurement show that the device can be used in the whole-body scanning of the distribution of the activity of gamma emitters applied inmore » routine procedures, such as 100 mu Ci of /sup 85/ Sr, with a position resolution of 25 to 50 mm in a tissue layer in a height of up to 100 mm above the Multiscan table. (INIS)« less

  2. Biochip scanner device

    DOEpatents

    Perov, Alexander; Belgovskiy, Alexander I.; Mirzabekov, Andrei D.

    2001-01-01

    A biochip scanner device used to detect and acquire fluorescence signal data from biological microchips or biochips and method of use are provided. The biochip scanner device includes a laser for emitting a laser beam. A modulator, such as an optical chopper modulates the laser beam. A scanning head receives the modulated laser beam and a scanning mechanics coupled to the scanning head moves the scanning head relative to the biochip. An optical fiber delivers the modulated laser beam to the scanning head. The scanning head collects the fluorescence light from the biochip, launches it into the same optical fiber, which delivers the fluorescence into a photodetector, such as a photodiode. The biochip scanner device is used in a row scanning method to scan selected rows of the biochip with the laser beam size matching the size of the immobilization site.

  3. Recent micro-CT scanner developments at UGCT

    NASA Astrophysics Data System (ADS)

    Dierick, Manuel; Van Loo, Denis; Masschaele, Bert; Van den Bulcke, Jan; Van Acker, Joris; Cnudde, Veerle; Van Hoorebeke, Luc

    2014-04-01

    This paper describes two X-ray micro-CT scanners which were recently developed to extend the experimental possibilities of microtomography research at the Centre for X-ray Tomography (www.ugct.ugent.be) of the Ghent University (Belgium). The first scanner, called Nanowood, is a wide-range CT scanner with two X-ray sources (160 kVmax) and two detectors, resolving features down to 0.4 μm in small samples, but allowing samples up to 35 cm to be scanned. This is a sample size range of 3 orders of magnitude, making this scanner well suited for imaging multi-scale materials such as wood, stone, etc. Besides the traditional cone-beam acquisition, Nanowood supports helical acquisition, and it can generate images with significant phase-contrast contributions. The second scanner, known as the Environmental micro-CT scanner (EMCT), is a gantry based micro-CT scanner with variable magnification for scanning objects which are not easy to rotate in a standard micro-CT scanner, for example because they are physically connected to external experimental hardware such as sensor wiring, tubing or others. This scanner resolves 5 μm features, covers a field-of-view of about 12 cm wide with an 80 cm vertical travel range. Both scanners will be extensively described and characterized, and their potential will be demonstrated with some key application results.

  4. Addressing the third gamma problem in PET

    NASA Astrophysics Data System (ADS)

    Schueller, M. J.; Mulnix, T. L.; Christian, B. T.; Jensen, M.; Holm, S.; Oakes, T. R.; Roberts, A. D.; Dick, D. W.; Martin, C. C.; Nickles, R. J.

    2003-02-01

    PET brings the promise of quantitative imaging of the in-vivo distribution of any positron emitting nuclide, a list with hundreds of candidates. All but a few of these, the "pure positron" emitters, have isotropic coincident gamma rays that give rise to misrepresented events in the sinogram and in the resulting reconstructed image. Of particular interest are /sup 10/C, /sup 14/O, /sup 38/K, /sup 52m/Mn, /sup 60/Cu, /sup 61/Cu, /sup 94m/Tc, and /sup 124/I, each having high-energy gammas that are Compton-scattered down into the 511 keV window. The problems arising from the "third gamma," and its accommodation by standard scatter correction algorithms, were studied empirically, employing three scanner models (CTI 933/04, CTI HR+ and GE Advance), imaging three phantoms (line source, NEMA scatter and contrast/detail), with /sup 18/F or /sup 38/K and /sup 72/As mimicking /sup 14/O and /sup 10/C, respectively, in 2-D and 3-D modes. Five findings emerge directly from the image analysis. The third gamma: 1) does, obviously, tax the single event rate of the PET scanners, particularly in the absence of septa, from activity outside of the axial field of view; 2) does, therefore, tax the random rate, which is second order in singles, although the gamma is a prompt coincidence partner; 3) does enter the sinogram as an additional flat background, like randoms, but unlike scatter; 4) is not seriously misrepresented by the scatter algorithm which fits the correction to the wings of the sinogram; and 5) does introduce additional statistical noise from the subsequent subtraction, but does not seriously compromise the detectability of lesions as seen in the contrast/detail phantom. As a safeguard against the loss of accuracy in image quantitation, fiducial sources of known activity are included in the field of view alongside of the subject. With this precaution, a much wider selection of imaging agents can enjoy the advantages of positron emission tomography.

  5. Preliminary evaluation of a monolithic detector module for integrated PET/MRI scanner with high spatial resolution

    NASA Astrophysics Data System (ADS)

    Pani, R.; Gonzalez, A. J.; Bettiol, M.; Fabbri, A.; Cinti, M. N.; Preziosi, E.; Borrazzo, C.; Conde, P.; Pellegrini, R.; Di Castro, E.; Majewski, S.

    2015-06-01

    The proposal of Mindview European Project concerns with the development of a very high resolution and high efficiency brain dedicated PET scanner simultaneously working with a Magnetic Resonance scanner, that expects to visualize neurotransmitter pathways and their disruptions in the quest to better diagnose schizophrenia. On behalf of this project, we propose a low cost PET module for the first prototype, based on monolithic crystals, suitable to be integrated with a head Radio Frequency (RF) coil. The aim of the suggested module is to achieve high performances in terms of efficiency, planar spatial resolution (expected about 1 mm) and discrimination of gamma Depth Of Interaction (DOI) in order to reduce the parallax error. Our preliminary results are very promising: a DOI resolution of about 3 mm, a spatial resolution ranging from about 1 to 1.5 mm and a good position linearity.

  6. Hybrid Dispersion Laser Scanner

    PubMed Central

    Goda, K.; Mahjoubfar, A.; Wang, C.; Fard, A.; Adam, J.; Gossett, D. R.; Ayazi, A.; Sollier, E.; Malik, O.; Chen, E.; Liu, Y.; Brown, R.; Sarkhosh, N.; Di Carlo, D.; Jalali, B.

    2012-01-01

    Laser scanning technology is one of the most integral parts of today's scientific research, manufacturing, defense, and biomedicine. In many applications, high-speed scanning capability is essential for scanning a large area in a short time and multi-dimensional sensing of moving objects and dynamical processes with fine temporal resolution. Unfortunately, conventional laser scanners are often too slow, resulting in limited precision and utility. Here we present a new type of laser scanner that offers ∼1,000 times higher scan rates than conventional state-of-the-art scanners. This method employs spatial dispersion of temporally stretched broadband optical pulses onto the target, enabling inertia-free laser scans at unprecedented scan rates of nearly 100 MHz at 800 nm. To show our scanner's broad utility, we use it to demonstrate unique and previously difficult-to-achieve capabilities in imaging, surface vibrometry, and flow cytometry at a record 2D raster scan rate of more than 100 kHz with 27,000 resolvable points. PMID:22685627

  7. Design and performance of a multi-pinhole collimation device for small animal imaging with clinical SPECT and SPECT-CT scanners

    PubMed Central

    DiFilippo, Frank P.

    2008-01-01

    A multi-pinhole collimation device is developed that uses the gamma camera detectors of a clinical SPECT or SPECT-CT scanner to produce high resolution SPECT images. The device consists of a rotating cylindrical collimator having 22 tungsten pinholes with 0.9 mm diameter apertures and an animal bed inside the collimator that moves linearly to provide helical or ordered-subsets axial sampling. CT images also may be acquired on a SPECT-CT scanner for purposes of image co-registration and SPECT attenuation correction. The device is placed on the patient table of the scanner without attaching to the detectors or scanner gantry. The system geometry is calibrated in-place from point source data and is then used during image reconstruction. The SPECT imaging performance of the device is evaluated with test phantom scans. Spatial resolution from reconstructed point source images is measured to be 0.6 mm full width at half maximum or better. Micro-Derenzo phantom images demonstrate the ability to resolve 0.7 mm diameter rod patterns. The axial slabs of a Micro-Defrise phantom are visualized well. Collimator efficiency exceeds 0.05% at the center of the field of view, and images of a uniform phantom show acceptable uniformity and minimal artifact. The overall simplicity and relatively good imaging performance of the device make it an interesting low-cost alternative to dedicated small animal scanners. PMID:18635899

  8. Design and performance of a multi-pinhole collimation device for small animal imaging with clinical SPECT and SPECT CT scanners

    NASA Astrophysics Data System (ADS)

    Di Filippo, Frank P.

    2008-08-01

    A multi-pinhole collimation device is developed that uses the gamma camera detectors of a clinical SPECT or SPECT-CT scanner to produce high-resolution SPECT images. The device consists of a rotating cylindrical collimator having 22 tungsten pinholes with 0.9 mm diameter apertures and an animal bed inside the collimator that moves linearly to provide helical or ordered-subsets axial sampling. CT images also may be acquired on a SPECT-CT scanner for purposes of image co-registration and SPECT attenuation correction. The device is placed on the patient table of the scanner without attaching to the detectors or scanner gantry. The system geometry is calibrated in-place from point source data and is then used during image reconstruction. The SPECT imaging performance of the device is evaluated with test phantom scans. Spatial resolution from reconstructed point source images is measured to be 0.6 mm full width at half maximum or better. Micro-Derenzo phantom images demonstrate the ability to resolve 0.7 mm diameter rod patterns. The axial slabs of a Micro-Defrise phantom are visualized well. Collimator efficiency exceeds 0.05% at the center of the field of view, and images of a uniform phantom show acceptable uniformity and minimal artifact. The overall simplicity and relatively good imaging performance of the device make it an interesting low-cost alternative to dedicated small animal scanners.

  9. Portable biochip scanner device

    DOEpatents

    Perov, Alexander; Sharonov, Alexei; Mirzabekov, Andrei D.

    2002-01-01

    A portable biochip scanner device used to detect and acquire fluorescence signal data from biological microchips (biochips) is provided. The portable biochip scanner device employs a laser for emitting an excitation beam. An optical fiber delivers the laser beam to a portable biochip scanner. A lens collimates the laser beam, the collimated laser beam is deflected by a dichroic mirror and focused by an objective lens onto a biochip. The fluorescence light from the biochip is collected and collimated by the objective lens. The fluorescence light is delivered to a photomultiplier tube (PMT) via an emission filter and a focusing lens. The focusing lens focuses the fluorescence light into a pinhole. A signal output of the PMT is processed and displayed.

  10. A novel optical scanner for laser radar

    NASA Astrophysics Data System (ADS)

    Yao, Shunyu; Peng, Renjun; Gao, Jianshuang

    2013-09-01

    Laser radar are ideally suitable for recognizing objects, detection, target tracking or obstacle avoidance, because of the high angular and range resolution. In recent years, scannerless ladar has developed rapidly. In contrast with traditional scanner ladar, scannerless ladar has distinct characteristics such as small, compact, high frame rate, wide field of view and high reliability. However, the scannerless ladar is still in the stage of laboratory and the performance cannot meet the demands of practical applications. Hence, traditional scanner laser radar is still mainly applied. In scanner ladar system, optical scanner is the key component which can deflect the direction of laser beam to the target. We investigated a novel scanner based on the characteristic of fiber's light-conductive. The fiber bundles are arranged in a special structure which connected to a motor. When motor working properly, the laser passes through the fibers on incident plane and the location of laser spot on output plane will move along with a straight line in a constant speed. The direction of light will be deflected by taking advantage of transmitting optics, then the linear sweeping of the target can be achieved. A laser radar scheme with high speed and large field of view can be realized. Some researches on scanner are simply introduced on section1. The structure of the optical scanner will be described and the practical applications of the scanner in transmitting and receiving optical paths are discussed in section2. Some characteristic of scanner is calculated in section3. In section4, we report the simulation and experiment of our prototype.

  11. Integrated Electro-optical Laser-Beam Scanners

    NASA Technical Reports Server (NTRS)

    Boord, Warren T.

    1990-01-01

    Scanners using solid-state devices compact, consume little power, and have no moving parts. Integrated electro-optical laser scanner, in conjunction with external lens, points outgoing beam of light in any number of different directions, depending on number of upper electrodes. Offers beam-deflection angles larger than those of acousto-optic scanners. Proposed for such diverse applications as nonimpact laser printing, color imaging, ranging, barcode reading, and robotic vision.

  12. 21 CFR 892.1300 - Nuclear rectilinear scanner.

    Code of Federal Regulations, 2012 CFR

    2012-04-01

    ... 21 Food and Drugs 8 2012-04-01 2012-04-01 false Nuclear rectilinear scanner. 892.1300 Section 892...) MEDICAL DEVICES RADIOLOGY DEVICES Diagnostic Devices § 892.1300 Nuclear rectilinear scanner. (a) Identification. A nuclear rectilinear scanner is a device intended to image the distribution of radionuclides in...

  13. 21 CFR 892.1300 - Nuclear rectilinear scanner.

    Code of Federal Regulations, 2013 CFR

    2013-04-01

    ... 21 Food and Drugs 8 2013-04-01 2013-04-01 false Nuclear rectilinear scanner. 892.1300 Section 892...) MEDICAL DEVICES RADIOLOGY DEVICES Diagnostic Devices § 892.1300 Nuclear rectilinear scanner. (a) Identification. A nuclear rectilinear scanner is a device intended to image the distribution of radionuclides in...

  14. Occurrence and characteristics of mutual interference between LIDAR scanners

    NASA Astrophysics Data System (ADS)

    Kim, Gunzung; Eom, Jeongsook; Park, Seonghyeon; Park, Yongwan

    2015-05-01

    The LIDAR scanner is at the heart of object detection of the self-driving car. Mutual interference between LIDAR scanners has not been regarded as a problem because the percentage of vehicles equipped with LIDAR scanners was very rare. With the growing number of autonomous vehicle equipped with LIDAR scanner operated close to each other at the same time, the LIDAR scanner may receive laser pulses from other LIDAR scanners. In this paper, three types of experiments and their results are shown, according to the arrangement of two LIDAR scanners. We will show the probability that any LIDAR scanner will interfere mutually by considering spatial and temporal overlaps. It will present some typical mutual interference scenario and report an analysis of the interference mechanism.

  15. Multispectral scanner system for ERTS: Four-band scanner system. Volume 1: System description and performance

    NASA Technical Reports Server (NTRS)

    Norwood, V. T.; Fermelia, L. R.; Tadler, G. A.

    1972-01-01

    The four-band Multispectral Scanner System (MSS) is discussed. Included is a description of the MSS with major emphasis on the flight subsystem (scanner and multiplexer), the theory for the MSS calibration system processing techniques, system calibration data, and a summary of the performance of the two four-band MSS systems.

  16. MO-AB-206-02: Testing Gamma Cameras Based On TG177 WG Report

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Halama, J.

    2016-06-15

    This education session will cover the physics and operation principles of gamma cameras and PET scanners. The first talk will focus on PET imaging. An overview of the principles of PET imaging will be provided, including positron decay physics, and the transition from 2D to 3D imaging. More recent advances in hardware and software will be discussed, such as time-of-flight imaging, and improvements in reconstruction algorithms that provide for options such as depth-of-interaction corrections. Quantitative applications of PET will be discussed, as well as the requirements for doing accurate quantitation. Relevant performance tests will also be described. Learning Objectives: Bemore » able to describe basic physics principles of PET and operation of PET scanners. Learn about recent advances in PET scanner hardware technology. Be able to describe advances in reconstruction techniques and improvements Be able to list relevant performance tests. The second talk will focus on gamma cameras. The Nuclear Medicine subcommittee has charged a task group (TG177) to develop a report on the current state of physics testing of gamma cameras, SPECT, and SPECT/CT systems. The report makes recommendations for performance tests to be done for routine quality assurance, annual physics testing, and acceptance tests, and identifies those needed satisfy the ACR accreditation program and The Joint Commission imaging standards. The report is also intended to be used as a manual with detailed instructions on how to perform tests under widely varying conditions. Learning Objectives: At the end of the presentation members of the audience will: Be familiar with the tests recommended for routine quality assurance, annual physics testing, and acceptance tests of gamma cameras for planar imaging. Be familiar with the tests recommended for routine quality assurance, annual physics testing, and acceptance tests of SPECT systems. Be familiar with the tests of a SPECT/CT system that include the CT

  17. Oceanographic scanner system design study, volume 1

    NASA Technical Reports Server (NTRS)

    1971-01-01

    The design is reported of a dual mode multispectral scanner, capable of satisfying both overland and oceanographic requirements. A complete system description and performance summary of the scanner are given. In addition, subsystem and component descriptions and performance analyses are treated in individual sections. The design of the scanner, with minimum modifications, interfaces to the ERTS spacecraft and the ground data handling system.

  18. Applications of Optical Scanners in an Academic Center.

    ERIC Educational Resources Information Center

    Molinari, Carol; Tannenbaum, Robert S.

    1995-01-01

    Describes optical scanners, including how the technology works; applications in data management and research; development of instructional materials; and providing community services. Discussion includes the three basic types of optical scanners: optical character recognition (OCR), optical mark readers (OMR), and graphic scanners. A sidebar…

  19. Eddy current X-Y scanner system

    NASA Technical Reports Server (NTRS)

    Kurtz, G. W.

    1983-01-01

    The Nondestructive Evaluation Branch of the Materials and Processes Laboratory became aware of a need for a miniature, portable X-Y scanner capable of performing eddy current or other nondestructive testing scanning operations such as ultrasonic, or small areas of flat plate. The technical description and operational theory of the X-Y scanner system designed and built to fulfill this need are covered. The scanner was given limited testing and performs according to its design intent, which is to scan flat plate areas of approximately 412 sq cm (64 sq in) during each complete cycle of scanning.

  20. Spectral characterization of the LANDSAT-D multispectral scanner subsystems

    NASA Technical Reports Server (NTRS)

    Markham, B. L. (Principal Investigator); Barker, J. L.

    1982-01-01

    Relative spectral response data for the multispectral scanner subsystems (MSS) to be flown on LANDSAT-D and LANDSAT-D backup, the protoflight and flight models, respectively, are presented and compared to similar data for the Landsat 1,2, and 3 subsystems. Channel-bychannel (six channels per band) outputs for soil and soybean targets were simulated and compared within each band and between scanners. The two LANDSAT-D scanners proved to be nearly identical in mean spectral response, but they exhibited some differences from the previous MSS's. Principal differences between the spectral responses of the D-scanners and previous scanners were: (1) a mean upper-band edge in the green band of 606 nm compared to previous means of 593 to 598 nm; (2) an average upper-band edge of 697 nm in the red band compared to previous averages of 701 to 710 nm; and (3) an average bandpass for the first near-IR band of 702-814 nm compared to a range of 693-793 to 697-802 nm for previous scanners. These differences caused the simulated D-scanner outputs to be 3 to 10 percent lower in the red band and 3 to 11 percent higher in the first near-IR band than previous scanners for the soybeans target. Otherwise, outputs from soil and soybean targets were only slightly affected. The D-scanners were generally more uniform from channel to channel within bands than previous scanners.

  1. Multispectral Scanner for Monitoring Plants

    NASA Technical Reports Server (NTRS)

    Gat, Nahum

    2004-01-01

    A multispectral scanner has been adapted to capture spectral images of living plants under various types of illumination for purposes of monitoring the health of, or monitoring the transfer of genes into, the plants. In a health-monitoring application, the plants are illuminated with full-spectrum visible and near infrared light and the scanner is used to acquire a reflected-light spectral signature known to be indicative of the health of the plants. In a gene-transfer- monitoring application, the plants are illuminated with blue or ultraviolet light and the scanner is used to capture fluorescence images from a green fluorescent protein (GFP) that is expressed as result of the gene transfer. The choice of wavelength of the illumination and the wavelength of the fluorescence to be monitored depends on the specific GFP.

  2. 21 CFR 892.1330 - Nuclear whole body scanner.

    Code of Federal Regulations, 2012 CFR

    2012-04-01

    ... 21 Food and Drugs 8 2012-04-01 2012-04-01 false Nuclear whole body scanner. 892.1330 Section 892...) MEDICAL DEVICES RADIOLOGY DEVICES Diagnostic Devices § 892.1330 Nuclear whole body scanner. (a) Identification. A nuclear whole body scanner is a device intended to measure and image the distribution of...

  3. 21 CFR 892.1330 - Nuclear whole body scanner.

    Code of Federal Regulations, 2013 CFR

    2013-04-01

    ... 21 Food and Drugs 8 2013-04-01 2013-04-01 false Nuclear whole body scanner. 892.1330 Section 892...) MEDICAL DEVICES RADIOLOGY DEVICES Diagnostic Devices § 892.1330 Nuclear whole body scanner. (a) Identification. A nuclear whole body scanner is a device intended to measure and image the distribution of...

  4. The feasibility of a scanner-independent technique to estimate organ dose from MDCT scans: Using CTDI{sub vol} to account for differences between scanners

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Turner, Adam C.; Zankl, Maria; DeMarco, John J.

    2010-04-15

    Purpose: Monte Carlo radiation transport techniques have made it possible to accurately estimate the radiation dose to radiosensitive organs in patient models from scans performed with modern multidetector row computed tomography (MDCT) scanners. However, there is considerable variation in organ doses across scanners, even when similar acquisition conditions are used. The purpose of this study was to investigate the feasibility of a technique to estimate organ doses that would be scanner independent. This was accomplished by assessing the ability of CTDI{sub vol} measurements to account for differences in MDCT scanners that lead to organ dose differences. Methods: Monte Carlo simulationsmore » of 64-slice MDCT scanners from each of the four major manufacturers were performed. An adult female patient model from the GSF family of voxelized phantoms was used in which all ICRP Publication 103 radiosensitive organs were identified. A 120 kVp, full-body helical scan with a pitch of 1 was simulated for each scanner using similar scan protocols across scanners. From each simulated scan, the radiation dose to each organ was obtained on a per mA s basis (mGy/mA s). In addition, CTDI{sub vol} values were obtained from each scanner for the selected scan parameters. Then, to demonstrate the feasibility of generating organ dose estimates from scanner-independent coefficients, the simulated organ dose values resulting from each scanner were normalized by the CTDI{sub vol} value for those acquisition conditions. Results: CTDI{sub vol} values across scanners showed considerable variation as the coefficient of variation (CoV) across scanners was 34.1%. The simulated patient scans also demonstrated considerable differences in organ dose values, which varied by up to a factor of approximately 2 between some of the scanners. The CoV across scanners for the simulated organ doses ranged from 26.7% (for the adrenals) to 37.7% (for the thyroid), with a mean CoV of 31.5% across all organs

  5. Superwide-angle coverage code-multiplexed optical scanner.

    PubMed

    Riza, Nabeel A; Arain, Muzammil A

    2004-05-01

    A superwide-angle coverage code-multiplexed optical scanner is presented that has the potential to provide 4 pi-sr coverage. As a proof-of-concept experiment, an angular scan range of 288 degrees for six randomly distributed beams is demonstrated. The proposed scanner achieves its superwide coverage by exploiting a combination of phase-encoded transmission and reflection holography within an in-line hologram recording-retrieval geometry. The basic scanner unit consists of one phase-only digital mode spatial light modulator for code entry (i.e., beam scan control) and a holographic material from which we obtained what we believe is the first-of-a-kind extremely wide coverage, low component count, high speed (e.g., microsecond domain), and large aperture (e.g., > 1-cm diameter) scanner.

  6. Telescope with a wide field of view internal optical scanner

    NASA Technical Reports Server (NTRS)

    Zheng, Yunhui (Inventor); Degnan, III, John James (Inventor)

    2012-01-01

    A telescope with internal scanner utilizing either a single optical wedge scanner or a dual optical wedge scanner and a controller arranged to control a synchronous rotation of the first and/or second optical wedges, the wedges constructed and arranged to scan light redirected by topological surfaces and/or volumetric scatterers. The telescope with internal scanner further incorporates a first converging optical element that receives the redirected light and transmits the redirected light to the scanner, and a second converging optical element within the light path between the first optical element and the scanner arranged to reduce an area of impact on the scanner of the beam collected by the first optical element.

  7. Scanner OPC signatures: automatic vendor-to-vendor OPE matching

    NASA Astrophysics Data System (ADS)

    Renwick, Stephen P.

    2009-03-01

    As 193nm lithography continues to be stretched and the k1 factor decreases, optical proximity correction (OPC) has become a vital part of the lithographer's tool kit. Unfortunately, as is now well known, the design variations of lithographic scanners from different vendors cause them to have slightly different optical-proximity effect (OPE) behavior, meaning that they print features through pitch in distinct ways. This in turn means that their response to OPC is not the same, and that an OPC solution designed for a scanner from Company 1 may or may not work properly on a scanner from Company 2. Since OPC is not inexpensive, that causes trouble for chipmakers using more than one brand of scanner. Clearly a scanner-matching procedure is needed to meet this challenge. Previously, automatic matching has only been reported for scanners of different tool generations from the same manufacturer. In contrast, scanners from different companies have been matched using expert tuning and adjustment techniques, frequently requiring laborious test exposures. Automatic matching between scanners from Company 1 and Company 2 has remained an unsettled problem. We have recently solved this problem and introduce a novel method to perform the automatic matching. The success in meeting this challenge required three enabling factors. First, we recognized the strongest drivers of OPE mismatch and are thereby able to reduce the information needed about a tool from another supplier to that information readily available from all modern scanners. Second, we developed a means of reliably identifying the scanners' optical signatures, minimizing dependence on process parameters that can cloud the issue. Third, we carefully employed standard statistical techniques, checking for robustness of the algorithms used and maximizing efficiency. The result is an automatic software system that can predict an OPC matching solution for scanners from different suppliers without requiring expert intervention.

  8. Cardiac-Targeted Transgenic Mutant Mitochondrial Enzymes

    PubMed Central

    Kohler, James J.; Hosseini, Seyed H.; Green, Elgin; Hoying-Brandt, Amy; Cucoranu, Ioan; Haase, Chad P.; Russ, Rodney; Srivastava, Jaya; Ivey, Kristopher; Ludaway, Tomika; Kapoor, Victor; Abuin, Allison; Shapoval, Alexsey; Santoianni, Robert; Saada, Ann; Elpeleg, Orly; Lewis, William

    2009-01-01

    Mitochondrial (mt) DNA biogenesis is critical to cardiac contractility. DNA polymerase gamma (pol γ) replicates mtDNA, whereas thymidine kinase 2 (TK2) monophosphorylates pyrimidines intramitochondrially. Point mutations in POLG and TK2 result in clinical diseases associated with mtDNA depletion and organ dysfunction. Pyrimidine analogs (NRTIs) inhibit Pol γ and mtDNA replication. Cardiac “dominant negative” murine transgenes (TGs; Pol γ Y955G, and TK2 H121N or I212N) defined the role of each in the heart. mtDNA abundance, histopathological features, histochemistry, mitochondrial protein abundance, morphometry, and echocardiography were determined for TGs in “2 × 2” studies with or without pyrimidine analogs. Cardiac mtDNA abundance decreased in Y955C TGs (∼50%) but increased in H121N and I212N TGs (20-70%). Succinate dehydrogenase (SDH) increased in hearts of all mutants. Ultrastructural changes occurred in Y955C and H121N TGs. Histopathology demonstrated hypertrophy in H121N, LV dilation in I212N, and both hypertrophy and dilation in Y955C TGs. Antiretrovirals increased LV mass (≈50%) for all three TGs which combined with dilation indicates cardiomyopathy. Taken together, these studies demonstrate three manifestations of cardiac dysfunction that depend on the nature of the specific mutation and antiretroviral treatment. Mutations in genes for mtDNA biogenesis increase risk for defective mtDNA replication, leading to LV hypertrophy. PMID:18446447

  9. Scanner Art and Links to Physics

    ERIC Educational Resources Information Center

    Russell, David

    2005-01-01

    A photocopier or scanner can be used to produce not only the standard motion graphs of physics, but a variety of other graphs that resemble gravitational and electrical fields. This article presents a starting point for exploring scanner graphics, which brings together investigation in art and design, physics, mathematics, and information…

  10. Uncertainty Propagation for Terrestrial Mobile Laser Scanner

    NASA Astrophysics Data System (ADS)

    Mezian, c.; Vallet, Bruno; Soheilian, Bahman; Paparoditis, Nicolas

    2016-06-01

    Laser scanners are used more and more in mobile mapping systems. They provide 3D point clouds that are used for object reconstruction and registration of the system. For both of those applications, uncertainty analysis of 3D points is of great interest but rarely investigated in the literature. In this paper we present a complete pipeline that takes into account all the sources of uncertainties and allows to compute a covariance matrix per 3D point. The sources of uncertainties are laser scanner, calibration of the scanner in relation to the vehicle and direct georeferencing system. We suppose that all the uncertainties follow the Gaussian law. The variances of the laser scanner measurements (two angles and one distance) are usually evaluated by the constructors. This is also the case for integrated direct georeferencing devices. Residuals of the calibration process were used to estimate the covariance matrix of the 6D transformation between scanner laser and the vehicle system. Knowing the variances of all sources of uncertainties, we applied uncertainty propagation technique to compute the variance-covariance matrix of every obtained 3D point. Such an uncertainty analysis enables to estimate the impact of different laser scanners and georeferencing devices on the quality of obtained 3D points. The obtained uncertainty values were illustrated using error ellipsoids on different datasets.

  11. MEMS temperature scanner: principles, advances, and applications

    NASA Astrophysics Data System (ADS)

    Otto, Thomas; Saupe, Ray; Stock, Volker; Gessner, Thomas

    2010-02-01

    Contactless measurement of temperatures has gained enormous significance in many application fields, ranging from climate protection over quality control to object recognition in public places or military objects. Thereby measurement of linear or spatially temperature distribution is often necessary. For this purposes mostly thermographic cameras or motor driven temperature scanners are used today. Both are relatively expensive and the motor drive devices are limited regarding to the scanning rate additionally. An economic alternative are temperature scanner devices based on micro mirrors. The micro mirror, attached in a simple optical setup, reflects the emitted radiation from the observed heat onto an adapted detector. A line scan of the target object is obtained by periodic deflection of the micro scanner. Planar temperature distribution will be achieved by perpendicularly moving the target object or the scanner device. Using Planck radiation law the temperature of the object is calculated. The device can be adapted to different temperature ranges and resolution by using different detectors - cooled or uncooled - and parameterized scanner parameters. With the basic configuration 40 spatially distributed measuring points can be determined with temperatures in a range from 350°C - 1000°C. The achieved miniaturization of such scanners permits the employment in complex plants with high building density or in direct proximity to the measuring point. The price advantage enables a lot of applications, especially new application in the low-price market segment This paper shows principle, setup and application of a temperature measurement system based on micro scanners working in the near infrared range. Packaging issues and measurement results will be discussed as well.

  12. MSS D Multispectral Scanner System

    NASA Technical Reports Server (NTRS)

    Lauletta, A. M.; Johnson, R. L.; Brinkman, K. L. (Principal Investigator)

    1982-01-01

    The development and acceptance testing of the 4-band Multispectral Scanners to be flown on LANDSAT D and LANDSAT D Earth resources satellites are summarized. Emphasis is placed on the acceptance test phase of the program. Test history and acceptance test algorithms are discussed. Trend data of all the key performance parameters are included and discussed separately for each of the two multispectral scanner instruments. Anomalies encountered and their resolutions are included.

  13. Methods for CT automatic exposure control protocol translation between scanner platforms.

    PubMed

    McKenney, Sarah E; Seibert, J Anthony; Lamba, Ramit; Boone, John M

    2014-03-01

    An imaging facility with a diverse fleet of CT scanners faces considerable challenges when propagating CT protocols with consistent image quality and patient dose across scanner makes and models. Although some protocol parameters can comfortably remain constant among scanners (eg, tube voltage, gantry rotation time), the automatic exposure control (AEC) parameter, which selects the overall mA level during tube current modulation, is difficult to match among scanners, especially from different CT manufacturers. Objective methods for converting tube current modulation protocols among CT scanners were developed. Three CT scanners were investigated, a GE LightSpeed 16 scanner, a GE VCT scanner, and a Siemens Definition AS+ scanner. Translation of the AEC parameters such as noise index and quality reference mAs across CT scanners was specifically investigated. A variable-diameter poly(methyl methacrylate) phantom was imaged on the 3 scanners using a range of AEC parameters for each scanner. The phantom consisted of 5 cylindrical sections with diameters of 13, 16, 20, 25, and 32 cm. The protocol translation scheme was based on matching either the volumetric CT dose index or image noise (in Hounsfield units) between two different CT scanners. A series of analytic fit functions, corresponding to different patient sizes (phantom diameters), were developed from the measured CT data. These functions relate the AEC metric of the reference scanner, the GE LightSpeed 16 in this case, to the AEC metric of a secondary scanner. When translating protocols between different models of CT scanners (from the GE LightSpeed 16 reference scanner to the GE VCT system), the translation functions were linear. However, a power-law function was necessary to convert the AEC functions of the GE LightSpeed 16 reference scanner to the Siemens Definition AS+ secondary scanner, because of differences in the AEC functionality designed by these two companies. Protocol translation on the basis of

  14. Comparison of Epson scanner quality for radiochromic film evaluation.

    PubMed

    Alnawaf, Hani; Yu, Peter K N; Butson, Martin

    2012-09-06

    Epson Desktop scanners have been quoted as devices which match the characteristics required for the evaluation of radiation dose exposure by radiochromic films. Specifically, models such as the 10000XL have been used successfully for image analysis and are recommended by ISP for dosimetry purposes. This note investigates and compares the scanner characteristics of three Epson desktop scanner models including the Epson 10000XL, V700, and V330. Both of the latter are substantially cheaper models capable of A4 scanning. As the price variation between the V330 and the 10000XL is 20-fold (based on Australian recommended retail price), cost savings by using the cheaper scanners may be warranted based on results. By a direct comparison of scanner uniformity and reproducibility we can evaluate the accuracy of these scanners for radiochromic film dosimetry. Results have shown that all three scanners can produce adequate scanner uniformity and reproducibility, with the inexpensive V330 producing a standard deviation variation across its landscape direction of 0.7% and 1.2% in the portrait direction (reflection mode). This is compared to the V700 in reflection mode of 0.25% and 0.5% for landscape and portrait directions, respectively, and 0.5% and 0.8% for the 10000XL. In transmission mode, the V700 is comparable in reproducibility to the 10000XL for portrait and landscape mode, whilst the V330 is only capable of scanning in the landscape direction and produces a standard deviation in this direction of 1.0% compared to 0.6% (V700) and 0.25% (10000XL). Results have shown that the V700 and 10000XL are comparable scanners in quality and accuracy with the 10000XL obviously capable of imaging over an A3 area as opposed to an A4 area for the V700. The V330 scanner produced slightly lower accuracy and quality with uncertainties approximately twice as much as the other scanners. However, the results show that the V330 is still an adequate scanner and could be used for radiation

  15. A PC-based multispectral scanner data evaluation workstation: Application to Daedalus scanners

    NASA Technical Reports Server (NTRS)

    Jedlovec, Gary J.; James, Mark W.; Smith, Matthew R.; Atkinson, Robert J.

    1991-01-01

    In late 1989, a personal computer (PC)-based data evaluation workstation was developed to support post flight processing of Multispectral Atmospheric Mapping Sensor (MAMS) data. The MAMS Quick View System (QVS) is an image analysis and display system designed to provide the capability to evaluate Daedalus scanner data immediately after an aircraft flight. Even in its original form, the QVS offered the portability of a personal computer with the advanced analysis and display features of a mainframe image analysis system. It was recognized, however, that the original QVS had its limitations, both in speed and processing of MAMS data. Recent efforts are presented that focus on overcoming earlier limitations and adapting the system to a new data tape structure. In doing so, the enhanced Quick View System (QVS2) will accommodate data from any of the four spectrometers used with the Daedalus scanner on the NASA ER2 platform. The QVS2 is designed around the AST 486/33 MHz CPU personal computer and comes with 10 EISA expansion slots, keyboard, and 4.0 mbytes of memory. Specialized PC-McIDAS software provides the main image analysis and display capability for the system. Image analysis and display of the digital scanner data is accomplished with PC-McIDAS software.

  16. Color accuracy and reproducibility in whole slide imaging scanners

    PubMed Central

    Shrestha, Prarthana; Hulsken, Bas

    2014-01-01

    Abstract We propose a workflow for color reproduction in whole slide imaging (WSI) scanners, such that the colors in the scanned images match to the actual slide color and the inter-scanner variation is minimum. We describe a new method of preparation and verification of the color phantom slide, consisting of a standard IT8-target transmissive film, which is used in color calibrating and profiling the WSI scanner. We explore several International Color Consortium (ICC) compliant techniques in color calibration/profiling and rendering intents for translating the scanner specific colors to the standard display (sRGB) color space. Based on the quality of the color reproduction in histopathology slides, we propose the matrix-based calibration/profiling and absolute colorimetric rendering approach. The main advantage of the proposed workflow is that it is compliant to the ICC standard, applicable to color management systems in different platforms, and involves no external color measurement devices. We quantify color difference using the CIE-DeltaE2000 metric, where DeltaE values below 1 are considered imperceptible. Our evaluation on 14 phantom slides, manufactured according to the proposed method, shows an average inter-slide color difference below 1 DeltaE. The proposed workflow is implemented and evaluated in 35 WSI scanners developed at Philips, called the Ultra Fast Scanners (UFS). The color accuracy, measured as DeltaE between the scanner reproduced colors and the reference colorimetric values of the phantom patches, is improved on average to 3.5 DeltaE in calibrated scanners from 10 DeltaE in uncalibrated scanners. The average inter-scanner color difference is found to be 1.2 DeltaE. The improvement in color performance upon using the proposed method is apparent with the visual color quality of the tissue scans. PMID:26158041

  17. Correcting lateral response artifacts from flatbed scanners for radiochromic film dosimetry

    PubMed Central

    Lewis, David; Chan, Maria F.

    2015-01-01

    lateral position response artifact. To validate this method, corrections were applied to several films that were exposed to 15 × 15cm2 open fields and large IMRT and VMAT fields and scanned at the extreme edges of the scan window in addition to the central location. Calibration and response data were used to generate dose maps and perform gamma analysis using single- or triple-channel dosimetry with FilmQAPro 2014 software. Results: The authors’ study found that calibration curves at the different lateral positions could be correlated by a simple two-point rescaling using the response for unexposed film as well as the response of film exposed at high doses between 800 and 1600 cGy. The coefficients AL,X and BL,X for each color channel X were found to be independent of dose at each lateral location L. This made it possible to apply the relationship Response(C, D, X) = AL,X + BL,X⋅Response(L, D, X), to the raw film responses, permitting correction of the response values at any lateral position to an equivalent response, as if that part of the film was located at the center of the scanner. This correction method was validated for several films exposed to open as well as large IMRT and VMAT fields. Conclusions: The work reported elaborates on the process using the correction procedures to eliminate the lateral response artifact and demonstrates improvements in the accuracy of radiochromic film dosimetry for the radiation therapy quality assurance applications. PMID:25563282

  18. 21 CFR 882.1925 - Ultrasonic scanner calibration test block.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Ultrasonic scanner calibration test block. 882... Ultrasonic scanner calibration test block. (a) Identification. An ultrasonic scanner calibration test block is a block of material with known properties used to calibrate ultrasonic scanning devices (e.g., the...

  19. Wireless Synchronization of a Multi-Pinhole Small Animal SPECT Collimation Device With a Clinical Scanner

    NASA Astrophysics Data System (ADS)

    DiFilippo, Frank P.; Patel, Sagar

    2009-06-01

    A multi-pinhole collimation device for small animal single photon emission computed tomography (SPECT) uses the gamma camera detectors of a standard clinical SPECT scanner. The collimator and animal bed move independently of the detectors, and therefore their motions must be synchronized. One approach is manual triggering of the SPECT acquisition simultaneously with a programmed motion sequence for the device. However, some data blurring and loss of image quality result, and true electronic synchronization is preferred. An off-the-shelf digital gyroscope with integrated Bluetooth interface provides a wireless solution to device synchronization. The sensor attaches to the SPECT gantry and reports its rotational speed to a notebook computer controlling the device. Software processes the rotation data in real-time, averaging the signal and issuing triggers while compensating for baseline drift. Motion commands are sent to the collimation device with minimal delay, within approximately 0.5 second of the start of SPECT gantry rotation. Test scans of a point source demonstrate an increase in true counts and a reduction in background counts compared to manual synchronization. The wireless rotation sensor provides robust synchronization of the collimation device with the clinical SPECT scanner and enhances image quality.

  20. Comparison of Epson scanner quality for radiochromic film evaluation

    PubMed Central

    Alnawaf, Hani; Yu, Peter K.N.

    2012-01-01

    Epson Desktop scanners have been quoted as devices which match the characteristics required for the evaluation of radiation dose exposure by radiochromic films. Specifically, models such as the 10000XL have been used successfully for image analysis and are recommended by ISP for dosimetry purposes. This note investigates and compares the scanner characteristics of three Epson desktop scanner models including the Epson 10000XL, V700, and V330. Both of the latter are substantially cheaper models capable of A4 scanning. As the price variation between the V330 and the 10000XL is 20‐fold (based on Australian recommended retail price), cost savings by using the cheaper scanners may be warranted based on results. By a direct comparison of scanner uniformity and reproducibility we can evaluate the accuracy of these scanners for radiochromic film dosimetry. Results have shown that all three scanners can produce adequate scanner uniformity and reproducibility, with the inexpensive V330 producing a standard deviation variation across its landscape direction of 0.7% and 1.2% in the portrait direction (reflection mode). This is compared to the V700 in reflection mode of 0.25% and 0.5% for landscape and portrait directions, respectively, and 0.5% and 0.8% for the 10000XL. In transmission mode, the V700 is comparable in reproducibility to the 10000XL for portrait and landscape mode, whilst the V330 is only capable of scanning in the landscape direction and produces a standard deviation in this direction of 1.0% compared to 0.6% (V700) and 0.25% (10000XL). Results have shown that the V700 and 10000XL are comparable scanners in quality and accuracy with the 10000XL obviously capable of imaging over an A3 area as opposed to an A4 area for the V700. The V330 scanner produced slightly lower accuracy and quality with uncertainties approximately twice as much as the other scanners. However, the results show that the V330 is still an adequate scanner and could be used for radiation

  1. Choosing a Scanner: Points To Consider before Buying a Scanner.

    ERIC Educational Resources Information Center

    Raby, Chris

    1998-01-01

    Outlines ten factors to consider before buying a scanner: size of document; type of document; color; speed and volume; resolution; image enhancement; image compression; optical character recognition; scanning subsystem; and the option to use a commercial bureau service. The importance of careful analysis of requirements is emphasized. (AEF)

  2. 11. SITE BUILDING 002 SCANNER BUILDING EVAPORATIVE COOLING ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    11. SITE BUILDING 002 - SCANNER BUILDING - EVAPORATIVE COOLING TOWER SYSTEM IN FOREGROUND. - Cape Cod Air Station, Technical Facility-Scanner Building & Power Plant, Massachusetts Military Reservation, Sandwich, Barnstable County, MA

  3. Scanners for analytic print measurement: the devil in the details

    NASA Astrophysics Data System (ADS)

    Zeise, Eric K.; Williams, Don; Burns, Peter D.; Kress, William C.

    2007-01-01

    Inexpensive and easy-to-use linear and area-array scanners have frequently substituted as colorimeters and densitometers for low-frequency (i.e., large area) hard copy image measurement. Increasingly, scanners are also being used for high spatial frequency, image microstructure measurements, which were previously reserved for high performance microdensitometers. In this paper we address characteristics of flatbed reflection scanners in the evaluation of print uniformity, geometric distortion, geometric repeatability and the influence of scanner MTF and noise on analytic measurements. Suggestions are made for the specification and evaluation of scanners to be used in print image quality standards that are being developed.

  4. Free-space wavelength-multiplexed optical scanner demonstration.

    PubMed

    Yaqoob, Zahid; Riza, Nabeel A

    2002-09-10

    Experimental demonstration of a no-moving-parts free-space wavelength-multiplexed optical scanner (W-MOS) is presented. With fast tunable lasers or optical filters and planar wavelength dispersive elements such as diffraction gratings, this microsecond-speed scanner enables large several-centimeter apertures for subdegree angular scans. The proposed W-MOS design incorporates a unique optical amplifier and variable optical attenuator combination that enables the calibration and modulation of the scanner response, leading to any desired scanned laser beam power shaping. The experimental setup uses a tunable laser centered at 1560 nm and a 600-grooves/mm blazed reflection grating to accomplish an angular scan of 12.92 degrees as the source is tuned over an 80-nm bandwidth. The values for calculated maximum optical beam divergance, required wavelength resolution, beam-pointing accuracy, and measured scanner insertion loss are 1.076 mrad, 0.172 nm, 0.06 mrad, and 4.88 dB, respectively.

  5. Biomedical applications of a real-time terahertz color scanner

    PubMed Central

    Schirmer, Markus; Fujio, Makoto; Minami, Masaaki; Miura, Jiro; Araki, Tsutomu; Yasui, Takeshi

    2010-01-01

    A real-time THz color scanner has the potential to further expand the application scope of THz spectral imaging based on its rapid image acquisition rate. We demonstrated three possible applications of a THz color scanner in the biomedical field: imaging of pharmaceutical tablets, human teeth, and human hair. The first application showed the scanner’s potential in total inspection for rapid quality control of pharmaceutical tablets moving on a conveyor belt. The second application demonstrated that the scanner can be used to identify a potential indicator for crystallinity of dental tissue. In the third application, the scanner was successfully used to visualize the drying process of wet hairs. These demonstrations indicated the high potential of the THz color scanner for practical applications in the biomedical field. PMID:21258472

  6. Accuracy in contouring of small and low contrast lesions: Comparison between diagnostic quality computed tomography scanner and computed tomography simulation scanner-A phantom study

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ho, Yick Wing, E-mail: mpr@hksh.com; Wong, Wing Kei Rebecca; Yu, Siu Ki

    2012-01-01

    To evaluate the accuracy in detection of small and low-contrast regions using a high-definition diagnostic computed tomography (CT) scanner compared with a radiotherapy CT simulation scanner. A custom-made phantom with cylindrical holes of diameters ranging from 2-9 mm was filled with 9 different concentrations of contrast solution. The phantom was scanned using a 16-slice multidetector CT simulation scanner (LightSpeed RT16, General Electric Healthcare, Milwaukee, WI) and a 64-slice high-definition diagnostic CT scanner (Discovery CT750 HD, General Electric Healthcare). The low-contrast regions of interest (ROIs) were delineated automatically upon their full width at half maximum of the CT number profile inmore » Hounsfield units on a treatment planning workstation. Two conformal indexes, CI{sub in}, and CI{sub out}, were calculated to represent the percentage errors of underestimation and overestimation in the automated contours compared with their actual sizes. Summarizing the conformal indexes of different sizes and contrast concentration, the means of CI{sub in} and CI{sub out} for the CT simulation scanner were 33.7% and 60.9%, respectively, and 10.5% and 41.5% were found for the diagnostic CT scanner. The mean differences between the 2 scanners' CI{sub in} and CI{sub out} were shown to be significant with p < 0.001. A descending trend of the index values was observed as the ROI size increases for both scanners, which indicates an improved accuracy when the ROI size increases, whereas no observable trend was found in the contouring accuracy with respect to the contrast levels in this study. Images acquired by the diagnostic CT scanner allow higher accuracy on size estimation compared with the CT simulation scanner in this study. We recommend using a diagnostic CT scanner to scan patients with small lesions (<1 cm in diameter) for radiotherapy treatment planning, especially for those pending for stereotactic radiosurgery in which accurate delineation of

  7. Comparison of Cyberware PX and PS 3D human head scanners

    NASA Astrophysics Data System (ADS)

    Carson, Jeremy; Corner, Brian D.; Crockett, Eric; Li, Peng; Paquette, Steven

    2008-02-01

    A common limitation of laser line three-Dimensional (3D) scanners is the inability to scan objects with surfaces that are either parallel to the laser line or that self-occlude. Filling in missing areas adds some unwanted inaccuracy to the 3D model. Capturing the human head with a Cyberware PS Head Scanner is an example of obtaining a model where the incomplete areas are difficult to fill accurately. The PS scanner uses a single vertical laser line to illuminate the head and is unable to capture data at top of the head, where the line of sight is tangent to the surface, and under the chin, an area occluded by the chin when the subject looks straight forward. The Cyberware PX Scanner was developed to obtain this missing 3D head data. The PX scanner uses two cameras offset at different angles to provide a more detailed head scan that captures surfaces missed by the PS scanner. The PX scanner cameras also use new technology to obtain color maps that are of higher resolution than the PS Scanner. The two scanners were compared in terms of amount of surface captured (surface area and volume) and the quality of head measurements when compared to direct measurements obtained through standard anthropometry methods. Relative to the PS scanner, the PX head scans were more complete and provided the full set of head measurements, but actual measurement values, when available from both scanners, were about the same.

  8. MFP scanner motion characterization using self-printed target

    NASA Astrophysics Data System (ADS)

    Kim, Minwoong; Bauer, Peter; Wagner, Jerry K.; Allebach, Jan P.

    2015-01-01

    Multifunctional printers (MFP) are products that combine the functions of a printer, scanner, and copier. Our goal is to help customers to be able to easily diagnose scanner or print quality issues with their products by developing an automated diagnostic system embedded in the product. We specifically focus on the characterization of scanner motions, which may be defective due to irregular movements of the scan-head. The novel design of our test page and two-stage diagnostic algorithm are described in this paper. The most challenging issue is to evaluate the scanner performance properly when both printer and scanner units contribute to the motion errors. In the first stage called the uncorrected-print-error-stage, aperiodic and periodic motion behaviors are characterized in both the spatial and frequency domains. Since it is not clear how much of the error is contributed by each unit, the scanned input is statistically analyzed in the second stage called the corrected-print-error-stage. Finally, the described diagnostic algorithms output the estimated scan error and print error separately as RMS values of the displacement of the scan and print lines, respectively, from their nominal positions in the scanner or printer motion direction. We validate our test page design and approaches by ground truth obtained from a high-precision, chrome-on-glass reticle manufactured using semiconductor chip fabrication technologies.

  9. Input Scanners: A Growing Impact In A Diverse Marketplace

    NASA Astrophysics Data System (ADS)

    Marks, Kevin E.

    1989-08-01

    Just as newly invented photographic processes revolutionized the printing industry at the turn of the century, electronic imaging has affected almost every computer application today. To completely emulate traditionally mechanical means of information handling, computer based systems must be able to capture graphic images. Thus, there is a widespread need for the electronic camera, the digitizer, the input scanner. This paper will review how various types of input scanners are being used in many diverse applications. The following topics will be covered: - Historical overview of input scanners - New applications for scanners - Impact of scanning technology on select markets - Scanning systems issues

  10. 33. SITE BUILDING 002 SCANNER BUILDING MECHANICAL ROOM ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    33. SITE BUILDING 002 - SCANNER BUILDING - MECHANICAL ROOM 105, VIEW OF CHILLER ROOM MOTOR CONTROL CENTER. - Cape Cod Air Station, Technical Facility-Scanner Building & Power Plant, Massachusetts Military Reservation, Sandwich, Barnstable County, MA

  11. FormScanner: Open-Source Solution for Grading Multiple-Choice Exams

    NASA Astrophysics Data System (ADS)

    Young, Chadwick; Lo, Glenn; Young, Kaisa; Borsetta, Alberto

    2016-01-01

    The multiple-choice exam remains a staple for many introductory physics courses. In the past, people have graded these by hand or even flaming needles. Today, one usually grades the exams with a form scanner that utilizes optical mark recognition (OMR). Several companies provide these scanners and particular forms, such as the eponymous "Scantron." OMR scanners combine hardware and software—a scanner and OMR program—to read and grade student-filled forms.

  12. 18. SITE BUILDING 002 SCANNER BUILDING VIEW OF ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    18. SITE BUILDING 002 - SCANNER BUILDING - VIEW OF SITE SECURITY OFFICE ACCESS DOOR FROM EXTERIOR OF OFFICE. - Cape Cod Air Station, Technical Facility-Scanner Building & Power Plant, Massachusetts Military Reservation, Sandwich, Barnstable County, MA

  13. Developments in holographic-based scanner designs

    NASA Astrophysics Data System (ADS)

    Rowe, David M.

    1997-07-01

    Holographic-based scanning systems have been used for years in the high resolution prepress markets where monochromatic lasers are generally utilized. However, until recently, due to the dispersive properties of holographic optical elements (HOEs), along with the high cost associated with recording 'master' HOEs, holographic scanners have not been able to penetrate major scanning markets such as the laser printer and digital copier markets, low to mid-range imagesetter markets, and the non-contact inspection scanner market. Each of these markets has developed cost effective laser diode based solutions using conventional scanning approaches such as polygon/f-theta lens combinations. In order to penetrate these markets, holographic-based systems must exhibit low cost and immunity to wavelength shifts associated with laser diodes. This paper describes recent developments in the design of holographic scanners in which multiple HOEs, each possessing optical power, are used in conjunction with one curved mirror to passively correct focal plane position errors and spot size changes caused by the wavelength instability of laser diodes. This paper also describes recent advancements in low cost production of high quality HOEs and curved mirrors. Together these developments allow holographic scanners to be economically competitive alternatives to conventional devices in every segment of the laser scanning industry.

  14. 24. SITE BUILDING 002 SCANNER BUILDING OPERATIONS CENTER ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    24. SITE BUILDING 002 - SCANNER BUILDING - OPERATIONS CENTER -- MWOC IN OPEARATION AT 1924 ZULU TIME. 26 OCTOBER, 1999. - Cape Cod Air Station, Technical Facility-Scanner Building & Power Plant, Massachusetts Military Reservation, Sandwich, Barnstable County, MA

  15. 2. SITE BUILDING 002 SCANNER BUILDING VIEW IS ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    2. SITE BUILDING 002 - SCANNER BUILDING - VIEW IS LOOKING NORTH 80° WEST "B" FACE ALONG BUILDING "A" FACE. - Cape Cod Air Station, Technical Facility-Scanner Building & Power Plant, Massachusetts Military Reservation, Sandwich, Barnstable County, MA

  16. Evaluation of portable CT scanners for otologic image-guided surgery

    PubMed Central

    Balachandran, Ramya; Schurzig, Daniel; Fitzpatrick, J Michael; Labadie, Robert F

    2011-01-01

    Purpose Portable CT scanners are beneficial for diagnosis in the intensive care unit, emergency room, and operating room. Portable fixed-base versus translating-base CT systems were evaluated for otologic image-guided surgical (IGS) applications based on geometric accuracy and utility for percutaneous cochlear implantation. Methods Five cadaveric skulls were fitted with fiducial markers and scanned using both a translating-base, 8-slice CT scanner (CereTom®) and a fixed-base, flat-panel, volume-CT (fpVCT) scanner (Xoran xCAT®). Images were analyzed for: (a) subjective quality (i.e. noise), (b) consistency of attenuation measurements (Hounsfield units) across similar tissue, and (c) geometric accuracy of fiducial marker positions. The utility of these scanners in clinical IGS cases was tested. Results Five cadaveric specimens were scanned using each of the scanners. The translating-base, 8-slice CT scanner had spatially consistent Hounsfield units, and the image quality was subjectively good. However, because of movement variations during scanning, the geometric accuracy of fiducial marker positions was low. The fixed-base, fpVCT system had high spatial resolution, but the images were noisy and had spatially inconsistent attenuation measurements; while the geometric representation of the fiducial markers was highly accurate. Conclusion Two types of portable CT scanners were evaluated for otologic IGS. The translating-base, 8-slice CT scanner provided better image quality than a fixed-base, fpVCT scanner. However, the inherent error in three-dimensional spatial relationships by the translating-based system makes it suboptimal for otologic IGS use. PMID:21779768

  17. Reconstruction artifacts in VRX CT scanner images

    NASA Astrophysics Data System (ADS)

    Rendon, David A.; DiBianca, Frank A.; Keyes, Gary S.

    2008-03-01

    Variable Resolution X-ray (VRX) CT scanners allow imaging of different sized anatomy at the same level of detail using the same device. This is achieved by tilting the x-ray detectors so that the projected size of the detecting elements is varied to produce reconstructions of smaller fields of view with higher spatial resolution. As with regular CT scanners, the images obtained with VRX scanners are affected by different kinds of artifacts of various origins. This work studies some of these artifacts and the impact that the VRX effect has on them. For this, computational models of single-arm single-slice VRX scanners are used to produce images with artifacts commonly found in routine use. These images and artifacts are produced using our VRX CT scanner simulator, which allows us to isolate the system parameters that have a greater effect on the artifacts. A study of the behavior of the artifacts at varying VRX opening angles is presented for scanners implemented using two different detectors. The results show that, although varying the VRX angle will have an effect on the severity of each of the artifacts studied, for some of these artifacts the effect of other factors (such as the distribution of the detector cells and the position of the phantom in the reconstruction grid) is overwhelmingly more significant. This is shown to be the case for streak artifacts produced by thin metallic objects. For some artifacts related to beam hardening, their severity was found to decrease along with the VRX angle. These observations allow us to infer that in regular use the effect of the VRX angle artifacts similar to the ones studied here will not be noticeable as it will be overshadowed by parameters that cannot be easily controlled outside of a computational model.

  18. 23. SITE BUILDING 002 SCANNER BUILDING RADAR CONTROL ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    23. SITE BUILDING 002 - SCANNER BUILDING - RADAR CONTROL INTERFACE "RCL NO. 2" WITH COMPUTER CONTROL DISC DRIVE UNITS IN FOREGROUND. - Cape Cod Air Station, Technical Facility-Scanner Building & Power Plant, Massachusetts Military Reservation, Sandwich, Barnstable County, MA

  19. Laser identification system based on acousto-optical barcode scanner principles

    NASA Astrophysics Data System (ADS)

    Khansuvarov, Ruslan A.; Korol, Georgy I.; Preslenev, Leonid N.; Bestugin, Aleksandr R.; Paraskun, Arthur S.

    2016-09-01

    The main purpose of the bar code in the modern world is the unique identification of the product, service, or any of their features, so personal and stationary barcode scanners so widely used. One of the important parameters of bar code scanners is their reliability, accuracy of the barcode recognition, response time and performance. Nowadays, the most popular personal barcode scanners contain a mechanical part, which extremely impairs the reliability indices. Group of SUAI engineers has proposed bar code scanner based on laser beam acoustic deflection effect in crystals [RU patent No 156009 issued 4/16/2015] Through the use of an acousto-optic deflector element in barcode scanner described by a group of engineers SUAI, it can be implemented in the manual form factor, and the stationary form factor of a barcode scanner. Being a wave electronic device, an acousto-optic element in the composition of the acousto-optic barcode scanner allows you to clearly establish a mathematical link between the encoded function of the bar code with the accepted input photodetector intensities function that allows you to speak about the great probability of a bar code clear definition. This paper provides a description of the issued patent, the description of the principles of operation based on the mathematical analysis, a description of the layout of the implemented scanner.

  20. Biomedical imaging and sensing using flatbed scanners.

    PubMed

    Göröcs, Zoltán; Ozcan, Aydogan

    2014-09-07

    In this Review, we provide an overview of flatbed scanner based biomedical imaging and sensing techniques. The extremely large imaging field-of-view (e.g., ~600-700 cm(2)) of these devices coupled with their cost-effectiveness provide unique opportunities for digital imaging of samples that are too large for regular optical microscopes, and for collection of large amounts of statistical data in various automated imaging or sensing tasks. Here we give a short introduction to the basic features of flatbed scanners also highlighting the key parameters for designing scientific experiments using these devices, followed by a discussion of some of the significant examples, where scanner-based systems were constructed to conduct various biomedical imaging and/or sensing experiments. Along with mobile phones and other emerging consumer electronics devices, flatbed scanners and their use in advanced imaging and sensing experiments might help us transform current practices of medicine, engineering and sciences through democratization of measurement science and empowerment of citizen scientists, science educators and researchers in resource limited settings.

  1. Biomedical Imaging and Sensing using Flatbed Scanners

    PubMed Central

    Göröcs, Zoltán; Ozcan, Aydogan

    2014-01-01

    In this Review, we provide an overview of flatbed scanner based biomedical imaging and sensing techniques. The extremely large imaging field-of-view (e.g., ~600–700 cm2) of these devices coupled with their cost-effectiveness provide unique opportunities for digital imaging of samples that are too large for regular optical microscopes, and for collection of large amounts of statistical data in various automated imaging or sensing tasks. Here we give a short introduction to the basic features of flatbed scanners also highlighting the key parameters for designing scientific experiments using these devices, followed by a discussion of some of the significant examples, where scanner-based systems were constructed to conduct various biomedical imaging and/or sensing experiments. Along with mobile phones and other emerging consumer electronics devices, flatbed scanners and their use in advanced imaging and sensing experiments might help us transform current practices of medicine, engineering and sciences through democratization of measurement science and empowerment of citizen scientists, science educators and researchers in resource limited settings. PMID:24965011

  2. High throughput optical scanner

    DOEpatents

    Basiji, David A.; van den Engh, Gerrit J.

    2001-01-01

    A scanning apparatus is provided to obtain automated, rapid and sensitive scanning of substrate fluorescence, optical density or phosphorescence. The scanner uses a constant path length optical train, which enables the combination of a moving beam for high speed scanning with phase-sensitive detection for noise reduction, comprising a light source, a scanning mirror to receive light from the light source and sweep it across a steering mirror, a steering mirror to receive light from the scanning mirror and reflect it to the substrate, whereby it is swept across the substrate along a scan arc, and a photodetector to receive emitted or scattered light from the substrate, wherein the optical path length from the light source to the photodetector is substantially constant throughout the sweep across the substrate. The optical train can further include a waveguide or mirror to collect emitted or scattered light from the substrate and direct it to the photodetector. For phase-sensitive detection the light source is intensity modulated and the detector is connected to phase-sensitive detection electronics. A scanner using a substrate translator is also provided. For two dimensional imaging the substrate is translated in one dimension while the scanning mirror scans the beam in a second dimension. For a high throughput scanner, stacks of substrates are loaded onto a conveyor belt from a tray feeder.

  3. Regulation of X-Ray Security Scanners in Michigan.

    PubMed

    Parry, Donald E

    2016-02-01

    In January of 2013 the Transportation Security Administration (TSA) ordered the removal of x-ray security scanners from airports by June of 2013. Since that time several of these scanners have been purchased at a reduced cost by various state and county governments for use in screening individuals entering or leaving their facilities. To address this issue the Radiation Safety Section of the State of Michigan drafted a set of registration conditions for facilities to follow when using these security scanners. Inspection procedures and measurement protocols were developed to estimate the dose to screened individuals. Inspections were performed on nine of the 16 registered backscatter scanners in the state and the one transmission scanner. The average estimated effective dose to screened individuals was ∼11 nSv for a two view scan from a backscatter system. The effective dose was 0.446 μSv, 0.330 μSv, and 0.150 μSv for a transmission system operated in the high, medium, and low dose modes, respectively. The limit suggested in the new registration condition is 0.25 μSv for a general use system and 10 μSv for a limited use system.

  4. Handheld laser scanner automatic registration based on random coding

    NASA Astrophysics Data System (ADS)

    He, Lei; Yu, Chun-ping; Wang, Li

    2011-06-01

    Current research on Laser Scanner often focuses mainly on the static measurement. Little use has been made of dynamic measurement, that are appropriate for more problems and situations. In particular, traditional Laser Scanner must Keep stable to scan and measure coordinate transformation parameters between different station. In order to make the scanning measurement intelligently and rapidly, in this paper ,we developed a new registration algorithm for handleheld laser scanner based on the positon of target, which realize the dynamic measurement of handheld laser scanner without any more complex work. the double camera on laser scanner can take photograph of the artificial target points to get the three-dimensional coordinates, this points is designed by random coding. And then, a set of matched points is found from control points to realize the orientation of scanner by the least-square common points transformation. After that the double camera can directly measure the laser point cloud in the surface of object and get the point cloud data in an unified coordinate system. There are three major contributions in the paper. Firstly, a laser scanner based on binocular vision is designed with double camera and one laser head. By those, the real-time orientation of laser scanner is realized and the efficiency is improved. Secondly, the coding marker is introduced to solve the data matching, a random coding method is proposed. Compared with other coding methods,the marker with this method is simple to match and can avoid the shading for the object. Finally, a recognition method of coding maker is proposed, with the use of the distance recognition, it is more efficient. The method present here can be used widely in any measurement from small to huge obiect, such as vehicle, airplane which strengthen its intelligence and efficiency. The results of experiments and theory analzing demonstrate that proposed method could realize the dynamic measurement of handheld laser

  5. Whole-body 3D scanner and scan data report

    NASA Astrophysics Data System (ADS)

    Addleman, Stephen R.

    1997-03-01

    With the first whole-body 3D scanner now available the next adventure confronting the user is what to do with all of the data. While the system was built for anthropologists, it has created interest among users from a wide variety of fields. Users with applications in the fields of anthropology, costume design, garment design, entertainment, VR and gaming have a need for the data in formats unique to their fields. Data from the scanner is being converted to solid models for art and design and NURBS for computer graphics applications. Motion capture has made scan data move and dance. The scanner has created a need for advanced application software just as other scanners have in the past.

  6. 5. SITE BUILDING 002 SCANNER BUILDING AT "A" ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    5. SITE BUILDING 002 - SCANNER BUILDING - AT "A" FACE (ON SOUTH SIDE) LOOKING DIRECTLY UP RADAR SYSTEM EMITTER/ANTENNA ARRAY FACE WITH 90MM STANDARD LENS. - Cape Cod Air Station, Technical Facility-Scanner Building & Power Plant, Massachusetts Military Reservation, Sandwich, Barnstable County, MA

  7. 6. SITE BUILDING 002 SCANNER BUILDING AT "A" ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    6. SITE BUILDING 002 - SCANNER BUILDING - AT "A" FACE (ON SOUTH SIDE) LOOKING DIRECTLY UP RADAR SYSTEM EMITTER/ANTENNA ARRAY FACE WITH 65MM WIDE ANGLE LENS. - Cape Cod Air Station, Technical Facility-Scanner Building & Power Plant, Massachusetts Military Reservation, Sandwich, Barnstable County, MA

  8. A flexible and wearable terahertz scanner

    NASA Astrophysics Data System (ADS)

    Suzuki, D.; Oda, S.; Kawano, Y.

    2016-12-01

    Imaging technologies based on terahertz (THz) waves have great potential for use in powerful non-invasive inspection methods. However, most real objects have various three-dimensional curvatures and existing THz technologies often encounter difficulties in imaging such configurations, which limits the useful range of THz imaging applications. Here, we report the development of a flexible and wearable THz scanner based on carbon nanotubes. We achieved room-temperature THz detection over a broad frequency band ranging from 0.14 to 39 THz and developed a portable THz scanner. Using this scanner, we performed THz imaging of samples concealed behind opaque objects, breakages and metal impurities of a bent film and multi-view scans of a syringe. We demonstrated a passive biometric THz scan of a human hand. Our results are expected to have considerable implications for non-destructive and non-contact inspections, such as medical examinations for the continuous monitoring of health conditions.

  9. A COST EFFECTIVE MULTI-SPECTRAL SCANNER FOR NATURAL GAS DETECTION

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yudaya Sivathanu; Jongmook Lim; Vinoo Narayanan

    The objective of this project is to design, fabricate and field demonstrate a cost effective, multi-spectral scanner for natural gas leak detection in transmission and distribution pipelines. During the first six months of the project, the design for a laboratory version of the multispectral scanner was completed. The optical, mechanical, and electronic design for the scanner was completed. The optical design was analyzed using Zeemax Optical Design software and found to provide sufficiently resolved performance for the scanner. The electronic design was evaluated using a bread board and very high signal to noise ratios were obtained. Fabrication of a laboratorymore » version of the multi-spectral scanner is currently in progress. A technology status report and a research management plan was also completed during the same period.« less

  10. NS001MS - Landsat-D thematic mapper band aircraft scanner

    NASA Technical Reports Server (NTRS)

    Richard, R. R.; Merkel, R. F.; Meeks, G. R.

    1978-01-01

    The thematic mapper is a multispectral scanner which will be launched aboard Landsat-D in the early 1980s. Compared with previous Landsat scanners, this instrument will have an improved spatial resolution (30 m) and new spectral bands. Designated NS001MS, the scanner is designed to duplicate the thematic mapper spectral bands plus two additional bands (1.0 to 1.3 microns and 2.08 to 2.35 microns) in an aircraft scanner for evaluation and investigation prior to design and launch of the final thematic mapper. Applicable specifications used in defining the thematic mapper were retained in the NS001MS design, primarily with respect to spectral bandwidths, noise equivalent reflectance, and noise equivalent difference temperature. The technical design and operational characteristics of the multispectral scanner (with thematic mapper bands) are discussed.

  11. Two-dimensional scanner apparatus. [flaw detector in small flat plates

    NASA Technical Reports Server (NTRS)

    Kurtz, G. W.; Bankston, B. F. (Inventor)

    1984-01-01

    An X-Y scanner utilizes an eddy current or ultrasonic current test probe to detect surface defects in small flat plates and the like. The apparatus includes a scanner which travels on a pair of slide tubes in the X-direction. The scanner, carried on a carriage which slides in the Y-direction, is driven by a helix shaft with a closed-loop helix groove in which a follower pin carried by scanner rides. The carriage is moved incrementally in the Y-direction upon the completion of travel of the scanner back and forth in the X-direction by means of an indexing actuator and an indexing gear. The actuator is in the form of a ratchet which engages ratchet gear upon return of the scanner to the indexing position. The indexing gear is rotated a predetermined increment along a crack gear to move carriage incrementally in the Y-direction. Thus, simplified highly responsive mechanical motion may be had in a small lightweight portable unit for accurate scanning of small area.

  12. 25. SITE BUILDING 002 SCANNER BUILDING OPERATIONS CENTER ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    25. SITE BUILDING 002 - SCANNER BUILDING - OPERATIONS CENTER - MWOC IN OPERATION AT 1930 ZULU TIME, 26 OCTOBER, 1999. MWOC SCREEN ALSO SHOWS RADAR "FACE A" AND "FACE B" ACTIVE STATUS. - Cape Cod Air Station, Technical Facility-Scanner Building & Power Plant, Massachusetts Military Reservation, Sandwich, Barnstable County, MA

  13. 10. SITE BUILDING 002 SCANNER BUILDING LOOKING AT ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    10. SITE BUILDING 002 - SCANNER BUILDING - LOOKING AT SOUTHWEST CORNER "B" FACE AND "C" FACE ON WEST AND EVAPORATIVE COOLING TOWER AT NORTH. VIEW IS LOOKING NORTH 45° EAST. - Cape Cod Air Station, Technical Facility-Scanner Building & Power Plant, Massachusetts Military Reservation, Sandwich, Barnstable County, MA

  14. 19. SITE BUILDING 002 SCANNER BUILDING AIR POLICE ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    19. SITE BUILDING 002 - SCANNER BUILDING - AIR POLICE SITE SECURITY OFFICE WITH "SITE PERIMETER STATUS PANEL" AND REAL TIME VIDEO DISPLAY OUTPUT FROM VIDEO CAMERA SYSTEM AT SECURITY FENCE LOCATIONS. - Cape Cod Air Station, Technical Facility-Scanner Building & Power Plant, Massachusetts Military Reservation, Sandwich, Barnstable County, MA

  15. 9. SITE BUILDING 002 SCANNER BUILDING LOOKING AT ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    9. SITE BUILDING 002 - SCANNER BUILDING - LOOKING AT "C" FACE RADAR SYSTEM EMITTER/ANTENNA. VIEW IS LOOKING SOUTH 30° EAST (NOTE: "C" FACE NOT IN USE AT FACILITY). - Cape Cod Air Station, Technical Facility-Scanner Building & Power Plant, Massachusetts Military Reservation, Sandwich, Barnstable County, MA

  16. 34. SITE BUILDING 002 SCANNER BUILDING ROOM 105 ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    34. SITE BUILDING 002 - SCANNER BUILDING - ROOM 105 - CHILLER ROOM, SHOWING SINGLE COMPRESSOR, LIQUID CHILLERS AND "CHILLED WATER RETURN", COOLING TOWER 'TOWER WATER RETURN" AND 'TOWER WATER SUPPLY" LINES. - Cape Cod Air Station, Technical Facility-Scanner Building & Power Plant, Massachusetts Military Reservation, Sandwich, Barnstable County, MA

  17. Method to evaluate the noise of 3D intra-oral scanner.

    PubMed

    Desoutter, Alban; Yusuf Solieman, Osama; Subsol, Gérard; Tassery, Hervé; Cuisinier, Frédéric; Fages, Michel

    2017-01-01

    In dentistry, 3D intra-oral scanners are gaining increasing popularity essentially for the production of dental prostheses. However, there is no normalized procedure to evaluate their basic performance and enable comparisons among intra-oral scanners. The noise value highlights the trueness of a 3D intra-oral scanner and its capacity to plan prosthesis with efficient clinical precision. The aim of the present study is to develop a reproducible methodology for determining the noise of an intra-oral scanner. To this aim, and as a reference, an ultra-flat and ultra-smooth alumina wafer is used as a blank test. The roughness is calculated using an AFM (atomic force microscope) and interferometric microscope measurements to validate this ultra-flat characteristic. Then, two intra-oral scanners (Carestream CS3500 and Trios 3Shape) are used. The wafer is imaged by the two intra-oral scanners with three different angles and two different directions, 10 times for each parameter, given a total of 50 3D-meshes per intra-oral scanner. RMS (root mean square), representing the noise, is evaluated and compared for each angle/direction and each intra-oral scanner, for the whole mesh, and then in a central ROI (region of interest). In this study, we obtained RMS values ranging between 5.29 and 12.58 micrometers. No statistically significant differences were found between the mean RMS of the two intra-oral scanners, but significant differences in angulation and orientations were found between different 3D intra-oral scanners. This study shows that the evaluation of RMS can be an indicator of the value of the noise, which can be easily assessed by applying the present methodology.

  18. Accuracy of single-abutment digital cast obtained using intraoral and cast scanners.

    PubMed

    Lee, Jae-Jun; Jeong, Ii-Do; Park, Jin-Young; Jeon, Jin-Hun; Kim, Ji-Hwan; Kim, Woong-Chul

    2017-02-01

    Scanners are frequently used in the fabrication of dental prostheses. However, the accuracy of these scanners is variable, and little information is available. The purpose of this in vitro study was to compare the accuracy of cast scanners with that of intraoral scanners by using different image impression techniques. A poly(methyl methacrylate) master model was fabricated to replicate a maxillary first molar single-abutment tooth model. The master model was scanned with an accurate engineering scanner to obtain a true value (n=1) and with 2 intraoral scanners (CEREC Bluecam and CEREC Omnicam; n=6 each). The cast scanner scanned the master model and duplicated the dental stone cast from the master model (n=6). The trueness and precision of the data were measured using a 3-dimensional analysis program. The Kruskal-Wallis test was used to compare the different sets of scanning data, followed by a post hoc Mann-Whitney U test with a significance level modified by Bonferroni correction (α/6=.0083). The type 1 error level (α) was set at .05. The trueness value (root mean square: mean ±standard deviation) was 17.5 ±1.8 μm for the Bluecam, 13.8 ±1.4 μm for the Omnicam, 17.4 ±1.7 μm for cast scanner 1, and 12.3 ±0.1 μm for cast scanner 2. The differences between the Bluecam and the cast scanner 1 and between the Omnicam and the cast scanner 2 were not statistically significant (P>.0083), but a statistically significant difference was found between all the other pairs (P<.0083). The precision of the scanners was 12.7 ±2.6 μm for the Bluecam, 12.5 ±3.7 μm for the Omnicam, 9.2 ±1.2 μm for cast scanner 1, and 6.9 ±2.6 μm for cast scanner 2. The differences between Bluecam and Omnicam and between Omnicam and cast scanner 1 were not statistically significant (P>.0083), but there was a statistically significant difference between all the other pairs (P<.0083). An Omnicam in video image impression had better trueness than a cast scanner but with a similar

  19. How flatbed scanners upset accurate film dosimetry

    NASA Astrophysics Data System (ADS)

    van Battum, L. J.; Huizenga, H.; Verdaasdonk, R. M.; Heukelom, S.

    2016-01-01

    Film is an excellent dosimeter for verification of dose distributions due to its high spatial resolution. Irradiated film can be digitized with low-cost, transmission, flatbed scanners. However, a disadvantage is their lateral scan effect (LSE): a scanner readout change over its lateral scan axis. Although anisotropic light scattering was presented as the origin of the LSE, this paper presents an alternative cause. Hereto, LSE for two flatbed scanners (Epson 1680 Expression Pro and Epson 10000XL), and Gafchromic film (EBT, EBT2, EBT3) was investigated, focused on three effects: cross talk, optical path length and polarization. Cross talk was examined using triangular sheets of various optical densities. The optical path length effect was studied using absorptive and reflective neutral density filters with well-defined optical characteristics (OD range 0.2-2.0). Linear polarizer sheets were used to investigate light polarization on the CCD signal in absence and presence of (un)irradiated Gafchromic film. Film dose values ranged between 0.2 to 9 Gy, i.e. an optical density range between 0.25 to 1.1. Measurements were performed in the scanner’s transmission mode, with red-green-blue channels. LSE was found to depend on scanner construction and film type. Its magnitude depends on dose: for 9 Gy increasing up to 14% at maximum lateral position. Cross talk was only significant in high contrast regions, up to 2% for very small fields. The optical path length effect introduced by film on the scanner causes 3% for pixels in the extreme lateral position. Light polarization due to film and the scanner’s optical mirror system is the main contributor, different in magnitude for the red, green and blue channel. We concluded that any Gafchromic EBT type film scanned with a flatbed scanner will face these optical effects. Accurate dosimetry requires correction of LSE, therefore, determination of the LSE per color channel and dose delivered to the film.

  20. Correcting lateral response artifacts from flatbed scanners for radiochromic film dosimetry.

    PubMed

    Lewis, David; Chan, Maria F

    2015-01-01

    lateral position response artifact. To validate this method, corrections were applied to several films that were exposed to 15 × 15 cm(2) open fields and large IMRT and VMAT fields and scanned at the extreme edges of the scan window in addition to the central location. Calibration and response data were used to generate dose maps and perform gamma analysis using single- or triple-channel dosimetry with FilmQAPro 2014 software. The authors' study found that calibration curves at the different lateral positions could be correlated by a simple two-point rescaling using the response for unexposed film as well as the response of film exposed at high doses between 800 and 1600 cGy. The coefficients A(L,X) and BL,X for each color channel X were found to be independent of dose at each lateral location L. This made it possible to apply the relationship Response(C, D, X) = A(L,X) + B(L,X) ⋅ Response(L, D, X), to the raw film responses, permitting correction of the response values at any lateral position to an equivalent response, as if that part of the film was located at the center of the scanner. This correction method was validated for several films exposed to open as well as large IMRT and VMAT fields. The work reported elaborates on the process using the correction procedures to eliminate the lateral response artifact and demonstrates improvements in the accuracy of radiochromic film dosimetry for the radiation therapy quality assurance applications.

  1. Scanner qualification with IntenCD based reticle error correction

    NASA Astrophysics Data System (ADS)

    Elblinger, Yair; Finders, Jo; Demarteau, Marcel; Wismans, Onno; Minnaert Janssen, Ingrid; Duray, Frank; Ben Yishai, Michael; Mangan, Shmoolik; Cohen, Yaron; Parizat, Ziv; Attal, Shay; Polonsky, Netanel; Englard, Ilan

    2010-03-01

    Scanner introduction into the fab production environment is a challenging task. An efficient evaluation of scanner performance matrices during factory acceptance test (FAT) and later on during site acceptance test (SAT) is crucial for minimizing the cycle time for pre and post production-start activities. If done effectively, the matrices of base line performance established during the SAT are used as a reference for scanner performance and fleet matching monitoring and maintenance in the fab environment. Key elements which can influence the cycle time of the SAT, FAT and maintenance cycles are the imaging, process and mask characterizations involved with those cycles. Discrete mask measurement techniques are currently in use to create across-mask CDU maps. By subtracting these maps from their final wafer measurement CDU map counterparts, it is possible to assess the real scanner induced printed errors within certain limitations. The current discrete measurement methods are time consuming and some techniques also overlook mask based effects other than line width variations, such as transmission and phase variations, all of which influence the final printed CD variability. Applied Materials Aera2TM mask inspection tool with IntenCDTM technology can scan the mask at high speed, offer full mask coverage and accurate assessment of all masks induced source of errors simultaneously, making it beneficial for scanner qualifications and performance monitoring. In this paper we report on a study that was done to improve a scanner introduction and qualification process using the IntenCD application to map the mask induced CD non uniformity. We will present the results of six scanners in production and discuss the benefits of the new method.

  2. Design study for Thermal Infrared Multispectral Scanner (TIMS)

    NASA Technical Reports Server (NTRS)

    Stanich, C. G.; Osterwisch, F. G.; Szeles, D. M.; Houtman, W. H.

    1981-01-01

    The feasibility of dividing the 8-12 micrometer thermal infrared wavelength region into six spectral bands by an airborne line scanner system was investigated. By combining an existing scanner design with a 6 band spectrometer, a system for the remote sensing of Earth resources was developed. The elements in the spectrometer include an off axis reflective collimator, a reflective diffraction grating, a triplet germanium imaging lens, a photoconductive mercury cadmium telluride sensor array, and the mechanical assembly to hold these parts and maintain their optical alignment across a broad temperature range. The existing scanner design was modified to accept the new spectrometer and two field filling thermal reference sources.

  3. Scanning properties of a resonant fiber-optic piezoelectric scanner

    NASA Astrophysics Data System (ADS)

    Li, Zhi; Yang, Zhe; Fu, Ling

    2011-12-01

    We develop a resonant fiber-optic scanner using four piezoelectric elements arranged as a square tube, which is efficient to manufacture and drive. Using coupled-field model based on finite element method, scanning properties of the scanner, including vibration mode, resonant frequency, and scanning range, are numerically studied. We also physically measure the effects of geometry sizes and drive signals on the scanning properties, thus providing a foundation for general purpose designs. A scanner adopted in a prototype of imaging system, with a diameter of ˜2 mm and driven by a voltage of 10 V (peak to peak), demonstrates the scanning performance by obtaining an image of resolution target bars. The proposed fiber-optic scanner can be applied to micro-endoscopy that requires two-dimensional scanning of fibers.

  4. 20. SITE BUILDING 002 SCANNER BUILDING IN COMPUTER ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    20. SITE BUILDING 002 - SCANNER BUILDING - IN COMPUTER ROOM LOOKING AT "CONSOLIDATED MAINTENANCE OPERATIONS CENTER" JOB AREA AND OPERATION WORK CENTER. TASKS INCLUDE RADAR MAINTENANCE, COMPUTER MAINTENANCE, CYBER COMPUTER MAINTENANCE AND RELATED ACTIVITIES. - Cape Cod Air Station, Technical Facility-Scanner Building & Power Plant, Massachusetts Military Reservation, Sandwich, Barnstable County, MA

  5. Targeted transgenic overexpression of mitochondrial thymidine kinase (TK2) alters mitochondrial DNA (mtDNA) and mitochondrial polypeptide abundance: transgenic TK2, mtDNA, and antiretrovirals.

    PubMed

    Hosseini, Seyed H; Kohler, James J; Haase, Chad P; Tioleco, Nina; Stuart, Tami; Keebaugh, Erin; Ludaway, Tomika; Russ, Rodney; Green, Elgin; Long, Robert; Wang, Liya; Eriksson, Staffan; Lewis, William

    2007-03-01

    Mitochondrial toxicity limits nucleoside reverse transcriptase inhibitors (NRTIs) for acquired immune deficiency syndrome. NRTI triphosphates, the active moieties, inhibit human immunodeficiency virus reverse transcriptase and eukaryotic mitochondrial DNA polymerase pol-gamma. NRTI phosphorylation seems to correlate with mitochondrial toxicity, but experimental evidence is lacking. Transgenic mice (TGs) with cardiac overexpression of thymidine kinase isoforms (mitochondrial TK2 and cytoplasmic TK1) were used to study NRTI mitochondrial toxicity. Echocardiography and nuclear magnetic resonance imaging defined cardiac performance and structure. TK gene copy and enzyme activity, mitochondrial (mt) DNA and polypeptide abundance, succinate dehydrogenase and cytochrome oxidase histochemistry, and electron microscopy correlated with transgenesis, mitochondrial structure, and biogenesis. Antiretroviral combinations simulated therapy. Untreated hTK1 or TK2 TGs exhibited normal left ventricle mass. In TK2 TGs, cardiac TK2 gene copy doubled, activity increased 300-fold, and mtDNA abundance doubled. Abundance of the 17-kd subunit of complex I, succinate dehydrogenase histochemical activity, and cristae density increased. NRTIs increased left ventricle mass 20% in TK2 TGs. TK activity increased 3 logs in hTK1 TGs, but no cardiac phenotype resulted. NRTIs abrogated functional effects of transgenically increased TK2 activity but had no effect on TK2 mtDNA abundance. Thus, NRTI mitochondrial phosphorylation by TK2 is integral to clinical NRTI mitochondrial toxicity.

  6. Vacuum Attachment for XRF Scanner

    NASA Technical Reports Server (NTRS)

    Schramm, Harry F.; Kaiser, Bruce

    2005-01-01

    Vacuum apparatuses have been developed for increasing the range of elements that can be identified by use of x-ray fluorescent (XRF) scanners of the type mentioned in the two immediately preceding articles. As a consequence of the underlying physical principles, in the presence of air, such an XRF scanner is limited to analysis of chlorine and elements of greater atomic number. When the XRF scanner is operated in a vacuum, it extends the range of analysis to lower atomic numbers - even as far as aluminum and sodium. Hence, more elements will be available for use in XRF labeling of objects as discussed in the two preceding articles. The added benefits of the extended capabilities also have other uses for NASA. Detection of elements of low atomic number is of high interest to the aerospace community. High-strength aluminum alloys will be easily analyzed for composition. Silicon, a major contaminant in certain processes, will be detectable before the process is begun, possibly eliminating weld or adhesion problems. Exotic alloys will be evaluated for composition prior to being placed in service where lives depend on them. And in the less glamorous applications, such as bolts and fasteners, substandard products and counterfeit items will be evaluated at the receiving function and never allowed to enter the operation

  7. Application of a laser scanner to three dimensional visual sensing tasks

    NASA Technical Reports Server (NTRS)

    Ryan, Arthur M.

    1992-01-01

    The issues are described which are associated with using a laser scanner for visual sensing and the methods developed by the author to address them. A laser scanner is a device that controls the direction of a laser beam by deflecting it through a pair of orthogonal mirrors, the orientations of which are specified by a computer. If a calibrated laser scanner is combined with a calibrated camera, it is possible to perform three dimensional sensing by directing the laser at objects within the field of view of the camera. There are several issues associated with using a laser scanner for three dimensional visual sensing that must be addressed in order to use the laser scanner effectively. First, methods are needed to calibrate the laser scanner and estimate three dimensional points. Second, methods to estimate three dimensional points using a calibrated camera and laser scanner are required. Third, methods are required for locating the laser spot in a cluttered image. Fourth, mathematical models that predict the laser scanner's performance and provide structure for three dimensional data points are necessary. Several methods were developed to address each of these and has evaluated them to determine how and when they should be applied. The theoretical development, implementation, and results when used in a dual arm eighteen degree of freedom robotic system for space assembly is described.

  8. Towards System Calibration of Panoramic Laser Scanners from a Single Station

    PubMed Central

    Medić, Tomislav; Holst, Christoph; Kuhlmann, Heiner

    2017-01-01

    Terrestrial laser scanner measurements suffer from systematic errors due to internal misalignments. The magnitude of the resulting errors in the point cloud in many cases exceeds the magnitude of random errors. Hence, the task of calibrating a laser scanner is important for applications with high accuracy demands. This paper primarily addresses the case of panoramic terrestrial laser scanners. Herein, it is proven that most of the calibration parameters can be estimated from a single scanner station without a need for any reference information. This hypothesis is confirmed through an empirical experiment, which was conducted in a large machine hall using a Leica Scan Station P20 panoramic laser scanner. The calibration approach is based on the widely used target-based self-calibration approach, with small modifications. A new angular parameterization is used in order to implicitly introduce measurements in two faces of the instrument and for the implementation of calibration parameters describing genuine mechanical misalignments. Additionally, a computationally preferable calibration algorithm based on the two-face measurements is introduced. In the end, the calibration results are discussed, highlighting all necessary prerequisites for the scanner calibration from a single scanner station. PMID:28513548

  9. 26. SITE BUILDING 002 SCANNER BUILDING OPERATIONS CENTER ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    26. SITE BUILDING 002 - SCANNER BUILDING - OPERATIONS CENTER - MWOC IN OPERATION AT 1945 ZULU TIME, 26 OCTOBER, 1999. "SPACE TRACK BOARD" DATA SHOWING ITEMS #16609 MIR (RUSSIA) AND #25544 ISS (INTERNATIONAL SPACE STATION) BEING TRACKED. - Cape Cod Air Station, Technical Facility-Scanner Building & Power Plant, Massachusetts Military Reservation, Sandwich, Barnstable County, MA

  10. Improved spatial resolution in PET scanners using sampling techniques

    PubMed Central

    Surti, Suleman; Scheuermann, Ryan; Werner, Matthew E.; Karp, Joel S.

    2009-01-01

    Increased focus towards improved detector spatial resolution in PET has led to the use of smaller crystals in some form of light sharing detector design. In this work we evaluate two sampling techniques that can be applied during calibrations for pixelated detector designs in order to improve the reconstructed spatial resolution. The inter-crystal positioning technique utilizes sub-sampling in the crystal flood map to better sample the Compton scatter events in the detector. The Compton scatter rejection technique, on the other hand, rejects those events that are located further from individual crystal centers in the flood map. We performed Monte Carlo simulations followed by measurements on two whole-body scanners for point source data. The simulations and measurements were performed for scanners using scintillators with Zeff ranging from 46.9 to 63 for LaBr3 and LYSO, respectively. Our results show that near the center of the scanner, inter-crystal positioning technique leads to a gain of about 0.5-mm in reconstructed spatial resolution (FWHM) for both scanner designs. In a small animal LYSO scanner the resolution improves from 1.9-mm to 1.6-mm with the inter-crystal technique. The Compton scatter rejection technique shows higher gains in spatial resolution but at the cost of reduction in scanner sensitivity. The inter-crystal positioning technique represents a modest acquisition software modification for an improvement in spatial resolution, but at a cost of potentially longer data correction and reconstruction times. The Compton scatter rejection technique, while also requiring a modest acquisition software change with no increased data correction and reconstruction times, will be useful in applications where the scanner sensitivity is very high and larger improvements in spatial resolution are desirable. PMID:19779586

  11. Laser excited confocal microscope fluorescence scanner and method

    DOEpatents

    Mathies, R.A.; Peck, K.

    1992-02-25

    A fluorescent scanner is designed for scanning the fluorescence from a fluorescence labeled separated sample on a sample carrier. The scanner includes a confocal microscope for illuminating a predetermined volume of the sample carrier and/or receiving and processing fluorescence emissions from the volume to provide a display of the separated sample. 8 figs.

  12. 21. SITE BUILDING 002 SCANNER BUILDING LOOKING AT ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    21. SITE BUILDING 002 - SCANNER BUILDING - LOOKING AT DISC STORAGE SYSTEMS A AND B (A OR B ARE REDUNDANT SYSTEMS), ONE MAINFRAME COMPUTER ON LINE, ONE ON STANDBY WITH STORAGE TAPE, ONE ON STANDBY WITHOUT TAPE INSTALLED. - Cape Cod Air Station, Technical Facility-Scanner Building & Power Plant, Massachusetts Military Reservation, Sandwich, Barnstable County, MA

  13. Optical fuel pin scanner

    DOEpatents

    Kirchner, Tommy L.; Powers, Hurshal G.

    1983-01-01

    An optical scanner for indicia arranged in a focal plane at a cylindrical outside surface by use of an optical system including a rotatable dove prism. The dove prism transmits a rotating image of an encircled cylindrical surface area to a stationary photodiode array.

  14. Moths on the Flatbed Scanner: The Art of Joseph Scheer

    PubMed Central

    Buchmann, Stephen L.

    2011-01-01

    During the past decade a few artists and even fewer entomologists discovered flatbed scanning technology, using extreme resolution graphical arts scanners for acquiring high magnification digital images of plants, animals and inanimate objects. They are not just for trip receipts anymore. The special attributes of certain scanners, to image thick objects is discussed along with the technical features of the scanners including magnification, color depth and shadow detail. The work of pioneering scanner artist, Joseph Scheer from New York's Alfred University is highlighted. Representative flatbed-scanned images of moths are illustrated along with techniques to produce them. Collecting and preparing moths, and other objects, for scanning are described. Highlights of the Fulbright sabbatical year of professor Scheer in Arizona and Sonora, Mexico are presented, along with comments on moths in science, folklore, art and pop culture. The use of flatbed scanners is offered as a relatively new method for visualizing small objects while acquiring large files for creating archival inkjet prints for display and sale. PMID:26467835

  15. A LabVIEW® based generic CT scanner control software platform.

    PubMed

    Dierick, M; Van Loo, D; Masschaele, B; Boone, M; Van Hoorebeke, L

    2010-01-01

    UGCT, the Centre for X-ray tomography at Ghent University (Belgium) does research on X-ray tomography and its applications. This includes the development and construction of state-of-the-art CT scanners for scientific research. Because these scanners are built for very different purposes they differ considerably in their physical implementations. However, they all share common principle functionality. In this context a generic software platform was developed using LabVIEW® in order to provide the same interface and functionality on all scanners. This article describes the concept and features of this software, and its potential for tomography in a research setting. The core concept is to rigorously separate the abstract operation of a CT scanner from its actual physical configuration. This separation is achieved by implementing a sender-listener architecture. The advantages are that the resulting software platform is generic, scalable, highly efficient, easy to develop and to extend, and that it can be deployed on future scanners with minimal effort.

  16. Evaluation of PeneloPET Simulations of Biograph PET/CT Scanners

    NASA Astrophysics Data System (ADS)

    Abushab, K. M.; Herraiz, J. L.; Vicente, E.; Cal-González, J.; España, S.; Vaquero, J. J.; Jakoby, B. W.; Udías, J. M.

    2016-06-01

    Monte Carlo (MC) simulations are widely used in positron emission tomography (PET) for optimizing detector design, acquisition protocols, and evaluating corrections and reconstruction methods. PeneloPET is a MC code based on PENELOPE, for PET simulations which considers detector geometry, acquisition electronics and materials, and source definitions. While PeneloPET has been successfully employed and validated with small animal PET scanners, it required a proper validation with clinical PET scanners including time-of-flight (TOF) information. For this purpose, we chose the family of Biograph PET/CT scanners: the Biograph True-Point (B-TP), Biograph True-Point with TrueV (B-TPTV) and the Biograph mCT. They have similar block detectors and electronics, but a different number of rings and configuration. Some effective parameters of the simulations, such as the dead-time and the size of the reflectors in the detectors, were adjusted to reproduce the sensitivity and noise equivalent count (NEC) rate of the B-TPTV scanner. These parameters were then used to make predictions of experimental results such as sensitivity, NEC rate, spatial resolution, and scatter fraction (SF), from all the Biograph scanners and some variations of them (energy windows and additional rings of detectors). Predictions agree with the measured values for the three scanners, within 7% (sensitivity and NEC rate) and 5% (SF). The resolution obtained for the B-TPTV is slightly better (10%) than the experimental values. In conclusion, we have shown that PeneloPET is suitable for simulating and investigating clinical systems with good accuracy and short computational time, though some effort tuning of a few parameters of the scanners modeled may be needed in case that the full details of the scanners studied are not available.

  17. Spectra of clinical CT scanners using a portable Compton spectrometer.

    PubMed

    Duisterwinkel, H A; van Abbema, J K; van Goethem, M J; Kawachimaru, R; Paganini, L; van der Graaf, E R; Brandenburg, S

    2015-04-01

    Spectral information of the output of x-ray tubes in (dual source) computer tomography (CT) scanners can be used to improve the conversion of CT numbers to proton stopping power and can be used to advantage in CT scanner quality assurance. The purpose of this study is to design, validate, and apply a compact portable Compton spectrometer that was constructed to accurately measure x-ray spectra of CT scanners. In the design of the Compton spectrometer, the shielding materials were carefully chosen and positioned to reduce background by x-ray fluorescence from the materials used. The spectrum of Compton scattered x-rays alters from the original source spectrum due to various physical processes. Reconstruction of the original x-ray spectrum from the Compton scattered spectrum is based on Monte Carlo simulations of the processes involved. This reconstruction is validated by comparing directly and indirectly measured spectra of a mobile x-ray tube. The Compton spectrometer is assessed in a clinical setting by measuring x-ray spectra at various tube voltages of three different medical CT scanner x-ray tubes. The directly and indirectly measured spectra are in good agreement (their ratio being 0.99) thereby validating the reconstruction method. The measured spectra of the medical CT scanners are consistent with theoretical spectra and spectra obtained from the x-ray tube manufacturer. A Compton spectrometer has been successfully designed, constructed, validated, and applied in the measurement of x-ray spectra of CT scanners. These measurements show that our compact Compton spectrometer can be rapidly set-up using the alignment lasers of the CT scanner, thereby enabling its use in commissioning, troubleshooting, and, e.g., annual performance check-ups of CT scanners.

  18. Free-space wavelength-multiplexed optical scanner.

    PubMed

    Yaqoob, Z; Rizvi, A A; Riza, N A

    2001-12-10

    A wavelength-multiplexed optical scanning scheme is proposed for deflecting a free-space optical beam by selection of the wavelength of the light incident on a wavelength-dispersive optical element. With fast tunable lasers or optical filters, this scanner features microsecond domain scan setting speeds and large- diameter apertures of several centimeters or more for subdegree angular scans. Analysis performed indicates an optimum scan range for a given diffraction order and grating period. Limitations include beam-spreading effects based on the varying scanner aperture sizes and the instantaneous information bandwidth of the data-carrying laser beam.

  19. Miniature rotating transmissive optical drum scanner

    NASA Technical Reports Server (NTRS)

    Lewis, Robert (Inventor); Parrington, Lawrence (Inventor); Rutberg, Michael (Inventor)

    2013-01-01

    A miniature rotating transmissive optical scanner system employs a drum of small size having an interior defined by a circumferential wall rotatable on a drum axis, an optical element positioned within the interior of the drum, and a light-transmissive lens aperture provided at an angular position in the circumferential wall of the drum for scanning a light beam to or from the optical element in the drum along a beam azimuth angle as the drum is rotated. The miniature optical drum scanner configuration obtains a wide scanning field-of-view (FOV) and large effective aperture is achieved within a physically small size.

  20. Miniaturized Fourier-plane fiber scanner for OCT endoscopy

    NASA Astrophysics Data System (ADS)

    Vilches, Sergio; Kretschmer, Simon; Ataman, Çağlar; Zappe, Hans

    2017-10-01

    A forward-looking endoscopic optical coherence tomography (OCT) probe featuring a Fourier-plane fiber scanner is designed, manufactured and characterized. In contrast to common image-plane fiber scanners, the Fourier-plane scanner is a telecentric arrangement that eliminates vignetting and spatial resolution variations across the image plane. To scan the OCT beam in a spiral pattern, a tubular piezoelectric actuator is used to resonate an optical fiber bearing a collimating GRIN lens at its tip. The free-end of the GRIN lens sits at the back focal plane of an objective lens, such that its rotation replicates the beam angles in the collimated region of a classical telecentric 4f optical system. Such an optical arrangement inherently has a low numerical aperture combined with a relatively large field-of-view, rendering it particularly useful for endoscopic OCT imaging. Furthermore, the optical train of the Fourier-plane scanner is shorter than that of a comparable image-plane scanner by one focal length of the objective lens, significantly shortening the final arrangement. As a result, enclosed within a 3D printed housing of 2.5 mm outer diameter and 15 mm total length, the developed probe is the most compact forward-looking endoscopic OCT imager to date. Due to its compact form factor and compatibility with real-time OCT imaging, the developed probe is also ideal for use in the working channel of flexible endoscopes as a potential optical biopsy tool.

  1. An RF dosimeter for independent SAR measurement in MRI scanners

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Qian, Di; Bottomley, Paul A.; El-Sharkawy, AbdEl-Monem M.

    2013-12-15

    Purpose: The monitoring and management of radio frequency (RF) exposure is critical for ensuring magnetic resonance imaging (MRI) safety. Commercial MRI scanners can overestimate specific absorption rates (SAR) and improperly restrict clinical MRI scans or the application of new MRI sequences, while underestimation of SAR can lead to tissue heating and thermal injury. Accurate scanner-independent RF dosimetry is essential for measuring actual exposure when SAR is critical for ensuring regulatory compliance and MRI safety, for establishing RF exposure while evaluating interventional leads and devices, and for routine MRI quality assessment by medical physicists. However, at present there are no scanner-independentmore » SAR dosimeters. Methods: An SAR dosimeter with an RF transducer comprises two orthogonal, rectangular copper loops and a spherical MRI phantom. The transducer is placed in the magnet bore and calibrated to approximate the resistive loading of the scanner's whole-body birdcage RF coil for human subjects in Philips, GE and Siemens 3 tesla (3T) MRI scanners. The transducer loop reactances are adjusted to minimize interference with the transmit RF field (B{sub 1}) at the MRI frequency. Power from the RF transducer is sampled with a high dynamic range power monitor and recorded on a computer. The deposited power is calibrated and tested on eight different MRI scanners. Whole-body absorbed power vs weight and body mass index (BMI) is measured directly on 26 subjects. Results: A single linear calibration curve sufficed for RF dosimetry at 127.8 MHz on three different Philips and three GE 3T MRI scanners. An RF dosimeter operating at 123.2 MHz on two Siemens 3T scanners required a separate transducer and a slightly different calibration curve. Measurement accuracy was ∼3%. With the torso landmarked at the xiphoid, human adult whole‑body absorbed power varied approximately linearly with patient weight and BMI. This indicates that whole-body torso SAR is

  2. Performance of an improved first generation optical CT scanner for 3D dosimetry

    NASA Astrophysics Data System (ADS)

    Qian, Xin; Adamovics, John; Wuu, Cheng-Shie

    2013-12-01

    Performance analysis of a modified 3D dosimetry optical scanner based on the first generation optical CT scanner OCTOPUS is presented. The system consists of PRESAGE™ dosimeters, the modified 3D scanner, and a new developed in-house user control panel written in Labview program which provides more flexibility to optimize mechanical control and data acquisition technique. The total scanning time has been significantly reduced from initial 8 h to ∼2 h by using the modified scanner. The functional performance of the modified scanner has been evaluated in terms of the mechanical integrity uncertainty of the data acquisition process. Optical density distribution comparison between the modified scanner, OCTOPUS and the treatment plan system has been studied. It has been demonstrated that the agreement between the modified scanner and treatment plans is comparable with that between the OCTOPUS and treatment plans.

  3. 52. View from ground level showing lower radar scanner switch ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    52. View from ground level showing lower radar scanner switch with open port door in radar scanner building 105 showing emanating waveguides from lower switch in vertical run; photograph also shows catwalk to upper scanner switch in upper left side of photograph and structural supports. - Clear Air Force Station, Ballistic Missile Early Warning System Site II, One mile west of mile marker 293.5 on Parks Highway, 5 miles southwest of Anderson, Anderson, Denali Borough, AK

  4. Monte Carlo simulation of efficient data acquisition for an entire-body PET scanner

    NASA Astrophysics Data System (ADS)

    Isnaini, Ismet; Obi, Takashi; Yoshida, Eiji; Yamaya, Taiga

    2014-07-01

    Conventional PET scanners can image the whole body using many bed positions. On the other hand, an entire-body PET scanner with an extended axial FOV, which can trace whole-body uptake images at the same time and improve sensitivity dynamically, has been desired. The entire-body PET scanner would have to process a large amount of data effectively. As a result, the entire-body PET scanner has high dead time at a multiplex detector grouping process. Also, the entire-body PET scanner has many oblique line-of-responses. In this work, we study an efficient data acquisition for the entire-body PET scanner using the Monte Carlo simulation. The simulated entire-body PET scanner based on depth-of-interaction detectors has a 2016-mm axial field-of-view (FOV) and an 80-cm ring diameter. Since the entire-body PET scanner has higher single data loss than a conventional PET scanner at grouping circuits, the NECR of the entire-body PET scanner decreases. But, single data loss is mitigated by separating the axially arranged detector into multiple parts. Our choice of 3 groups of axially-arranged detectors has shown to increase the peak NECR by 41%. An appropriate choice of maximum ring difference (MRD) will also maintain the same high performance of sensitivity and high peak NECR while at the same time reduces the data size. The extremely-oblique line of response for large axial FOV does not contribute much to the performance of the scanner. The total sensitivity with full MRD increased only 15% than that with about half MRD. The peak NECR was saturated at about half MRD. The entire-body PET scanner promises to provide a large axial FOV and to have sufficient performance values without using the full data.

  5. 29. SITE BUILDING 002 SCANNER BUILDING FLOOR 3A ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    29. SITE BUILDING 002 - SCANNER BUILDING - FLOOR 3A ("A" FACE) AT SYSTEM LAYOUT GRID 17. GENERAL OBLIQUE VIEW OF "A" FACE INTERIOR SHOWING RADAR EMITTER/ANTENNA INTERFACE ELECTRONICS. - Cape Cod Air Station, Technical Facility-Scanner Building & Power Plant, Massachusetts Military Reservation, Sandwich, Barnstable County, MA

  6. The conical scanner evaluation system design

    NASA Technical Reports Server (NTRS)

    Cumella, K. E.; Bilanow, S.; Kulikov, I. B.

    1982-01-01

    The software design for the conical scanner evaluation system is presented. The purpose of this system is to support the performance analysis of the LANDSAT-D conical scanners, which are infrared horizon detection attitude sensors designed for improved accuracy. The system consists of six functionally independent subsystems and five interface data bases. The system structure and interfaces of each of the subsystems is described and the content, format, and file structure of each of the data bases is specified. For each subsystem, the functional logic, the control parameters, the baseline structure, and each of the subroutines are described. The subroutine descriptions include a procedure definition and the input and output parameters.

  7. Quantitative image feature variability amongst CT scanners with a controlled scan protocol

    NASA Astrophysics Data System (ADS)

    Ger, Rachel B.; Zhou, Shouhao; Chi, Pai-Chun Melinda; Goff, David L.; Zhang, Lifei; Lee, Hannah J.; Fuller, Clifton D.; Howell, Rebecca M.; Li, Heng; Stafford, R. Jason; Court, Laurence E.; Mackin, Dennis S.

    2018-02-01

    Radiomics studies often analyze patient computed tomography (CT) images acquired from different CT scanners. This may result in differences in imaging parameters, e.g. different manufacturers, different acquisition protocols, etc. However, quantifiable differences in radiomics features can occur based on acquisition parameters. A controlled protocol may allow for minimization of these effects, thus allowing for larger patient cohorts from many different CT scanners. In order to test radiomics feature variability across different CT scanners a radiomics phantom was developed with six different cartridges encased in high density polystyrene. A harmonized protocol was developed to control for tube voltage, tube current, scan type, pitch, CTDIvol, convolution kernel, display field of view, and slice thickness across different manufacturers. The radiomics phantom was imaged on 18 scanners using the control protocol. A linear mixed effects model was created to assess the impact of inter-scanner variability with decomposition of feature variation between scanners and cartridge materials. The inter-scanner variability was compared to the residual variability (the unexplained variability) and to the inter-patient variability using two different patient cohorts. The patient cohorts consisted of 20 non-small cell lung cancer (NSCLC) and 30 head and neck squamous cell carcinoma (HNSCC) patients. The inter-scanner standard deviation was at least half of the residual standard deviation for 36 of 49 quantitative image features. The ratio of inter-scanner to patient coefficient of variation was above 0.2 for 22 and 28 of the 49 features for NSCLC and HNSCC patients, respectively. Inter-scanner variability was a significant factor compared to patient variation in this small study for many of the features. Further analysis with a larger cohort will allow more thorough analysis with additional variables in the model to truly isolate the interscanner difference.

  8. LANDSAT-4 multispectral scanner (MSS) subsystem radiometric characterization

    NASA Technical Reports Server (NTRS)

    Alford, W. (Editor); Barker, J. (Editor); Clark, B. P.; Dasgupta, R.

    1983-01-01

    The multispectral band scanner (mass) and its spectral characteristics are described and methods are given for relating video digital levels on computer compatible tapes to radiance into the sensor. Topics covered include prelaunch calibration procedures and postlaunch radiometric processng. Examples of current data resident on the MSS image processing system are included. The MSS on LANDSAT 4 is compared with the scanners on earlier LANDSAT satellites.

  9. PET/CT scanners: a hardware approach to image fusion.

    PubMed

    Townsend, David W; Beyer, Thomas; Blodgett, Todd M

    2003-07-01

    New technology that combines positron tomography with x-ray computed tomography (PET/CT) is available from all major vendors of PET imaging equipment: CTI, Siemens, GE, Philips. Although not all vendors have made the same design choices as those described in this review all have in common that their high performance design places a commercial CT scanner in tandem with a commercial PET scanner. The level of physical integration is actually less than that of the original prototype design where the CT and PET components were mounted on the same rotating support. There will undoubtedly be a demand for PET/CT technology with a greater level of integration, and at a reduced cost. This may be achieved through the design of a scanner specifically for combined anatomical and functional imaging, rather than a design combining separate CT and PET scanners, as in the current approaches. By avoiding the duplication of data acquisition and image reconstruction functions, for example, a more integrated design should also allow cost savings over current commercial PET/CT scanners. The goal is then to design and build a device specifically for imaging the function and anatomy of cancer in the most optimal and effective way, without conceptualizing it as combined PET and CT. The development of devices specifically for imaging a particular disease (eg, cancer) differs from the conventional approach of, for example, an all-purpose anatomical imaging device such as a CT scanner. This new concept targets more of a disease management approach rather than the usual division into the medical specialties of radiology (anatomical imaging) and nuclear medicine (functional imaging). Copyright 2003 Elsevier Inc. All rights reserved.

  10. Efficient system modeling for a small animal PET scanner with tapered DOI detectors.

    PubMed

    Zhang, Mengxi; Zhou, Jian; Yang, Yongfeng; Rodríguez-Villafuerte, Mercedes; Qi, Jinyi

    2016-01-21

    A prototype small animal positron emission tomography (PET) scanner for mouse brain imaging has been developed at UC Davis. The new scanner uses tapered detector arrays with depth of interaction (DOI) measurement. In this paper, we present an efficient system model for the tapered PET scanner using matrix factorization and a virtual scanner geometry. The factored system matrix mainly consists of two components: a sinogram blurring matrix and a geometrical matrix. The geometric matrix is based on a virtual scanner geometry. The sinogram blurring matrix is estimated by matrix factorization. We investigate the performance of different virtual scanner geometries. Both simulation study and real data experiments are performed in the fully 3D mode to study the image quality under different system models. The results indicate that the proposed matrix factorization can maintain image quality while substantially reduce the image reconstruction time and system matrix storage cost. The proposed method can be also applied to other PET scanners with DOI measurement.

  11. Quantitation of clinical feedback on image quality differences between two CT scanner models.

    PubMed

    Bache, Steven T; Stauduhar, Paul J; Liu, Xinming; Loyer, Evelyne M; John, Rong X

    2017-03-01

    The aim of this work was to quantitate differences in image quality between two GE CT scanner models - the LightSpeed VCT ("VCT") and Discovery HD750 ("HD") - based upon feedback from radiologists at our institution. First, 3 yrs of daily QC images of the manufacturer-provided QC phantom from 10 scanners - five of each model - were analyzed for both noise magnitude, measured as CT-number standard deviation, and noise power spectrum within the uniform water section. The same phantom was then scanned on four of each model and analyzed for low contrast detectability (LCD) using a built-in LCD tool at the scanner console. An anthropomorphic phantom was scanned using the same eight scanners. A slice within the abdomen section was chosen and three ROIs were placed in regions representing liver, stomach, and spleen. Both standard deviation of CT-number and LCD value was calculated for each image. Noise magnitude was 8.5% higher in HD scanners compared to VCT scanners. An associated increase in the magnitude of the noise power spectra were also found, but both peak and mean NPS frequency were not different between the two models. VCT scanners outperformed HD scanners with respect to LCD by an average of 13.1% across all scanners and phantoms. Our results agree with radiologist feedback, and necessitate a closer look at our body CT protocols among different scanner models at our institution. © 2017 The Authors. Journal of Applied Clinical Medical Physics published by Wiley Periodicals, Inc. on behalf of American Association of Physicists in Medicine.

  12. Effects of sitting versus standing and scanner type on cashiers.

    PubMed

    Lehman, K R; Psihogios, J P; Meulenbroek, R G

    2001-06-10

    In the retail supermarket industry where cashiers perform repetitive, light manual material-handling tasks when scanning and handling products, reports of musculoskeletal disorders and discomfort are high. Ergonomics tradeoffs exist between sitting and standing postures, which are further confounded by the checkstand design and point-of-sale technology, such as the scanner. A laboratory experiment study was conducted to understand the effects of working position (sitting versus standing) and scanner type (bi-optic versus single window) on muscle activity, upper limb and spinal posture, and subjective preference of cashiers. Ten cashiers from a Dutch retailer participated in the study. Cashiers exhibited lower muscle activity in the neck and shoulders when standing and using a bi-optic scanner. Shoulder abduction was also less for standing conditions. In addition, all cashiers preferred using the bi-optic scanner with mixed preferences for sitting (n = 6) and standing (n = 4). Static loading of the muscles was relatively high compared with benchmarks, suggesting that during the task of scanning, cashiers may not have adequate recovery time to prevent fatigue. It is recommended that retailers integrate bi-optic scanners into standing checkstands to minimize postural stress, fatigue and discomfort in cashiers.

  13. Galileo spacecraft autonomous attitude determination using a V-slit star scanner

    NASA Technical Reports Server (NTRS)

    Mobasser, Sohrab; Lin, Shuh-Ren

    1991-01-01

    The autonomous attitude determination system of Galileo spacecraft, consisting of a radiation hardened star scanner and a processing algorithm is presented. The algorithm applying to this system are the sequential star identification and attitude estimation. The star scanner model is reviewed in detail and the flight software parameters that must be updated frequently during flight, due to degradation of the scanner response and the star background change are identified.

  14. Multi-spectral Line Scanner image of Northern California

    NASA Image and Video Library

    1973-06-22

    S73-34295B (June 1973) --- A vertical view of a portion of northern California reproduced from data taken from the Skylab Multispectral Scanner, experiment S192, in the Skylab space station in Earth orbit. This view is the most westerly one-third of Frame No. 001, Roll No. 518, S192, Skylab 2. Frame No. 001 extends from the Pacific coast at the Eureka area southeasterly 175 nautical miles to the Feather River drainage basin. Included in this view are Lake Shasta, Sacramento River Valley, Redding and Red Bluff. This non-photographic image is a color composite of channels 2 (visible), 7, and 12 (infrared) from the Earth Resources Experiments Package (EREP) S192 scanner. The scanner techniques assist with spectral signature identification and mapping of ground truth targets in agriculture, forestry, geology, hydrology and oceanography. Photo credit: NASA

  15. Immersion and dry scanner extensions for sub-10nm production nodes

    NASA Astrophysics Data System (ADS)

    Weichselbaum, Stefan; Bornebroek, Frank; de Kort, Toine; Droste, Richard; de Graaf, Roelof F.; van Ballegoij, Rob; Botter, Herman; McLaren, Matthew G.; de Boeij, Wim P.

    2015-03-01

    Progressing towards the 10nm and 7nm imaging node, pattern-placement and layer-to-layer overlay requirements keep on scaling down and drives system improvements in immersion (ArFi) and dry (ArF/KrF) scanners. A series of module enhancements in the NXT platform have been introduced; among others, the scanner is equipped with exposure stages with better dynamics and thermal control. Grid accuracy improvements with respect to calibration, setup, stability, and layout dependency tighten MMO performance and enable mix and match scanner operation. The same platform improvements also benefit focus control. Improvements in detectability and reproducibility of low contrast alignment marks enhance the alignment solution window for 10nm logic processes and beyond. The system's architecture allows dynamic use of high-order scanner optimization based on advanced actuators of projection lens and scanning stages. This enables a holistic optimization approach for the scanner, the mask, and the patterning process. Productivity scanner design modifications esp. stage speeds and optimization in metrology schemes provide lower layer costs for customers using immersion lithography as well as conventional dry technology. Imaging, overlay, focus, and productivity data is presented, that demonstrates 10nm and 7nm node litho-capability for both (immersion & dry) platforms.

  16. Standardizing CT lung density measure across scanner manufacturers.

    PubMed

    Chen-Mayer, Huaiyu Heather; Fuld, Matthew K; Hoppel, Bernice; Judy, Philip F; Sieren, Jered P; Guo, Junfeng; Lynch, David A; Possolo, Antonio; Fain, Sean B

    2017-03-01

    Computed Tomography (CT) imaging of the lung, reported in Hounsfield Units (HU), can be parameterized as a quantitative image biomarker for the diagnosis and monitoring of lung density changes due to emphysema, a type of chronic obstructive pulmonary disease (COPD). CT lung density metrics are global measurements based on lung CT number histograms, and are typically a quantity specifying either the percentage of voxels with CT numbers below a threshold, or a single CT number below which a fixed relative lung volume, nth percentile, falls. To reduce variability in the density metrics specified by CT attenuation, the Quantitative Imaging Biomarkers Alliance (QIBA) Lung Density Committee has organized efforts to conduct phantom studies in a variety of scanner models to establish a baseline for assessing the variations in patient studies that can be attributed to scanner calibration and measurement uncertainty. Data were obtained from a phantom study on CT scanners from four manufacturers with several protocols at various tube potential voltage (kVp) and exposure settings. Free from biological variation, these phantom studies provide an assessment of the accuracy and precision of the density metrics across platforms solely due to machine calibration and uncertainty of the reference materials. The phantom used in this study has three foam density references in the lung density region, which, after calibration against a suite of Standard Reference Materials (SRM) foams with certified physical density, establishes a HU-electron density relationship for each machine-protocol. We devised a 5-step calibration procedure combined with a simplified physical model that enabled the standardization of the CT numbers reported across a total of 22 scanner-protocol settings to a single energy (chosen at 80 keV). A standard deviation was calculated for overall CT numbers for each density, as well as by scanner and other variables, as a measure of the variability, before and after the

  17. Thermal Infrared Multispectral Scanner (TIMS): An investigator's guide to TIMS data

    NASA Technical Reports Server (NTRS)

    Palluconi, F. D.; Meeks, G. R.

    1985-01-01

    The Thermal Infrared Multispectral Scanner (TIMS) is a NASA aircraft scanner providing six channel spectral capability in the thermal infrared region of the electromagnetic spectrum. Operating in the atmospheric window region (8 to 12 micrometers) with a channel sensitivity of approximately 0.1 C, TIMS may be used whenever an accurate measure of the Earth's surface is needed. A description of this scanner is provided as well as a discussion of data acquisition and reduction.

  18. What Scanner products are available?

    Atmospheric Science Data Center

    2014-12-08

    ... not provide the full diurnal coverage, which can affect the quality of the shortwave and longwave estimate. ERBS covers all 24-hour local ... algorithm. Because of these differences, it is best to work with these two data sets separately. ERBE/ERBS scanner operated ...

  19. A new PET scanner with semiconductor detectors enables better identification of intratumoral inhomogeneity.

    PubMed

    Shiga, Tohru; Morimoto, Yuichi; Kubo, Naoki; Katoh, Norio; Katoh, Chietsugu; Takeuchi, Wataru; Usui, Reiko; Hirata, Kenji; Kojima, Shinichi; Umegaki, Kikuo; Shirato, Hiroki; Tamaki, Nagara

    2009-01-01

    An autoradiography method revealed intratumoral inhomogeneity in various solid tumors. It is becoming increasingly important to estimate intratumoral inhomogeneity. However, with low spatial resolution and high scatter noise, it is difficult to detect intratumoral inhomogeneity in clinical settings. We developed a new PET system with CdTe semiconductor detectors to provide images with high spatial resolution and low scatter noise. Both phantom images and patients' images were analyzed to evaluate intratumoral inhomogeneity. This study was performed with a cold spot phantom that had 6-mm-diameter cold sphenoid defects, a dual-cylinder phantom with an adjusted concentration of 1:2, and an "H"-shaped hot phantom. These were surrounded with water. Phantom images and (18)F-FDG PET images of patients with nasopharyngeal cancer were compared with conventional bismuth germanate PET images. Profile curves for the phantoms were measured as peak-to-valley ratios to define contrast. Intratumoral inhomogeneity and tumor edge sharpness were evaluated on the images of the patients. The contrast obtained with the semiconductor PET scanner (1.53) was 28% higher than that obtained with the conventional scanner (1.20) for the 6-mm-diameter cold sphenoid phantom. The contrast obtained with the semiconductor PET scanner (1.43) was 27% higher than that obtained with the conventional scanner (1.13) for the dual-cylinder phantom. Similarly, the 2-mm cold region between 1-mm hot rods was identified only by the new PET scanner and not by the conventional scanner. The new PET scanner identified intratumoral inhomogeneity in more detail than the conventional scanner in 6 of 10 patients. The tumor edge was sharper on the images obtained with the new PET scanner than on those obtained with the conventional scanner. These phantom and clinical studies suggested that this new PET scanner has the potential for better identification of intratumoral inhomogeneity, probably because of its high spatial

  20. A general solution for the registration of optical multispectral scanners

    NASA Technical Reports Server (NTRS)

    Rader, M. L.

    1974-01-01

    The paper documents a general theory for registration (mapping) of data sets gathered by optical scanners such as the ERTS satellite MSS and the Skylab S-192 MSS. This solution is generally applicable to scanners which have rotating optics. Navigation data and ground control points are used in a statistically weighted adjustment based on a mathematical model of the dynamics of the spacecraft and the scanner system. This adjustment is very similar to the well known photogrammetric adjustments used in aerial mapping. Actual tests have been completed on NASA aircraft 24 channel MSS data, and the results are very encouraging.

  1. Hand-held optical fuel pin scanner

    DOEpatents

    Kirchner, T.L.; Powers, H.G.

    1980-12-07

    An optical scanner for indicia arranged in a focal plane perpendicular to an optical system including a rotatable dove prism. The dove prism transmits a rotating image to a stationary photodiode array.

  2. Hand-held optical fuel pin scanner

    DOEpatents

    Kirchner, Tommy L.; Powers, Hurshal G.

    1987-01-01

    An optical scanner for indicia arranged in a focal plane perpendicular to an optical system including a rotatable dove prism. The dove prism transmits a rotating image to a stationary photodiode array.

  3. Characterization and control of EUV scanner dose uniformity and stability

    NASA Astrophysics Data System (ADS)

    Robinson, Chris; Corliss, Dan; Meli, Luciana; Johnson, Rick

    2018-03-01

    The EUV source is an impressive feat of engineering that provides 13.5 nm radiation by vaporizing tin droplets with a high power CO2 laser and focusing the photons produced in the resultant plasma into the scanner illumination system. Great strides have been made in addressing the many potential stability challenges, but there are still residual spatial and temporal dose non-uniformity signatures. Since even small dose errors can impact the yieldable process window for the advanced lithography products that are exposed on EUV scanners it is crucial to monitor and control the dose variability. Using on-board metrology, the EUV scanner outputs valuable metrics that provide real time insight into the dose performance. We have supplemented scanner data collection with a wafer based methodology that provides high throughput, high sensitivity, quantitative characterization of the EUV scanner dose delivery. The technique uses open frame EUV exposures, so it is exclusive of lithographic pattern imaging, exclusive of lithographic mask pattern and not limited by placement of metrology features. Processed wafers are inspected rapidly, providing 20,000 pixels of detail per exposure field in approximately one minute. Exposing the wafer on the scanner with a bit less than the resist E0 (open frame clearing dose) results in good sensitivity to small variations in the EUV dose delivered. The nominal exposure dose can be modulated by field to calibrate the inspection results and provide quantitative assessment of variations with < 1% sensitivity. This technique has been used for dose uniformity assessments. It is also being used for long term dose stability monitoring and has proven valuable for short term dose stability follow up investigations.

  4. Ultra-High-Resolution Computed Tomography of the Lung: Image Quality of a Prototype Scanner.

    PubMed

    Kakinuma, Ryutaro; Moriyama, Noriyuki; Muramatsu, Yukio; Gomi, Shiho; Suzuki, Masahiro; Nagasawa, Hirobumi; Kusumoto, Masahiko; Aso, Tomohiko; Muramatsu, Yoshihisa; Tsuchida, Takaaki; Tsuta, Koji; Maeshima, Akiko Miyagi; Tochigi, Naobumi; Watanabe, Shun-Ichi; Sugihara, Naoki; Tsukagoshi, Shinsuke; Saito, Yasuo; Kazama, Masahiro; Ashizawa, Kazuto; Awai, Kazuo; Honda, Osamu; Ishikawa, Hiroyuki; Koizumi, Naoya; Komoto, Daisuke; Moriya, Hiroshi; Oda, Seitaro; Oshiro, Yasuji; Yanagawa, Masahiro; Tomiyama, Noriyuki; Asamura, Hisao

    2015-01-01

    The image noise and image quality of a prototype ultra-high-resolution computed tomography (U-HRCT) scanner was evaluated and compared with those of conventional high-resolution CT (C-HRCT) scanners. This study was approved by the institutional review board. A U-HRCT scanner prototype with 0.25 mm x 4 rows and operating at 120 mAs was used. The C-HRCT images were obtained using a 0.5 mm x 16 or 0.5 mm x 64 detector-row CT scanner operating at 150 mAs. Images from both scanners were reconstructed at 0.1-mm intervals; the slice thickness was 0.25 mm for the U-HRCT scanner and 0.5 mm for the C-HRCT scanners. For both scanners, the display field of view was 80 mm. The image noise of each scanner was evaluated using a phantom. U-HRCT and C-HRCT images of 53 images selected from 37 lung nodules were then observed and graded using a 5-point score by 10 board-certified thoracic radiologists. The images were presented to the observers randomly and in a blinded manner. The image noise for U-HRCT (100.87 ± 0.51 Hounsfield units [HU]) was greater than that for C-HRCT (40.41 ± 0.52 HU; P < .0001). The image quality of U-HRCT was graded as superior to that of C-HRCT (P < .0001) for all of the following parameters that were examined: margins of subsolid and solid nodules, edges of solid components and pulmonary vessels in subsolid nodules, air bronchograms, pleural indentations, margins of pulmonary vessels, edges of bronchi, and interlobar fissures. Despite a larger image noise, the prototype U-HRCT scanner had a significantly better image quality than the C-HRCT scanners.

  5. Ultra-High-Resolution Computed Tomography of the Lung: Image Quality of a Prototype Scanner

    PubMed Central

    Kakinuma, Ryutaro; Moriyama, Noriyuki; Muramatsu, Yukio; Gomi, Shiho; Suzuki, Masahiro; Nagasawa, Hirobumi; Kusumoto, Masahiko; Aso, Tomohiko; Muramatsu, Yoshihisa; Tsuchida, Takaaki; Tsuta, Koji; Maeshima, Akiko Miyagi; Tochigi, Naobumi; Watanabe, Shun-ichi; Sugihara, Naoki; Tsukagoshi, Shinsuke; Saito, Yasuo; Kazama, Masahiro; Ashizawa, Kazuto; Awai, Kazuo; Honda, Osamu; Ishikawa, Hiroyuki; Koizumi, Naoya; Komoto, Daisuke; Moriya, Hiroshi; Oda, Seitaro; Oshiro, Yasuji; Yanagawa, Masahiro; Tomiyama, Noriyuki; Asamura, Hisao

    2015-01-01

    Purpose The image noise and image quality of a prototype ultra-high-resolution computed tomography (U-HRCT) scanner was evaluated and compared with those of conventional high-resolution CT (C-HRCT) scanners. Materials and Methods This study was approved by the institutional review board. A U-HRCT scanner prototype with 0.25 mm x 4 rows and operating at 120 mAs was used. The C-HRCT images were obtained using a 0.5 mm x 16 or 0.5 mm x 64 detector-row CT scanner operating at 150 mAs. Images from both scanners were reconstructed at 0.1-mm intervals; the slice thickness was 0.25 mm for the U-HRCT scanner and 0.5 mm for the C-HRCT scanners. For both scanners, the display field of view was 80 mm. The image noise of each scanner was evaluated using a phantom. U-HRCT and C-HRCT images of 53 images selected from 37 lung nodules were then observed and graded using a 5-point score by 10 board-certified thoracic radiologists. The images were presented to the observers randomly and in a blinded manner. Results The image noise for U-HRCT (100.87 ± 0.51 Hounsfield units [HU]) was greater than that for C-HRCT (40.41 ± 0.52 HU; P < .0001). The image quality of U-HRCT was graded as superior to that of C-HRCT (P < .0001) for all of the following parameters that were examined: margins of subsolid and solid nodules, edges of solid components and pulmonary vessels in subsolid nodules, air bronchograms, pleural indentations, margins of pulmonary vessels, edges of bronchi, and interlobar fissures. Conclusion Despite a larger image noise, the prototype U-HRCT scanner had a significantly better image quality than the C-HRCT scanners. PMID:26352144

  6. Evaluation of a LED-based flatbed document scanner for radiochromic film dosimetry in transmission mode.

    PubMed

    Lárraga-Gutiérrez, José Manuel; García-Garduño, Olivia Amanda; Treviño-Palacios, Carlos; Herrera-González, José Alfredo

    2018-03-01

    Flatbed scanners are the most frequently used reading instrument for radiochromic film dosimetry because its low cost, high spatial resolution, among other advantages. These scanners use a fluorescent lamp and a CCD array as light source and detector, respectively. Recently, manufacturers of flatbed scanners replaced the fluorescent lamp by light emission diodes (LED) as a light source. The goal of this work is to evaluate the performance of a commercial flatbed scanner with LED based source light for radiochromic film dosimetry. Film read out consistency, response uniformity, film-scanner sensitivity, long term stability and total dose uncertainty was evaluated. In overall, the performance of the LED flatbed scanner is comparable to that of a cold cathode fluorescent lamp (CCFL). There are important spectral differences between LED and CCFL lamps that results in a higher sensitivity of the LED scanner in the green channel. Total dose uncertainty, film response reproducibility and long-term stability of LED scanner are slightly better than those of the CCFL. However, the LED based scanner has a strong non-uniform response, up to 9%, that must be adequately corrected for radiotherapy dosimetry QA. The differences in light emission spectra between LED and CCFL lamps and its potential impact on film-scanner sensitivity suggest that the design of a dedicated flat-bed scanner with LEDs may improve sensitivity and dose uncertainty in radiochromic film dosimetry. Copyright © 2018 Associazione Italiana di Fisica Medica. Published by Elsevier Ltd. All rights reserved.

  7. SU-E-T-135: Investigation of Commercial-Grade Flatbed Scanners and a Medical- Grade Scanner for Radiochromic EBT Film Dosimetry.

    PubMed

    Syh, J; Patel, B; Syh, J; Wu, H; Rosen, L; Durci, M; Katz, S; Sibata, C

    2012-06-01

    To evaluate the characteristics of commercial-grade flatbed scanners and medical-grade scanners for radiochromic EBT film dosimetry. Performance aspects of a Vidar Dosimetry Pro Advantage (Red), Epson 750 Pro, Microtek ArtixScan 1800f, and Microtek ScanMaker 8700 scanner for EBT2 Gafchromic film were evaluated in the categories of repeatability, maximum distinguishable optical density (OD) differentiation, OD variance, and dose curve characteristics. OD step film by Stouffer Industries containing 31 steps ranging from 0.05 to 3.62 OD was used. EBT films were irradiated with dose ranging from 20 to 600 cGy in 6×6 cm 2 field sizes and analyzed 24 hours later using RIT113 and Tomotherapy Film Analyzer software. Scans were performed in transmissive mode, landscape orientation, 16-bit image. The mean and standard deviation Analog to Digital (A/D) scanner value was measured by selecting a 3×3 mm 2 uniform area in the central region of each OD step from a total of 20 scans performed over several weeks. Repeatability was determined from the variance of OD step 0.38. Maximum distinguishable OD was defined as the last OD step whose range of A/D values does not overlap with its neighboring step. Repeatability uncertainty ranged from 0.1% for Vidar to 4% for Epson. Average standard deviation of OD steps ranged from 0.21% for Vidar to 6.4% for ArtixScan 1800f. Maximum distinguishable optical density ranged from 3.38 for Vidar to 1.32 for ScanMaker 8700. A/D range of each OD step corresponds to a dose range. Dose ranges of OD steps varied from 1% for Vidar to 20% for ScanMaker 8700. The Vidar exhibited a dose curve that utilized a broader range of OD values than the other scanners. Vidar exhibited higher maximum distinguishable OD, smaller variance in repeatability, smaller A/D value deviation per OD step, and a shallower dose curve with respect to OD. © 2012 American Association of Physicists in Medicine.

  8. Accuracy of complete-arch model using an intraoral video scanner: An in vitro study.

    PubMed

    Jeong, Il-Do; Lee, Jae-Jun; Jeon, Jin-Hun; Kim, Ji-Hwan; Kim, Hae-Young; Kim, Woong-Chul

    2016-06-01

    Information on the accuracy of intraoral video scanners for long-span areas is limited. The purpose of this in vitro study was to evaluate and compare the trueness and precision of an intraoral video scanner, an intraoral still image scanner, and a blue-light scanner for the production of digital impressions. Reference scan data were obtained by scanning a complete-arch model. An identical model was scanned 8 times using an intraoral video scanner (CEREC Omnicam; Sirona) and an intraoral still image scanner (CEREC Bluecam; Sirona), and stone casts made from conventional impressions of the same model were scanned 8 times with a blue-light scanner as a control (Identica Blue; Medit). Accuracy consists of trueness (the extent to which the scan data differ from the reference scan) and precision (the similarity of the data from multiple scans). To evaluate precision, 8 scans were superimposed using 3-dimensional analysis software; the reference scan data were then superimposed to determine the trueness. Differences were analyzed using 1-way ANOVA and post hoc Tukey HSD tests (α=.05). Trueness in the video scanner group was not significantly different from that in the control group. However, the video scanner group showed significantly lower values than those of the still image scanner group for all variables (P<.05), except in tolerance range. The root mean square, standard deviations, and mean negative precision values for the video scanner group were significantly higher than those for the other groups (P<.05). Digital impressions obtained by the intraoral video scanner showed better accuracy for long-span areas than those captured by the still image scanner. However, the video scanner was less accurate than the laboratory scanner. Copyright © 2016 Editorial Council for the Journal of Prosthetic Dentistry. Published by Elsevier Inc. All rights reserved.

  9. Impact of topographic mask models on scanner matching solutions

    NASA Astrophysics Data System (ADS)

    Tyminski, Jacek K.; Pomplun, Jan; Renwick, Stephen P.

    2014-03-01

    Of keen interest to the IC industry are advanced computational lithography applications such as Optical Proximity Correction of IC layouts (OPC), scanner matching by optical proximity effect matching (OPEM), and Source Optimization (SO) and Source-Mask Optimization (SMO) used as advanced reticle enhancement techniques. The success of these tasks is strongly dependent on the integrity of the lithographic simulators used in computational lithography (CL) optimizers. Lithographic mask models used by these simulators are key drivers impacting the accuracy of the image predications, and as a consequence, determine the validity of these CL solutions. Much of the CL work involves Kirchhoff mask models, a.k.a. thin masks approximation, simplifying the treatment of the mask near-field images. On the other hand, imaging models for hyper-NA scanner require that the interactions of the illumination fields with the mask topography be rigorously accounted for, by numerically solving Maxwell's Equations. The simulators used to predict the image formation in the hyper-NA scanners must rigorously treat the masks topography and its interaction with the scanner illuminators. Such imaging models come at a high computational cost and pose challenging accuracy vs. compute time tradeoffs. Additional complication comes from the fact that the performance metrics used in computational lithography tasks show highly non-linear response to the optimization parameters. Finally, the number of patterns used for tasks such as OPC, OPEM, SO, or SMO range from tens to hundreds. These requirements determine the complexity and the workload of the lithography optimization tasks. The tools to build rigorous imaging optimizers based on first-principles governing imaging in scanners are available, but the quantifiable benefits they might provide are not very well understood. To quantify the performance of OPE matching solutions, we have compared the results of various imaging optimization trials obtained

  10. Quantitative Assay for Starch by Colorimetry Using a Desktop Scanner

    ERIC Educational Resources Information Center

    Matthews, Kurt R.; Landmark, James D.; Stickle, Douglas F.

    2004-01-01

    The procedure to produce standard curve for starch concentration measurement by image analysis using a color scanner and computer for data acquisition and color analysis is described. Color analysis is performed by a Visual Basic program that measures red, green, and blue (RGB) color intensities for pixels within the scanner image.

  11. Dose uniformity analysis among ten 16-slice same-model CT scanners.

    PubMed

    Erdi, Yusuf Emre

    2012-01-01

    With the introduction of multislice scanners, computed tomographic (CT) dose optimization has become important. The patient-absorbed dose may differ among the scanners although they are the same type and model. To investigate the dose output variation of the CT scanners, we designed the study to analyze dose outputs of 10 same-model CT scanners using 3 clinical protocols. Ten GE Lightspeed (GE Healthcare, Waukesha, Wis) 16-slice scanners located at main campus and various satellite locations of our institution have been included in this study. All dose measurements were performed using poly (methyl methacrylate) (PMMA) head (diameter, 16 cm) and body (diameter, 32 cm) phantoms manufactured by Radcal (RadCal Corp, Monrovia, Calif) using a 9095 multipurpose analyzer with 10 × 9-3CT ion chamber both from the same manufacturer. Ion chamber is inserted into the peripheral and central axis locations and volume CT dose index (CTDIvol) is calculated as weighted average of doses at those locations. Three clinical protocol settings for adult head, high-resolution chest, and adult abdomen are used for dose measurements. We have observed up to 9.4% CTDIvol variation for the adult head protocol in which the largest variation occurred among the protocols. However, head protocol uses higher milliampere second values than the other 2 protocols. Most of the measured values were less than the system-stored CTDIvol values. It is important to note that reduction in dose output from tubes as they age is expected in addition to the intrinsic radiation output fluctuations of the same scanner. Although the same model CT scanners were used in this study, it is possible to see CTDIvol variation in standard patient scanning protocols of head, chest, and abdomen. The compound effect of the dose variation may be larger with higher milliampere and multiphase and multilocation CT scans.

  12. Evaluation of Scanners for C-Scan Imaging for Nondestructive Inspection of Aircraft

    DTIC Science & Technology

    1994-09-01

    mechanized and nonmechanized designs. * The basic scanner designs were divided for the purposes of this report into eight different Stypes. These are 1...electronic switching through the transducer elements of the array. The basic scanner designs were divided for the purposes of this report into eight...of this project was to evaluate all the basic scanner types that are appropriate for aircraft NDI examinations. A number of vendors sell very similar

  13. Comparison of working efficiency of terrestrial laser scanner in day and night conditions

    NASA Astrophysics Data System (ADS)

    Arslan, A. E.; Kalkan, K.

    2013-10-01

    Terrestrial Laser Scanning is a popular and widely used technique to scan existing objects, document historical sites and items, and remodel them if and when needed. Their ability to collect thousands of point data per second makes them an invaluable tool in many areas from engineering to historical reconstruction. There are many scanners in the market with different technical specifications. One main technical specification of laser scanners is range and illumination. In this study, it is tested to be determined the optimal working times of a laser scanner and the scanners consistency with its specifications sheet. In order to conduct this work, series of GNSS measurements in Istanbul Technical University have been carried out, connected to the national reference network, to determine precise positions of target points and the scanner, which makes possible to define a precise distance between the scanner and targets. Those ground surveys has been used for calibration and registration purposes. Two different scan campaigns conducted at 12 am and 11 pm to compare working efficiency of laser scanner in different illumination conditions and targets are measured with a handheld spectro-radiometer in order to determine their reflective characteristics. The obtained results are compared and their accuracies have been analysed.

  14. FormScanner: Open-Source Solution for Grading Multiple-Choice Exams

    ERIC Educational Resources Information Center

    Young, Chadwick; Lo, Glenn; Young, Kaisa; Borsetta, Alberto

    2016-01-01

    The multiple-choice exam remains a staple for many introductory physics courses. In the past, people have graded these by hand or even flaming needles. Today, one usually grades the exams with a form scanner that utilizes optical mark recognition (OMR). Several companies provide these scanners and particular forms, such as the eponymous…

  15. The accuracy of the CAD system using intraoral and extraoral scanners for designing of fixed dental prostheses.

    PubMed

    Shimizu, Sakura; Shinya, Akikazu; Kuroda, Soichi; Gomi, Harunori

    2017-07-26

    The accuracy of prostheses affects clinical success and is, in turn, affected by the accuracy of the scanner and CAD programs. Thus, their accuracy is important. The first aim of this study was to evaluate the accuracy of an intraoral scanner with active triangulation (Cerec Omnicam), an intraoral scanner with a confocal laser (3Shape Trios), and an extraoral scanner with active triangulation (D810). The second aim of this study was to compare the accuracy of the digital crowns designed with two different scanner/CAD combinations. The accuracy of the intraoral scanners and extraoral scanner was clinically acceptable. Marginal and internal fit of the digital crowns fabricated using the intraoral scanner and CAD programs were inferior to those fabricated using the extraoral scanner and CAD programs.

  16. Active Damping of a Piezoelectric Tube Scanner using Self-Sensing Piezo Actuation

    PubMed Central

    Kuiper, S.; Schitter, G.

    2010-01-01

    In most Atomic Force Microscopes (AFM), a piezoelectric tube scanner is used to position the sample underneath the measurement probe. Oscillations stemming from the weakly damped resonances of the tube scanner are a major source of image distortion, putting a limitation on the achievable imaging speed. This paper demonstrates active damping of these oscillations in multiple scanning axes without the need for additional position sensors. By connecting the tube scanner in a capacitive bridge circuit the scanner oscillations can be measured in both scanning axes, using the same piezo material as an actuator and sensor simultaneously. In order to compensate for circuit imbalance caused by hysteresis in the piezo element, an adaptive balancing circuit is used. The obtained measurement signal is used for feedback control, reducing the resonance peaks in both scanning axes by 18 dB and the cross-coupling at those frequencies by 30 dB. Experimental results demonstrate a significant reduction in scanner oscillations when applying the typical triangular scanning signals, as well as a strong reduction in coupling induced oscillations. Recorded AFM images show a considerable reduction in image distortion due to the proposed control method, enabling artifact free AFM imaging at a speed of 122 lines per second with a standard piezoelectric tube scanner. PMID:26412944

  17. Computer-aided analysis of digital dental impressions obtained from intraoral and extraoral scanners.

    PubMed

    Bohner, Lauren Oliveira Lima; De Luca Canto, Graziela; Marció, Bruno Silva; Laganá, Dalva Cruz; Sesma, Newton; Tortamano Neto, Pedro

    2017-11-01

    The internal and marginal adaptation of a computer-aided design and computer-aided manufacturing (CAD-CAM) prosthesis relies on the quality of the 3-dimensional image. The quality of imaging systems requires evaluation. The purpose of this in vitro study was to evaluate and compare the trueness of intraoral and extraoral scanners in scanning prepared teeth. Ten acrylic resin teeth to be used as a reference dataset were prepared according to standard guidelines and scanned with an industrial computed tomography system. Data were acquired with 4 scanner devices (n=10): the Trios intraoral scanner (TIS), the D250 extraoral scanner (DES), the Cerec Bluecam intraoral scanner (CBIS), and the Cerec InEosX5 extraoral scanner (CIES). For intraoral scanners, each tooth was digitized individually. Extraoral scanning was obtained from dental casts of each prepared tooth. The discrepancy between each scan and its respective reference model was obtained by deviation analysis (μm) and volume/area difference (μm). Statistical analysis was performed using linear models for repeated measurement factors test and 1-way ANOVA (α=.05). No significant differences in deviation values were found among scanners. For CBIS and CIES, the deviation was significantly higher (P<.05) for occlusal and cervical surfaces. With regard to volume differences, no statistically significant differences were found (TIS=340 ±230 μm; DES=380 ±360 μm; CBIS=780 ±770 μm; CIES=340 ±300 μm). Intraoral and extraoral scanners showed similar trueness in scanning prepared teeth. Higher discrepancies are expected to occur in the cervical region and on the occlusal surface. Copyright © 2017 Editorial Council for the Journal of Prosthetic Dentistry. Published by Elsevier Inc. All rights reserved.

  18. Out of lab calibration of a rotating 2D scanner for 3D mapping

    NASA Astrophysics Data System (ADS)

    Koch, Rainer; Böttcher, Lena; Jahrsdörfer, Maximilian; Maier, Johannes; Trommer, Malte; May, Stefan; Nüchter, Andreas

    2017-06-01

    Mapping is an essential task in mobile robotics. To fulfil advanced navigation and manipulation tasks a 3D representation of the environment is required. Applying stereo cameras or Time-of-flight cameras (TOF cameras) are one way to archive this requirement. Unfortunately, they suffer from drawbacks which makes it difficult to map properly. Therefore, costly 3D laser scanners are applied. An inexpensive way to build a 3D representation is to use a 2D laser scanner and rotate the scan plane around an additional axis. A 3D point cloud acquired with such a custom device consists of multiple 2D line scans. Therefore the scanner pose of each line scan need to be determined as well as parameters resulting from a calibration to generate a 3D point cloud. Using external sensor systems are a common method to determine these calibration parameters. This is costly and difficult when the robot needs to be calibrated outside the lab. Thus, this work presents a calibration method applied on a rotating 2D laser scanner. It uses a hardware setup to identify the required parameters for calibration. This hardware setup is light, small, and easy to transport. Hence, an out of lab calibration is possible. Additional a theoretical model was created to test the algorithm and analyse impact of the scanner accuracy. The hardware components of the 3D scanner system are an HOKUYO UTM-30LX-EW 2D laser scanner, a Dynamixel servo-motor, and a control unit. The calibration system consists of an hemisphere. In the inner of the hemisphere a circular plate is mounted. The algorithm needs to be provided with a dataset of a single rotation from the laser scanner. To achieve a proper calibration result the scanner needs to be located in the middle of the hemisphere. By means of geometric formulas the algorithms determine the individual deviations of the placed laser scanner. In order to minimize errors, the algorithm solves the formulas in an iterative process. First, the calibration algorithm was

  19. A simultaneous beta and coincidence-gamma imaging system for plant leaves

    NASA Astrophysics Data System (ADS)

    Ranjbar, Homayoon; Wen, Jie; Mathews, Aswin J.; Komarov, Sergey; Wang, Qiang; Li, Ke; O'Sullivan, Joseph A.; Tai, Yuan-Chuan

    2016-05-01

    Positron emitting isotopes, such as 11C, 13N, and 18F, can be used to label molecules. The tracers, such as 11CO2, are delivered to plants to study their biological processes, particularly metabolism and photosynthesis, which may contribute to the development of plants that have a higher yield of crops and biomass. Measurements and resulting images from PET scanners are not quantitative in young plant structures or in plant leaves due to poor positron annihilation in thin objects. To address this problem we have designed, assembled, modeled, and tested a nuclear imaging system (simultaneous beta-gamma imager). The imager can simultaneously detect positrons ({β+} ) and coincidence-gamma rays (γ). The imaging system employs two planar detectors; one is a regular gamma detector which has a LYSO crystal array, and the other is a phoswich detector which has an additional BC-404 plastic scintillator for beta detection. A forward model for positrons is proposed along with a joint image reconstruction formulation to utilize the beta and coincidence-gamma measurements for estimating radioactivity distribution in plant leaves. The joint reconstruction algorithm first reconstructs beta and gamma images independently to estimate the thickness component of the beta forward model and afterward jointly estimates the radioactivity distribution in the object. We have validated the physics model and reconstruction framework through a phantom imaging study and imaging a tomato leaf that has absorbed 11CO2. The results demonstrate that the simultaneously acquired beta and coincidence-gamma data, combined with our proposed joint reconstruction algorithm, improved the quantitative accuracy of estimating radioactivity distribution in thin objects such as leaves. We used the structural similarity (SSIM) index for comparing the leaf images from the simultaneous beta-gamma imager with the ground truth image. The jointly reconstructed images yield SSIM indices of 0.69 and 0.63, whereas the

  20. A simultaneous beta and coincidence-gamma imaging system for plant leaves.

    PubMed

    Ranjbar, Homayoon; Wen, Jie; Mathews, Aswin J; Komarov, Sergey; Wang, Qiang; Li, Ke; O'Sullivan, Joseph A; Tai, Yuan-Chuan

    2016-05-07

    Positron emitting isotopes, such as (11)C, (13)N, and (18)F, can be used to label molecules. The tracers, such as (11)CO2, are delivered to plants to study their biological processes, particularly metabolism and photosynthesis, which may contribute to the development of plants that have a higher yield of crops and biomass. Measurements and resulting images from PET scanners are not quantitative in young plant structures or in plant leaves due to poor positron annihilation in thin objects. To address this problem we have designed, assembled, modeled, and tested a nuclear imaging system (simultaneous beta-gamma imager). The imager can simultaneously detect positrons ([Formula: see text]) and coincidence-gamma rays (γ). The imaging system employs two planar detectors; one is a regular gamma detector which has a LYSO crystal array, and the other is a phoswich detector which has an additional BC-404 plastic scintillator for beta detection. A forward model for positrons is proposed along with a joint image reconstruction formulation to utilize the beta and coincidence-gamma measurements for estimating radioactivity distribution in plant leaves. The joint reconstruction algorithm first reconstructs beta and gamma images independently to estimate the thickness component of the beta forward model and afterward jointly estimates the radioactivity distribution in the object. We have validated the physics model and reconstruction framework through a phantom imaging study and imaging a tomato leaf that has absorbed (11)CO2. The results demonstrate that the simultaneously acquired beta and coincidence-gamma data, combined with our proposed joint reconstruction algorithm, improved the quantitative accuracy of estimating radioactivity distribution in thin objects such as leaves. We used the structural similarity (SSIM) index for comparing the leaf images from the simultaneous beta-gamma imager with the ground truth image. The jointly reconstructed images yield SSIM indices of 0

  1. The response of the Seasat and Magsat infrared horizon scanners to cold clouds

    NASA Technical Reports Server (NTRS)

    Bilanow, S.; Phenneger, M.

    1980-01-01

    Cold clouds over the Earth are shown to be the principal cause of pitch and roll measurement noise in flight data from the infrared horizon scanners onboard Seasat and Magsat. The observed effects of clouds on the fixed threshold horizon detection logic of the Magsat scanner and on the variable threshold detection logic of the Seasat scanner are discussed. National Oceanic and Atmospheric Administration (NOAA) Earth photographs marked with the scanner ground trace clearly confirm the relationship between measurement errors and Earth clouds. A one to one correspondence can be seen between excursion in the pitch and roll data and cloud crossings. The characteristics of the cloud-induced noise are discussed, and the response of the satellite control systems to the cloud errors is described. Changes to the horizon scanner designs that would reduce the effects of clouds are noted.

  2. Comparison of multi-arm VRX CT scanners through computer models

    NASA Astrophysics Data System (ADS)

    Rendon, David A.; DiBianca, Frank A.; Keyes, Gary S.

    2007-03-01

    Variable Resolution X-ray (VRX) CT scanners allow imaging of different sized anatomy at the same level of detail using the same device. This is achieved by tilting the x-ray detectors so that the projected size of the detecting elements is varied producing reconstructions of smaller fields of view with higher spatial resolution.1 The detector can be divided in two or more separate segments, called arms, which can be placed at different angles, allowing some flexibility for the scanner design. In particular, several arms can be set at different angles creating a target region of considerably higher resolution that can be used to track the evolution of a previously diagnosed condition, while keeping the patient completely inside the field of view (FOV).2 This work presents newly-developed computer models of single-slice VRX scanners that allow us to study and compare different configurations (that is, various types of detectors arranged in any number of arms arranged in different geometries) in terms of spatial and contrast resolution. In particular, we are interested in comparing the performance of various geometric configurations that would otherwise be considered equivalent (using the same equipment, imaging FOVs of the same sizes, and having a similar overall scanner size). For this, a VRX simulator was developed, along with mathematical phantoms for spatial resolution and contrast analysis. These tools were used to compare scanner configurations that can be reproduced with materials presently available in our lab.

  3. Mathematical modelling of scanner-specific bowtie filters for Monte Carlo CT dosimetry

    NASA Astrophysics Data System (ADS)

    Kramer, R.; Cassola, V. F.; Andrade, M. E. A.; de Araújo, M. W. C.; Brenner, D. J.; Khoury, H. J.

    2017-02-01

    The purpose of bowtie filters in CT scanners is to homogenize the x-ray intensity measured by the detectors in order to improve the image quality and at the same time to reduce the dose to the patient because of the preferential filtering near the periphery of the fan beam. For CT dosimetry, especially for Monte Carlo calculations of organ and tissue absorbed doses to patients, it is important to take the effect of bowtie filters into account. However, material composition and dimensions of these filters are proprietary. Consequently, a method for bowtie filter simulation independent of access to proprietary data and/or to a specific scanner would be of interest to many researchers involved in CT dosimetry. This study presents such a method based on the weighted computer tomography dose index, CTDIw, defined in two cylindrical PMMA phantoms of 16 cm and 32 cm diameter. With an EGSnrc-based Monte Carlo (MC) code, ratios CTDIw/CTDI100,a were calculated for a specific CT scanner using PMMA bowtie filter models based on sigmoid Boltzmann functions combined with a scanner filter factor (SFF) which is modified during calculations until the calculated MC CTDIw/CTDI100,a matches ratios CTDIw/CTDI100,a, determined by measurements or found in publications for that specific scanner. Once the scanner-specific value for an SFF has been found, the bowtie filter algorithm can be used in any MC code to perform CT dosimetry for that specific scanner. The bowtie filter model proposed here was validated for CTDIw/CTDI100,a considering 11 different CT scanners and for CTDI100,c, CTDI100,p and their ratio considering 4 different CT scanners. Additionally, comparisons were made for lateral dose profiles free in air and using computational anthropomorphic phantoms. CTDIw/CTDI100,a determined with this new method agreed on average within 0.89% (max. 3.4%) and 1.64% (max. 4.5%) with corresponding data published by CTDosimetry (www.impactscan.org) for the CTDI HEAD and BODY phantoms

  4. Analysis of the Performance of a Laser Scanner for Predictive Automotive Applications

    NASA Astrophysics Data System (ADS)

    Zeisler, J.; Maas, H.-G.

    2015-08-01

    In this paper we evaluate the use of a laser scanner for future advanced driver assistance systems. We focus on the important task of predicting the target vehicle for longitudinal ego vehicle control. Our motivation is to decrease the reaction time of existing systems during cut-in maneuvers of other traffic participants. A state-of-the-art laser scanner, the Ibeo Scala B2 R , is presented, providing its sensing characteristics and the subsequent high level object data output. We evaluate the performance of the scanner towards object tracking with the help of a GPS real time kinematics system on a test track. Two designed scenarios show phases with constant distance and velocity as well as dynamic motion of the vehicles. We provide the results for the error in position and velocity of the scanner and furthermore, review our algorithm for target vehicle prediction. Finally we show the potential of the laser scanner with the estimated error, that leads to a decrease of up to 40% in reaction time with best conditions.

  5. Portable wide-field hand-held NIR scanner

    NASA Astrophysics Data System (ADS)

    Jung, Young-Jin; Roman, Manuela; Carrasquilla, Jennifer; Erickson, Sarah J.; Godavarty, Anuradha

    2013-03-01

    Near-infrared (NIR) optical imaging modality is one of the widely used medical imaging techniques for breast cancer imaging, functional brain mapping, and many other applications. However, conventional NIR imaging systems are bulky and expensive, thereby limiting their accelerated clinical translation. Herein a new compact (6 × 7 × 12 cm3), cost-effective, and wide-field NIR scanner has been developed towards contact as well as no-contact based real-time imaging in both reflectance and transmission mode. The scanner mainly consists of an NIR source light (between 700- 900 nm), an NIR sensitive CCD camera, and a custom-developed image acquisition and processing software to image an area of 12 cm2. Phantom experiments have been conducted to estimate the feasibility of diffuse optical imaging by using Indian-Ink as absorption-based contrast agents. As a result, the developed NIR system measured the light intensity change in absorption-contrasted target up to 4 cm depth under transillumination mode. Preliminary in-vivo studies demonstrated the feasibility of real-time monitoring of blood flow changes. Currently, extensive in-vivo studies are carried out using the ultra-portable NIR scanner in order to assess the potential of the imager towards breast imaging..

  6. Scanner as a Fine Art

    ERIC Educational Resources Information Center

    Fontes, Kris

    2008-01-01

    Not every art department is fortunate enough to have access to digital cameras and image-editing software, but if a scanner, computer, and printer are available, students can create some imaginative and surreal work. This high-school level lesson begins with a discussion of self-portraits, and then moves to students creating images by scanning…

  7. Galileo Attitude Determination: Experiences with a Rotating Star Scanner

    NASA Technical Reports Server (NTRS)

    Merken, L.; Singh, G.

    1991-01-01

    The Galileo experience with a rotating star scanner is discussed in terms of problems encountered in flight, solutions implemented, and lessons learned. An overview of the Galileo project and the attitude and articulation control subsystem is given and the star scanner hardware and relevant software algorithms are detailed. The star scanner is the sole source of inertial attitude reference for this spacecraft. Problem symptoms observed in flight are discussed in terms of effects on spacecraft performance and safety. Sources of thse problems include contributions from flight software idiosyncrasies and inadequate validation of the ground procedures used to identify target stars for use by the autonomous on-board star identification algorithm. Problem fixes (some already implemented and some only proposed) are discussed. A general conclusion is drawn regarding the inherent difficulty of performing simulation tests to validate algorithms which are highly sensitive to external inputs of statistically 'rare' events.

  8. Z{gamma}{gamma}{gamma} {yields} 0 Processes in SANC

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bardin, D. Yu., E-mail: bardin@nu.jinr.ru; Kalinovskaya, L. V., E-mail: kalinov@nu.jinr.ru; Uglov, E. D., E-mail: corner@nu.jinr.ru

    2013-11-15

    We describe the analytic and numerical evaluation of the {gamma}{gamma} {yields} {gamma}Z process cross section and the Z {yields} {gamma}{gamma}{gamma} decay rate within the SANC system multi-channel approach at the one-loop accuracy level with all masses taken into account. The corresponding package for numeric calculations is presented. For checking of the results' correctness we make a comparison with the other independent calculations.

  9. Calibration procedure for a laser triangulation scanner with uncertainty evaluation

    NASA Astrophysics Data System (ADS)

    Genta, Gianfranco; Minetola, Paolo; Barbato, Giulio

    2016-11-01

    Most of low cost 3D scanning devices that are nowadays available on the market are sold without a user calibration procedure to correct measurement errors related to changes in environmental conditions. In addition, there is no specific international standard defining a procedure to check the performance of a 3D scanner along time. This paper aims at detailing a thorough methodology to calibrate a 3D scanner and assess its measurement uncertainty. The proposed procedure is based on the use of a reference ball plate and applied to a triangulation laser scanner. Experimental results show that the metrological performance of the instrument can be greatly improved by the application of the calibration procedure that corrects systematic errors and reduces the device's measurement uncertainty.

  10. Sneaky Gamma-Rays: Using Gravitational Lensing to Avoid Gamma-Gamma-Absorption

    NASA Astrophysics Data System (ADS)

    Boettcher, Markus; Barnacka, Anna

    2014-08-01

    It has recently been suggested that gravitational lensing studies of gamma-ray blazars might be a promising avenue to probe the location of the gamma-ray emitting region in blazars. Motivated by these prospects, we have investigated potential gamma-gamma absorption signatures of intervening lenses in the very-high-energy gamma-ray emission from lensedblazars. We considered intervening galaxies and individual stars within these galaxies. We find that the collective radiation field of galaxies acting as sources of macrolensing are not expected to lead to significant gamma-gamma absorption. Individual stars within intervening galaxies could, in principle, cause a significant opacity to gamma-gamma absorption for VHE gamma-rays if the impact parameter (the distance of closest approach of the gamma-ray to the center of the star) is small enough. However, we find that the curvature of the photon path due to gravitational lensing will cause gamma-ray photons to maintain a sufficiently large distance from such stars to avoid significant gamma-gamma absorption. This re-inforces the prospect of gravitational-lensing studies of gamma-ray blazars without interference due to gamma-gamma absorption due to the lensing objects.

  11. Accuracy and efficiency of full-arch digitalization and 3D printing: A comparison between desktop model scanners, an intraoral scanner, a CBCT model scan, and stereolithographic 3D printing.

    PubMed

    Wesemann, Christian; Muallah, Jonas; Mah, James; Bumann, Axel

    2017-01-01

    The primary objective of this study was to compare the accuracy and time efficiency of an indirect and direct digitalization workflow with that of a three-dimensional (3D) printer in order to identify the most suitable method for orthodontic use. A master model was measured with a coordinate measuring instrument. The distances measured were the intercanine width, the intermolar width, and the dental arch length. Sixty-four scans were taken with each of the desktop scanners R900 and R700 (3Shape), the intraoral scanner TRIOS Color Pod (3Shape), and the Promax 3D Mid cone beam computed tomography (CBCT) unit (Planmeca). All scans were measured with measuring software. One scan was selected and printed 37 times on the D35 stereolithographic 3D printer (Innovation MediTech). The printed models were measured again using the coordinate measuring instrument. The most accurate results were obtained by the R900. The R700 and the TRIOS intraoral scanner showed comparable results. CBCT-3D-rendering with the Promax 3D Mid CBCT unit revealed significantly higher accuracy with regard to dental casts than dental impressions. 3D printing offered a significantly higher level of deviation than digitalization with desktop scanners or an intraoral scanner. The chairside time required for digital impressions was 27% longer than for conventional impressions. Conventional impressions, model casting, and optional digitization with desktop scanners remains the recommended workflow process. For orthodontic demands, intraoral scanners are a useful alternative for full-arch scans. For prosthodontic use, the scanning scope should be less than one quadrant and three additional teeth.

  12. Detector Position Estimation for PET Scanners.

    PubMed

    Pierce, Larry; Miyaoka, Robert; Lewellen, Tom; Alessio, Adam; Kinahan, Paul

    2012-06-11

    Physical positioning of scintillation crystal detector blocks in Positron Emission Tomography (PET) scanners is not always exact. We test a proof of concept methodology for the determination of the six degrees of freedom for detector block positioning errors by utilizing a rotating point source over stepped axial intervals. To test our method, we created computer simulations of seven Micro Crystal Element Scanner (MiCES) PET systems with randomized positioning errors. The computer simulations show that our positioning algorithm can estimate the positions of the block detectors to an average of one-seventh of the crystal pitch tangentially, and one-third of the crystal pitch axially. Virtual acquisitions of a point source grid and a distributed phantom show that our algorithm improves both the quantitative and qualitative accuracy of the reconstructed objects. We believe this estimation algorithm is a practical and accurate method for determining the spatial positions of scintillation detector blocks.

  13. An Automatic Procedure for Combining Digital Images and Laser Scanner Data

    NASA Astrophysics Data System (ADS)

    Moussa, W.; Abdel-Wahab, M.; Fritsch, D.

    2012-07-01

    Besides improving both the geometry and the visual quality of the model, the integration of close-range photogrammetry and terrestrial laser scanning techniques directs at filling gaps in laser scanner point clouds to avoid modeling errors, reconstructing more details in higher resolution and recovering simple structures with less geometric details. Thus, within this paper a flexible approach for the automatic combination of digital images and laser scanner data is presented. Our approach comprises two methods for data fusion. The first method starts by a marker-free registration of digital images based on a point-based environment model (PEM) of a scene which stores the 3D laser scanner point clouds associated with intensity and RGB values. The PEM allows the extraction of accurate control information for the direct computation of absolute camera orientations with redundant information by means of accurate space resection methods. In order to use the computed relations between the digital images and the laser scanner data, an extended Helmert (seven-parameter) transformation is introduced and its parameters are estimated. Precedent to that, in the second method, the local relative orientation parameters of the camera images are calculated by means of an optimized Structure and Motion (SaM) reconstruction method. Then, using the determined transformation parameters results in having absolute oriented images in relation to the laser scanner data. With the resulting absolute orientations we have employed robust dense image reconstruction algorithms to create oriented dense image point clouds, which are automatically combined with the laser scanner data to form a complete detailed representation of a scene. Examples of different data sets are shown and experimental results demonstrate the effectiveness of the presented procedures.

  14. Nodular melanoma serendipitously detected by airport full body scanners.

    PubMed

    Mayer, Jonathan E; Adams, Brian B

    2015-01-01

    Nodular melanoma is the most dangerous form of melanoma and often evades early detection. We present a frequently traveling businessman whose nodular melanoma was detected by airport full body scanners. For about 20 flights over 2 months, the airport full body scanners singled out an area on his left lower leg for a pat-down. Dermatologic examination discovered a nodular melanoma in this area, and after surgical excision, the man traveled without incident. This case raises the possibility of using full body imaging in the detection of melanomas, especially of the nodular subtype. In its current form, full body scanning would most likely not be sensitive or specific enough to become a recommended screening tool. Nonetheless, for travelers with areas repeatedly singled out by the machines without a known justification, airport scanners could serve as incidental free screening for suspicious nodular lesions that should prompt dermatologist referral. © 2014 S. Karger AG, Basel.

  15. Inter-operator and inter-device agreement and reliability of the SEM Scanner.

    PubMed

    Clendenin, Marta; Jaradeh, Kindah; Shamirian, Anasheh; Rhodes, Shannon L

    2015-02-01

    The SEM Scanner is a medical device designed for use by healthcare providers as part of pressure ulcer prevention programs. The objective of this study was to evaluate the inter-rater and inter-device agreement and reliability of the SEM Scanner. Thirty-one (31) volunteers free of pressure ulcers or broken skin at the sternum, sacrum, and heels were assessed with the SEM Scanner. Each of three operators utilized each of three devices to collect readings from four anatomical sites (sternum, sacrum, left and right heels) on each subject for a total of 108 readings per subject collected over approximately 30 min. For each combination of operator-device-anatomical site, three SEM readings were collected. Inter-operator and inter-device agreement and reliability were estimated. Over the course of this study, more than 3000 SEM Scanner readings were collected. Agreement between operators was good with mean differences ranging from -0.01 to 0.11. Inter-operator and inter-device reliability exceeded 0.80 at all anatomical sites assessed. The results of this study demonstrate the high reliability and good agreement of the SEM Scanner across different operators and different devices. Given the limitations of current methods to prevent and detect pressure ulcers, the SEM Scanner shows promise as an objective, reliable tool for assessing the presence or absence of pressure-induced tissue damage such as pressure ulcers. Copyright © 2015 Bruin Biometrics, LLC. Published by Elsevier Ltd.. All rights reserved.

  16. Measurement of gamma quantum interaction point in plastic scintillator with WLS strips

    NASA Astrophysics Data System (ADS)

    Smyrski, J.; Alfs, D.; Bednarski, T.; Białas, P.; Czerwiński, E.; Dulski, K.; Gajos, A.; Głowacz, B.; Gupta-Sharma, N.; Gorgol, M.; Jasińska, B.; Kajetanowicz, M.; Kamińska, D.; Korcyl, G.; Kowalski, P.; Krzemień, W.; Krawczyk, N.; Kubicz, E.; Mohammed, M.; Niedźwiecki, Sz.; Pawlik-Niedźwiecka, M.; Raczyński, L.; Rudy, Z.; Salabura, P.; Silarski, M.; Strzelecki, A.; Wieczorek, A.; Wiślicki, W.; Wojnarska, J.; Zgardzińska, B.; Zieliński, M.; Moskal, P.

    2017-04-01

    The feasibility of measuring the aśxial coordinate of a gamma quantum interaction point in a plastic scintillator bar via the detection of scintillation photons escaping from the scintillator with an array of wavelength-shifting (WLS) strips is demonstrated. Using a test set-up comprising a BC-420 scintillator bar and an array of sixteen BC-482A WLS strips we achieved a spatial resolution of 5 mm (σ) for annihilation photons from a 22Na isotope. The studied method can be used to improve the spatial resolution of a plastic-scintillator-based PET scanner which is being developed by the J-PET collaboration.

  17. 47. View of "dry air inlets" to waveguides entering scanner ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    47. View of "dry air inlets" to waveguides entering scanner building 105. Dried air is generated under pressure by Ingersoll-Rand dehumidified/dessicator and compressor system. View is at entrance from passageway that links into corner of scanner building. - Clear Air Force Station, Ballistic Missile Early Warning System Site II, One mile west of mile marker 293.5 on Parks Highway, 5 miles southwest of Anderson, Anderson, Denali Borough, AK

  18. 21 CFR 892.1220 - Fluorescent scanner.

    Code of Federal Regulations, 2014 CFR

    2014-04-01

    ... 21 Food and Drugs 8 2014-04-01 2014-04-01 false Fluorescent scanner. 892.1220 Section 892.1220 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES (CONTINUED... analysis and display equipment, patient and equipment supports, component parts and accessories. (b...

  19. 21 CFR 892.1220 - Fluorescent scanner.

    Code of Federal Regulations, 2013 CFR

    2013-04-01

    ... 21 Food and Drugs 8 2013-04-01 2013-04-01 false Fluorescent scanner. 892.1220 Section 892.1220 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES (CONTINUED... analysis and display equipment, patient and equipment supports, component parts and accessories. (b...

  20. 21 CFR 892.1220 - Fluorescent scanner.

    Code of Federal Regulations, 2012 CFR

    2012-04-01

    ... 21 Food and Drugs 8 2012-04-01 2012-04-01 false Fluorescent scanner. 892.1220 Section 892.1220 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES (CONTINUED... analysis and display equipment, patient and equipment supports, component parts and accessories. (b...

  1. 21 CFR 892.1220 - Fluorescent scanner.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Fluorescent scanner. 892.1220 Section 892.1220 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES (CONTINUED... analysis and display equipment, patient and equipment supports, component parts and accessories. (b...

  2. 21 CFR 892.1220 - Fluorescent scanner.

    Code of Federal Regulations, 2011 CFR

    2011-04-01

    ... 21 Food and Drugs 8 2011-04-01 2011-04-01 false Fluorescent scanner. 892.1220 Section 892.1220 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES (CONTINUED... analysis and display equipment, patient and equipment supports, component parts and accessories. (b...

  3. Methods and apparatus for laser beam scanners with different actuating mechanisms

    NASA Astrophysics Data System (ADS)

    Chen, Si-hai; Xiang, Si-hua; Wu, Xin; Dong, Shan; Xiao, Ding; Zheng, Xia-wei

    2009-07-01

    In this paper, 3 types of laser beam scanner are introduced. One is transmissive beam scanner, which is composed of convex and concave microlens arrays (MLAs). By moving the concave lens in the plane vertical to the optical axis, the incident beam can be deflected in two dimensions. Those two kinds of MLAs are fabricated by thermal reflow and replication process. A set of mechanical scanner frame is fabricated with the two MLAs assembling in it. The testing result shown that the beam deflection angles are 9.5° and 9.6°, in the 2 dimension(2D) with the scanning frequency of 2 HZ and 8 HZ, respectively. The second type of laser beam scanner is actuated by voice coil actuators (VCAs). Based on ANSOFT MAXWELL software, we have designed VCAs with small size and large force which have optimized properties. The model of VCAs is built using AutoCAD and is analyzed by Ansoft maxwell. According to the simulation results, high performance VCAs are fabricated and tested. The result is that the force of the VCAs is 6.39N/A, and the displacement is +/-2.5mm. A set up of beam scanner is fabricated and actuated by the designed VCAs. The testing result shown that the two dimensional scanning angle is 15° and 10° respectively at the frequency of 60HZ. The two dimensional scanning angle is 8.3° and 6° respectively at the frequency of 100HZ. The third type of scanner is actuated by amplified piezoelectric actuators (APAs). The scanning mirror is actuated by the piezoelectric (PZ) actuators with the scanning frequency of 700HZ, 250HZ and 87HZ respectively. The optical scanning angle is +/-0.5° at the three frequencies.

  4. Interferometric Laser Scanner for Direction Determination

    PubMed Central

    Kaloshin, Gennady; Lukin, Igor

    2016-01-01

    In this paper, we explore the potential capabilities of new laser scanning-based method for direction determination. The method for fully coherent beams is extended to the case when interference pattern is produced in the turbulent atmosphere by two partially coherent sources. The performed theoretical analysis identified the conditions under which stable pattern may form on extended paths of 0.5–10 km in length. We describe a method for selecting laser scanner parameters, ensuring the necessary operability range in the atmosphere for any possible turbulence characteristics. The method is based on analysis of the mean intensity of interference pattern, formed by two partially coherent sources of optical radiation. Visibility of interference pattern is estimated as a function of propagation pathlength, structure parameter of atmospheric turbulence, and spacing of radiation sources, producing the interference pattern. It is shown that, when atmospheric turbulences are moderately strong, the contrast of interference pattern of laser scanner may ensure its applicability at ranges up to 10 km. PMID:26805841

  5. Interferometric Laser Scanner for Direction Determination.

    PubMed

    Kaloshin, Gennady; Lukin, Igor

    2016-01-21

    In this paper, we explore the potential capabilities of new laser scanning-based method for direction determination. The method for fully coherent beams is extended to the case when interference pattern is produced in the turbulent atmosphere by two partially coherent sources. The performed theoretical analysis identified the conditions under which stable pattern may form on extended paths of 0.5-10 km in length. We describe a method for selecting laser scanner parameters, ensuring the necessary operability range in the atmosphere for any possible turbulence characteristics. The method is based on analysis of the mean intensity of interference pattern, formed by two partially coherent sources of optical radiation. Visibility of interference pattern is estimated as a function of propagation pathlength, structure parameter of atmospheric turbulence, and spacing of radiation sources, producing the interference pattern. It is shown that, when atmospheric turbulences are moderately strong, the contrast of interference pattern of laser scanner may ensure its applicability at ranges up to 10 km.

  6. Antenna Near-Field Probe Station Scanner

    NASA Technical Reports Server (NTRS)

    Darby, William G. (Inventor); Miranda, Felix A. (Inventor); Zaman, Afroz J. (Inventor); Lee, Richard Q. (Inventor); Barr, Philip J. (Inventor); Lambert, Kevin M (Inventor)

    2011-01-01

    A miniaturized antenna system is characterized non-destructively through the use of a scanner that measures its near-field radiated power performance. When taking measurements, the scanner can be moved linearly along the x, y and z axis, as well as rotationally relative to the antenna. The data obtained from the characterization are processed to determine the far-field properties of the system and to optimize the system. Each antenna is excited using a probe station system while a scanning probe scans the space above the antenna to measure the near field signals. Upon completion of the scan, the near-field patterns are transformed into far-field patterns. Along with taking data, this system also allows for extensive graphing and analysis of both the near-field and far-field data. The details of the probe station as well as the procedures for setting up a test, conducting a test, and analyzing the resulting data are also described.

  7. Magellan star scanner experiences - What a long, stange trip it's been

    NASA Astrophysics Data System (ADS)

    Seale, Eric H.

    Since its launch to Venus in 1989, the Magellan spacecraft has encountered a variety of interesting phenomena - several related to its star scanner. After much concentration, it was determined that the scanner events were due to environmental effects on the instrument - in particular, its response to solar protons and an unanticipated amount of thermal blanket dust (this dust is, in turn, generated and moved by other environmental forces). In short, while those phenomena were originally an operational nuisance, our scanner has unexpectedly shown new use as a particle and fields detector. Since its simple design makes Magellan's star scanner a good proton detector, a brief description of the charged-particle environment (particularly proton propagation) is also included. Short- and long-term trends in sensor behavior are presented, as are their correlations to the local environment. A summary of results to date is provided in the hope that these may be of help to future operations teams diagnosing similar phenomena. A summary is also given of methods found to reduce the operational impact of these phenomena.

  8. Modeling and Calibration of a Novel One-Mirror Galvanometric Laser Scanner

    PubMed Central

    Yu, Chengyi; Chen, Xiaobo; Xi, Juntong

    2017-01-01

    A laser stripe sensor has limited application when a point cloud of geometric samples on the surface of the object needs to be collected, so a galvanometric laser scanner is designed by using a one-mirror galvanometer element as its mechanical device to drive the laser stripe to sweep along the object. A novel mathematical model is derived for the proposed galvanometer laser scanner without any position assumptions and then a model-driven calibration procedure is proposed. Compared with available model-driven approaches, the influence of machining and assembly errors is considered in the proposed model. Meanwhile, a plane-constraint-based approach is proposed to extract a large number of calibration points effectively and accurately to calibrate the galvanometric laser scanner. Repeatability and accuracy of the galvanometric laser scanner are evaluated on the automobile production line to verify the efficiency and accuracy of the proposed calibration method. Experimental results show that the proposed calibration approach yields similar measurement performance compared with a look-up table calibration method. PMID:28098844

  9. The mechatronic design of a fast wire scanner in IHEP U-70 accelerator

    NASA Astrophysics Data System (ADS)

    Baranov, V. T.; Makhov, S. S.; Savin, D. A.; Terekhov, V. I.

    2016-10-01

    This paper presents the mechatronic design of a fast wire scanner based on a servomotor. The design of the wire scanner is motivated by the need to measure the transverse profile of the high power proton and carbon beams at the IHEP U-70 accelerator. This paper formulates the requirements to the fast wire scanner system for the high intensity proton beam at the U-70 accelerator. The results on the design of electro-mechanical device for the wire scanner with a wire traveling speed 10-20 m/s are presented. The solution consists in a brushless servomotor and standard motor control electronics. High radiation levels in the accelerator enclosure dictate the use of a resolver as the position feedback element.

  10. In vivo cellular imaging with microscopes enabled by MEMS scanners

    NASA Astrophysics Data System (ADS)

    Ra, Hyejun

    High-resolution optical imaging plays an important role in medical diagnosis and biomedical research. Confocal microscopy is a widely used imaging method for obtaining cellular and sub-cellular images of biological tissue in reflectance and fluorescence modes. Its characteristic optical sectioning capability also enables three-dimensional (3-D) image reconstruction. However, its use has mostly been limited to excised tissues due to the requirement of high numerical aperture (NA) lenses for cellular resolution. Microscope miniaturization can enable in vivo imaging to make possible early cancer diagnosis and biological studies in the innate environment. In this dissertation, microscope miniaturization for in vivo cellular imaging is presented. The dual-axes confocal (DAC) architecture overcomes limitations of the conventional single-axis confocal (SAC) architecture to allow for miniaturization with high resolution. A microelectromechanical systems (MEMS) scanner is the central imaging component that is key in miniaturization of the DAC architecture. The design, fabrication, and characterization of the two-dimensional (2-D) MEMS scanner are presented. The gimbaled MEMS scanner is fabricated on a double silicon-on-insulator (SOI) wafer and is actuated by self-aligned vertical electrostatic combdrives. The imaging performance of the MEMS scanner in a DAC configuration is shown in a breadboard microscope setup, where reflectance and fluorescence imaging is demonstrated. Then, the MEMS scanner is integrated into a miniature DAC microscope. The whole imaging system is integrated into a portable unit for research in small animal models of human biology and disease. In vivo 3-D imaging is demonstrated on mouse skin models showing gene transfer and siRNA silencing. The siRNA silencing process is sequentially imaged in one mouse over time.

  11. Direct determination of geometric alignment parameters for cone-beam scanners

    PubMed Central

    Mennessier, C; Clackdoyle, R; Noo, F

    2009-01-01

    This paper describes a comprehensive method for determining the geometric alignment parameters for cone-beam scanners (often called calibrating the scanners or performing geometric calibration). The method is applicable to x-ray scanners using area detectors, or to SPECT systems using pinholes or cone-beam converging collimators. Images of an alignment test object (calibration phantom) fixed in the field of view of the scanner are processed to determine the nine geometric parameters for each view. The parameter values are found directly using formulae applied to the projected positions of the test object marker points onto the detector. Each view is treated independently, and no restrictions are made on the position of the cone vertex, or on the position or orientation of the detector. The proposed test object consists of 14 small point-like objects arranged with four points on each of three orthogonal lines, and two points on a diagonal line. This test object is shown to provide unique solutions for all possible scanner geometries, even when partial measurement information is lost by points superimposing in the calibration scan. For the many situations where the cone vertex stays reasonably close to a central plane (for circular, planar, or near-planar trajectories), a simpler version of the test object is appropriate. The simpler object consists of six points, two per orthogonal line, but with some restrictions on the positioning of the test object. This paper focuses on the principles and mathematical justifications for the method. Numerical simulations of the calibration process and reconstructions using estimated parameters are also presented to validate the method and to provide evidence of the robustness of the technique. PMID:19242049

  12. The Z {yields} cc-bar {yields} {gamma}{gamma}*, Z {yields} bb-bar {yields} {gamma}{gamma}* triangle diagrams and the Z {yields} {gamma}{psi}, Z {yields} {gamma}Y decays

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Achasov, N. N., E-mail: achasov@math.nsc.ru

    2011-03-15

    The approach to the Z {yields} {gamma}{psi} and Z {yields} {gamma}Y decay study is presented in detail, based on the sum rules for the Z {yields} cc-bar {yields} {gamma}{gamma}* and Z {yields} bb-bar {yields} {gamma}{gamma}* amplitudes and their derivatives. The branching ratios of the Z {yields} {gamma}{psi} and Z {yields} {gamma}Y decays are calculated for different hypotheses on saturation of the sum rules. The lower bounds of {Sigma}{sub {psi}} BR(Z {yields} {gamma}{psi}) = 1.95 Multiplication-Sign 10{sup -7} and {Sigma}{sub {upsilon}} BR(Z {yields} {gamma}Y) = 7.23 Multiplication-Sign 10{sup -7} are found. Deviations from the lower bounds are discussed, including the possibilitymore » of BR(Z {yields} {gamma}J/{psi}(1S)) {approx} BR(Z {yields} {gamma}Y(1S)) {approx} 10{sup -6}, that could be probably measured in LHC. The angular distributions in the Z {yields} {gamma}{psi} and Z {yields} {gamma}Y decays are also calculated.« less

  13. Selecting a CT scanner for cardiac imaging: the heart of the matter.

    PubMed

    Lewis, Maria A; Pascoal, Ana; Keevil, Stephen F; Lewis, Cornelius A

    2016-09-01

    Coronary angiography to assess the presence and degree of arterial stenosis is an examination now routinely performed on CT scanners. Although developments in CT technology over recent years have made great strides in improving the diagnostic accuracy of this technique, patients with certain characteristics can still be "difficult to image". The various groups will benefit from different technological enhancements depending on the type of challenge they present. Good temporal and spatial resolution, wide longitudinal (z-axis) detector coverage and high X-ray output are the key requirements of a successful CT coronary angiography (CTCA) scan. The requirement for optimal patient dose is a given. The different scanner models recommended for CTCA all excel in different aspects. The specification data presented here for these scanners and the explanation of the impact of the different features should help in making a more informed decision when selecting a scanner for CTCA.

  14. Integrated display scanner

    DOEpatents

    Veligdan, James T.

    2004-12-21

    A display scanner includes an optical panel having a plurality of stacked optical waveguides. The waveguides define an inlet face at one end and a screen at an opposite end, with each waveguide having a core laminated between cladding. A projector projects a scan beam of light into the panel inlet face for transmission from the screen as a scan line to scan a barcode. A light sensor at the inlet face detects a return beam reflected from the barcode into the screen. A decoder decodes the return beam detected by the sensor for reading the barcode. In an exemplary embodiment, the optical panel also displays a visual image thereon.

  15. 30. SITE BUILDING 002 SCANNER BUILDING FLOOR 3A ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    30. SITE BUILDING 002 - SCANNER BUILDING - FLOOR 3A ("A" FACE) INTERIOR BETWEEN GRIDS 17-A1 AND 18-A1, SHOWING REAR OF RADAR EMITTER ELECTRONIC INTERFACE TERMINAL NO. 3147-20, "RECEIVER TRANSMITTER RADAR" MODULE. VIEW IS ALSO SHOWING BUILDING FIRE STOP MATERIAL AT BOTTOM OF FLOOR. NOTE: WALL SLOPES BOTTOM TO TOP INWARD; STRUCTURAL ELEMENT IN FOREGROUND. VIEW ALSO SHOWS PIPING GRID OF CHILLED WATER LINES FOR ELECTRONIC SYSTEMS COOLING. - Cape Cod Air Station, Technical Facility-Scanner Building & Power Plant, Massachusetts Military Reservation, Sandwich, Barnstable County, MA

  16. Using flatbed scanners in the undergraduate optics laboratory—An example of frugal science

    NASA Astrophysics Data System (ADS)

    Koopman, Thomas; Gopal, Venkatesh

    2017-05-01

    We describe the use of a low-cost commercial flatbed scanner in the undergraduate teaching laboratory to image large (˜25 cm) interference and diffraction patterns in two dimensions. Such scanners usually have an 8-bit linear photosensor array that can scan large areas (˜28 cm × 22 cm) at very high spatial resolutions (≥100 Megapixels), which makes them versatile large-format imaging devices. We describe how the scanner can be used to image interference and diffraction from rectangular single-slit, double-slit, and circular apertures. The experiments are very simple to setup and require no specialized components besides a small laser and a flatbed scanner. Due to the presence of Automatic Gain Control in the scanner, which we were not able to override, we were unable to get an excellent fit to the data. Interestingly, we found that the less-than-ideal data were actually pedagogically superior as it forced the students to think about the process of data acquisition in much greater detail instead of simply performing the experiment mechanically.

  17. Preventive maintenance system for the photomultiplier detector blocks of PET scanners

    DOEpatents

    Levy, A.V.; Warner, D.

    1995-01-24

    A system including a method and apparatus for preventive maintenance of PET scanner photomultiplier detector blocks is disclosed. The qualitative comparisons used in the method of the present invention to provide an indication in the form of a display or printout advising the user that the photomultiplier block is stable, intermittently unstable, or drifting unstable, and also advising of the expected date of failure of a photomultiplier block in the PET scanner. The system alerts the user to replace the defective photomultiplier block prior to catastrophic failure in a scheduled preventative maintenance program, thus eliminating expensive and unscheduled downtime of the PET scanner due to photomultiplier failure. The apparatus for carrying out the method of the present invention preferably resides in the host computer controlling a PET scanner. It includes a memory adapted for storing a record of a number of iterative adjustments that are necessary to calibrate the gain of a photomultiplier detector block i at a time t[sub 0], a time t[sub 1] and a time T, where T>t[sub 1]>t[sub 0], which is designated as Histo(i,j(t)). The apparatus also includes a processor configured by a software program or a combination of programmed RAM and ROM devices to perform a number of calculations and operations on these values, and also includes a counter for analyzing each photomultiplier detector block i=1 through I of a PET scanner. 40 figures.

  18. Preventive maintenance system for the photomultiplier detector blocks of pet scanners

    DOEpatents

    Levy, Alejandro V.; Warner, Donald

    1995-01-24

    A system including a method and apparatus for preventive maintenance of PET scanner photomultiplier detector blocks is disclosed. The quantitive comparisons used in the method of the present invention to provide an indication in the form of a display or printout advising the user that the photomultiplier block is stable, intermittently unstable, or drifting unstable, and also advising of the expected date of failure of a photomultiplier block in the PET scanner. The system alerts the user to replace the defective photomultiplier block prior to catastrophic failure in a scheduled preventative maintenance program, thus eliminating expensive and unscheduled downtime of the PET scanner due to photomultiplier failure. The apparatus for carrying out the method of the present invention preferably resides in the host computer controlling a PET scanner. It includes a memory adapted for storing a record of a number of iterative adjustments that are necessary to calibrate the gain of a photomultiplier detector block i at a time t.sub.0, a time t.sub.1 and a time T, where T>t.sub.1 >t.sub.0, which is designated as Histo(i,j(t)). The apparatus also includes a processor configured by a software program or a combination of programmed RAM and ROM devices to perform a number of calculations and operations on these values, and also includes a counter for analyzing each photomultiplier detector block i=1 through I of a PET scanner.

  19. Land use classification utilizing remote multispectral scanner data and computer analysis techniques

    NASA Technical Reports Server (NTRS)

    Leblanc, P. N.; Johannsen, C. J.; Yanner, J. E.

    1973-01-01

    An airborne multispectral scanner was used to collect the visible and reflective infrared data. A small subdivision near Lafayette, Indiana was selected as the test site for the urban land use study. Multispectral scanner data were collected over the subdivision on May 1, 1970 from an altitude of 915 meters. The data were collected in twelve wavelength bands from 0.40 to 1.00 micrometers by the scanner. The results indicated that computer analysis of multispectral data can be very accurate in classifying and estimating the natural and man-made materials that characterize land uses in an urban scene.

  20. A two-dimensional micro scanner integrated with a piezoelectric actuator and piezoresistors.

    PubMed

    Zhang, Chi; Zhang, Gaofei; You, Zheng

    2009-01-01

    A compact two-dimensional micro scanner with small volume, large deflection angles and high frequency is presented and the two-dimensional laser scanning is achieved by specular reflection. To achieve large deflection angles, the micro scanner excited by a piezoelectric actuator operates in the resonance mode. The scanning frequencies and the maximum scanning angles of the two degrees of freedom are analyzed by modeling and simulation of the structure. For the deflection angle measurement, piezoresistors are integrated in the micro scanner. The appropriate directions and crystal orientations of the piezoresistors are designed to obtain the large piezoresistive coefficients for the high sensitivities. Wheatstone bridges are used to measure the deflection angles of each direction independently and precisely. The scanner is fabricated and packaged with the piezoelectric actuator and the piezoresistors detection circuits in a size of 28 mm×20 mm×18 mm. The experiment shows that the two scanning frequencies are 216.8 Hz and 464.8 Hz, respectively. By an actuation displacement of 10 μm, the scanning range of the two-dimensional micro scanner is above 26° × 23°. The deflection angle measurement sensitivities for two directions are 59 mV/deg and 30 mV/deg, respectively.

  1. A Two-Dimensional Micro Scanner Integrated with a Piezoelectric Actuator and Piezoresistors

    PubMed Central

    Zhang, Chi; Zhang, Gaofei; You, Zheng

    2009-01-01

    A compact two-dimensional micro scanner with small volume, large deflection angles and high frequency is presented and the two-dimensional laser scanning is achieved by specular reflection. To achieve large deflection angles, the micro scanner excited by a piezoelectric actuator operates in the resonance mode. The scanning frequencies and the maximum scanning angles of the two degrees of freedom are analyzed by modeling and simulation of the structure. For the deflection angle measurement, piezoresistors are integrated in the micro scanner. The appropriate directions and crystal orientations of the piezoresistors are designed to obtain the large piezoresistive coefficients for the high sensitivities. Wheatstone bridges are used to measure the deflection angles of each direction independently and precisely. The scanner is fabricated and packaged with the piezoelectric actuator and the piezoresistors detection circuits in a size of 28 mm×20 mm×18 mm. The experiment shows that the two scanning frequencies are 216.8 Hz and 464.8 Hz, respectively. By an actuation displacement of 10 μm, the scanning range of the two-dimensional micro scanner is above 26° × 23°. The deflection angle measurement sensitivities for two directions are 59 mV/deg and 30 mV/deg, respectively. PMID:22389621

  2. Timestamp Offset Determination Between AN Actuated Laser Scanner and its Corresponding Motor

    NASA Astrophysics Data System (ADS)

    Voges, R.; Wieghardt, C. S.; Wagner, B.

    2017-05-01

    Motor actuated 2D laser scanners are key sensors for many robotics applications that need wide ranging but low cost 3D data. There exist many approaches on how to build a 3D laser scanner using this technique, but they often lack proper synchronization for the timestamps of the actuator and the laser scanner. However, to transform the measurement points into three-dimensional space an appropriate synchronization is mandatory. Thus, we propose two different approaches to accomplish the goal of calculating timestamp offsets between laser scanner and motor prior to and after data acquisition. Both approaches use parts of a SLAM algorithm but apply different criteria to find an appropriate solution. While the approach for offset calculation prior to data acquisition exploits the fact that the SLAM algorithm should not register motion for a stationary system, the approach for offset calculation after data acquisition evaluates the perceived clarity of a point cloud created by the SLAM algorithm. Our experiments show that both approaches yield the same results although operating independently on different data, which demonstrates that the results reflect reality with a high probability. Furthermore, our experiments exhibit the significance of a proper synchronization between laser scanner and actuator.

  3. High-speed two-dimensional laser scanner based on Bragg gratings stored in photothermorefractive glass.

    PubMed

    Yaqoob, Zahid; Arain, Muzammil A; Riza, Nabeel A

    2003-09-10

    A high-speed free-space wavelength-multiplexed optical scanner with high-speed wavelength selection coupled with narrowband volume Bragg gratings stored in photothermorefractive (PTR) glass is reported. The proposed scanner with no moving parts has a modular design with a wide angular scan range, accurate beam pointing, low scanner insertion loss, and two-dimensional beam scan capabilities. We present a complete analysis and design procedure for storing multiple tilted Bragg-grating structures in a single PTR glass volume (for normal incidence) in an optimal fashion. Because the scanner design is modular, many PTR glass volumes (each having multiple tilted Bragg-grating structures) can be stacked together, providing an efficient throughput with operations in both the visible and the infrared (IR) regions. A proof-of-concept experimental study is conducted with four Bragg gratings in independent PTR glass plates, and both visible and IR region scanner operations are demonstrated.

  4. MR Scanner Systems Should Be Adequately Characterized in Diffusion-MRI of the Breast

    PubMed Central

    Giannelli, Marco; Sghedoni, Roberto; Iacconi, Chiara; Iori, Mauro; Traino, Antonio Claudio; Guerrisi, Maria; Mascalchi, Mario; Toschi, Nicola; Diciotti, Stefano

    2014-01-01

    Breast imaging represents a relatively recent and promising field of application of quantitative diffusion-MRI techniques. In view of the importance of guaranteeing and assessing its reliability in clinical as well as research settings, the aim of this study was to specifically characterize how the main MR scanner system-related factors affect quantitative measurements in diffusion-MRI of the breast. In particular, phantom acquisitions were performed on three 1.5 T MR scanner systems by different manufacturers, all equipped with a dedicated multi-channel breast coil as well as acquisition sequences for diffusion-MRI of the breast. We assessed the accuracy, inter-scan and inter-scanner reproducibility of the mean apparent diffusion coefficient measured along the main orthogonal directions () as well as of diffusion-tensor imaging (DTI)-derived mean diffusivity (MD) measurements. Additionally, we estimated spatial non-uniformity of (NU) and MD (NUMD) maps. We showed that the signal-to-noise ratio as well as overall calibration of high strength diffusion gradients system in typical acquisition sequences for diffusion-MRI of the breast varied across MR scanner systems, introducing systematic bias in the measurements of diffusion indices. While and MD values were not appreciably different from each other, they substantially varied across MR scanner systems. The mean of the accuracies of measured and MD was in the range [−2.3%,11.9%], and the mean of the coefficients of variation for and MD measurements across MR scanner systems was 6.8%. The coefficient of variation for repeated measurements of both and MD was < 1%, while NU and NUMD values were <4%. Our results highlight that MR scanner system-related factors can substantially affect quantitative diffusion-MRI of the breast. Therefore, a specific quality control program for assessing and monitoring the performance of MR scanner systems for diffusion-MRI of the breast is

  5. Basic study of entire whole-body PET scanners based on the OpenPET geometry

    NASA Astrophysics Data System (ADS)

    Yoshida, Eiji; Yamaya, Taiga; Nishikido, Fumihiko; Inadama, Naoko; Murayama, Hideo

    2010-09-01

    A conventional PET scanner has a 15-25 cm axial field-of-view (FOV) and images a whole body using about six bed positions. An OpenPET geometry can extend the axial FOV with a limited number of detectors. The entire whole-body PET scanner must be able to process a large amount of data effectively. In this work, we study feasibility of the fully 3D entire whole-body PET scanner using the GATE simulation. The OpenPET has 12 block detector rings with the ring diameter of 840 mm and each block detector ring consists of 48 depth-of-interaction (DOI) detectors. The OpenPET has the axial length of 895.95 mm with five parts of 58.95 mm open gaps. The OpenPET has higher single data loss than a conventional PET scanner at grouping circuits. NECR of the OpenPET decreases by single data loss. But single data loss is mitigated by separating the axially arranged detector into two parts. Also, multiple coincidences are found to be important for the entire whole-body PET scanner. The entire whole-body PET scanner with the OpenPET geometry promises to provide a large axial FOV with the open space and to have sufficient performance values. But single data loss at the grouping circuits and multiple coincidences are limited to the peak noise equivalent count rate (NECR) for the entire whole-body PET scanner.

  6. Evaluation of resolution and periodic errors of a flatbed scanner used for digitizing spectroscopic photographic plates

    PubMed Central

    Wyatt, Madison; Nave, Gillian

    2017-01-01

    We evaluated the use of a commercial flatbed scanner for digitizing photographic plates used for spectroscopy. The scanner has a bed size of 420 mm by 310 mm and a pixel size of about 0.0106 mm. Our tests show that the closest line pairs that can be resolved with the scanner are 0.024 mm apart, only slightly larger than the Nyquist resolution of 0.021 mm expected by the 0.0106 mm pixel size. We measured periodic errors in the scanner using both a calibrated length scale and a photographic plate. We find no noticeable periodic errors in the direction parallel to the linear detector in the scanner, but errors with an amplitude of 0.03 mm to 0.05 mm in the direction perpendicular to the detector. We conclude that large periodic errors in measurements of spectroscopic plates using flatbed scanners can be eliminated by scanning the plates with the dispersion direction parallel to the linear detector by placing the plate along the short side of the scanner. PMID:28463262

  7. Shift-variant linear system modeling for multispectral scanners

    NASA Astrophysics Data System (ADS)

    Amini, Abolfazl M.; Ioup, George E.; Ioup, Juliette W.

    1995-07-01

    Multispectral scanner data are affected both by the spatial impulse response of the sensor and the spectral response of each channel. To achieve a realistic representation for the output data for a given scene spectral input, both of these effects must be incorporated into a forward model. Each channel can have a different spatial response and each has its characteristic spectral response. A forward model is built which includes the shift invariant spatial broadening of the input for the channels and the shift variant spectral response across channels. The model is applied to the calibrated airborne multispectral scanner as well as the airborne terrestrial applications sensor developed at NASA Stennis Space Center.

  8. Determining density of maize canopy. 2: Airborne multispectral scanner data

    NASA Technical Reports Server (NTRS)

    Stoner, E. R.; Baumgardner, M. F.; Cipra, J. E.

    1971-01-01

    Multispectral scanner data were collected in two flights over a light colored soil background cover plot at an altitude of 305 m. Energy in eleven reflective wavelength band from 0.45 to 2.6 microns was recorded. Four growth stages of maize (Zea mays L.) gave a wide range of canopy densities for each flight date. Leaf area index measurements were taken from the twelve subplots and were used as a measure of canopy density. Ratio techniques were used to relate uncalibrated scanner response to leaf area index. The ratios of scanner data values for the 0.72 to 0.92 micron wavelength band over the 0.61 to 0.70 micron wavelength band were calculated for each plot. The ratios related very well to leaf area index for a given flight date. The results indicated that spectral data from maize canopies could be of value in determining canopy density.

  9. A micron resolution optical scanner for characterization of silicon detectors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shukla, R. A.; Dugad, S. R., E-mail: dugad@cern.ch; Gopal, A. V.

    2014-02-15

    The emergence of high position resolution (∼10 μm) silicon detectors in recent times have highlighted the urgent need for the development of new automated optical scanners of micron level resolution suited for characterizing microscopic features of these detectors. More specifically, for the newly developed silicon photo-multipliers (SiPM) that are compact, possessing excellent photon detection efficiency with gain comparable to photo-multiplier tube. In a short time, since their invention the SiPMs are already being widely used in several high-energy physics and astrophysics experiments as the photon readout element. The SiPM is a high quantum efficiency, multi-pixel photon counting detector with fastmore » timing and high gain. The presence of a wide variety of photo sensitive silicon detectors with high spatial resolution requires their performance evaluation to be carried out by photon beams of very compact spot size. We have designed a high resolution optical scanner that provides a monochromatic focused beam on a target plane. The transverse size of the beam was measured by the knife-edge method to be 1.7 μm at 1 − σ level. Since the beam size was an order of magnitude smaller than the typical feature size of silicon detectors, this optical scanner can be used for selective excitation of these detectors. The design and operational details of the optical scanner, high precision programmed movement of target plane (0.1 μm) integrated with general purpose data acquisition system developed for recording static and transient response photo sensitive silicon detector are reported in this paper. Entire functionality of scanner is validated by using it for selective excitation of individual pixels in a SiPM and identifying response of active and dead regions within SiPM. Results from these studies are presented in this paper.« less

  10. LANSCE-R WIRE-SCANNER ANALOG FRONT-END ELECTRONICS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gruchalla, Michael E.

    2011-01-01

    A new AFE is being developed for the new LANSCE-R wire-scanner systems. The new AFE is implemented in a National Instruments Compact RIO (cRIO) module installed a BiRa 4U BiRIO cRIO chassis specifically designed to accommodate the cRIO crate and all the wire-scanner interface, control and motor-drive electronics. A single AFE module provides interface to both X and Y wire sensors using true DC coupled transimpedance amplifiers providing collection of the wire charge signals, real-time wire integrity verification using the normal dataacquisition system, and wire bias of 0V to +/-50V. The AFE system is designed to accommodate comparatively long macropulsesmore » (>1ms) with high PRF (>120Hz) without the need to provide timing signals. The basic AFE bandwidth is flat from true DC to 50kHz with a true first-order pole at 50kHz. Numeric integration in the cRIO FPGA provides real-time pulse-to-pulse numeric integration of the AFE signal to compute the total charge collected in each macropulse. This method of charge collection eliminates the need to provide synchronization signals to the wire-scanner AFE while providing the capability to accurately record the charge from long macropulses at high PRF.« less

  11. Complementary equipment for controlling multiple laser beams on single scanner MPLSM systems

    NASA Astrophysics Data System (ADS)

    Helm, P. Johannes; Nase, Gabriele; Heggelund, Paul; Reppen, Trond

    2010-02-01

    Multi-Photon-Laser-Scanning-Microscopy (MPLSM) now stands as one of the most powerful experimental tools in biology. Specifically, MPLSM based in-vivo studies of structures and processes in the brains of small rodents and imaging in brain-slices have led to considerable progress in the field of neuroscience. Equipment allowing for independent control of two laser-beams, one for imaging and one for photochemical manipulation, strongly enhances any MPLSM platform. Some industrial MPLSM producers have introduced double scanner options in MPLSM systems. Here, we describe the upgrade of a single scanner MPLSM system with equipment that is suitable for independently controlling the beams of two Titanium Sapphire lasers. The upgrade is compatible with any actual MPLSM system and can be combined with any commercial or self assembled system. Making use of the pixel-clock, frame-active and line-active signals provided by the scanner-electronics of the MPLSM, the user can, by means of an external unit, select individual pixels or rectangular ROIs within the field of view of an overview-scan to be exposed, or not exposed, to the beam(s) of one or two lasers during subsequent scans. The switching processes of the laser-beams during the subsequent scans are performed by means of Electro-Optical-Modulators (EOMs). While this system does not provide the flexibility of two-scanner modules, it strongly enhances the experimental possibilities of one-scanner systems provided a second laser and two independent EOMs are available. Even multi-scanner-systems can profit from this development, which can be used to independently control any number of laser beams.

  12. Accuracy of five intraoral scanners compared to indirect digitalization.

    PubMed

    Güth, Jan-Frederik; Runkel, Cornelius; Beuer, Florian; Stimmelmayr, Michael; Edelhoff, Daniel; Keul, Christine

    2017-06-01

    Direct and indirect digitalization offer two options for computer-aided design (CAD)/ computer-aided manufacturing (CAM)-generated restorations. The aim of this study was to evaluate the accuracy of different intraoral scanners and compare them to the process of indirect digitalization. A titanium testing model was directly digitized 12 times with each intraoral scanner: (1) CS 3500 (CS), (2) Zfx Intrascan (ZFX), (3) CEREC AC Bluecam (BLU), (4) CEREC AC Omnicam (OC) and (5) True Definition (TD). As control, 12 polyether impressions were taken and the referring plaster casts were digitized indirectly with the D-810 laboratory scanner (CON). The accuracy (trueness/precision) of the datasets was evaluated by an analysing software (Geomagic Qualify 12.1) using a "best fit alignment" of the datasets with a highly accurate reference dataset of the testing model, received from industrial computed tomography. Direct digitalization using the TD showed the significant highest overall "trueness", followed by CS. Both performed better than CON. BLU, ZFX and OC showed higher differences from the reference dataset than CON. Regarding the overall "precision", the CS 3500 intraoral scanner and the True Definition showed the best performance. CON, BLU and OC resulted in significantly higher precision than ZFX did. Within the limitations of this in vitro study, the accuracy of the ascertained datasets was dependent on the scanning system. The direct digitalization was not superior to indirect digitalization for all tested systems. Regarding the accuracy, all tested intraoral scanning technologies seem to be able to reproduce a single quadrant within clinical acceptable accuracy. However, differences were detected between the tested systems.

  13. 1.65 mm diameter forward-viewing confocal endomicroscopic catheter using a flip-chip bonded electrothermal MEMS fiber scanner.

    PubMed

    Seo, Yeong-Hyeon; Hwang, Kyungmin; Jeong, Ki-Hun

    2018-02-19

    We report a 1.65 mm diameter forward-viewing confocal endomicroscopic catheter using a flip-chip bonded electrothermal MEMS fiber scanner. Lissajous scanning was implemented by the electrothermal MEMS fiber scanner. The Lissajous scanned MEMS fiber scanner was precisely fabricated to facilitate flip-chip connection, and bonded with a printed circuit board. The scanner was successfully combined with a fiber-based confocal imaging system. A two-dimensional reflectance image of the metal pattern 'OPTICS' was successfully obtained with the scanner. The flip-chip bonded scanner minimizes electrical packaging dimensions. The inner diameter of the flip-chip bonded MEMS fiber scanner is 1.3 mm. The flip-chip bonded MEMS fiber scanner is fully packaged with a 1.65 mm diameter housing tube, 1 mm diameter GRIN lens, and a single mode optical fiber. The packaged confocal endomicroscopic catheter can provide a new breakthrough for diverse in-vivo endomicroscopic applications.

  14. Systematic monitoring and evaluation of M7 scanner performance and data quality

    NASA Technical Reports Server (NTRS)

    Stewart, S.; Christenson, D.; Larsen, L.

    1974-01-01

    An investigation was conducted to provide the information required to maintain data quality of the Michigan M7 Multispectral scanner by systematic checks on specific system performance characteristics. Data processing techniques which use calibration data gathered routinely every mission have been developed to assess current data quality. Significant changes from past data quality are thus identified and attempts made to discover their causes. Procedures for systematic monitoring of scanner data quality are discussed. In the solar reflective region, calculations of Noise Equivalent Change in Radiance on a permission basis are compared to theoretical tape-recorder limits to provide an estimate of overall scanner performance. M7 signal/noise characteristics are examined.

  15. SU-E-P-11: Comparison of Image Quality and Radiation Dose Between Different Scanner System in Routine Abdomen CT

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liao, S; Wang, Y; Weng, H

    Purpose To evaluate image quality and radiation dose of routine abdomen computed tomography exam with the automatic current modulation technique (ATCM) performed in two different brand 64-slice CT scanners in our site. Materials and Methods A retrospective review of routine abdomen CT exam performed with two scanners; scanner A and scanner B in our site. To calculate standard deviation of the portal hepatic level with a region of interest of 12.5 mm x 12.5mm represented to the image noise. The radiation dose was obtained from CT DICOM image information. Using Computed tomography dose index volume (CTDIv) to represented CT radiationmore » dose. The patient data in this study were with normal weight (about 65–75 Kg). Results The standard deviation of Scanner A was smaller than scanner B, the scanner A might with better image quality than scanner B. On the other hand, the radiation dose of scanner A was higher than scanner B(about higher 50–60%) with ATCM. Both of them, the radiation dose was under diagnostic reference level. Conclusion The ATCM systems in modern CT scanners can contribute a significant reduction in radiation dose to the patient. But the reduction by ATCM systems from different CT scanner manufacturers has slightly variation. Whatever CT scanner we use, it is necessary to find the acceptable threshold of image quality with the minimum possible radiation exposure to the patient in agreement with the ALARA principle.« less

  16. Automatic Channel Fault Detection on a Small Animal APD-Based Digital PET Scanner

    NASA Astrophysics Data System (ADS)

    Charest, Jonathan; Beaudoin, Jean-François; Cadorette, Jules; Lecomte, Roger; Brunet, Charles-Antoine; Fontaine, Réjean

    2014-10-01

    Avalanche photodiode (APD) based positron emission tomography (PET) scanners show enhanced imaging capabilities in terms of spatial resolution and contrast due to the one to one coupling and size of individual crystal-APD detectors. However, to ensure the maximal performance, these PET scanners require proper calibration by qualified scanner operators, which can become a cumbersome task because of the huge number of channels they are made of. An intelligent system (IS) intends to alleviate this workload by enabling a diagnosis of the observational errors of the scanner. The IS can be broken down into four hierarchical blocks: parameter extraction, channel fault detection, prioritization and diagnosis. One of the main activities of the IS consists in analyzing available channel data such as: normalization coincidence counts and single count rates, crystal identification classification data, energy histograms, APD bias and noise thresholds to establish the channel health status that will be used to detect channel faults. This paper focuses on the first two blocks of the IS: parameter extraction and channel fault detection. The purpose of the parameter extraction block is to process available data on individual channels into parameters that are subsequently used by the fault detection block to generate the channel health status. To ensure extensibility, the channel fault detection block is divided into indicators representing different aspects of PET scanner performance: sensitivity, timing, crystal identification and energy. Some experiments on a 8 cm axial length LabPET scanner located at the Sherbrooke Molecular Imaging Center demonstrated an erroneous channel fault detection rate of 10% (with a 95% confidence interval (CI) of [9, 11]) which is considered tolerable. Globally, the IS achieves a channel fault detection efficiency of 96% (CI: [95, 97]), which proves that many faults can be detected automatically. Increased fault detection efficiency would be

  17. Scanner baseliner monitoring and control in high volume manufacturing

    NASA Astrophysics Data System (ADS)

    Samudrala, Pavan; Chung, Woong Jae; Aung, Nyan; Subramany, Lokesh; Gao, Haiyong; Gomez, Juan-Manuel

    2016-03-01

    We analyze performance of different customized models on baseliner overlay data and demonstrate the reduction in overlay residuals by ~10%. Smart Sampling sets were assessed and compared with the full wafer measurements. We found that performance of the grid can still be maintained by going to one-third of total sampling points, while reducing metrology time by 60%. We also demonstrate the feasibility of achieving time to time matching using scanner fleet manager and thus identify the tool drifts even when the tool monitoring controls are within spec limits. We also explore the scanner feedback constant variation with illumination sources.

  18. Localization of a mobile laser scanner via dimensional reduction

    NASA Astrophysics Data System (ADS)

    Lehtola, Ville V.; Virtanen, Juho-Pekka; Vaaja, Matti T.; Hyyppä, Hannu; Nüchter, Andreas

    2016-11-01

    We extend the concept of intrinsic localization from a theoretical one-dimensional (1D) solution onto a 2D manifold that is embedded in a 3D space, and then recover the full six degrees of freedom for a mobile laser scanner with a simultaneous localization and mapping algorithm (SLAM). By intrinsic localization, we mean that no reference coordinate system, such as global navigation satellite system (GNSS), nor inertial measurement unit (IMU) are used. Experiments are conducted with a 2D laser scanner mounted on a rolling prototype platform, VILMA. The concept offers potential in being extendable to other wheeled platforms.

  19. Using a Flatbed Scanner to Measure Detergency: A Cost-Effective Undergraduate Laboratory

    ERIC Educational Resources Information Center

    Poce-Fatou, J. A.; Bethencourt, M.; Moreno-Dorado, F. J.; Palacios-Santander, J. M.

    2011-01-01

    The efficiency of a laundry-washing process is typically assessed using reflection measurements. A spectrometer and an integrating sphere are used to obtain the reflection data. The similarities between this equipment and a commercially available flatbed scanner are examined, and the way a flatbed scanner can be used to obtain detergent…

  20. Modeling and measurement of the detector presampling MTF of a variable resolution x-ray CT scanner.

    PubMed

    Melnyk, Roman; DiBianca, Frank A

    2007-03-01

    The detector presampling modulation transfer function (MTF) of a 576-channel variable resolution x-ray (VRX) computed tomography (CT) scanner was evaluated in this study. The scanner employs a VRX detector, which provides increased spatial resolution by matching the scanner's field of view (FOV) to the size of an object being imaged. Because spatial resolution is the parameter the scanner promises to improve, the evaluation of this resolution is important. The scanner's pre-reconstruction spatial resolution, represented by the detector presampling MTF, was evaluated using both modeling (Monte Carlo simulation) and measurement (the moving slit method). The theoretical results show the increase in the cutoff frequency of the detector presampling MTF from 1.39 to 43.38 cycles/mm as the FOV of the VRX CT scanner decreases from 32 to 1 cm. The experimental results are in reasonable agreement with the theoretical data. Some discrepancies between the measured and the modeled detector presampling MTFs can be explained by the limitations of the model. At small FOVs (1-8 cm), the MTF measurements were limited by the size of the focal spot. The obtained results are important for further development of the VRX CT scanner.

  1. Dental impressions using 3D digital scanners: virtual becomes reality.

    PubMed

    Birnbaum, Nathan S; Aaronson, Heidi B

    2008-10-01

    The technologies that have made the use of three-dimensional (3D) digital scanners an integral part of many industries for decades have been improved and refined for application to dentistry. Since the introduction of the first dental impressioning digital scanner in the 1980s, development engineers at a number of companies have enhanced the technologies and created in-office scanners that are increasingly user-friendly and able to produce precisely fitting dental restorations. These systems are capable of capturing 3D virtual images of tooth preparations, from which restorations may be fabricated directly (ie, CAD/CAM systems) or fabricated indirectly (ie, dedicated impression scanning systems for the creation of accurate master models). The use of these products is increasing rapidly around the world and presents a paradigm shift in the way in which dental impressions are made. Several of the leading 3D dental digital scanning systems are presented and discussed in this article.

  2. Incorporation of a two metre long PET scanner in STIR

    NASA Astrophysics Data System (ADS)

    Tsoumpas, C.; Brain, C.; Dyke, T.; Gold, D.

    2015-09-01

    The Explorer project aims to investigate the potential benefits of a total-body 2 metre long PET scanner. The following investigation incorporates this scanner in STIR library and demonstrates the capabilities and weaknesses of existing reconstruction (FBP and OSEM) and single scatter simulation algorithms. It was found that sensible images are reconstructed but at the expense of high memory and processing time demands. FBP requires 4 hours on a core; OSEM: 2 hours per iteration if ran in parallel on 15-cores of a high performance computer. The single scatter simulation algorithm shows that on a short scale, up to a fifth of the scanner length, the assumption that the scatter between direct rings is similar to the scatter between the oblique rings is approximately valid. However, for more extreme cases this assumption is not longer valid, which illustrates that consideration of the oblique rings within the single scatter simulation will be necessary, if this scatter correction is the method of choice.

  3. X-ray and gamma-ray computed tomography for industrial nondestructive testing and evaluation

    NASA Astrophysics Data System (ADS)

    Costello, Ian; Wells, Peter; Davis, John R.; Benci, Nino; Skerrett, David; Davies, D. R.

    1994-03-01

    This paper presents an overview of two recently constructed computed tomography (CT) scanners that have been designed to provide structural information for industrially relevant materials and components. CT enables cross-sectional slices of an object to be nondestructively imaged and represented as a map of linear attenuation coefficient. As linear attenuation is the product of mass attenuation and density, this usually enables a straightforward interpretation of the image in terms of density. The two instruments are a transportable scanner using a 160 kV(peak) powered x-ray tube for the inspection of wooden power poles up to 450 mm in diameter, and an industrial scanning system designed around an Ir-192 gamma-ray source for materials characterization and the testing and evaluation of castings, ceramics, and composites. The images presented in this paper have generally been reconstructed using the summation convolution back-projection (SCBP) method, and this technique is outlined. Direct Fourier reconstruction is also used and compared with the SCBP method. A brief discussion is offered on incorporating edge detection methods into the image reconstruction process for the improved identification of defects such as cracks and voids.

  4. Algorithms for Coastal-Zone Color-Scanner Data

    NASA Technical Reports Server (NTRS)

    1986-01-01

    Software for Nimbus-7 Coastal-Zone Color-Scanner (CZCS) derived products consists of set of scientific algorithms for extracting information from CZCS-gathered data. Software uses CZCS-generated Calibrated RadianceTemperature (CRT) tape as input and outputs computer-compatible tape and film product.

  5. Miniature 'Wearable' PET Scanner Ready for Use

    ScienceCinema

    Vaska, Paul

    2017-12-27

    Scientists from BNL, Stony Brook University, and collaborators have demonstrated the efficacy of a "wearable" portable PET scanner they've developed for rats. The device will give neuroscientists a new tool for simultaneously studying brain function and behavior in fully awake, moving animals.

  6. Optimal Shape of a Gamma-ray Collimator: single vs double knife edge

    NASA Astrophysics Data System (ADS)

    Metz, Albert; Hogenbirk, Alfred

    2017-09-01

    Gamma-ray collimators in nuclear waste scanners are used for selecting a narrow vertical segment in activity measurements of waste vessels. The system that is used by NRG uses tapered slit collimators of both the single and double knife edge type. The properties of these collimators were investigated by means of Monte Carlo simulations. We found that single knife edge collimators are highly preferable for a conservative estimate of the activity of the waste vessels. These collimators show much less dependence on the angle of incidence of the radiation than double knife edge collimators. This conclusion also applies to cylindrical collimators of the single knife edge type, that are generally used in medical imaging spectroscopy.

  7. Compact conscious animal positron emission tomography scanner

    DOEpatents

    Schyler, David J.; O'Connor, Paul; Woody, Craig; Junnarkar, Sachin Shrirang; Radeka, Veljko; Vaska, Paul; Pratte, Jean-Francois; Volkow, Nora

    2006-10-24

    A method of serially transferring annihilation information in a compact positron emission tomography (PET) scanner includes generating a time signal for an event, generating an address signal representing a detecting channel, generating a detector channel signal including the time and address signals, and generating a composite signal including the channel signal and similarly generated signals. The composite signal includes events from detectors in a block and is serially output. An apparatus that serially transfers annihilation information from a block includes time signal generators for detectors in a block and an address and channel signal generator. The PET scanner includes a ring tomograph that mounts onto a portion of an animal, which includes opposing block pairs. Each of the blocks in a block pair includes a scintillator layer, detection array, front-end array, and a serial encoder. The serial encoder includes time signal generators and an address signal and channel signal generator.

  8. Comparison of dosimetric properties among four commercial multi-detector computed tomography scanners.

    PubMed

    Ohno, Takeshi; Araki, Fujio; Onizuka, Ryota; Hatemura, Masahiro; Shimonobou, Toshiaki; Sakamoto, Takashi; Okumura, Shuichiro; Ideguchi, Daichi; Honda, Keiichi; Kawata, Kenji

    2017-03-01

    This study compared dosimetric properties among four commercial multi-detector CT (MDCT) scanners. The X-ray beam characteristics were obtained from photon intensity attenuation curves of aluminum and off-center ratio (OCR) profiles in air, which were measured with four commercial MDCT scanners. The absorbed dose for MDCT scanners was evaluated with Farmer ionization chamber measurements at the center and four peripheral points in the body- and head-type cylindrical water phantoms. Measured collected charge was converted to absorbed dose using a 60 Co absorbed dose-to-water calibration factor and Monte Carlo (MC)-calculated correction factors. Four MDCT scanners were modeled to correspond with measured X-ray beam characteristics using GMctdospp (IMPS, Germany) software. Al half-value layers (Al-HVLs) with a body-bowtie filter determined from measured Al-attenuation curves ranged 7.2‒9.1mm at 120kVp and 6.1‒8.0mm at 100kVp. MC-calculated Al-HVLs and OCRs in air were in acceptable agreement within 0.5mm and 5% of measured values, respectively. The percentage difference between nominal and actual beam width was greater with decreasing collimation width. The absorbed doses for MDCT scanners at 120kVp ranged 5.1‒7.1mGy and 10.8‒17.5mGy per 100mAs at the center in the body- and head-type water phantoms, respectively. Measured doses at four peripheral points were within 5% agreement of MC-calculated values. The absorbed dose at the center in both water phantoms increased with decreasing Al-HVL for the same charge on the focus. In this study the X-ray beam characteristics and the absorbed dose were measured and compared with calculated values for four MDCT scanners. Copyright © 2017 Associazione Italiana di Fisica Medica. Published by Elsevier Ltd. All rights reserved.

  9. Prospective multi-centre Voxel Based Morphometry study employing scanner specific segmentations: Procedure development using CaliBrain structural MRI data

    PubMed Central

    2009-01-01

    Background Structural Magnetic Resonance Imaging (sMRI) of the brain is employed in the assessment of a wide range of neuropsychiatric disorders. In order to improve statistical power in such studies it is desirable to pool scanning resources from multiple centres. The CaliBrain project was designed to provide for an assessment of scanner differences at three centres in Scotland, and to assess the practicality of pooling scans from multiple-centres. Methods We scanned healthy subjects twice on each of the 3 scanners in the CaliBrain project with T1-weighted sequences. The tissue classifier supplied within the Statistical Parametric Mapping (SPM5) application was used to map the grey and white tissue for each scan. We were thus able to assess within scanner variability and between scanner differences. We have sought to correct for between scanner differences by adjusting the probability mappings of tissue occupancy (tissue priors) used in SPM5 for tissue classification. The adjustment procedure resulted in separate sets of tissue priors being developed for each scanner and we refer to these as scanner specific priors. Results Voxel Based Morphometry (VBM) analyses and metric tests indicated that the use of scanner specific priors reduced tissue classification differences between scanners. However, the metric results also demonstrated that the between scanner differences were not reduced to the level of within scanner variability, the ideal for scanner harmonisation. Conclusion Our results indicate the development of scanner specific priors for SPM can assist in pooling of scan resources from different research centres. This can facilitate improvements in the statistical power of quantitative brain imaging studies. PMID:19445668

  10. Range 7 Scanner Integration with PaR Robot Scanning System

    NASA Technical Reports Server (NTRS)

    Schuler, Jason; Burns, Bradley; Carlson, Jeffrey; Minich, Mark

    2011-01-01

    An interface bracket and coordinate transformation matrices were designed to allow the Range 7 scanner to be mounted on the PaR Robot detector arm for scanning the heat shield or other object placed in the test cell. A process was designed for using Rapid Form XOR to stitch data from multiple scans together to provide an accurate 3D model of the object scanned. An accurate model was required for the design and verification of an existing heat shield. The large physical size and complex shape of the heat shield does not allow for direct measurement of certain features in relation to other features. Any imaging devices capable of imaging the entire heat shield in its entirety suffers a reduced resolution and cannot image sections that are blocked from view. Prior methods involved tools such as commercial measurement arms, taking images with cameras, then performing manual measurements. These prior methods were tedious and could not provide a 3D model of the object being scanned, and were typically limited to a few tens of measurement points at prominent locations. Integration of the scanner with the robot allows for large complex objects to be scanned at high resolution, and for 3D Computer Aided Design (CAD) models to be generated for verification of items to the original design, and to generate models of previously undocumented items. The main components are the mounting bracket for the scanner to the robot and the coordinate transformation matrices used for stitching the scanner data into a 3D model. The steps involve mounting the interface bracket to the robot's detector arm, mounting the scanner to the bracket, and then scanning sections of the object and recording the location of the tool tip (in this case the center of the scanner's focal point). A novel feature is the ability to stitch images together by coordinates instead of requiring each scan data set to have overlapping identifiable features. This setup allows models of complex objects to be developed

  11. Advanced optical 3D scanners using DMD technology

    NASA Astrophysics Data System (ADS)

    Muenstermann, P.; Godding, R.; Hermstein, M.

    2017-02-01

    Optical 3D measurement techniques are state-of-the-art for highly precise, non-contact surface scanners - not only in industrial development, but also in near-production and even in-line configurations. The need for automated systems with very high accuracy and clear implementation of national precision standards is growing extremely due to expanding international quality guidelines, increasing production transparency and new concepts related to the demands of the fourth industrial revolution. The presentation gives an overview about the present technical concepts for optical 3D scanners and their benefit for customers and various different applications - not only in quality control, but also in design centers or in medical applications. The advantages of DMD-based systems will be discussed and compared to other approaches. Looking at today's 3D scanner market, there is a confusing amount of solutions varying from lowprice solutions to high end systems. Many of them are linked to a very special target group or to special applications. The article will clarify the differences of the approaches and will discuss some key features which are necessary to render optical measurement systems suitable for industrial environments. The paper will be completed by examples for DMDbased systems, e. g. RGB true-color systems with very high accuracy like the StereoScan neo of AICON 3D Systems. Typical applications and the benefits for customers using such systems are described.

  12. Earth Radiation Budget Experiment (ERBE) scanner instrument anomaly investigation

    NASA Technical Reports Server (NTRS)

    Watson, N. D.; Miller, J. B.; Taylor, L. V.; Lovell, J. B.; Cox, J. W.; Fedors, J. C.; Kopia, L. P.; Holloway, R. M.; Bradley, O. H.

    1985-01-01

    The results of an ad-hoc committee investigation of in-Earth orbit operational anomalies noted on two identical Earth Radiation Budget Experiment (ERBE) Scanner instruments on two different spacecraft busses is presented. The anomalies are attributed to the bearings and the lubrication scheme for the bearings. A detailed discussion of the pertinent instrument operations, the approach of the investigation team and the current status of the instruments now in Earth orbit is included. The team considered operational changes for these instruments, rework possibilities for the one instrument which is waiting to be launched, and preferable lubrication considerations for specific space operational requirements similar to those for the ERBE scanner bearings.

  13. Measurement properties and usability of non-contact scanners for measuring transtibial residual limb volume.

    PubMed

    Kofman, Rianne; Beekman, Anna M; Emmelot, Cornelis H; Geertzen, Jan H B; Dijkstra, Pieter U

    2018-06-01

    Non-contact scanners may have potential for measurement of residual limb volume. Different non-contact scanners have been introduced during the last decades. Reliability and usability (practicality and user friendliness) should be assessed before introducing these systems in clinical practice. The aim of this study was to analyze the measurement properties and usability of four non-contact scanners (TT Design, Omega Scanner, BioSculptor Bioscanner, and Rodin4D Scanner). Quasi experimental. Nine (geometric and residual limb) models were measured on two occasions, each consisting of two sessions, thus in total 4 sessions. In each session, four observers used the four systems for volume measurement. Mean for each model, repeatability coefficients for each system, variance components, and their two-way interactions of measurement conditions were calculated. User satisfaction was evaluated with the Post-Study System Usability Questionnaire. Systematic differences between the systems were found in volume measurements. Most of the variances were explained by the model (97%), while error variance was 3%. Measurement system and the interaction between system and model explained 44% of the error variance. Repeatability coefficient of the systems ranged from 0.101 (Omega Scanner) to 0.131 L (Rodin4D). Differences in Post-Study System Usability Questionnaire scores between the systems were small and not significant. The systems were reliable in determining residual limb volume. Measurement systems and the interaction between system and residual limb model explained most of the error variances. The differences in repeatability coefficient and usability between the four CAD/CAM systems were small. Clinical relevance If accurate measurements of residual limb volume are required (in case of research), modern non-contact scanners should be taken in consideration nowadays.

  14. A coherent light scanner for optical processing of large format transparencies

    NASA Technical Reports Server (NTRS)

    Callen, W. R.; Weaver, J. E.; Shackelford, R. G.; Walsh, J. R.

    1975-01-01

    A laser scanner is discussed in which the scanning beam is random-access addressable and perpendicular to the image input plane and the irradiance of the scanned beam is controlled so that a constant average irradiance is maintained after passage through the image plane. The scanner's optical system and design are described, and its performance is evaluated. It is noted that with this scanner, data in the form of large-format transparencies can be processed without the expense, space, maintenance, and precautions attendant to the operation of a high-power laser with large-aperture collimating optics. It is shown that the scanned format as well as the diameter of the scanning beam may be increased by simple design modifications and that higher scan rates can be achieved at the expense of resolution by employing acousto-optic deflectors with different relay optics.

  15. Application of multispectral scanner data to the study of an abandoned surface coal mine

    NASA Technical Reports Server (NTRS)

    Spisz, E. W.

    1978-01-01

    The utility of aircraft multispectral scanner data for describing the land cover features of an abandoned contour-mined coal mine is considered. The data were obtained with an 11 band multispectral scanner at an altitude of 1.2 kilometers. Supervised, maximum-likelihood statistical classifications of the data were made to establish land-cover classes and also to describe in more detail the barren surface features as they may pertain to the reclamation or restoration of the area. The scanner data for the surface-water areas were studied to establish the variability and range of the spectral signatures. Both day and night thermal images of the area are presented. The results of the study show that a high degree of statistical separation can be obtained from the multispectral scanner data for the various land-cover features.

  16. A comparison of the accuracy of intraoral scanners using an intraoral environment simulator.

    PubMed

    Park, Hye-Nan; Lim, Young-Jun; Yi, Won-Jin; Han, Jung-Suk; Lee, Seung-Pyo

    2018-02-01

    The aim of this study was to design an intraoral environment simulator and to assess the accuracy of two intraoral scanners using the simulator. A box-shaped intraoral environment simulator was designed to simulate two specific intraoral environments. The cast was scanned 10 times by Identica Blue (MEDIT, Seoul, South Korea), TRIOS (3Shape, Copenhagen, Denmark), and CS3500 (Carestream Dental, Georgia, USA) scanners in the two simulated groups. The distances between the left and right canines (D3), first molars (D6), second molars (D7), and the left canine and left second molar (D37) were measured. The distance data were analyzed by the Kruskal-Wallis test. The differences in intraoral environments were not statistically significant ( P >.05). Between intraoral scanners, statistically significant differences ( P <.05) were revealed by the Kruskal-Wallis test with regard to D3 and D6. No difference due to the intraoral environment was revealed. The simulator will contribute to the higher accuracy of intraoral scanners in the future.

  17. Three-dimensional tracking and imaging laser scanner for space operations

    NASA Astrophysics Data System (ADS)

    Laurin, Denis G.; Beraldin, J. A.; Blais, Francois; Rioux, Marc; Cournoyer, Luc

    1999-05-01

    This paper presents the development of a laser range scanner (LARS) as a three-dimensional sensor for space applications. The scanner is a versatile system capable of doing surface imaging, target ranging and tracking. It is capable of short range (0.5 m to 20 m) and long range (20 m to 10 km) sensing using triangulation and time-of-flight (TOF) methods respectively. At short range (1 m), the resolution is sub-millimeter and drops gradually with distance (2 cm at 10 m). For long range, the TOF provides a constant resolution of plus or minus 3 cm, independent of range. The LARS could complement the existing Canadian Space Vision System (CSVS) for robotic manipulation. As an active vision system, the LARS is immune to sunlight and adverse lighting; this is a major advantage over the CSVS, as outlined in this paper. The LARS could also replace existing radar systems used for rendezvous and docking. There are clear advantages of an optical system over a microwave radar in terms of size, mass, power and precision. Equipped with two high-speed galvanometers, the laser can be steered to address any point in a 30 degree X 30 degree field of view. The scanning can be continuous (raster scan, Lissajous) or direct (random). This gives the scanner the ability to register high-resolution 3D images of range and intensity (up to 4000 X 4000 pixels) and to perform point target tracking as well as object recognition and geometrical tracking. The imaging capability of the scanner using an eye-safe laser is demonstrated. An efficient fiber laser delivers 60 mW of CW or 3 (mu) J pulses at 20 kHz for TOF operation. Implementation of search and track of multiple targets is also demonstrated. For a single target, refresh rates up to 137 Hz is possible. Considerations for space qualification of the scanner are discussed. Typical space operations, such as docking, object attitude tracking, and inspections are described.

  18. Enhanced methodology of focus control and monitoring on scanner tool

    NASA Astrophysics Data System (ADS)

    Chen, Yen-Jen; Kim, Young Ki; Hao, Xueli; Gomez, Juan-Manuel; Tian, Ye; Kamalizadeh, Ferhad; Hanson, Justin K.

    2017-03-01

    As the demand of the technology node shrinks from 14nm to 7nm, the reliability of tool monitoring techniques in advanced semiconductor fabs to achieve high yield and quality becomes more critical. Tool health monitoring methods involve periodic sampling of moderately processed test wafers to detect for particles, defects, and tool stability in order to ensure proper tool health. For lithography TWINSCAN scanner tools, the requirements for overlay stability and focus control are very strict. Current scanner tool health monitoring methods include running BaseLiner to ensure proper tool stability on a periodic basis. The focus measurement on YIELDSTAR by real-time or library-based reconstruction of critical dimensions (CD) and side wall angle (SWA) has been demonstrated as an accurate metrology input to the control loop. The high accuracy and repeatability of the YIELDSTAR focus measurement provides a common reference of scanner setup and user process. In order to further improve the metrology and matching performance, Diffraction Based Focus (DBF) metrology enabling accurate, fast, and non-destructive focus acquisition, has been successfully utilized for focus monitoring/control of TWINSCAN NXT immersion scanners. The optimal DBF target was determined to have minimized dose crosstalk, dynamic precision, set-get residual, and lens aberration sensitivity. By exploiting this new measurement target design, 80% improvement in tool-to-tool matching, >16% improvement in run-to-run mean focus stability, and >32% improvement in focus uniformity have been demonstrated compared to the previous BaseLiner methodology. Matching <2.4 nm across multiple NXT immersion scanners has been achieved with the new methodology of set baseline reference. This baseline technique, with either conventional BaseLiner low numerical aperture (NA=1.20) mode or advanced illumination high NA mode (NA=1.35), has also been evaluated to have consistent performance. This enhanced methodology of focus

  19. Relationship between resolution and accuracy of four intraoral scanners in complete-arch impressions

    PubMed Central

    Pascual-Moscardó, Agustín; Camps, Isabel

    2018-01-01

    Background The scanner does not measure the dental surface continually. Instead, it generates a point cloud, and these points are then joined to form the scanned object. This approximation will depend on the number of points generated (resolution), which can lead to low accuracy (trueness and precision) when fewer points are obtained. The purpose of this study is to determine the resolution of four intraoral digital imaging systems and to demonstrate the relationship between accuracy and resolution of the intraoral scanner in impressions of a complete dental arch. Material and Methods A master cast of the complete maxillary arch was prepared with different dental preparations. Using four digital impression systems, the cast was scanned inside of a black methacrylate box, obtaining a total of 40 digital impressions from each scanner. The resolution was obtained by dividing the number of points of each digital impression by the total surface area of the cast. Accuracy was evaluated using a three-dimensional measurement software, using the “best alignment” method of the casts with a highly faithful reference model obtained from an industrial scanner. Pearson correlation was used for statistical analysis of the data. Results Of the intraoral scanners, Omnicam is the system with the best resolution, with 79.82 points per mm2, followed by True Definition with 54.68 points per mm2, Trios with 41.21 points per mm2, and iTero with 34.20 points per mm2. However, the study found no relationship between resolution and accuracy of the study digital impression systems (P >0.05), except for Omnicam and its precision. Conclusions The resolution of the digital impression systems has no relationship with the accuracy they achieve in the impression of a complete dental arch. The study found that the Omnicam scanner is the system that obtains the best resolution, and that as the resolution increases, its precision increases. Key words:Trueness, precision, accuracy, resolution

  20. Anchorage in Orthodontics: Three-dimensional Scanner Input.

    PubMed

    Nabbout, Fidele; Baron, Pascal

    2018-01-01

    The aim of this article is to re-evaluate anchorage coefficient values in orthodontics and their influence in the treatment decision through the usage of three-dimensional (3D) scanner. A sample of 80 patients was analyzed with the 3D scanner using the C2000 and Cepha 3DT softwares (CIRAD Montpellier, France). Tooth anatomy parameters (linear measurements, root, and crown volumes) were then calculated to determine new anchorage coefficients based on root volume. Data were collected and statistically evaluated with the StatView software (version 5.0). The anchorage coefficient values found in this study are compared to those established in previous studies. These new values affect and modify our approach in orthodontic treatment from the standpoint of anchorage. The use of new anchorage coefficient values has significant clinical implications in conventional and in microimplants-assisted orthodontic mechanics through the selection and delivery of the optimal force system (magnitude and moment) for an adequate biological response.

  1. Development of Monte Carlo simulations to provide scanner-specific organ dose coefficients for contemporary CT

    NASA Astrophysics Data System (ADS)

    Jansen, Jan T. M.; Shrimpton, Paul C.

    2016-07-01

    The ImPACT (imaging performance assessment of CT scanners) CT patient dosimetry calculator is still used world-wide to estimate organ and effective doses (E) for computed tomography (CT) examinations, although the tool is based on Monte Carlo calculations reflecting practice in the early 1990’s. Subsequent developments in CT scanners, definitions of E, anthropomorphic phantoms, computers and radiation transport codes, have all fuelled an urgent need for updated organ dose conversion factors for contemporary CT. A new system for such simulations has been developed and satisfactorily tested. Benchmark comparisons of normalised organ doses presently derived for three old scanners (General Electric 9800, Philips Tomoscan LX and Siemens Somatom DRH) are within 5% of published values. Moreover, calculated normalised values of CT Dose Index for these scanners are in reasonable agreement (within measurement and computational uncertainties of  ±6% and  ±1%, respectively) with reported standard measurements. Organ dose coefficients calculated for a contemporary CT scanner (Siemens Somatom Sensation 16) demonstrate potential deviations by up to around 30% from the surrogate values presently assumed (through a scanner matching process) when using the ImPACT CT Dosimetry tool for newer scanners. Also, illustrative estimates of E for some typical examinations and a range of anthropomorphic phantoms demonstrate the significant differences (by some 10’s of percent) that can arise when changing from the previously adopted stylised mathematical phantom to the voxel phantoms presently recommended by the International Commission on Radiological Protection (ICRP), and when following the 2007 ICRP recommendations (updated from 1990) concerning tissue weighting factors. Further simulations with the validated dosimetry system will provide updated series of dose coefficients for a wide range of contemporary scanners.

  2. Evaluation of scattered radiation emitted from X-ray security scanners on occupational dose to airport personnel

    NASA Astrophysics Data System (ADS)

    Dalah, Entesar; Fakhry, Angham; Mukhtar, Asma; Al Salti, Farah; Bader, May; Khouri, Sara; Al-Zahmi, Reem

    2017-06-01

    Based on security issues and regulations airports are provided with luggage cargo scanners. These scanners utilize ionizing radiation that in principle present health risks toward humans. The study aims to investigate the amount of backscatter produced by passenger luggage and cargo toward airport personnel who are located at different distances from the scanners. To approach our investigation a Thermo Electron Radeye-G probe was used to quantify the backscattered radiation measured in terms of dose-rate emitted from airport scanners, Measurements were taken at the entrance and exit positions of the X-ray tunnel at three different distances (0, 50, and 100 cm) for two different scanners; both scanners include shielding curtains that reduce scattered radiation. Correlation was demonstrated using the Pearson coefficient test. Measurements confirmed an inverse relationship between dose rate and distance. An estimated occupational accumulative dose of 0.88 mSv/y, and 2.04 mSv/y were obtained for personnel working in inspection of carry-on, and cargo, respectively. Findings confirm that the projected dose of security and engineering staff are being well within dose limits.

  3. A dedicated breast-PET/CT scanner: Evaluation of basic performance characteristics.

    PubMed

    Raylman, Raymond R; Van Kampen, Will; Stolin, Alexander V; Gong, Wenbo; Jaliparthi, Gangadhar; Martone, Peter F; Smith, Mark F; Sarment, David; Clinthorne, Neal H; Perna, Mark

    2018-04-01

    Application of advanced imaging techniques, such as PET and x ray CT, can potentially improve detection of breast cancer. Unfortunately, both modalities have challenges in the detection of some lesions. The combination of the two techniques, however, could potentially lead to an overall improvement in diagnostic breast imaging. The purpose of this investigation is to test the basic performance of a new dedicated breast-PET/CT. The PET component consists of a rotating pair of detectors. Its performance was evaluated using the NEMA NU4-2008 protocols. The CT component utilizes a pulsed x ray source and flat panel detector mounted on the same gantry as the PET scanner. Its performance was assessed using specialized phantoms. The radiation dose to a breast during CT imaging was explored by the measurement of free-in-air kerma and air kerma measured at the center of a 16 cm-diameter PMMA cylinder. Finally, the combined capabilities of the system were demonstrated by imaging of a micro-hot-rod phantom. Overall, performance of the PET component is comparable to many pre-clinical and other dedicated breast-PET scanners. Its spatial resolution is 2.2 mm, 5 mm from the center of the scanner using images created with the single-sliced-filtered-backprojection algorithm. Peak NECR is 24.6 kcps; peak sensitivity is 1.36%; the scatter fraction is 27%. Spatial resolution of the CT scanner is 1.1 lp/mm at 10% MTF. The free-in-air kerma is 2.33 mGy, while the PMMA-air kerma is 1.24 mGy. Finally, combined imaging of a micro-hot-rod phantom illustrated the potential utility of the dual-modality images produced by the system. The basic performance characteristics of a new dedicated breast-PET/CT scanner are good, demonstrating that its performance is similar to current dedicated PET and CT scanners. The potential value of this system is the capability to produce combined duality-modality images that could improve detection of breast disease. The next stage in development of this system

  4. Determination of noise equivalent reflectance for a multispectral scanner: A scanner sensitivity study

    NASA Technical Reports Server (NTRS)

    Gibbons, D. E.; Richard, R. R.

    1979-01-01

    The methods used to calculate the sensitivity parameter noise equivalent reflectance of a remote-sensing scanner are explored, and the results are compared with values measured over calibrated test sites. Data were acquired on four occasions covering a span of 4 years and providing various atmospheric conditions. One of the calculated values was based on assumed atmospheric conditions, whereas two others were based on atmospheric models. Results indicate that the assumed atmospheric conditions provide useful answers adequate for many purposes. A nomograph was developed to indicate sensitivity variations due to geographic location, time of day, and season.

  5. 21 CFR 892.1300 - Nuclear rectilinear scanner.

    Code of Federal Regulations, 2014 CFR

    2014-04-01

    ... 21 Food and Drugs 8 2014-04-01 2014-04-01 false Nuclear rectilinear scanner. 892.1300 Section 892.1300 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES (CONTINUED... the patient. This generic type of device may include signal analysis and display equipment, patient...

  6. 21 CFR 892.1300 - Nuclear rectilinear scanner.

    Code of Federal Regulations, 2011 CFR

    2011-04-01

    ... 21 Food and Drugs 8 2011-04-01 2011-04-01 false Nuclear rectilinear scanner. 892.1300 Section 892.1300 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES (CONTINUED... the patient. This generic type of device may include signal analysis and display equipment, patient...

  7. 21 CFR 892.1300 - Nuclear rectilinear scanner.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Nuclear rectilinear scanner. 892.1300 Section 892.1300 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES (CONTINUED... the patient. This generic type of device may include signal analysis and display equipment, patient...

  8. Proximity matching for ArF and KrF scanners

    NASA Astrophysics Data System (ADS)

    Kim, Young Ki; Pohling, Lua; Hwee, Ng Teng; Kim, Jeong Soo; Benyon, Peter; Depre, Jerome; Hong, Jongkyun; Serebriakov, Alexander

    2009-03-01

    There are many IC-manufacturers over the world that use various exposure systems and work with very high requirements in order to establish and maintain stable lithographic processes of 65 nm, 45 nm and below. Once the process is established, manufacturer desires to be able to run it on different tools that are available. This is why the proximity matching plays a key role to maximize tools utilization in terms of productivity for different types of exposure tools. In this paper, we investigate the source of errors that cause optical proximity mismatch and evaluate several approaches for proximity matching of different types of 193 nm and 248 nm scanner systems such as set-get sigma calibration, contrast adjustment, and, finally, tuning imaging parameters by optimization with Manual Scanner Matcher. First, to monitor the proximity mismatch, we collect CD measurement data for the reference tool and for the tool-to-be-matched. Normally, the measurement is performed for a set of line or space through pitch structures. Secondly, by simulation or experiment, we determine the sensitivity of the critical structures with respect to small adjustment of exposure settings such as NA, sigma inner, sigma outer, dose, focus scan range etc. that are called 'proximity tuning knobs'. Then, with the help of special optimization software, we compute the proximity knob adjustment that has to be applied to the tool-to-be-matched to match the reference tool. Finally, we verify successful matching by exposing on the tool-to-be-matched with tuned exposure settings. This procedure is applicable for inter- and intra scanner type matching, but possibly also for process transfers to the design targets. In order to illustrate the approach we show experimental data as well as results of imaging simulations. The set demonstrate successful matching of critical structures for ArF scanners of different tool generations.

  9. Characterization of 176Lu background in LSO-based PET scanners

    NASA Astrophysics Data System (ADS)

    Conti, Maurizio; Eriksson, Lars; Rothfuss, Harold; Sjoeholm, Therese; Townsend, David; Rosenqvist, Göran; Carlier, Thomas

    2017-05-01

    LSO and LYSO are today the most common scintillators used in positron emission tomography. Lutetium contains traces of 176Lu, a radioactive isotope that decays β - with a cascade of γ photons in coincidence. Therefore, Lutetium-based scintillators are characterized by a small natural radiation background. In this paper, we investigate and characterize the 176Lu radiation background via experiments performed on LSO-based PET scanners. LSO background was measured at different energy windows and different time coincidence windows, and by using shields to alter the original spectrum. The effect of radiation background in particularly count-starved applications, such as 90Y imaging, is analysed and discussed. Depending on the size of the PET scanner, between 500 and 1000 total random counts per second and between 3 and 5 total true coincidences per second were measured in standard coincidence mode. The LSO background counts in a Siemens mCT in the standard PET energy and time windows are in general negligible in terms of trues, and are comparable to that measured in a BGO scanner of similar size.

  10. High-resolution mobile optical 3D scanner with color mapping

    NASA Astrophysics Data System (ADS)

    Ramm, Roland; Bräuer-Burchardt, Christian; Kühmstedt, Peter; Notni, Gunther

    2017-07-01

    A high-resolution mobile handheld scanning device suitable for 3D data acquisition and analysis for forensic investigations, rapid prototyping, design, quality management, and archaeology with a measurement volume of approximately 325 mm x 200 mm x 100mm and a lateral object resolution of 170 µm developed at our institute is introduced. The scanners weight is 4.4 kg with an optional color DLSR camera. The PC for measurement control and point calculation is included inside the housing. Power supply is realized by rechargeable batteries. Possible operation time is between 30 and 60 minutes. The object distance is between 400 and 500 mm, and the scan time for one 3D shot may vary between 0.1 and 0.5 seconds. The complete 3D result is obtained a few seconds after starting the scan. For higher quality 3D and color images the scanner is attachable to tripod use. Measurement objects larger than the measurement volume must be acquired partly. The different resulting datasets are merged using a suitable software module. The scanner has been successfully used in various applications.

  11. Visual stimulus presentation using fiber optics in the MRI scanner.

    PubMed

    Huang, Ruey-Song; Sereno, Martin I

    2008-03-30

    Imaging the neural basis of visuomotor actions using fMRI is a topic of increasing interest in the field of cognitive neuroscience. One challenge is to present realistic three-dimensional (3-D) stimuli in the subject's peripersonal space inside the MRI scanner. The stimulus generating apparatus must be compatible with strong magnetic fields and must not interfere with image acquisition. Virtual 3-D stimuli can be generated with a stereo image pair projected onto screens or via binocular goggles. Here, we describe designs and implementations for automatically presenting physical 3-D stimuli (point-light targets) in peripersonal and near-face space using fiber optics in the MRI scanner. The feasibility of fiber-optic based displays was demonstrated in two experiments. The first presented a point-light array along a slanted surface near the body, and the second presented multiple point-light targets around the face. Stimuli were presented using phase-encoded paradigms in both experiments. The results suggest that fiber-optic based displays can be a complementary approach for visual stimulus presentation in the MRI scanner.

  12. Structured light stereo catadioptric scanner based on a spherical mirror

    NASA Astrophysics Data System (ADS)

    Barone, S.; Neri, P.; Paoli, A.; Razionale, A. V.

    2018-08-01

    The present paper describes the development and characterization of a structured light stereo catadioptric scanner for the omnidirectional reconstruction of internal surfaces. The proposed approach integrates two digital cameras, a multimedia projector and a spherical mirror, which is used to project the structured light patterns generated by the light emitter and, at the same time, to reflect into the cameras the modulated fringe patterns diffused from the target surface. The adopted optical setup defines a non-central catadioptric system, thus relaxing any geometrical constraint in the relative placement between optical devices. An analytical solution for the reflection on a spherical surface is proposed with the aim at modelling forward and backward projection tasks for a non-central catadioptric setup. The feasibility of the proposed active catadioptric scanner has been verified by reconstructing various target surfaces. Results demonstrated a great influence of the target surface distance from the mirror's centre on the measurement accuracy. The adopted optical configuration allows the definition of a metrological 3D scanner for surfaces disposed within 120 mm from the mirror centre.

  13. Comparative Performance Analysis of Different Fingerprint Biometric Scanners for Patient Matching.

    PubMed

    Kasiiti, Noah; Wawira, Judy; Purkayastha, Saptarshi; Were, Martin C

    2017-01-01

    Unique patient identification within health services is an operational challenge in healthcare settings. Use of key identifiers, such as patient names, hospital identification numbers, national ID, and birth date are often inadequate for ensuring unique patient identification. In addition approximate string comparator algorithms, such as distance-based algorithms, have proven suboptimal for improving patient matching, especially in low-resource settings. Biometric approaches may improve unique patient identification. However, before implementing the technology in a given setting, such as health care, the right scanners should be rigorously tested to identify an optimal package for the implementation. This study aimed to investigate the effects of factors such as resolution, template size, and scan capture area on the matching performance of different fingerprint scanners for use within health care settings. Performance analysis of eight different scanners was tested using the demo application distributed as part of the Neurotech Verifinger SDK 6.0.

  14. The TT-PET project: a thin TOF-PET scanner based on fast novel silicon pixel detectors

    NASA Astrophysics Data System (ADS)

    Bandi, Y.; Benoit, M.; Cadoux, F. R.; Forshaw, D. C.; Hänni, R.; Hayakawa, D.; Iacobucci, G.; Michal, S.; Miucci, A.; Paolozzi, L.; Ratib, O.; Ripiccini, E.; Tognina, C.; Valerio, P.; Weber, M.

    2018-01-01

    The TT-PET project aims at developing a compact Time-of-flight PET scanner with 30ps time resolution, capable of withstanding high magnetic fields and allowing for integration in a traditional MRI scanner, providing complimentary real-time PET images. The very high timing resolution of the TT-PET scanner is achieved thanks to a new generation of Silicon-Germanium (Si-Ge) amplifiers, which are embedded in monolithic pixel sensors. The scanner is composed of 16 detection towers as well as cooling blocks, arranged in a ring structure. The towers are composed of multiple ultra-thin pixel modules stacked on top of each other. Making it possible to perform depth of interaction measurements and maximize the spatial resolution along the line of flight of the two photons emitted within a patient. This will result in improved image quality, contrast, and uniformity while drastically reducing backgrounds within the scanner. Allowing for a reduction in the amount of radioactivity delivered to the patient. Due to an expected data rate of about 250 MB/s a custom readout system for high data throughput has been developed, which includes noise filtering and reduced data pressure. The realisation of a first scanner prototype for small animals is foreseen by 2019. A general overview of the scanner will be given including, technical details concerning the detection elements, mechanics, DAQ readout, simulation and results.

  15. End-to-end test of spatial accuracy in Gamma Knife treatments for trigeminal neuralgia

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Brezovich, Ivan A., E-mail: ibrezovich@uabmc.edu; Wu, Xingen; Duan, Jun

    2014-11-01

    Purpose: Spatial accuracy is most crucial when small targets like the trigeminal nerve are treated. Although current quality assurance procedures typically verify that individual apparatus, like the MRI scanner, CT scanner, Gamma Knife, etc., are meeting specifications, the cumulative error of all equipment and procedures combined may exceed safe margins. This study uses an end-to-end approach to assess the overall targeting errors that may have occurred in individual patients previously treated for trigeminal neuralgia. Methods: The trigeminal nerve is simulated by a 3 mm long, 3.175 mm (1/8 in.) diameter MRI-contrast filled cavity embedded within a PMMA plastic capsule. Themore » capsule is positioned within the head frame such that the location of the cavity matches the Gamma Knife coordinates of an arbitrarily chosen, previously treated patient. Gafchromic EBT2 film is placed at the center of the cavity in coronal and sagittal orientations. The films are marked with a pinprick to identify the cavity center. Treatments are planned for radiation delivery with 4 mm collimators according to MRI and CT scans using the clinical localizer boxes and acquisition protocols. Shots are planned so that the 50% isodose surface encompasses the cavity. Following irradiation, the films are scanned and analyzed. Targeting errors are defined as the distance between the pinprick, which represents the intended target, and the centroid of the 50% isodose line, which is the center of the radiation field that was actually delivered. Results: Averaged over ten patient simulations, targeting errors along the x, y, and z coordinates (patient’s left-to-right, posterior-to-anterior, and head-to-foot) were, respectively, −0.060 ± 0.363, −0.350 ± 0.253, and 0.348 ± 0.204 mm when MRI was used for treatment planning. Planning according to CT exhibited generally smaller errors, namely, 0.109 ± 0.167, −0.191 ± 0.144, and 0.211 ± 0.094 mm. The largest errors along individual axes

  16. Anticonvulsant properties of alpha, gamma, and alpha, gamma-substituted gamma-butyrolactones.

    PubMed

    Klunk, W E; Covey, D F; Ferrendelli, J A

    1982-09-01

    Derivatives of gamma-butyrolactone (GBL) substituted on the alpha- and/or gamma-positions were synthesized and tested for their effects on behavior in mice, on the electroencephalographs and blood pressure of paralyzed-ventilated guinea pigs, and on electrical activity of incubated hippocampal slices. Several compounds, including alpha-ethyl-alpha-methyl GBL (alpha-EMGBL), alpha, alpha-dimethyl GBL, alpha, gamma-diethyl-alpha, gamma-dimethyl GBL, and gamma-ethyl-gamma-methyl GBL, prevented seizures induced by pentylenetetrazol, beta-ethyl-beta-methyl-gamma-butyrolactone (beta-EMGBL), picrotoxin, or all three compounds in mice and guinea pigs but had no effect on seizures induced by maximal electroshock or bicuculline. Neither gamma-hydroxybutyrate (GHB) nor alpha-isopropylidine GBL had any anticonvulsant activity. The anticonvulsant alpha-substituted compounds had a potent hypotensive effect and antagonized the hypertensive effect of beta-EMGBL, alpha-EMGBL was tested in incubated hippocampal slices and was found to depress basal activity and antagonize excitation induced by beta-EMGBL. These results demonstrate that alpha-alkyl-substituted GBL and, to a lesser extent, gamma-substituted derivatives are anticonvulsant agents and that their effects are strikingly different from those of GHB or beta-alkyl-substituted GBLs, which are epileptogenic. Possibly beta- and alpha-substituted GBLs act at the same site as agonists and antagonists, respectively.

  17. One-dimensional Spatial Distributions of Gamma-ray Emitting Contaminants in Field Lysimeters Using a Collimated Gamma-ray Spectroscopy System.

    PubMed

    Erdmann, Bryan J; Powell, Brian A; Kaplan, Daniel I; DeVol, Timothy A

    2018-05-01

    One-dimensional scans of gamma-ray emitting contaminants were conducted on lysimeters from the RadFLEX facility at the Savannah River Nationals Laboratory (SRNL). The lysimeters each contained a contamination source that was buried in SRNL soil. A source consisted of Cs, Co, Ba, and Eu incorporated either into a solid waste form (Portland cement and reducing grout) or applied to a filter paper for direct soil exposure. The lysimeters were exposed to natural environmental conditions for 3 to 4 y. The initial contaminant activities range from 4.0 to 9.0 MBq for the solid wasteforms and 0.25 to 0.47 MBq for the soil-incorporated source. The measurements were performed using a collimated high-purity germanium gamma-ray spectrometer with a spatial resolution of 2.5 mm. These scans showed downward mobility of Co and Ba when the radionuclides were incorporated directly into the SRNL soil. When radionuclides were incorporated into the solid waste forms positioned in the SRNL soil, Cs exhibited both upward and downward dispersion while the other radionuclides showed no movement. This dispersion was more significant for the Portland cement than the reducing grout wasteform. Europium-152 was the only radionuclide of those studied that showed no movement within the spatial resolution of the scanner from the original placement within the lysimeter. Understanding radionuclide movement in the environment is important for developing strategies for waste management and disposal.

  18. Digital Data Matrix Scanner Developnent At Marshall Space Flight Center

    NASA Technical Reports Server (NTRS)

    2004-01-01

    Research at NASA's Marshall Space Flight Center has resulted in a system for reading hidden identification codes using a hand-held magnetic scanner. It's an invention that could help businesses improve inventory management, enhance safety, improve security, and aid in recall efforts if defects are discovered. Two-dimensional Data Matrix symbols consisting of letters and numbers permanently etched on items for identification and resembling a small checkerboard pattern are more efficient and reliable than traditional bar codes, and can store up to 100 times more information. A team led by Fred Schramm of the Marshall Center's Technology Transfer Department, in partnership with PRI,Torrance, California, has developed a hand-held device that can read this special type of coded symbols, even if covered by up to six layers of paint. Before this new technology was available, matrix symbols were read with optical scanners, and only if the codes were visible. This latest improvement in digital Data Matrix technologies offers greater flexibility for businesses and industries already using the marking system. Paint, inks, and pastes containing magnetic properties are applied in matrix symbol patterns to objects with two-dimensional codes, and the codes are read by a magnetic scanner, even after being covered with paint or other coatings. The ability to read hidden matrix symbols promises a wide range of benefits in a number of fields, including airlines, electronics, healthcare, and the automotive industry. Many industries would like to hide information on a part, so it can be read only by the party who put it there. For instance, the automotive industry uses direct parts marking for inventory control, but for aesthetic purposes the marks often need to be invisible. Symbols have been applied to a variety of materials, including metal, plastic, glass, paper, fabric and foam, on everything from electronic parts to pharmaceuticals to livestock. The portability of the hand

  19. Accuracy of four different digital intraoral scanners: effects of the presence of orthodontic brackets and wire.

    PubMed

    Jung, Yoo-Ran; Park, Ji-Man; Chun, Youn-Sic; Lee, Kkot-Nim; Kim, Minji

    The objective of this study was to compare the accuracy of four different digital intraoral scanners and the effects of buccal brackets and orthodontic wire. For this study, three sets of models (Control model, BKT model with buccal bracket, and WBKT model with buccal bracket and orthodontic wire) were scanned using four different types of intraoral scanners: E4D dentist, iTero, Trios, and Zfx IntraScan. The mesiodistal width of the teeth, intercanine width, and intermolar width measured by four scanners were compared. Three-dimensional (3D) images of the brackets were taken using the four scanners. Data were analyzed with one-way ANOVA, independent t test, and post-hoc Tukey test at a significance level of P < 0.05. When comparing the 3D images with manual measurements using a traditional caliper, iTero and Trios showed the highest accuracy in horizontal measurements.iTero had the lowest values in Devmax-min of maxillary intermolar and intercanine widths (0.16 mm and 0.20 mm, respectively), whereas Trios had the lowest values in Devmax-min of mandibular intermolar and intercanine widths (0.36 mm and 0.14 mm, respectively). The horizontal variables were barely affected by the presence of buccal brackets and orthodontic wire. Comparison of 3D bracket images scanned by the four scanners showed differences in image distortion among the scanners. Bracket characteristics did not affect the 3D bracket images. The four intraoral scanners used in this study differed in accuracy. However, the results acquired by iTero and Trios were more reliable. Effects of buccal brackets and orthodontic wire on the 3D images taken by intraoral scanners were not clinically significant.

  20. A comparison of the accuracy of intraoral scanners using an intraoral environment simulator

    PubMed Central

    Park, Hye-Nan; Lim, Young-Jun; Yi, Won-Jin

    2018-01-01

    PURPOSE The aim of this study was to design an intraoral environment simulator and to assess the accuracy of two intraoral scanners using the simulator. MATERIALS AND METHODS A box-shaped intraoral environment simulator was designed to simulate two specific intraoral environments. The cast was scanned 10 times by Identica Blue (MEDIT, Seoul, South Korea), TRIOS (3Shape, Copenhagen, Denmark), and CS3500 (Carestream Dental, Georgia, USA) scanners in the two simulated groups. The distances between the left and right canines (D3), first molars (D6), second molars (D7), and the left canine and left second molar (D37) were measured. The distance data were analyzed by the Kruskal-Wallis test. RESULTS The differences in intraoral environments were not statistically significant (P>.05). Between intraoral scanners, statistically significant differences (P<.05) were revealed by the Kruskal-Wallis test with regard to D3 and D6. CONCLUSION No difference due to the intraoral environment was revealed. The simulator will contribute to the higher accuracy of intraoral scanners in the future. PMID:29503715

  1. Coastal Zone Color Scanner studies

    NASA Technical Reports Server (NTRS)

    Elrod, J.

    1988-01-01

    Activities over the past year have included cooperative work with a summer faculty fellow using the Coastal Zone Color Scanner (CZCS) imagery to study the effects of gradients in trophic resources on coral reefs in the Caribbean. Other research included characterization of ocean radiances specific to an acid-waste plume. Other activities include involvement in the quality control of imagery produced in the processing of the global CZCS data set, the collection of various other data global sets, and the subsequent data comparison and analysis.

  2. 21 CFR 862.2400 - Densitometer/scanner (integrating, reflectance, TLC, or radiochromatogram) for clinical use.

    Code of Federal Regulations, 2011 CFR

    2011-04-01

    ... 21 Food and Drugs 8 2011-04-01 2011-04-01 false Densitometer/scanner (integrating, reflectance, TLC, or radiochromatogram) for clinical use. 862.2400 Section 862.2400 Food and Drugs FOOD AND DRUG... CLINICAL TOXICOLOGY DEVICES Clinical Laboratory Instruments § 862.2400 Densitometer/scanner (integrating...

  3. Optimal whole-body PET scanner configurations for different volumes of LSO scintillator: a simulation study.

    PubMed

    Poon, Jonathan K; Dahlbom, Magnus L; Moses, William W; Balakrishnan, Karthik; Wang, Wenli; Cherry, Simon R; Badawi, Ramsey D

    2012-07-07

    The axial field of view (AFOV) of the current generation of clinical whole-body PET scanners range from 15-22 cm, which limits sensitivity and renders applications such as whole-body dynamic imaging or imaging of very low activities in whole-body cellular tracking studies, almost impossible. Generally, extending the AFOV significantly increases the sensitivity and count-rate performance. However, extending the AFOV while maintaining detector thickness has significant cost implications. In addition, random coincidences, detector dead time, and object attenuation may reduce scanner performance as the AFOV increases. In this paper, we use Monte Carlo simulations to find the optimal scanner geometry (i.e. AFOV, detector thickness and acceptance angle) based on count-rate performance for a range of scintillator volumes ranging from 10 to 93 l with detector thickness varying from 5 to 20 mm. We compare the results to the performance of a scanner based on the current Siemens Biograph mCT geometry and electronics. Our simulation models were developed based on individual components of the Siemens Biograph mCT and were validated against experimental data using the NEMA NU-2 2007 count-rate protocol. In the study, noise-equivalent count rate (NECR) was computed as a function of maximum ring difference (i.e. acceptance angle) and activity concentration using a 27 cm diameter, 200 cm uniformly filled cylindrical phantom for each scanner configuration. To reduce the effect of random coincidences, we implemented a variable coincidence time window based on the length of the lines of response, which increased NECR performance up to 10% compared to using a static coincidence time window for scanners with a large maximum ring difference values. For a given scintillator volume, the optimal configuration results in modest count-rate performance gains of up to 16% compared to the shortest AFOV scanner with the thickest detectors. However, the longest AFOV of approximately 2 m with

  4. Optimal whole-body PET scanner configurations for different volumes of LSO scintillator: a simulation study

    PubMed Central

    Poon, Jonathan K; Dahlbom, Magnus L; Moses, William W; Balakrishnan, Karthik; Wang, Wenli; Cherry, Simon R; Badawi, Ramsey D

    2013-01-01

    The axial field of view (AFOV) of the current generation of clinical whole-body PET scanners range from 15–22 cm, which limits sensitivity and renders applications such as whole-body dynamic imaging, or imaging of very low activities in whole-body cellular tracking studies, almost impossible. Generally, extending the AFOV significantly increases the sensitivity and count-rate performance. However, extending the AFOV while maintaining detector thickness has significant cost implications. In addition, random coincidences, detector dead time, and object attenuation may reduce scanner performance as the AFOV increases. In this paper, we use Monte Carlo simulations to find the optimal scanner geometry (i.e. AFOV, detector thickness and acceptance angle) based on count-rate performance for a range of scintillator volumes ranging from 10 to 90 l with detector thickness varying from 5 to 20 mm. We compare the results to the performance of a scanner based on the current Siemens Biograph mCT geometry and electronics. Our simulation models were developed based on individual components of the Siemens Biograph mCT and were validated against experimental data using the NEMA NU-2 2007 count-rate protocol. In the study, noise-equivalent count rate (NECR) was computed as a function of maximum ring difference (i.e. acceptance angle) and activity concentration using a 27 cm diameter, 200 cm uniformly filled cylindrical phantom for each scanner configuration. To reduce the effect of random coincidences, we implemented a variable coincidence time window based on the length of the lines of response, which increased NECR performance up to 10% compared to using a static coincidence time window for scanners with large maximum ring difference values. For a given scintillator volume, the optimal configuration results in modest count-rate performance gains of up to 16% compared to the shortest AFOV scanner with the thickest detectors. However, the longest AFOV of approximately 2 m with 20

  5. Optimal whole-body PET scanner configurations for different volumes of LSO scintillator: a simulation study

    NASA Astrophysics Data System (ADS)

    Poon, Jonathan K.; Dahlbom, Magnus L.; Moses, William W.; Balakrishnan, Karthik; Wang, Wenli; Cherry, Simon R.; Badawi, Ramsey D.

    2012-07-01

    The axial field of view (AFOV) of the current generation of clinical whole-body PET scanners range from 15-22 cm, which limits sensitivity and renders applications such as whole-body dynamic imaging or imaging of very low activities in whole-body cellular tracking studies, almost impossible. Generally, extending the AFOV significantly increases the sensitivity and count-rate performance. However, extending the AFOV while maintaining detector thickness has significant cost implications. In addition, random coincidences, detector dead time, and object attenuation may reduce scanner performance as the AFOV increases. In this paper, we use Monte Carlo simulations to find the optimal scanner geometry (i.e. AFOV, detector thickness and acceptance angle) based on count-rate performance for a range of scintillator volumes ranging from 10 to 93 l with detector thickness varying from 5 to 20 mm. We compare the results to the performance of a scanner based on the current Siemens Biograph mCT geometry and electronics. Our simulation models were developed based on individual components of the Siemens Biograph mCT and were validated against experimental data using the NEMA NU-2 2007 count-rate protocol. In the study, noise-equivalent count rate (NECR) was computed as a function of maximum ring difference (i.e. acceptance angle) and activity concentration using a 27 cm diameter, 200 cm uniformly filled cylindrical phantom for each scanner configuration. To reduce the effect of random coincidences, we implemented a variable coincidence time window based on the length of the lines of response, which increased NECR performance up to 10% compared to using a static coincidence time window for scanners with a large maximum ring difference values. For a given scintillator volume, the optimal configuration results in modest count-rate performance gains of up to 16% compared to the shortest AFOV scanner with the thickest detectors. However, the longest AFOV of approximately 2 m with 20 mm

  6. Joint Calibration of 3d Laser Scanner and Digital Camera Based on Dlt Algorithm

    NASA Astrophysics Data System (ADS)

    Gao, X.; Li, M.; Xing, L.; Liu, Y.

    2018-04-01

    Design a calibration target that can be scanned by 3D laser scanner while shot by digital camera, achieving point cloud and photos of a same target. A method to joint calibrate 3D laser scanner and digital camera based on Direct Linear Transformation algorithm was proposed. This method adds a distortion model of digital camera to traditional DLT algorithm, after repeating iteration, it can solve the inner and external position element of the camera as well as the joint calibration of 3D laser scanner and digital camera. It comes to prove that this method is reliable.

  7. Predicting the Impact of Full Body Scanners on Air Travel and Passenger Safety

    DTIC Science & Technology

    2010-06-01

    May 31, 2010, from http://www.tampaairport.com/security/index.asp Travis, A. (2010). New scanners break child porn laws. Guardian.co.uk. Retrieved...May 20, 2010, from http://www.guardian.co.uk/politics/2010/jan/04/new-scanners- child - porn -laws TSA.gov, Transportaion Security Administration. (2010...16 1. Child Pornography and Health ........................................................16 2. Government’s

  8. High speed micro scanner for 3D in-volume laser micro processing

    NASA Astrophysics Data System (ADS)

    Schaefer, D.; Gottmann, J.; Hermans, M.; Ortmann, J.; Kelbassa, I.

    2013-03-01

    Using an in-house developed micro scanner three-dimensional micro components and micro fluidic devices in fused silica are realized using the ISLE process (in-volume selective laser-induced etching). With the micro scanner system the potential of high average power femtosecond lasers (P > 100 W) is exploited by the fabrication of components with micrometer precision at scan speeds of several meters per second. A commercially available galvanometer scanner is combined with an acousto-optical and/or electro-optical beam deflector and translation stages. For focusing laser radiation high numerical aperture microscope objectives (NA > 0.3) are used generating a focal volume of a few cubic micrometers. After laser exposure the materials are chemically wet etched in aqueous solution. The laser-exposed material is etched whereas the unexposed material remains nearly unchanged. Using the described technique called ISLE the fabrication of three-dimensional micro components, micro holes, cuts and channels is possible with high average power femtosecond lasers resulting in a reduced processing time for exposure. By developing the high speed micro scanner up-scaling of the ISLE process is demonstrated. The fabricated components made out of glass can be applied in various markets like biological and medical diagnostics as well as in micro mechanics.

  9. Development of a 3D Brain PET Scanner Using CdTe Semiconductor Detectors and Its First Clinical Application

    NASA Astrophysics Data System (ADS)

    Morimoto, Y.; Ueno, Y.; Takeuchi, W.; Kojima, S.; Matsuzaki, K.; Ishitsu, T.; Umegaki, K.; Kiyanagi, Y.; Kubo, N.; Katoh, C.; Shiga, T.; Shirato, H.; Tamaki, N.

    2011-10-01

    Targeting improved spatial resolution, a three-dimensional positron-emission-tomography (PET) scanner employing CdTe semiconductor detectors and using depth-of-interaction (DOI) information was developed, and its physical performance was evaluated. This PET scanner is the first to use semiconductor detectors dedicated to the human brain and head-and-neck region. Imaging performance of the scanner used for 18F -fluorodeoxy glucose (FDG) scans of phantoms and human brains was evaluated. The gantry of the scanner has a 35.0-cm-diameter patient port, the trans-axial field of view (FOV) is 31.0 cm, and the axial FOV is 24.6 cm. The energy resolution averaged over all detector channels and timing resolution were 4.1% and 6.8 ns (each in FWHM), respectively. Spatial resolution measured at the center of FOV was 2.3-mm FWHM-which is one of the best resolutions achieved by human PET scanners. Noise-equivalent count ratio (NEC2R) has a maximum in the energy window of 390 to 540 keV and is 36 kcps/Bq/cm3 at 3.7 kBq/cm3 . The sensitivity of the system according to NEMA 1994 was 25.9 cps/Bq/cm3. Scatter fraction of the scanner is 37% for the energy window of 390 to 540 keV and 23% for 450 to 540 keV. Images of a hot-rod phantom and images of brain glucose metabolism show that the structural accuracy of the images obtained with the semiconductor PET scanner is higher than that possible with a conventional Bismuth Germanium Oxide (BGO) PET scanner. In addition, the developed scanner permits better delineation of the head-and-neck cancer. These results show that the semiconductor PET scanner will play a major role in the upcoming era of personalized medicine.

  10. Investigation of hyper-NA scanner emulation for photomask CDU performance

    NASA Astrophysics Data System (ADS)

    Poortinga, Eric; Scheruebl, Thomas; Conley, Will; Sundermann, Frank

    2007-02-01

    As the semiconductor industry moves toward immersion lithography using numerical apertures above 1.0 the quality of the photomask becomes even more crucial. Photomask specifications are driven by the critical dimension (CD) metrology within the wafer fab. Knowledge of the CD values at resist level provides a reliable mechanism for the prediction of device performance. Ultimately, tolerances of device electrical properties drive the wafer linewidth specifications of the lithography group. Staying within this budget is influenced mainly by the scanner settings, resist process, and photomask quality. Tightening of photomask specifications is one mechanism for meeting the wafer CD targets. The challenge lies in determining how photomask level metrology results influence wafer level imaging performance. Can it be inferred that photomask level CD performance is the direct contributor to wafer level CD performance? With respect to phase shift masks, criteria such as phase and transmission control are generally tightened with each technology node. Are there other photomask relevant influences that effect wafer CD performance? A comprehensive study is presented supporting the use of scanner emulation based photomask CD metrology to predict wafer level within chip CD uniformity (CDU). Using scanner emulation with the photomask can provide more accurate wafer level prediction because it inherently includes all contributors to image formation related to the 3D topography such as the physical CD, phase, transmission, sidewall angle, surface roughness, and other material properties. Emulated images from different photomask types were captured to provide CD values across chip. Emulated scanner image measurements were completed using an AIMS TM45-193i with its hyper-NA, through-pellicle data acquisition capability including the Global CDU Map TM software option for AIMS TM tools. The through-pellicle data acquisition capability is an essential prerequisite for capturing final CDU

  11. Anchorage in Orthodontics: Three-dimensional Scanner Input

    PubMed Central

    Nabbout, Fidele; Baron, Pascal

    2018-01-01

    Aims and Objectives: The aim of this article is to re-evaluate anchorage coefficient values in orthodontics and their influence in the treatment decision through the usage of three-dimensional (3D) scanner. Materials and Methods: A sample of 80 patients was analyzed with the 3D scanner using the C2000 and Cepha 3DT softwares (CIRAD Montpellier, France). Tooth anatomy parameters (linear measurements, root, and crown volumes) were then calculated to determine new anchorage coefficients based on root volume. Data were collected and statistically evaluated with the StatView software (version 5.0). Results: The anchorage coefficient values found in this study are compared to those established in previous studies. These new values affect and modify our approach in orthodontic treatment from the standpoint of anchorage. Conclusion: The use of new anchorage coefficient values has significant clinical implications in conventional and in microimplants-assisted orthodontic mechanics through the selection and delivery of the optimal force system (magnitude and moment) for an adequate biological response. PMID:29629323

  12. An empirical study of scanner system parameters

    NASA Technical Reports Server (NTRS)

    Landgrebe, D.; Biehl, L.; Simmons, W.

    1976-01-01

    The selection of the current combination of parametric values (instantaneous field of view, number and location of spectral bands, signal-to-noise ratio, etc.) of a multispectral scanner is a complex problem due to the strong interrelationship these parameters have with one another. The study was done with the proposed scanner known as Thematic Mapper in mind. Since an adequate theoretical procedure for this problem has apparently not yet been devised, an empirical simulation approach was used with candidate parameter values selected by the heuristic means. The results obtained using a conventional maximum likelihood pixel classifier suggest that although the classification accuracy declines slightly as the IFOV is decreased this is more than made up by an improved mensuration accuracy. Further, the use of a classifier involving both spatial and spectral features shows a very substantial tendency to resist degradation as the signal-to-noise ratio is decreased. And finally, further evidence is provided of the importance of having at least one spectral band in each of the major available portions of the optical spectrum.

  13. Software platform for simulation of a prototype proton CT scanner.

    PubMed

    Giacometti, Valentina; Bashkirov, Vladimir A; Piersimoni, Pierluigi; Guatelli, Susanna; Plautz, Tia E; Sadrozinski, Hartmut F-W; Johnson, Robert P; Zatserklyaniy, Andriy; Tessonnier, Thomas; Parodi, Katia; Rosenfeld, Anatoly B; Schulte, Reinhard W

    2017-03-01

    Proton computed tomography (pCT) is a promising imaging technique to substitute or at least complement x-ray CT for more accurate proton therapy treatment planning as it allows calculating directly proton relative stopping power from proton energy loss measurements. A proton CT scanner with a silicon-based particle tracking system and a five-stage scintillating energy detector has been completed. In parallel a modular software platform was developed to characterize the performance of the proposed pCT. The modular pCT software platform consists of (1) a Geant4-based simulation modeling the Loma Linda proton therapy beam line and the prototype proton CT scanner, (2) water equivalent path length (WEPL) calibration of the scintillating energy detector, and (3) image reconstruction algorithm for the reconstruction of the relative stopping power (RSP) of the scanned object. In this work, each component of the modular pCT software platform is described and validated with respect to experimental data and benchmarked against theoretical predictions. In particular, the RSP reconstruction was validated with both experimental scans, water column measurements, and theoretical calculations. The results show that the pCT software platform accurately reproduces the performance of the existing prototype pCT scanner with a RSP agreement between experimental and simulated values to better than 1.5%. The validated platform is a versatile tool for clinical proton CT performance and application studies in a virtual setting. The platform is flexible and can be modified to simulate not yet existing versions of pCT scanners and higher proton energies than those currently clinically available. © 2017 American Association of Physicists in Medicine.

  14. Time-invariant component-based normalization for a simultaneous PET-MR scanner.

    PubMed

    Belzunce, M A; Reader, A J

    2016-05-07

    Component-based normalization is a method used to compensate for the sensitivity of each of the lines of response acquired in positron emission tomography. This method consists of modelling the sensitivity of each line of response as a product of multiple factors, which can be classified as time-invariant, time-variant and acquisition-dependent components. Typical time-variant factors are the intrinsic crystal efficiencies, which are needed to be updated by a regular normalization scan. Failure to do so would in principle generate artifacts in the reconstructed images due to the use of out of date time-variant factors. For this reason, an assessment of the variability and the impact of the crystal efficiencies in the reconstructed images is important to determine the frequency needed for the normalization scans, as well as to estimate the error obtained when an inappropriate normalization is used. Furthermore, if the fluctuations of these components are low enough, they could be neglected and nearly artifact-free reconstructions become achievable without performing a regular normalization scan. In this work, we analyse the impact of the time-variant factors in the component-based normalization used in the Biograph mMR scanner, but the work is applicable to other PET scanners. These factors are the intrinsic crystal efficiencies and the axial factors. For the latter, we propose a new method to obtain fixed axial factors that was validated with simulated data. Regarding the crystal efficiencies, we assessed their fluctuations during a period of 230 d and we found that they had good stability and low dispersion. We studied the impact of not including the intrinsic crystal efficiencies in the normalization when reconstructing simulated and real data. Based on this assessment and using the fixed axial factors, we propose the use of a time-invariant normalization that is able to achieve comparable results to the standard, daily updated, normalization factors used in this

  15. Time-invariant component-based normalization for a simultaneous PET-MR scanner

    NASA Astrophysics Data System (ADS)

    Belzunce, M. A.; Reader, A. J.

    2016-05-01

    Component-based normalization is a method used to compensate for the sensitivity of each of the lines of response acquired in positron emission tomography. This method consists of modelling the sensitivity of each line of response as a product of multiple factors, which can be classified as time-invariant, time-variant and acquisition-dependent components. Typical time-variant factors are the intrinsic crystal efficiencies, which are needed to be updated by a regular normalization scan. Failure to do so would in principle generate artifacts in the reconstructed images due to the use of out of date time-variant factors. For this reason, an assessment of the variability and the impact of the crystal efficiencies in the reconstructed images is important to determine the frequency needed for the normalization scans, as well as to estimate the error obtained when an inappropriate normalization is used. Furthermore, if the fluctuations of these components are low enough, they could be neglected and nearly artifact-free reconstructions become achievable without performing a regular normalization scan. In this work, we analyse the impact of the time-variant factors in the component-based normalization used in the Biograph mMR scanner, but the work is applicable to other PET scanners. These factors are the intrinsic crystal efficiencies and the axial factors. For the latter, we propose a new method to obtain fixed axial factors that was validated with simulated data. Regarding the crystal efficiencies, we assessed their fluctuations during a period of 230 d and we found that they had good stability and low dispersion. We studied the impact of not including the intrinsic crystal efficiencies in the normalization when reconstructing simulated and real data. Based on this assessment and using the fixed axial factors, we propose the use of a time-invariant normalization that is able to achieve comparable results to the standard, daily updated, normalization factors used in this

  16. Using Laser Scanners to Augment the Systematic Error Pointing Model

    NASA Astrophysics Data System (ADS)

    Wernicke, D. R.

    2016-08-01

    The antennas of the Deep Space Network (DSN) rely on precise pointing algorithms to communicate with spacecraft that are billions of miles away. Although the existing systematic error pointing model is effective at reducing blind pointing errors due to static misalignments, several of its terms have a strong dependence on seasonal and even daily thermal variation and are thus not easily modeled. Changes in the thermal state of the structure create a separation from the model and introduce a varying pointing offset. Compensating for this varying offset is possible by augmenting the pointing model with laser scanners. In this approach, laser scanners mounted to the alidade measure structural displacements while a series of transformations generate correction angles. Two sets of experiments were conducted in August 2015 using commercially available laser scanners. When compared with historical monopulse corrections under similar conditions, the computed corrections are within 3 mdeg of the mean. However, although the results show promise, several key challenges relating to the sensitivity of the optical equipment to sunlight render an implementation of this approach impractical. Other measurement devices such as inclinometers may be implementable at a significantly lower cost.

  17. Development of the fast and efficient gamma detector using Cherenkov light for TOF-PET

    NASA Astrophysics Data System (ADS)

    Canot, C.; Alokhina, M.; Abbon, P.; Bard, J. P.; Tauzin, G.; Yvon, D.; Sharyy, V.

    2017-12-01

    In this paper we present two configurations of innovative gamma detectors using Cherenkov light for time-of-flight—Positron Emission Tomography (PET). The first uses heavy crystals as a Cherenkov radiator to develop a demonstrator for a whole body PET scanner with high detection efficiency. We demonstrated a 30% detection efficiency and a 180 ps (FWHM) time resolution, mainly limited by the time transit spread of the photomultiplier. The second configuration uses an innovative liquid, the TriMethyl Bismuth, to develop a high precision brain-scanning PET device with time-of-flight capability. According to Geant4 simulation, we expect to reach a precision of 150 ps (FWHM) and an efficiency of about 25%.

  18. Automated scanning of plastic nuclear track detectors using the Minnesota star scanner

    NASA Technical Reports Server (NTRS)

    Fink, P. J.; Waddington, C. J.

    1986-01-01

    The problems found in an attempt to adapt an automated scanner of astronomical plates, the Minnesota Automated Dual Plate Scanner (APS), to locating and measuring the etch pits produced by ionizing particles in plastic nuclear track detectors (CR-39) are described. A visual study of these pits was made to determine the errors introduced in determining positions and shapes. Measurements made under a low power microscope were compared with those from the APS.

  19. Learning and Teaching with a Computer Scanner

    ERIC Educational Resources Information Center

    Planinsic, G.; Gregorcic, B.; Etkina, E.

    2014-01-01

    This paper introduces the readers to simple inquiry-based activities (experiments with supporting questions) that one can do with a computer scanner to help students learn and apply the concepts of relative motion in 1 and 2D, vibrational motion and the Doppler effect. We also show how to use these activities to help students think like…

  20. Liquid-explosives scanners stand trial in airports

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Matthews, Jermey N. A.

    Air passengers may once more be allowed to pack beverages, lotions, and hair spray in their carry-on luggage, if imaging technologies to detect liquid explosives can prove their worth. Several competing systems, including multi-energy x-ray systems and a low-field magnetic resonance imaging (MRI) scanner, are undergoing field tests at some airports worldwide.

  1. Two examples of indication specific radiation dose calculations in dental CBCT and Multidetector CT scanners.

    PubMed

    Stratis, Andreas; Zhang, Guozhi; Lopez-Rendon, Xochitl; Politis, Constantinus; Hermans, Robert; Jacobs, Reinhilde; Bogaerts, Ria; Shaheen, Eman; Bosmans, Hilde

    2017-09-01

    To calculate organ doses and estimate the effective dose for justification purposes in patients undergoing orthognathic treatment planning purposes and temporal bone imaging in dental cone beam CT (CBCT) and Multidetector CT (MDCT) scanners. The radiation dose to the ICRP reference male voxel phantom was calculated for dedicated orthognathic treatment planning acquisitions via Monte Carlo simulations in two dental CBCT scanners, Promax 3D Max (Planmeca, FI) and NewTom VGi evo (QR s.r.l, IT) and in Somatom Definition Flash (Siemens, DE) MDCT scanner. For temporal bone imaging, radiation doses were calculated via MC simulations for a CBCT protocol in NewTom 5G (QR s.r.l, IT) and with the use of a software tool (CT-expo) for Somatom Force (Siemens, DE). All procedures had been optimized at the acceptance tests of the devices. For orthognathic protocols, dental CBCT scanners deliver lower doses compared to MDCT scanners. The estimated effective dose (ED) was 0.32mSv for a normal resolution operation mode in Promax 3D Max, 0.27mSv in VGi-evo and 1.18mSv in the Somatom Definition Flash. For temporal bone protocols, the Somatom Force resulted in an estimated ED of 0.28mSv while for NewTom 5G the ED was 0.31 and 0.22mSv for monolateral and bilateral imaging respectively. Two clinical exams which are carried out with both a CBCT or a MDCT scanner were compared in terms of radiation dose. Dental CBCT scanners deliver lower doses for orthognathic patients whereas for temporal bone procedures the doses were similar. Copyright © 2017 Associazione Italiana di Fisica Medica. Published by Elsevier Ltd. All rights reserved.

  2. MFP scanner diagnostics using a self-printed target to measure the modulation transfer function

    NASA Astrophysics Data System (ADS)

    Wang, Weibao; Bauer, Peter; Wagner, Jerry; Allebach, Jan P.

    2014-01-01

    In the current market, reduction of warranty costs is an important avenue for improving profitability by manufacturers of printer products. Our goal is to develop an autonomous capability for diagnosis of printer and scanner caused defects with mid-range laser multifunction printers (MFPs), so as to reduce warranty costs. If the scanner unit of the MFP is not performing according to specification, this issue needs to be diagnosed. If there is a print quality issue, this can be diagnosed by printing a special test page that is resident in the firmware of the MFP unit, and then scanning it. However, the reliability of this process will be compromised if the scanner unit is defective. Thus, for both scanner and printer image quality issues, it is important to be able to properly evaluate the scanner performance. In this paper, we consider evaluation of the scanner performance by measuring its modulation transfer function (MTF). The MTF is a fundamental tool for assessing the performance of imaging systems. Several ways have been proposed to measure the MTF, all of which require a special target, for example a slanted-edge target. It is unacceptably expensive to ship every MFP with such a standard target, and to expect that the customer can keep track of it. To reduce this cost, in this paper, we develop new approach to this task. It is based on a self-printed slanted-edge target. Then, we propose algorithms to improve the results using a self-printed slanted-edge target. Finally, we present experimental results for MTF measurement using self-printed targets and compare them to the results obtained with standard targets.

  3. The 3D scanner prototype utilize object profile imaging using line laser and octave software

    NASA Astrophysics Data System (ADS)

    Nurdini, Mugi; Manunggal, Trikarsa Tirtadwipa; Samsi, Agus

    2016-11-01

    Three-dimensional scanner or 3D Scanner is a device to reconstruct the real object into digital form on a computer. 3D Scanner is a technology that is being developed, especially in developed countries, where the current 3D Scanner devices is the advanced version with a very expensive prices. This study is basically a simple prototype of 3D Scanner with a very low investment costs. 3D Scanner prototype device consists of a webcam, a rotating desk system controlled by a stepper motor and Arduino UNO, and a line laser. Objects that limit the research is the object with same radius from its center point (object pivot). Scanning is performed by using object profile imaging by line laser which is then captured by the camera and processed by a computer (image processing) using Octave software. On each image acquisition, the scanned object on a rotating desk rotated by a certain degree, so for one full turn multiple images of a number of existing side are finally obtained. Then, the profile of the entire images is extracted in order to obtain digital object dimension. Digital dimension is calibrated by length standard, called gage block. Overall dimensions are then digitally reconstructed into a three-dimensional object. Validation of the scanned object reconstruction of the original object dimensions expressed as a percentage error. Based on the results of data validation, horizontal dimension error is about 5% to 23% and vertical dimension error is about +/- 3%.

  4. An ethics of body scanners: requirements and future challenges from an ethical point of view

    NASA Astrophysics Data System (ADS)

    Rampp, Benjamin; Wolkenstein, Andreas F. X.; Ammicht Quinn, Regina

    2011-05-01

    At the moment, body scanners based on terahertz and millimeter-wave technologies are implemented at airports around the world. Thus, challenges of acceptance and acceptability become pressing. In this context, we present the results of an ethical research project on the development and implementation of body scanners. We will show which requirements concerning the system, its developers, and its users should be met in order that the scanners can be acceptable from an ethical point of view. These requirements involve, among others, questions of privacy, health, data protection, and security processes. A special ethical challenge for body scanners, however, still remains: Automatic anonymization processes are based on the assumption of "normal" bodies. Certain groups of persons with "deviant bodies" (e.g. persons with hidden disabilities, persons with aberrant sex characteristics, etc.) are affected in a special way: Their deviation from the standard (for instance their disability) is socially hidden, but eventually exposed by body scanners, even (and even more) if the produced pictures are anonymized. Here, we address the question how the possible discrimination against and exclusion of people with "deviant bodies" could be mitigated or prevented.

  5. A Prospective, Matched Comparison Study of SUV Measurements From Time-of-Flight Versus Non-Time-of-Flight PET/CT Scanners.

    PubMed

    Thompson, Holly M; Minamimoto, Ryogo; Jamali, Mehran; Barkhodari, Amir; von Eyben, Rie; Iagaru, Andrei

    2016-07-01

    As quantitative F-FDG PET numbers and pooling of results from different PET/CT scanners become more influential in the management of patients, it becomes imperative that we fully interrogate differences between scanners to fully understand the degree of scanner bias on the statistical power of studies. Participants with body mass index (BMI) greater than 25, scheduled on a time-of-flight (TOF)-capable PET/CT scanner, had a consecutive scan on a non-TOF-capable PET/CT scanner and vice versa. SUVmean in various tissues and SUVmax of malignant lesions were measured from both scans, matched to each subject. Data were analyzed using a mixed-effects model, and statistical significance was determined using equivalence testing, with P < 0.05 being significant. Equivalence was established in all baseline organs, except the cerebellum, matched per patient between scanner types. Mixed-effects method analysis of lesions, repeated between scan types and matched per patient, demonstrated good concordance between scanner types. Patients could be scanned on either a TOF or non-TOF-capable PET/CT scanner without clinical compromise to quantitative SUV measurements.

  6. Applicability of optical scanner method for fine root dynamics

    NASA Astrophysics Data System (ADS)

    Kume, Tomonori; Ohashi, Mizue; Makita, Naoki; Khoon Kho, Lip; Katayama, Ayumi; Matsumoto, Kazuho; Ikeno, Hidetoshi

    2016-04-01

    Fine root dynamics is one of the important components in forest carbon cycling, as ~60 % of tree photosynthetic production can be allocated to root growth and metabolic activities. Various techniques have been developed for monitoring fine root biomass, production, mortality in order to understand carbon pools and fluxes resulting from fine roots dynamics. The minirhizotron method is now a widely used technique, in which a transparent tube is inserted into the soil and researchers count an increase and decrease of roots along the tube using images taken by a minirhizotron camera or minirhizotron video camera inside the tube. This method allows us to observe root behavior directly without destruction, but has several weaknesses; e.g., the difficulty of scaling up the results to stand level because of the small observation windows. Also, most of the image analysis are performed manually, which may yield insufficient quantitative and objective data. Recently, scanner method has been proposed, which can produce much bigger-size images (A4-size) with lower cost than those of the minirhizotron methods. However, laborious and time-consuming image analysis still limits the applicability of this method. In this study, therefore, we aimed to develop a new protocol for scanner image analysis to extract root behavior in soil. We evaluated applicability of this method in two ways; 1) the impact of different observers including root-study professionals, semi- and non-professionals on the detected results of root dynamics such as abundance, growth, and decomposition, and 2) the impact of window size on the results using a random sampling basis exercise. We applied our new protocol to analyze temporal changes of root behavior from sequential scanner images derived from a Bornean tropical forests. The results detected by the six observers showed considerable concordance in temporal changes in the abundance and the growth of fine roots but less in the decomposition. We also examined

  7. Design study of an in situ PET scanner for use in proton beam therapy

    NASA Astrophysics Data System (ADS)

    Surti, S.; Zou, W.; Daube-Witherspoon, M. E.; McDonough, J.; Karp, J. S.

    2011-05-01

    Proton beam therapy can deliver a high radiation dose to a tumor without significant damage to surrounding healthy tissue or organs. One way of verifying the delivered dose distribution is to image the short-lived positron emitters produced by the proton beam as it travels through the patient. A potential solution to the limitations of PET imaging in proton beam therapy is the development of a high sensitivity, in situ PET scanner that starts PET imaging almost immediately after patient irradiation while the patient is still lying on the treatment bed. A partial ring PET design is needed for this application in order to avoid interference between the PET detectors and the proton beam, as well as restrictions on patient positioning on the couch. A partial ring also allows us to optimize the detector separation (and hence the sensitivity) for different patient sizes. Our goal in this investigation is to evaluate an in situ PET scanner design for use in proton therapy that provides tomographic imaging in a partial ring scanner design using time-of-flight (TOF) information and an iterative reconstruction algorithm. GEANT4 simulation of an incident proton beam was used to produce a positron emitter distribution, which was parameterized and then used as the source distribution inside a water-filled cylinder for EGS4 simulations of a PET system. Design optimization studies were performed as a function of crystal type and size, system timing resolution, scanner angular coverage and number of positron emitter decays. Data analysis was performed to measure the accuracy of the reconstructed positron emitter distribution as well as the range of the positron emitter distribution. We simulated scanners with varying crystal sizes (2-4 mm) and type (LYSO and LaBr3) and our results indicate that 4 mm wide LYSO or LaBr3 crystals (resulting in 4-5 mm spatial resolution) are adequate; for a full-ring, non-TOF scanner we predict a low bias (<0.6 mm) and a good precision (<1 mm) in the

  8. Modeling And Simulation Of Bar Code Scanners Using Computer Aided Design Software

    NASA Astrophysics Data System (ADS)

    Hellekson, Ron; Campbell, Scott

    1988-06-01

    Many optical systems have demanding requirements to package the system in a small 3 dimensional space. The use of computer graphic tools can be a tremendous aid to the designer in analyzing the optical problems created by smaller and less costly systems. The Spectra Physics grocery store bar code scanner employs an especially complex 3 dimensional scan pattern to read bar code labels. By using a specially written program which interfaces with a computer aided design system, we have simulated many of the functions of this complex optical system. In this paper we will illustrate how a recent version of the scanner has been designed. We will discuss the use of computer graphics in the design process including interactive tweaking of the scan pattern, analysis of collected light, analysis of the scan pattern density, and analysis of the manufacturing tolerances used to build the scanner.

  9. Conceptual study of Earth observation missions with a space-borne laser scanner

    NASA Astrophysics Data System (ADS)

    Kobayashi, Takashi; Sato, Yohei; Yamakawa, Shiro

    2017-11-01

    The Japan Aerospace Exploration Agency (JAXA) has started a conceptual study of earth observation missions with a space-borne laser scanner (GLS, as Global Laser Scanner). Laser scanners are systems which transmit intense pulsed laser light to the ground from an airplane or a satellite, receive the scattered light, and measure the distance to the surface from the round-trip delay time of the pulse. With scanning mechanisms, GLS can obtain high-accuracy three-dimensional (3D) information from all over the world. High-accuracy 3D information is quite useful in various areas. Currently, following applications are considered. 1. Observation of tree heights to estimate the biomass quantity. 2. Making the global elevation map with high resolution. 3. Observation of ice-sheets. This paper aims at reporting the present state of our conceptual study of the GLS. A prospective performance of the GLS for earth observation missions mentioned above.

  10. TH-CD-207B-12: Quantification of Clinical Feedback On Image Quality Differences Between Two CT Scanner Models

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bache, S; Liu, X; Loyer, E

    Purpose: This work sought to quantify a radiology team’s assessment of image quality differences between two CT scanner models currently in clinical use, with emphasis on noise and low-contrast detectability (LCD). Methods: A water phantom and a Kagaku anthropomorphic body phantom were scanned on GE Discovery CT750 HD and LightSpeed VCT scanners (4 each) with identical scan parameters and reconstructed to 2.5mm/5.0mm thicknesses. Images of water phantom were analyzed at the scanner console with a built-in LCD tool that uses statistical methods to compute requisite CT-number contrast for 95% confidence in detection of a user-defined object size. LCD value wasmore » computed for 5mm, 3mm, and 1mm objects. Analysis of standard deviation and LCD values were performed on Kagaku phantom images within liver, stomach, and spleen. LCD value was computed for 4mm, 3mm, and 1mm objects using a benchmarked MATLAB implementation of the GE scanner-console tool. Results: Water LCD values were larger (poorer performance) for all HD scanners compared to VCT scanners. Mean scanner model difference in requisite CT-number contrast for 5mm, 3mm, and 1mm objects for 5.0mm/2.5mm images was 3.0%/3.4% (p=0.02/p=0.10), 5.3%/5.7% (0.00002/0.02), and 8.5%/8.2% (0.0004/0.002), respectively. Mean standard deviations within Kagaku phantom ROIs were greater in HD compared to VCT images, with mean differences for the liver, stomach, and spleen for 5.0mm/2.5mm of 16%/12% (p=0.04/0.10), 8%/12% (0.15/0.11), and 16%/15% (0.05/0.11), respectively. Mean LCD value difference between HD and VCT scanners over all ROIs for 4mm, 3m, and 1mm objects and 5.0mm/2.5mm was 34%/9%, 16%/8%, and 18%/10%, respectively. HD scanners outperformed VCT scanners only for the 4mm stomach object. Conclusion: Using both water and anthropomorphic phantoms, it was shown that HD scanners are outperformed by VCT scanners with respect to noise and LCD in a consistent and in most cases statistically significant manner. The

  11. Estimation of bone mineral content using gamma camera: A real possibility

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Levy, L.M.; Hoory, S.; Bandyopadhyay, D.

    1985-05-01

    Osteopenia and Osteoporosis are the diseases related to loss of bone minerals. At present, dual photon absorptiometry using a dedicated specially built scanner along with a very high source of Gd-153 is being used as a diagnostic tool for the early detection of bone loss. The present study was undertaken to explore the possibility that gamma cameras which are widely available in all Nuclear Medicine departments could be used successfully to evaluate bone mineral content. A Siemens LFOV gamma camera equipped with a converging collimator was used for this purpose. A fixed source (100 mCi) of Gd-153 was placed atmore » the focal point of the collimator. A series of calcium chloride solutions of varying concentrations in plastic vials were placed near the center of the collimator and imaged both in air and water. Both 44 Kev and 100 Kev images were digitized in 128 x 128 matrices and processed in a CD and A Delta system attached to a VAX 11-750 computer. Uniformity corrections for each field of view were applied and the attenuation coefficients of calcium chloride for both peaks of Gd-153 were evaluated. In addition, due to the high count rate, corrections for the dead time losses were also found to be essential. An excellent concordance between the estimated Calcium contents and that actually present were obtained by this technic. In conclusion, use of gamma camera for the routine evaluation of Osteoporosis appears to be highly promising and worth pursuing.« less

  12. Design and implementation of an inexpensive target scanner for the growth of thin films by the laser-ablation process

    NASA Astrophysics Data System (ADS)

    Rao, A. M.; Moodera, J. S.

    1991-04-01

    The design of a target scanner that is inexpensive and easy to construct is described. Our target scanner system does not require an expensive personal computer to raster the laser beam uniformily over the target material, unlike the computer driven target scanners that are currently being used in the thin-film industry. The main components of our target scanner comprise a bidirectional motor, a two-position switch, and a standard optical mirror mount.

  13. Flux variability in the K CA II and H-gamma lines of the AP stars 53 Cam, 41 Tau, Beta CrB, and Alpha(2) CVn

    NASA Astrophysics Data System (ADS)

    Kuvshinov, V. M.; Plachinda, S. I.

    The rapid variability of the relative fluxes in the nuclei of the K Ca II and H-gamma lines of four typical Ap stars, 53 Cam, 41 Tau, Beta CrB, and Alpha(2) CVn, was studied during the period December 1979 - June 1980. Observations were carried out using the scanner-magnetograph of the 2.6-m reflector of the Crimean Astrophysical Observatory. In addition to relative flux variations with the phase of the axial rotation period of the stars, fluctuations of relative fluxes with characteristic times of several minutes to several hours were detected. The upper probability limit for such fluctuations, which are mostly irregular, is estimated at 35 percent for 53 Cam (K Ca II) and 56 percent for Alpha(2) CVn (H-gamma).

  14. Assessment of the impact of the scanner-related factors on brain morphometry analysis with Brainvisa

    PubMed Central

    2011-01-01

    Background Brain morphometry is extensively used in cross-sectional studies. However, the difference in the estimated values of the morphometric measures between patients and healthy subjects may be small and hence overshadowed by the scanner-related variability, especially with multicentre and longitudinal studies. It is important therefore to investigate the variability and reliability of morphometric measurements between different scanners and different sessions of the same scanner. Methods We assessed the variability and reliability for the grey matter, white matter, cerebrospinal fluid and cerebral hemisphere volumes as well as the global sulcal index, sulcal surface and mean geodesic depth using Brainvisa. We used datasets obtained across multiple MR scanners at 1.5 T and 3 T from the same groups of 13 and 11 healthy volunteers, respectively. For each morphometric measure, we conducted ANOVA analysis and verified whether the estimated values were significantly different across different scanners or different sessions of the same scanner. The between-centre and between-visit reliabilities were estimated from their contribution to the total variance, using a random-effects ANOVA model. To estimate the main processes responsible for low reliability, the results of brain segmentation were compared to those obtained using FAST within FSL. Results In a considerable number of cases, the main effects of both centre and visit factors were found to be significant. Moreover, both between-centre and between-visit reliabilities ranged from poor to excellent for most morphometric measures. A comparison between segmentation using Brainvisa and FAST revealed that FAST improved the reliabilities for most cases, suggesting that morphometry could benefit from improving the bias correction. However, the results were still significantly different across different scanners or different visits. Conclusions Our results confirm that for morphometry analysis with the current version

  15. Laser Scanner Tests For Single-Event Upsets

    NASA Technical Reports Server (NTRS)

    Kim, Quiesup; Soli, George A.; Schwartz, Harvey R.

    1992-01-01

    Microelectronic advanced laser scanner (MEALS) is opto/electro/mechanical apparatus for nondestructive testing of integrated memory circuits, logic circuits, and other microelectronic devices. Multipurpose diagnostic system used to determine ultrafast time response, leakage, latchup, and electrical overstress. Used to simulate some of effects of heavy ions accelerated to high energies to determine susceptibility of digital device to single-event upsets.

  16. Phosphor Scanner For Imaging X-Ray Diffraction

    NASA Technical Reports Server (NTRS)

    Carter, Daniel C.; Hecht, Diana L.; Witherow, William K.

    1992-01-01

    Improved optoelectronic scanning apparatus generates digitized image of x-ray image recorded in phosphor. Scanning fiber-optic probe supplies laser light stimulating luminescence in areas of phosphor exposed to x rays. Luminescence passes through probe and fiber to integrating sphere and photomultiplier. Sensitivity and resolution exceed previously available scanners. Intended for use in x-ray crystallography, medical radiography, and molecular biology.

  17. EU Directive 2004/40: field measurements of a 1.5 T clinical MR scanner.

    PubMed

    Riches, S F; Collins, D J; Scuffham, J W; Leach, M O

    2007-06-01

    The European Union (EU) Physical Agents (EMF) Directive [1] must be incorporated into UK law in 2008. The directive, which applies to employees working in MRI, sets legal exposure limits for two of the three types of EMF exposure employed in MRI; time-varying gradient fields and radiofrequency (RF) fields. Limits on the static field are currently not included but may be added at a later date. Conservative action values have been set for all three types of exposure including the static field. The absolute exposure limits will exclude staff from the scanner bore and adjacent areas during scanning, impacting on many clinical activities such as anaesthetic monitoring during sedated scans, paediatric scanning and interventional MRI. When the legislation comes into force, NHS Trusts, scanner companies and academic institutions will be required to show compliance with the law. We present results of initial measurements performed on a 1.5 T clinical MRI scanner. For the static field, the proposed action value is exceeded at 40 cm from the scanner bore and would be exceeded when positioning a patient for scanning. For the RF field, the action values were only exceeded within the bore at distances of 40 cm from the scanner ends during a very RF intensive sequence; MRI employees are unlikely to be in the bore during an acquisition. For the time-varying gradient fields the action values were exceeded 52 cm out from the mouth of the bore during two clinical sequences, and estimated current densities show the exposure limit to be exceeded at 40 cm for frequencies above 333 Hz. Limiting employees to distances greater than these from the scanner during acquisition will have a severe impact on the future use and development of MRI.

  18. Absolute accuracy of the Cyberware WB4 whole-body scanner

    NASA Astrophysics Data System (ADS)

    Daanen, Hein A. M.; Taylor, Stacie E.; Brunsman, Matthew A.; Nurre, Joseph H.

    1997-03-01

    The Cyberware WB4 whole body scanner is one of the first scanning systems in the world that generates a high resolution data set of the outer surface of the human body. The Computerized Anthropometric Research and Design (CARD) Laboratory of Wright-Patterson AFB intends to use the scanner to enable quick and reliable acquisition of anthropometric data. For this purpose, a validation study was initiated to check the accuracy, reliability and errors of the system. A calibration object, consisting of two boxes and a cylinder, was scanned in several locations in the scanning space. The object dimensions in the resulting scans compared favorably to the actual dimensions of the calibration object.

  19. Point Relay Scanner Utilizing Ellipsoidal Mirrors

    NASA Technical Reports Server (NTRS)

    Manhart, Paul K. (Inventor); Pagano, Robert J. (Inventor)

    1997-01-01

    A scanning system uses a polygonal mirror assembly with each facet of the polygon having an ellipsoidal mirror located thereon. One focal point of each ellipsoidal mirror is located at a common point on the axis of rotation of the polygonal mirror assembly. As the mirror assembly rotates. a second focal point of the ellipsoidal mirrors traces out a scan line. The scanner can be utilized for scanned output display of information or for scanning information to be detected.

  20. Incorporation of a laser range scanner into image-guided liver surgery: surface acquisition, registration, and tracking.

    PubMed

    Cash, David M; Sinha, Tuhin K; Chapman, William C; Terawaki, Hiromi; Dawant, Benoit M; Galloway, Robert L; Miga, Michael I

    2003-07-01

    As image guided surgical procedures become increasingly diverse, there will be more scenarios where point-based fiducials cannot be accurately localized for registration and rigid body assumptions no longer hold. As a result, procedures will rely more frequently on anatomical surfaces for the basis of image alignment and will require intraoperative geometric data to measure and compensate for tissue deformation in the organ. In this paper we outline methods for which a laser range scanner may be used to accomplish these tasks intraoperatively. A laser range scanner based on the optical principle of triangulation acquires a dense set of three-dimensional point data in a very rapid, noncontact fashion. Phantom studies were performed to test the ability to link range scan data with traditional modes of image-guided surgery data through localization, registration, and tracking in physical space. The experiments demonstrate that the scanner is capable of localizing point-based fiducials to within 0.2 mm and capable of achieving point and surface based registrations with target registration error of less than 2.0 mm. Tracking points in physical space with the range scanning system yields an error of 1.4 +/- 0.8 mm. Surface deformation studies were performed with the range scanner in order to determine if this device was capable of acquiring enough information for compensation algorithms. In the surface deformation studies, the range scanner was able to detect changes in surface shape due to deformation comparable to those detected by tomographic image studies. Use of the range scanner has been approved for clinical trials, and an initial intraoperative range scan experiment is presented. In all of these studies, the primary source of error in range scan data is deterministically related to the position and orientation of the surface within the scanner's field of view. However, this systematic error can be corrected, allowing the range scanner to provide a rapid, robust

  1. USPIO-labeling in M1 and M2-polarized macrophages: An in vitro study using a clinical magnetic resonance scanner.

    PubMed

    Zini, Chiara; Venneri, Mary A; Miglietta, Selenia; Caruso, Damiano; Porta, Natale; Isidori, Andrea M; Fiore, Daniela; Gianfrilli, Daniele; Petrozza, Vincenzo; Laghi, Andrea

    2018-08-01

    Aim of the study was to evaluate USPIO labeling in different macrophage populations using a clinical 3.0T MR unit with optical and electron microscopy as the gold standard. Human monocytic cell line THP-1 cells were differentiated into macrophages. Afterwards, M0 macrophages were incubated with IL-4 and IL-13 in order to obtain M2 polarized macrophages or with IFN-gamma and LPS for classical macrophage activation (M1). These groups were incubated with USPIO-MR contrast agent (P904) for 36 hr; M0, M0 + P904, M1 +  P904, and M2 + P904 were analyzed in gel phantoms with a 3.0T MR scanner. m-RNA of M1 and M2 markers confirmed the polarization of THP-1-derived macrophages. M2 + P904 showed a much higher T1 signal (p <  0.0001), a significantly lower (p < 0.0001) T2* signal, and significantly higher R* (p < 0.0001) compared to the other populations. Hystological analysis confirmed higher iron content in the M2-polarized population compared to both M1-polarized (p = 0.04) and M0-P904 (p = 0.003). Ultrastructure analysis demonstrated ubiquitous localization of P904 within the cellular compartments. Our results demonstrate that a selective USPIO-labeling of different macrophage populations can be detected in vitro using the 3.0T clinical scanner. © 2017 Wiley Periodicals, Inc.

  2. Laser excited confocal microscope fluorescence scanner and method

    DOEpatents

    Mathies, Richard A.; Peck, Konan

    1992-01-01

    A fluorescent scanner for scanning the fluorescence from a fluorescence labeled separated sample on a sample carrier including a confocal microscope for illuminating a predetermined volume of the sample carrier and/or receiving and processing fluorescence emissions from said volume to provide a display of the separated sample.

  3. Reprint of 'Evaluation of Scattered Radiation Emitted From X-ray Security Scanners on Occupational Dose to Airport Personnel'

    NASA Astrophysics Data System (ADS)

    Dalah, Entesar; Fakhry, Angham; Mukhtar, Asma; Al Salti, Farah; Bader, May; Khouri, Sara; Al-Zahmi, Reem

    2017-11-01

    Based on security issues and regulations airports are provided with luggage cargo scanners. These scanners utilize ionizing radiation that in principle present health risks toward humans. The study aims to investigate the amount of backscatter produced by passenger luggage and cargo toward airport personnel who are located at different distances from the scanners. To approach our investigation a Thermo Electron Radeye-G probe was used to quantify the backscattered radiation measured in terms of dose-rate emitted from airport scanners, Measurements were taken at the entrance and exit positions of the X-ray tunnel at three different distances (0, 50, and 100 cm) for two different scanners; both scanners include shielding curtains that reduce scattered radiation. Correlation was demonstrated using the Pearson coefficient test. Measurements confirmed an inverse relationship between dose rate and distance. An estimated occupational accumulative dose of 0.88 mSv/y, and 2.04 mSv/y were obtained for personnel working in inspection of carry-on, and cargo, respectively. Findings confirm that the projected dose of security and engineering staff are being well within dose limits.

  4. A new ultrasonic real-time scanner featuring a servo-controlled transducer displaying a sector image.

    PubMed

    Skolnick, M L; Matzuk, T

    1978-08-01

    This paper describes a new real-time servo-controlled sector scanner that produces high-resolution images similar to phased-array systems, but possesses the simplicity of design and low cost best achievable in a mechanical sector scanner. Its unique feature is the transducer head which contains a single moving part--the transducer. Frame rates vary from 0 to 30 degrees and the sector angle from 0 to 60 degrees. Abdominal applications include: differentiation of vascular structures, detection of small masses, imaging of diagonally oriented organs. Survey scanning, and demonstration of regions difficult to image with contact scanners. Cardiac uses are also described.

  5. Investigation of spatial resolution improvement by use of a mouth-insert detector in the helmet PET scanner.

    PubMed

    Ahmed, Abdella M; Tashima, Hideaki; Yamaya, Taiga

    2018-03-01

    The dominant factor limiting the intrinsic spatial resolution of a positron emission tomography (PET) system is the size of the crystal elements in the detector. To increase sensitivity and achieve high spatial resolution, it is essential to use advanced depth-of-interaction (DOI) detectors and arrange them close to the subject. The DOI detectors help maintain high spatial resolution by mitigating the parallax error caused by the thickness of the scintillator near the peripheral regions of the field-of-view. As an optimal geometry for a brain PET scanner, with high sensitivity and spatial resolution, we proposed and developed the helmet-chin PET scanner using 54 four-layered DOI detectors consisting of a 16 × 16 × 4 array of GSOZ scintillator crystals with dimensions of 2.8 × 2.8 × 7.5 mm 3 . All the detectors used in the helmet-chin PET scanner had the same spatial resolution. In this study, we conducted a feasibility study of a new add-on detector arrangement for the helmet PET scanner by replacing the chin detector with a segmented crystal cube, having high spatial resolution in all directions, which can be placed inside the mouth. The crystal cube (which we have named the mouth-insert detector) has an array of 20 × 20 × 20 LYSO crystal segments with dimensions of 1 × 1 × 1 mm 3 . Thus, the scanner is formed by the combination of the helmet and mouth-insert detectors, and is referred to as the helmet-mouth-insert PET scanner. The results show that the helmet-mouth-insert PET scanner has comparable sensitivity and improved spatial resolution near the center of the hemisphere, compared to the helmet-chin PET scanner.

  6. Comparative analysis on reproducibility among 5 intraoral scanners: sectional analysis according to restoration type and preparation outline form

    PubMed Central

    2016-01-01

    PURPOSE The trueness and precision of acquired images of intraoral digital scanners could be influenced by restoration type, preparation outline form, scanning technology and the application of power. The aim of this study is to perform the comparative evaluation of the 3-dimensional reproducibility of intraoral scanners (IOSs). MATERIALS AND METHODS The phantom containing five prepared teeth was scanned by the reference scanner (Dental Wings) and 5 test IOSs (E4D dentist, Fastscan, iTero, Trios and Zfx Intrascan). The acquired images of the scanner groups were compared with the image from the reference scanner (trueness) and within each scanner groups (precision). Statistical analysis was performed using independent two-samples t-test and analysis of variance (α=.05). RESULTS The average deviations of trueness and precision of Fastscan, iTero and Trios were significantly lower than the other scanners. According to the restoration type, significantly higher trueness was observed in crown and inlay than in bridge. However, no significant difference was observed among four sites of preparation outline form. If compared by the characteristics of IOS, high trueness was observed in the group adopting the active triangulation and using powder. However, there was no significant difference between the still image acquisition and video acquisition groups. CONCLUSION Except for two intraoral scanners, Fastscan, iTero and Trios displayed comparable levels of trueness and precision values in tested phantom model. Difference in trueness was observed depending on the restoration type, the preparation outline form and characteristics of IOS, which should be taken into consideration when the intraoral scanning data are utilized. PMID:27826385

  7. Performance evaluation of an Inveon PET preclinical scanner

    NASA Astrophysics Data System (ADS)

    Constantinescu, Cristian C.; Mukherjee, Jogeshwar

    2009-05-01

    We evaluated the performance of an Inveon preclinical PET scanner (Siemens Medical Solutions), the latest MicroPET system. Spatial resolution was measured with a glass capillary tube (0.26 mm inside diameter, 0.29 mm wall thickness) filled with 18F solution. Transaxial and axial resolutions were measured with the source placed parallel and perpendicular to the axis of the scanner. The sensitivity of the scanner was measured with a 22Na point source, placed on the animal bed and positioned at different offsets from the center of the field of view (FOV), as well as at different energy and coincidence windows. The noise equivalent count rates (NECR) and the system scatter fraction were measured using rat-like (Φ = 60, L = 150 mm) and mouse-like (Φ = 25 mm, L = 70 mm) cylindrical phantoms. Line sources filled with high activity 18F (>250 MBq) were inserted parallel to the axes of the phantoms (13.5 and 10 mm offset). For each phantom, list-mode data were collected over 24 h at 350-650 keV and 250-750 keV energy windows and 3.4 ns coincidence window. System scatter fraction was measured when the random event rates were below 1%. Performance phantoms consisting of cylinders with hot rod inserts filled with 18F were imaged. In addition, we performed imaging studies that show the suitability of the Inveon scanner for imaging small structures such as those in mice with a variety of tracers. The radial, tangential and axial resolutions at the center of FOV were 1.46 mm, 1.49 and 1.15 mm, respectively. At a radial offset of 2 cm, the FWHM values were 1.73, 2.20 and 1.47 mm, respectively. At a coincidence window of 3.4 ns, the sensitivity was 5.75% for EW = 350-650 keV and 7.4% for EW = 250-750 keV. For an energy window of 350-650 keV, the peak NECR was 538 kcps at 131.4 MBq for the rat-like phantom, and 1734 kcps at 147.4 MBq for the mouse-like phantom. The system scatter fraction values were 0.22 for the rat phantom and 0.06 for the mouse phantom. The Inveon system

  8. gamma-Hexachlorocyclohexane (gamma-HCH)

    Integrated Risk Information System (IRIS)

    gamma - Hexachlorocyclohexane ( gamma - HCH ) ; CASRN 58 - 89 - 9 Human health assessment information on a chemical substance is included in the IRIS database only after a comprehensive review of toxicity data , as outlined in the IRIS assessment development process . Sections I ( Health Hazard Asse

  9. Multispectral scanner optical system

    NASA Technical Reports Server (NTRS)

    Stokes, R. C.; Koch, N. G. (Inventor)

    1980-01-01

    An optical system for use in a multispectral scanner of the type used in video imaging devices is disclosed. Electromagnetic radiation reflected by a rotating scan mirror is focused by a concave primary telescope mirror and collimated by a second concave mirror. The collimated beam is split by a dichroic filter which transmits radiant energy in the infrared spectrum and reflects visible and near infrared energy. The long wavelength beam is filtered and focused on an infrared detector positioned in a cryogenic environment. The short wavelength beam is dispersed by a pair of prisms, then projected on an array of detectors also mounted in a cryogenic environment and oriented at an angle relative to the optical path of the dispersed short wavelength beam.

  10. Parameter de-correlation and model-identification in hybrid-style terrestrial laser scanner self-calibration

    NASA Astrophysics Data System (ADS)

    Lichti, Derek D.; Chow, Jacky; Lahamy, Hervé

    One of the important systematic error parameters identified in terrestrial laser scanners is the collimation axis error, which models the non-orthogonality between two instrumental axes. The quality of this parameter determined by self-calibration, as measured by its estimated precision and its correlation with the tertiary rotation angle κ of the scanner exterior orientation, is strongly dependent on instrument architecture. While the quality is generally very high for panoramic-type scanners, it is comparably poor for hybrid-style instruments. Two methods for improving the quality of the collimation axis error in hybrid instrument self-calibration are proposed herein: (1) the inclusion of independent observations of the tertiary rotation angle κ; and (2) the use of a new collimation axis error model. Five real datasets were captured with two different hybrid-style scanners to test each method's efficacy. While the first method achieves the desired outcome of complete decoupling of the collimation axis error from κ, it is shown that the high correlation is simply transferred to other model variables. The second method achieves partial parameter de-correlation to acceptable levels. Importantly, it does so without any adverse, secondary correlations and is therefore the method recommended for future use. Finally, systematic error model identification has been greatly aided in previous studies by graphical analyses of self-calibration residuals. This paper presents results showing the architecture dependence of this technique, revealing its limitations for hybrid scanners.

  11. 21 CFR 892.1330 - Nuclear whole body scanner.

    Code of Federal Regulations, 2014 CFR

    2014-04-01

    ... 21 Food and Drugs 8 2014-04-01 2014-04-01 false Nuclear whole body scanner. 892.1330 Section 892.1330 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES (CONTINUED... respect to the patient. This generic type of device may include signal analysis and display equipment...

  12. 21 CFR 892.1330 - Nuclear whole body scanner.

    Code of Federal Regulations, 2011 CFR

    2011-04-01

    ... 21 Food and Drugs 8 2011-04-01 2011-04-01 false Nuclear whole body scanner. 892.1330 Section 892.1330 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES (CONTINUED... respect to the patient. This generic type of device may include signal analysis and display equipment...

  13. 21 CFR 892.1330 - Nuclear whole body scanner.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Nuclear whole body scanner. 892.1330 Section 892.1330 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES (CONTINUED... respect to the patient. This generic type of device may include signal analysis and display equipment...

  14. A combined positron emission tomography (PET)-electron paramagnetic resonance imaging (EPRI) system: initial evaluation of a prototype scanner

    NASA Astrophysics Data System (ADS)

    Tseytlin, Mark; Stolin, Alexander V.; Guggilapu, Priyaankadevi; Bobko, Andrey A.; Khramtsov, Valery V.; Tseytlin, Oxana; Raylman, Raymond R.

    2018-05-01

    The advent of hybrid scanners, combining complementary modalities, has revolutionized the application of advanced imaging technology to clinical practice and biomedical research. In this project, we investigated the melding of two complementary, functional imaging methods: positron emission tomography (PET) and electron paramagnetic resonance imaging (EPRI). PET radiotracers can provide important information about cellular parameters, such as glucose metabolism. While EPR probes can provide assessment of tissue microenvironment, measuring oxygenation and pH, for example. Therefore, a combined PET/EPRI scanner promises to provide new insights not attainable with current imagers by simultaneous acquisition of multiple components of tissue microenvironments. To explore the simultaneous acquisition of PET and EPR images, a prototype system was created by combining two existing scanners. Specifically, a silicon photomultiplier (SiPM)-based PET scanner ring designed as a portable scanner was combined with an EPRI scanner designed for the imaging of small animals. The ability of the system to obtain simultaneous images was assessed with a small phantom consisting of four cylinders containing both a PET tracer and EPR spin probe. The resulting images demonstrated the ability to obtain contemporaneous PET and EPR images without cross-modality interference. Given the promising results from this initial investigation, the next step in this project is the construction of the next generation pre-clinical PET/EPRI scanner for multi-parametric assessment of physiologically-important parameters of tissue microenvironments.

  15. Sensitivity and daily quality control of a mobile PET/CT scanner operating in 3-dimensional mode.

    PubMed

    Belakhlef, Abdelfatihe; Church, Clifford; Fraser, Ron; Lakhanpal, Suresh

    2007-12-01

    This study investigated the stability of the sensitivity of a mobile PET/CT scanner and tested a phantom experiment to improve on the daily quality control recommendations of the manufacturer. Unlike in-house scanners, mobile PET/CT devices are subjected to a harsher, continuously changing environment that can alter their performance. The parameter of sensitivity was investigated because it reflects directly on standardized uptake value, a key factor in cancer evaluation. A (68)Ge phantom of known activity concentration was scanned 6 times a month for 11 consecutive months using a mobile PET/CT scanner that operates in 3-dimensional mode only. The scans were acquired as 2 contiguous bed positions, with raw data obtained and reconstructed using parameters identical to those used for oncology patients, including CT-extracted attenuation coefficients and decay, scatter, geometry, and randoms corrections. After visual inspection of all reconstructed images, identical regions of interest were drawn on each image to obtain the activity concentration of individual slices. The original activity concentration was then decay-corrected to the scanning day, and the percentage sensitivity of the slice was calculated and graphed. The daily average sensitivity of the scanner, over 11 consecutive months, was also obtained and used to evaluate the stability of sensitivity. Our particular scanner showed a daily average sensitivity ranging from -8.6% to 6.5% except for one instance, when the sensitivity dropped by an unacceptable degree, 34.8%. Our 11-mo follow-up of a mobile PET/CT scanner demonstrated that its sensitivity remained within acceptable clinical limits except for one instance, when the scanner had to be serviced before patients could be imaged. To enhance our confidence in the uniformity of sensitivity across slices, we added a phantom scan to the daily quality control recommendations of the manufacturer.

  16. Impact of functional magnetic resonance imaging (fMRI) scanner noise on affective state and attentional performance.

    PubMed

    Jacob, Shawna N; Shear, Paula K; Norris, Matthew; Smith, Matthew; Osterhage, Jeff; Strakowski, Stephen M; Cerullo, Michael; Fleck, David E; Lee, Jing-Huei; Eliassen, James C

    2015-01-01

    Previous research has shown that performance on cognitive tasks administered in the scanner can be altered by the scanner environment. There are no previous studies that have investigated the impact of scanner noise using a well-validated measure of affective change. The goal of this study was to determine whether performance on an affective attentional task or emotional response to the task would change in the presence of distracting acoustic noise, such as that encountered in a magnetic resonance imaging (MRI) environment. Thirty-four young adults with no self-reported history of neurologic disorder or mental illness completed three blocks of the affective Posner task outside of the scanner. The task was meant to induce frustration through monetary contingencies and rigged feedback. Participants completed a Self-Assessment Manikin at the end of each block to rate their mood, arousal level, and sense of dominance. During the task, half of the participants heard noise (recorded from a 4T MRI system), and half heard no noise. The affective Posner task led to significant reductions in mood and increases in arousal in healthy participants. The presence of scanner noise did not impact task performance; however, individuals in the noise group did report significantly poorer mood throughout the task. The results of the present study suggest that the acoustic qualities of MRI enhance frustration effects on an affective attentional task and that scanner noise may influence mood during similar functional magnetic resonance imaging (fMRI) tasks.

  17. Modeling and measurement of the detector presampling MTF of a variable resolution x-ray CT scanner

    PubMed Central

    Melnyk, Roman; DiBianca, Frank A.

    2007-01-01

    The detector presampling MTF of a 576-channel variable resolution x-ray (VRX) CT scanner was evaluated in this study. The scanner employs a VRX detector, which provides increased spatial resolution by matching the scanner’s field of view (FOV) to the size of an object being imaged. Because spatial resolution is the parameter the scanner promises to improve, the evaluation of this resolution is important. The scanner’s pre-reconstruction spatial resolution, represented by the detector presampling MTF, was evaluated using both modeling (Monte Carlo simulation) and measurement (the moving slit method). The theoretical results show the increase in the cutoff frequency of the detector presampling MTF from 1.39 cy/mm to 43.38 cy/mm as the FOV of the VRX CT scanner decreases from 32 cm to 1 cm. The experimental results are in reasonable agreement with the theoretical data. Some discrepancies between the measured and the modeled detector presampling MTFs can be explained by the limitations of the model. At small FOVs (1–8 cm), the MTF measurements were limited by the size of the focal spot. The obtained results are important for further development of the VRX CT scanner. PMID:17369872

  18. Impacts of Intelligent Automated Quality Control on a Small Animal APD-Based Digital PET Scanner

    NASA Astrophysics Data System (ADS)

    Charest, Jonathan; Beaudoin, Jean-François; Bergeron, Mélanie; Cadorette, Jules; Arpin, Louis; Lecomte, Roger; Brunet, Charles-Antoine; Fontaine, Réjean

    2016-10-01

    Stable system performance is mandatory to warrant the accuracy and reliability of biological results relying on small animal positron emission tomography (PET) imaging studies. This simple requirement sets the ground for imposing routine quality control (QC) procedures to keep PET scanners at a reliable optimal performance level. However, such procedures can become burdensome to implement for scanner operators, especially taking into account the increasing number of data acquisition channels in newer generation PET scanners. In systems using pixel detectors to achieve enhanced spatial resolution and contrast-to-noise ratio (CNR), the QC workload rapidly increases to unmanageable levels due to the number of independent channels involved. An artificial intelligence based QC system, referred to as Scanner Intelligent Diagnosis for Optimal Performance (SIDOP), was proposed to help reducing the QC workload by performing automatic channel fault detection and diagnosis. SIDOP consists of four high-level modules that employ machine learning methods to perform their tasks: Parameter Extraction, Channel Fault Detection, Fault Prioritization, and Fault Diagnosis. Ultimately, SIDOP submits a prioritized faulty channel list to the operator and proposes actions to correct them. To validate that SIDOP can perform QC procedures adequately, it was deployed on a LabPET™ scanner and multiple performance metrics were extracted. After multiple corrections on sub-optimal scanner settings, a 8.5% (with a 95% confidence interval (CI) of [7.6, 9.3]) improvement in the CNR, a 17.0% (CI: [15.3, 18.7]) decrease of the uniformity percentage standard deviation, and a 6.8% gain in global sensitivity were observed. These results confirm that SIDOP can indeed be of assistance in performing QC procedures and restore performance to optimal figures.

  19. Unidentified Gamma-Ray Sources: Hunting Gamma-Ray Blazars

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Massaro, F.; D'Abrusco, R.; Tosti, G.

    2012-04-02

    One of the main scientific objectives of the ongoing Fermi mission is unveiling the nature of the unidentified {gamma}-ray sources (UGSs). Despite the large improvements of Fermi in the localization of {gamma}-ray sources with respect to the past {gamma}-ray missions, about one third of the Fermi-detected objects are still not associated to low energy counterparts. Recently, using the Wide-field Infrared Survey Explorer (WISE) survey, we discovered that blazars, the rarest class of Active Galactic Nuclei and the largest population of {gamma}-ray sources, can be recognized and separated from other extragalactic sources on the basis of their infrared (IR) colors. Basedmore » on this result, we designed an association method for the {gamma}-ray sources to recognize if there is a blazar candidate within the positional uncertainty region of a generic {gamma}-ray source. With this new IR diagnostic tool, we searched for {gamma}-ray blazar candidates associated to the UGS sample of the second Fermi {gamma}-ray catalog (2FGL). We found that our method associates at least one {gamma}-ray blazar candidate as a counterpart each of 156 out of 313 UGSs analyzed. These new low-energy candidates have the same IR properties as the blazars associated to {gamma}-ray sources in the 2FGL catalog.« less

  20. UNIDENTIFIED {gamma}-RAY SOURCES: HUNTING {gamma}-RAY BLAZARS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Massaro, F.; Ajello, M.; D'Abrusco, R.

    2012-06-10

    One of the main scientific objectives of the ongoing Fermi mission is unveiling the nature of unidentified {gamma}-ray sources (UGSs). Despite the major improvements of Fermi in the localization of {gamma}-ray sources with respect to the past {gamma}-ray missions, about one-third of the Fermi-detected objects are still not associated with low-energy counterparts. Recently, using the Wide-field Infrared Survey Explorer survey, we discovered that blazars, the rarest class of active galactic nuclei and the largest population of {gamma}-ray sources, can be recognized and separated from other extragalactic sources on the basis of their infrared (IR) colors. Based on this result, wemore » designed an association method for the {gamma}-ray sources to recognize if there is a blazar candidate within the positional uncertainty region of a generic {gamma}-ray source. With this new IR diagnostic tool, we searched for {gamma}-ray blazar candidates associated with the UGS sample of the second Fermi {gamma}-ray LAT catalog (2FGL). We found that our method associates at least one {gamma}-ray blazar candidate as a counterpart to each of 156 out of 313 UGSs analyzed. These new low-energy candidates have the same IR properties as the blazars associated with {gamma}-ray sources in the 2FGL catalog.« less

  1. High-tech breakthrough DNA scanner for reading sequence and detecting gene mutation: A powerful 1 lb, 20 {mu}m resolution, 16-bit personal scanner (PS) that scans 17inch x 14inch x-ray film in 48 s, with laser, uv and white light sources

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zeineh, J.A.; Zeineh, M.M.; Zeineh, R.A.

    1993-06-01

    The 17inch x 14inch X-ray film, gels, and blots are widely used in DNA research. However, DNA laser scanners are costly and unaffordable for the majority of surveyed biotech scientists who need it. The high-tech breakthrough analytical personal scanner (PS) presented in this report is an inexpensive 1 lb hand-held scanner priced at 2-4% of the bulky and costly 30-95 lb conventional laser scanners. This PS scanner is affordable from an operation budget and biotechnologists, who originate most science breakthroughs, can acquire it to enhance their speed, accuracy, and productivity. Compared to conventional laser scanners that are currently available onlymore » through hard-to-get capital-equipment budgets, the new PS scanner offers improved spatial resolution of 20 {mu}m, higher speed (scan up to 17inch x 14inch molecular X-ray film in 48 s), 1-32,768 gray levels (16-bits), student routines, versatility, and, most important, affordability. Its programs image the film, read DNA sequences automatically, and detect gene mutation. In parallel to the wide laboratory use of PC computers instead of mainframes, this PS scanner might become an integral part of a PC-PS powerful and cost-effective system where the PS performs the digital imaging and the PC acts on the data.« less

  2. Multispectral scanner system for ERTS: Four band scanner system. Volume 2: Engineering model panoramic pictures and engineering tests

    NASA Technical Reports Server (NTRS)

    1972-01-01

    This document is Volume 2 of three volumes of the Final Report for the four band Multispectral Scanner System (MSS). The results are contained of an analysis of pictures of actual outdoor scenes imaged by the engineering model MSS for spectral response, resolution, noise, and video correction. Also included are the results of engineering tests on the MSS for reflectance and saturation from clouds. Finally, two panoramic pictures of Yosemite National Park are provided.

  3. Preclinical positron emission tomography scanner based on a monolithic annulus of scintillator: initial design study

    PubMed Central

    Stolin, Alexander V.; Martone, Peter F.; Jaliparthi, Gangadhar; Raylman, Raymond R.

    2017-01-01

    Abstract. Positron emission tomography (PET) scanners designed for imaging of small animals have transformed translational research by reducing the necessity to invasively monitor physiology and disease progression. Virtually all of these scanners are based on the use of pixelated detector modules arranged in rings. This design, while generally successful, has some limitations. Specifically, use of discrete detector modules to construct PET scanners reduces detection sensitivity and can introduce artifacts in reconstructed images, requiring the use of correction methods. To address these challenges, and facilitate measurement of photon depth-of-interaction in the detector, we investigated a small animal PET scanner (called AnnPET) based on a monolithic annulus of scintillator. The scanner was created by placing 12 flat facets around the outer surface of the scintillator to accommodate placement of silicon photomultiplier arrays. Its performance characteristics were explored using Monte Carlo simulations and sections of the NEMA NU4-2008 protocol. Results from this study revealed that AnnPET’s reconstructed spatial resolution is predicted to be ∼1  mm full width at half maximum in the radial, tangential, and axial directions. Peak detection sensitivity is predicted to be 10.1%. Images of simulated phantoms (mini-hot rod and mouse whole body) yielded promising results, indicating the potential of this system for enhancing PET imaging of small animals. PMID:28097210

  4. Preclinical positron emission tomography scanner based on a monolithic annulus of scintillator: initial design study.

    PubMed

    Stolin, Alexander V; Martone, Peter F; Jaliparthi, Gangadhar; Raylman, Raymond R

    2017-01-01

    Positron emission tomography (PET) scanners designed for imaging of small animals have transformed translational research by reducing the necessity to invasively monitor physiology and disease progression. Virtually all of these scanners are based on the use of pixelated detector modules arranged in rings. This design, while generally successful, has some limitations. Specifically, use of discrete detector modules to construct PET scanners reduces detection sensitivity and can introduce artifacts in reconstructed images, requiring the use of correction methods. To address these challenges, and facilitate measurement of photon depth-of-interaction in the detector, we investigated a small animal PET scanner (called AnnPET) based on a monolithic annulus of scintillator. The scanner was created by placing 12 flat facets around the outer surface of the scintillator to accommodate placement of silicon photomultiplier arrays. Its performance characteristics were explored using Monte Carlo simulations and sections of the NEMA NU4-2008 protocol. Results from this study revealed that AnnPET's reconstructed spatial resolution is predicted to be [Formula: see text] full width at half maximum in the radial, tangential, and axial directions. Peak detection sensitivity is predicted to be 10.1%. Images of simulated phantoms (mini-hot rod and mouse whole body) yielded promising results, indicating the potential of this system for enhancing PET imaging of small animals.

  5. Giga-pixel fluorescent imaging over an ultra-large field-of-view using a flatbed scanner.

    PubMed

    Göröcs, Zoltán; Ling, Yuye; Yu, Meng Dai; Karahalios, Dimitri; Mogharabi, Kian; Lu, Kenny; Wei, Qingshan; Ozcan, Aydogan

    2013-11-21

    We demonstrate a new fluorescent imaging technique that can screen for fluorescent micro-objects over an ultra-wide field-of-view (FOV) of ~532 cm(2), i.e., 19 cm × 28 cm, reaching a space-bandwidth product of more than 2 billion. For achieving such a large FOV, we modified the hardware and software of a commercially available flatbed scanner, and added a custom-designed absorbing fluorescent filter, a two-dimensional array of external light sources for computer-controlled and high-angle fluorescent excitation. We also re-programmed the driver of the scanner to take full control of the scanner hardware and achieve the highest possible exposure time, gain and sensitivity for detection of fluorescent micro-objects through the gradient index self-focusing lens array that is positioned in front of the scanner sensor chip. For example, this large FOV of our imaging platform allows us to screen more than 2.2 mL of undiluted whole blood for detection of fluorescent micro-objects within <5 minutes. This high-throughput fluorescent imaging platform could be useful for rare cell research and cytometry applications by enabling rapid screening of large volumes of optically dense media. Our results constitute the first time that a flatbed scanner has been converted to a fluorescent imaging system, achieving a record large FOV.

  6. Monte Carlo proton dose calculations using a radiotherapy specific dual-energy CT scanner for tissue segmentation and range assessment

    NASA Astrophysics Data System (ADS)

    Almeida, Isabel P.; Schyns, Lotte E. J. R.; Vaniqui, Ana; van der Heyden, Brent; Dedes, George; Resch, Andreas F.; Kamp, Florian; Zindler, Jaap D.; Parodi, Katia; Landry, Guillaume; Verhaegen, Frank

    2018-06-01

    Proton beam ranges derived from dual-energy computed tomography (DECT) images from a dual-spiral radiotherapy (RT)-specific CT scanner were assessed using Monte Carlo (MC) dose calculations. Images from a dual-source and a twin-beam DECT scanner were also used to establish a comparison to the RT-specific scanner. Proton ranges extracted from conventional single-energy CT (SECT) were additionally performed to benchmark against literature values. Using two phantoms, a DECT methodology was tested as input for GEANT4 MC proton dose calculations. Proton ranges were calculated for different mono-energetic proton beams irradiating both phantoms; the results were compared to the ground truth based on the phantom compositions. The same methodology was applied in a head-and-neck cancer patient using both SECT and dual-spiral DECT scans from the RT-specific scanner. A pencil-beam-scanning plan was designed, which was subsequently optimized by MC dose calculations, and differences in proton range for the different image-based simulations were assessed. For phantoms, the DECT method yielded overall better material segmentation with  >86% of the voxel correctly assigned for the dual-spiral and dual-source scanners, but only 64% for a twin-beam scanner. For the calibration phantom, the dual-spiral scanner yielded range errors below 1.2 mm (0.6% of range), like the errors yielded by the dual-source scanner (<1.1 mm, <0.5%). With the validation phantom, the dual-spiral scanner yielded errors below 0.8 mm (0.9%), whereas SECT yielded errors up to 1.6 mm (2%). For the patient case, where the absolute truth was missing, proton range differences between DECT and SECT were on average in  ‑1.2  ±  1.2 mm (‑0.5%  ±  0.5%). MC dose calculations were successfully performed on DECT images, where the dual-spiral scanner resulted in media segmentation and range accuracy as good as the dual-source CT. In the patient, the various methods showed relevant

  7. Application of Intra-Oral Dental Scanners in the Digital Workflow of Implantology

    PubMed Central

    van der Meer, Wicher J.; Andriessen, Frank S.; Wismeijer, Daniel; Ren, Yijin

    2012-01-01

    Intra-oral scanners will play a central role in digital dentistry in the near future. In this study the accuracy of three intra-oral scanners was compared. Materials and methods: A master model made of stone was fitted with three high precision manufactured PEEK cylinders and scanned with three intra-oral scanners: the CEREC (Sirona), the iTero (Cadent) and the Lava COS (3M). In software the digital files were imported and the distance between the centres of the cylinders and the angulation between the cylinders was assessed. These values were compared to the measurements made on a high accuracy 3D scan of the master model. Results: The distance errors were the smallest and most consistent for the Lava COS. The distance errors for the Cerec were the largest and least consistent. All the angulation errors were small. Conclusions: The Lava COS in combination with a high accuracy scanning protocol resulted in the smallest and most consistent errors of all three scanners tested when considering mean distance errors in full arch impressions both in absolute values and in consistency for both measured distances. For the mean angulation errors, the Lava COS had the smallest errors between cylinders 1–2 and the largest errors between cylinders 1–3, although the absolute difference with the smallest mean value (iTero) was very small (0,0529°). An expected increase in distance and/or angular errors over the length of the arch due to an accumulation of registration errors of the patched 3D surfaces could be observed in this study design, but the effects were statistically not significant. Clinical relevance For making impressions of implant cases for digital workflows, the most accurate scanner with the scanning protocol that will ensure the most accurate digital impression should be used. In our study model that was the Lava COS with the high accuracy scanning protocol. PMID:22937030

  8. Prototype Holographic Atmospheric Scanner for Environmental Remote Sensing (PHASERS)

    NASA Technical Reports Server (NTRS)

    Guerra, David V.; Schwemmer, Geary K.; Wooten, Albert D., Jr.; Chaudhuri, Sandipan S.; Wilkerson, Thomas D.

    1995-01-01

    A ground-based atmospheric lidar system that utilizes a Holographic Optical Telescope and Scanner has been developed and successfully operated to obtain atmospheric backscatter profiles. The Prototype Holographic Atmospheric Scanner for Environmental Remote Sensing is built around a volume phase reflection Holographic Optical Element. This single optical element both directs and collimates the outgoing laser beam as well as collects, focuses, and filters the atmospheric laser backscatter, while offering significant weight savings over existing telescope mirror technology. Conical scanning is accomplished as the HOE rotates on a turntable sweeping the 1.2 mrad field of view around a 42deg cone. During this technology demonstration, atmospheric aerosol and cloud return signals have been received in both stationary and scanning modes. The success of this program has led to the further development of this technology for integration into airborne and eventually satellite earth observing scanning lidar telescopes.

  9. Performance Evaluation of a PEM Scanner Using the NEMA NU 4—2008 Small Animal PET Standards

    NASA Astrophysics Data System (ADS)

    Luo, Weidong; Anashkin, Edward; Matthews, Christopher G.

    2010-02-01

    The recently published NEMA NU 4-2008 Standards has been specially designed for evaluating the performance of small animal PET scanners used in preclinical applications. In this paper, we report on the NU 4 performance of a clinical positron emission mammography (PEM) system. Since there are no PEM specific performance test protocols available, and the NU 2 protocol (intended for whole-body PET scanners) cannot be applied without modification due to the compact design of the PEM scanner, we decided to evaluate the NU 4 Standards as an alternative. We obtained the following results: Trans-axial spatial resolution 1.8 mm FWHM for high resolution reconstruction mode and 2.4 mm FWHM for standard resolution reconstruction mode with no significant variation within the field of view. The total system sensitivity was 0.16 cps/Bq. In image quality testing, the uniformity was found to be 3.9% STD at the standard resolution mode and 5.6% at the high resolution mode when measured with a 34 mm paddle separation. The NEMA NU 4-2008 Standards were found to be a practicable tool to evaluate the performance of the PEM scanner after some modifications to address the specifics of its detector configuration. Furthermore, the PEM scanner's in-plane spatial resolution was comparable to other small animal PET scanners with good image quality.

  10. A Study on Possibility of Clinical Application for Color Measurements of Shade Guides Using an Intraoral Digital Scanner.

    PubMed

    Yoon, Hyung-In; Bae, Ji-Won; Park, Ji-Man; Chun, Youn-Sic; Kim, Mi-Ae; Kim, Minji

    2016-11-07

    To assess if color measurement with intraoral scanner correlates with digital colorimeter and to evaluate the possibility of application of a digital scanner for shade selection. The L*a*b* values of the five shade tabs (A1, A2, A3, A3.5, and A4) were obtained with an intraoral scanner (TRIOS Pod) and a colorimeter (ShadeEye). Both devices were calibrated according to the manufacturer's instructions before measurements. Color measurement values were compared with paired t-test, and a Pearson's correlation analysis was performed to evaluate the relationship of two methods. The L*a*b* values of the colorimeter were significantly different from those of the digital scanner (p < 0.001). The L* and b* values of both methods were strongly correlated with each other (both p < 0.05). The device repeatability in both methods were reported to be excellent (p < 0.05). Within the limitations of this study, color measurements with digital intraoral scanners and computer-assisted image analysis were in accordance with those of the colorimeter with respect to L* and b* values; however, all the coordinates of shade tabs were significantly different between two methods. The digital intraoral scanner may not be used as the primary method of color selection in clinical practices, considering significant differences in color parameters with colorimeter. The scanner's capability in shade selection should be further evaluated. © 2016 by the American College of Prosthodontists.

  11. Results from a Prototype Proton-CT Head Scanner

    NASA Astrophysics Data System (ADS)

    Johnson, R. P.; Bashkirov, V. A.; Coutrakon, G.; Giacometti, V.; Karbasi, P.; Karonis, N. T.; Ordoñez, C. E.; Pankuch, M.; Sadrozinski, H. F.-W.; Schubert, K. E.; Schulte, R. W.

    We are exploring low-dose proton radiography and computed tomography (pCT) as techniques to improve the accuracy of proton treatment planning and to provide artifact-free images for verification and adaptive therapy at the time of treatment. Here we report on comprehensive beam test results with our prototype pCT head scanner. The detector system and data acquisition attain a sustained rate of more than a million protons individually measured per second, allowing a full CT scan to be completed in six minutes or less of beam time. In order to assess the performance of the scanner for proton radiography as well as computed tomography, we have performed numerous scans of phantoms at the Northwestern Medicine Chicago Proton Center including a custom phantom designed to assess the spatial resolution, a phantom to assess the measurement of relative stopping power, and a dosimetry phantom. Some images, performance, and dosimetry results from those phantom scans are presented together with a description of the instrument, the data acquisition system, and the calibration methods.

  12. The coude spectrograph and echelle scanner of the 2.7 m telescope at McDonald Observatory.

    NASA Technical Reports Server (NTRS)

    Tull, R. G.

    1972-01-01

    Discussion of certain design aspects of the coude spectrograph, and description of the coude scanner that uses some of the spectrograph optics. The configuration of the large echelle grating used is reviewed along with the systems of computer scanner control and data handling.

  13. The Coude spectrograph and echelle scanner of the 2.7 m telescope at McDonald observatory

    NASA Technical Reports Server (NTRS)

    Tull, R. G.

    1972-01-01

    The design of the Coude spectrograph of the 2.7 m McDonald telescope is discussed. A description is given of the Coude scanner which uses the spectrograph optics, the configuration of the large echelle and the computer scanner control and data systems.

  14. Design and experimental validation of novel 3D optical scanner with zoom lens unit

    NASA Astrophysics Data System (ADS)

    Huang, Jyun-Cheng; Liu, Chien-Sheng; Chiang, Pei-Ju; Hsu, Wei-Yan; Liu, Jian-Liang; Huang, Bai-Hao; Lin, Shao-Ru

    2017-10-01

    Optical scanners play a key role in many three-dimensional (3D) printing and CAD/CAM applications. However, existing optical scanners are generally designed to provide either a wide scanning area or a high 3D reconstruction accuracy from a lens with a fixed focal length. In the former case, the scanning area is increased at the expense of the reconstruction accuracy, while in the latter case, the reconstruction performance is improved at the expense of a more limited scanning range. In other words, existing optical scanners compromise between the scanning area and the reconstruction accuracy. Accordingly, the present study proposes a new scanning system including a zoom-lens unit, which combines both a wide scanning area and a high 3D reconstruction accuracy. In the proposed approach, the object is scanned initially under a suitable low-magnification setting for the object size (setting 1), resulting in a wide scanning area but a poor reconstruction resolution in complicated regions of the object. The complicated regions of the object are then rescanned under a high-magnification setting (setting 2) in order to improve the accuracy of the original reconstruction results. Finally, the models reconstructed after each scanning pass are combined to obtain the final reconstructed 3D shape of the object. The feasibility of the proposed method is demonstrated experimentally using a laboratory-built prototype. It is shown that the scanner has a high reconstruction accuracy over a large scanning area. In other words, the proposed optical scanner has significant potential for 3D engineering applications.

  15. A SIMPLE RADIO-CHROMATOGRAM SCANNER

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    McWeeny, D.J.; Burton, H.S.

    1962-07-01

    A sturdy, simple, and reliable radiochromatogram scanner is described. It is constructed from a Panax Universal Castle, a Panax 5054 rate meter, and a recording milliamometer. The castle houses 2 thin endwindows, G--M tubes type GE- EHM-2 mounted one above the other, windows 1/4 in. apart. The 1-in. chromatogram passes continuously thru a selection of slits permitting a choice of views by the G-M tubes. The background count is 10.5 counts per minute and the detection limit for S/sup 35/ as a 3 mm spot on Whatman no. 1 paper is less than 0.2 nc. (T.R.H.)

  16. Growth kinetics of gamma-prime precipitates in a directionally solidified eutectic, gamma/gamma-prime-delta

    NASA Technical Reports Server (NTRS)

    Tewari, S. N.

    1976-01-01

    A directionally solidified eutectic alloy (DSEA), of those viewed as potential candidates for the next generation of aircraft gas turbine blade materials, is studied for the gamma-prime growth kinetics, in the system Ni-Nb-Cr-Al, specifically: Ni-20 w/o Nb-6 w/o Cr-2.5 w/o Al gamma/gamma-prime-delta DSEA. Heat treatment, polishing and etching, and preparation for electron micrography are described, and the size distribution of gamma-prime phase following various anneals is plotted, along with gamma-prime growth kinetics in this specific DSEA, and the cube of gamma-prime particle size vs anneal time. Activation energies and coarsening kinetics are studied.

  17. A character string scanner

    NASA Technical Reports Server (NTRS)

    Enison, R. L.

    1971-01-01

    A computer program called Character String Scanner (CSS), is presented. It is designed to search a data set for any specified group of characters and then to flag this group. The output of the CSS program is a listing of the data set being searched with the specified group of characters being flagged by asterisks. Therefore, one may readily identify specific keywords, groups of keywords or specified lines of code internal to a computer program, in a program output, or in any other specific data set. Possible applications of this program include the automatic scan of an output data set for pertinent keyword data, the editing of a program to change the appearance of a certain word or group of words, and the conversion of a set of code to a different set of code.

  18. Combined PET/MRI scanner

    DOEpatents

    Schlyer, David; Woody, Craig L.; Rooney, William; Vaska, Paul; Stoll, Sean; Pratte, Jean-Francois; O'Connor, Paul

    2007-10-23

    A combined PET/MRI scanner generally includes a magnet for producing a magnetic field suitable for magnetic resonance imaging, a radiofrequency (RF) coil disposed within the magnetic field produced by the magnet and a ring tomograph disposed within the magnetic field produced by the magnet. The ring tomograph includes a scintillator layer for outputting at least one photon in response to an annihilation event, a detection array coupled to the scintillator layer for detecting the at least one photon outputted by the scintillator layer and for outputting a detection signal in response to the detected photon and a front-end electronic array coupled to the detection array for receiving the detection signal, wherein the front-end array has a preamplifier and a shaper network for conditioning the detection signal.

  19. The neutron-gamma Feynman variance to mean approach: Gamma detection and total neutron-gamma detection (theory and practice)

    NASA Astrophysics Data System (ADS)

    Chernikova, Dina; Axell, Kåre; Avdic, Senada; Pázsit, Imre; Nordlund, Anders; Allard, Stefan

    2015-05-01

    Two versions of the neutron-gamma variance to mean (Feynman-alpha method or Feynman-Y function) formula for either gamma detection only or total neutron-gamma detection, respectively, are derived and compared in this paper. The new formulas have particular importance for detectors of either gamma photons or detectors sensitive to both neutron and gamma radiation. If applied to a plastic or liquid scintillation detector, the total neutron-gamma detection Feynman-Y expression corresponds to a situation where no discrimination is made between neutrons and gamma particles. The gamma variance to mean formulas are useful when a detector of only gamma radiation is used or when working with a combined neutron-gamma detector at high count rates. The theoretical derivation is based on the Chapman-Kolmogorov equation with the inclusion of general reactions and corresponding intensities for neutrons and gammas, but with the inclusion of prompt reactions only. A one energy group approximation is considered. The comparison of the two different theories is made by using reaction intensities obtained in MCNPX simulations with a simplified geometry for two scintillation detectors and a 252Cf-source. In addition, the variance to mean ratios, neutron, gamma and total neutron-gamma are evaluated experimentally for a weak 252Cf neutron-gamma source, a 137Cs random gamma source and a 22Na correlated gamma source. Due to the focus being on the possibility of using neutron-gamma variance to mean theories for both reactor and safeguards applications, we limited the present study to the general analytical expressions for Feynman-alpha formulas.

  20. Radiofrequency artefacts in echoplanar imaging induced by two 1.5 T MR scanners in close proximity.

    PubMed

    Li, X; Cui, J; Christopasak, S P; Kumar, A; Peng, Z-G

    2014-06-01

    The purpose of this study was to assess radio frequency (RF) artefacts in echoplanar imaging (EPI) induced by two 1.5 T MR scanners in close proximity and to find an effective method to correct them. Based on the intact shielding of rooms, experiments were performed by two MR scanners with similar centre frequencies. Phantom A (PA) was scanned in one scanner by EPI at different bandwidths (BWs). Simultaneously, phantom B was scanned in a fixed sequence for scanning with the other scanner. RF artefact gaps of PA, scanning time and the image signal-noise ratio (SNR) were measured and recorded. Statistical analysis was performed with the repeated-measures analysis of variance test. Based on findings obtained from PA, three healthy volunteers were studied at a conventional BW and a lower BW to observe the artefact variance. EPI RF artefacts were symmetrically situated in both sides of the image following the phase-encoding direction. The gap size of the artefact became larger and the SNR was significantly improved with a narrower BW. RF artefacts with a lower BW in volunteers presented the same characteristic as PA. For EPI RF artefacts produced by two 1.5 T MR scanners with approximately similar centre frequencies, we can reduce BWs in a suitable range to minimize the effect on MRI. MR scanners with the same field strength installed in the same vicinity might produce RF artefacts in the sequence at larger BWs. Reducing BWs properly is effective to control the position of artefacts and improve the image quality.

  1. A fully automated and scalable timing probe-based method for time alignment of the LabPET II scanners

    NASA Astrophysics Data System (ADS)

    Samson, Arnaud; Thibaudeau, Christian; Bouchard, Jonathan; Gaudin, Émilie; Paulin, Caroline; Lecomte, Roger; Fontaine, Réjean

    2018-05-01

    A fully automated time alignment method based on a positron timing probe was developed to correct the channel-to-channel coincidence time dispersion of the LabPET II avalanche photodiode-based positron emission tomography (PET) scanners. The timing probe was designed to directly detect positrons and generate an absolute time reference. The probe-to-channel coincidences are recorded and processed using firmware embedded in the scanner hardware to compute the time differences between detector channels. The time corrections are then applied in real-time to each event in every channel during PET data acquisition to align all coincidence time spectra, thus enhancing the scanner time resolution. When applied to the mouse version of the LabPET II scanner, the calibration of 6 144 channels was performed in less than 15 min and showed a 47% improvement on the overall time resolution of the scanner, decreasing from 7 ns to 3.7 ns full width at half maximum (FWHM).

  2. Investigation of a Dedicated, High Resolution PET/CT Scanner for Staging and Treatment Planning of Head and Neck Cancer

    NASA Astrophysics Data System (ADS)

    Raylman, Raymond R.; Stolin, Alexander V.; Sompalli, Prashanth; Randall, Nicole Bunda; Martone, Peter F.; Clinthorne, Neal H.

    2015-10-01

    Staging of head and neck cancer (HNC) is often hindered by the limited resolution of standard whole body PET scanners, which can make it challenging to detect small areas of metastatic disease in regional lymph nodes and accurately delineate tumor boundaries. In this investigation, the performance of a proposed high resolution PET/CT scanner designed specifically for imaging of the head and neck region was explored. The goal is to create a dedicated PET/CT system that will enhance the staging and treatment of HNCs. Its performance was assessed by simulating the scanning of a three-dimensional Rose-Burger contrast phantom. To extend the results from the simulation studies, an existing scanner with a similar geometry to the dedicated system and a whole body, clinical PET/CT scanner were used to image a Rose-Burger contrast phantom and a phantom simulating the neck of an HNC patient (out-of-field-of-view sources of activity were not included). Images of the contrast detail phantom acquired with Breast-PET/CT and simulated head and neck scanner both produced object contrasts larger than the images created by the clinical scanner. Images of a neck phantom acquired with the Breast-PET/CT scanner permitted the identification of all of the simulated metastases, while it was not possible to identify any of the simulated metastasis with the clinical scanner. The initial results from this study demonstrate the potential benefits of high-resolution PET systems for improving the diagnosis and treatment of HNC.

  3. Transportation or CT scanners: a theory and method of health resources allocation.

    PubMed Central

    Greenwald, H P; Woodward, J M; Berg, D H

    1979-01-01

    Cost containment and access to appropriate care are the two most frequently discussed issues in contemporary health policy. Conceiving of the health services available in specific regions as "packages" of diverse items, the authors of this article consider the economic trade-offs among the various resources needed for appropriate care. In the discussion that follows, we examine the trade-offs between two divergent offering of the health care system: high technology medicine and support services. Specifically, we examine several strategies designed to achieve an optimal mix of investments in CT scanners and transportation resources in the South Chicago region. Using linear programming as a method for examining these options, the authors found that 1) the proper location of CT scanners is as important for cost containment as optimal number, and 2) excess capacity in the utilization of a single resource--CT scanners--need not imply inefficiency in the overall delivery of the service. These findings help demonstrate the importance of viewing health care as a package of interrelated services, both for achieving cost containment and for providing access to appropriate care. PMID:391772

  4. Evaluation of the accuracy of extraoral laboratory scanners with a single-tooth abutment model: A 3D analysis.

    PubMed

    Mandelli, Federico; Gherlone, Enrico; Gastaldi, Giorgio; Ferrari, Marco

    2017-10-01

    The aim of this study was to compare the accuracy of different laboratory scanners using a calibrated coordinate measuring machine as reference. A sand blasted titanium reference model (RM) was scanned with an industrial 3D scanner in order to obtain a reference digital model (dRM) that was saved in the standard tessellation format (.stl). RM was scanned ten times with each one of the tested scanners (GC Europe Aadva, Zfx Evolution, 3Shape D640, 3Shape D700, NobilMetal Sinergia, EGS DScan3, Open Technologies Concept Scan Top) and all the scans were exported in .stl format for the comparison. All files were imported in a dedicated software (Geomagic Qualify 2013). Accuracy was evaluated calculating trueness and precision. Trueness values (μm [95% confidence interval]) were: Aadva 7,7 [6,8-8,5]; Zfx Evolution 9,2 [8,6-9,8]; D640 18,1 [12,2-24,0]; D700 12,8 [12,4-13,3]; Sinergia 31,1 [26,3-35,9]; DScan3 15,6 [11,5-19,7]; Concept Scan Top 28,6 [25,6-31,6]. Differences between scanners were statistically significant (p<.0005). Precision values (μm [95% CI]) were: Aadva 4,0 [3,8-4,2]; Zfx Evolution 5,1 [4,4-5,9]; D640 12,7 [12,4-13,1]; D700 11,0 [10,7-11,3]; Sinergia 16,3 [15,0-17,5]; DScan3 9,5 [8,3-10,6]; Concept Scan Top 19,5 [19,1-19,8]. Differences between scanners were statistically significant (p<.0005). The use a standardized scanning procedure fabricating a titanium reference model is useful to compare trueness and precision of different laboratory scanners; two laboratory scanners (Aadva, Zfx Evolution) were significantly better that other tested scanners. Copyright © 2016 Japan Prosthodontic Society. Published by Elsevier Ltd. All rights reserved.

  5. Simulation study of a D-shape PET scanner for improved sensitivity and reduced cost in whole-body imaging

    NASA Astrophysics Data System (ADS)

    Ahmed, Abdella M.; Tashima, Hideaki; Yamaya, Taiga

    2017-05-01

    Much research effort is being made to increase the sensitivity and improve the imaging performance of positron emission tomography (PET) scanners. Conventionally, sensitivity can be increased by increasing the number of detector rings in the axial direction (but at high cost) or reducing the diameter of the scanner (with the disadvantages of reducing the space for patients and degrading the spatial resolution due to the parallax error). In this study, we proposed a PET scanner with a truncated ring and an array of detectors that can be arranged in a straight line below the bed. We called this system ‘D-PET’ as it resembles the letter ‘D’ when it is rotated by 90° in the counterclockwise direction. The basic design idea was to cut the unused space under the patient’s bed; this area is usually not in use in clinical diagnosis. We conducted Monte Carlo simulations of the D-PET scanner and compared its performance with a cylindrical PET scanner. The scanners were constructed from 4-layer depth-of-interaction detectors which consisted of a 16  ×  16  ×  4 LYSO crystal array with dimensions of 2.85  ×  2.85  ×  5 mm3. The results showed that the D-PET had an increase in sensitivity and peak-NECR of 30% and 18%, respectively. The D-PET had low noise in the reconstructed images throughout the field-of-view compared to the cylindrical PET. These were achieved while keeping sufficient space for the patient, and also without a severe effect on the spatial resolution. Furthermore, the number of detectors (and hence the cost) of the D-PET scanner was reduced by 12% compared to the cylindrical PET scanner.

  6. SU-G-206-07: Dual-Energy CT Inter- and Intra-Scanner Variability Within One Make and Model

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jacobsen, M; Wood, C; Cody, D

    Purpose: It can be logistically quite difficult to scan patients on the same exact device for their repeat visits in multi-scanner facilities. The reliability between dual-energy CT scanners’ quantitative results is not known, nor is their individual repeatability. Therefore, we evaluated inter- and intra-scanner variability with respect to several key clinical quantitative metrics specific to dual-energy CT. Methods: Eleven identical GE HD-750 CT scanners in a busy clinical environment were used to perform dual-energy (DE) CT scans of a large elliptical quality control (QC) phantom (Gammex, Inc.; Middleton, WI) which contains many standard insert materials. The DE-QC phantom was scannedmore » bi-weekly during 2016; 3 to 4 scans were obtained from each scanner (a total of 35 data sets were used for analysis). Iodine accuracy for the 2mg/ml, 5mg/ml and 15mg/ml rods (from the Iodine(Water) image set) and soft tissue HU (40 HU based on NIST constants) from the 50keV data set were used to assess inter- and intra-scanner variability (standard deviation). Results: Intra-scanner variability average for 2mg/ml Iodine was 0.10 mg/ml (range 0.05–0.15 mg/ml), for 5mg/ml Iodine was 0.12 mg/ml (range 0.07–0.16 mg/ml), for 15 mg/ml Iodine was 0.25 mg/ml (range 0.16–0.37 mg/ml), and for the soft tissue inserts was 2.1 HU (range 1.8–2.6 HU). Inter-scanner variability average for 2mg/ml Iodine was 0.16 mg/ml (range 0.11–0.19 mg/ml), for 5mg/ml Iodine was 0.18 mg/ml (range 0.11–0.22 mg/ml), for 15 mg/ml Iodine was 0.35 mg/ml (range 0.23–0.44 mg/ml), and for the soft tissue inserts was 3.8 HU (range 3.1–4.5 HU). Conclusion: Intra-scanner variability for the iodine and soft tissue inserts averaged 3.1% and 5.2% respectively, and inter-scanner variability for these regions analyzed averaged 5.0% and 9.5%, respectively. Future work will include determination of smallest measurable change and acceptable limits for DE-CT scanner variability over longer time intervals

  7. Scanners, optical character readers, Cyrillic alphabet and Russian translations

    NASA Technical Reports Server (NTRS)

    Johnson, Gordon G.

    1995-01-01

    The writing of code for capture, in a uniform format, of bit maps of words and characters from scanner PICT files is presented. The coding of Dynamic Pattern Matched for the identification of the characters, words and sentences in preparation for translation is discussed.

  8. Comparison of statistical sampling methods with ScannerBit, the GAMBIT scanning module

    NASA Astrophysics Data System (ADS)

    Martinez, Gregory D.; McKay, James; Farmer, Ben; Scott, Pat; Roebber, Elinore; Putze, Antje; Conrad, Jan

    2017-11-01

    We introduce ScannerBit, the statistics and sampling module of the public, open-source global fitting framework GAMBIT. ScannerBit provides a standardised interface to different sampling algorithms, enabling the use and comparison of multiple computational methods for inferring profile likelihoods, Bayesian posteriors, and other statistical quantities. The current version offers random, grid, raster, nested sampling, differential evolution, Markov Chain Monte Carlo (MCMC) and ensemble Monte Carlo samplers. We also announce the release of a new standalone differential evolution sampler, Diver, and describe its design, usage and interface to ScannerBit. We subject Diver and three other samplers (the nested sampler MultiNest, the MCMC GreAT, and the native ScannerBit implementation of the ensemble Monte Carlo algorithm T-Walk) to a battery of statistical tests. For this we use a realistic physical likelihood function, based on the scalar singlet model of dark matter. We examine the performance of each sampler as a function of its adjustable settings, and the dimensionality of the sampling problem. We evaluate performance on four metrics: optimality of the best fit found, completeness in exploring the best-fit region, number of likelihood evaluations, and total runtime. For Bayesian posterior estimation at high resolution, T-Walk provides the most accurate and timely mapping of the full parameter space. For profile likelihood analysis in less than about ten dimensions, we find that Diver and MultiNest score similarly in terms of best fit and speed, outperforming GreAT and T-Walk; in ten or more dimensions, Diver substantially outperforms the other three samplers on all metrics.

  9. Growth of 4-(dimethylamino) benzaldehyde doped triglycine sulphate single crystals and its characterization

    NASA Astrophysics Data System (ADS)

    Rai, Chitharanjan; Sreenivas, K.; Dharmaprakash, S. M.

    2009-11-01

    Single crystals of triglycine sulphate (TGS) doped with 1 mol% of 4-(dimethylamino) benzaldehyde (DB) have been grown from aqueous solution at ambient temperature by slow evaporation technique. The effect of dopant on the crystal growth and dielectric, pyroelectric and mechanical properties of TGS crystal have been investigated. X-ray powder diffraction pattern for pure and doped TGS was collected to determine the lattice parameters. FTIR spectra were employed to confirm the presence of 4-(dimethylamino) benzaldehyde in TGS crystal, qualitatively. The dielectric permittivity has been studied as a function of temperature by cooling the sample at a rate of 1 °C/min. An increase in the Curie temperature Tc=51 °C (for pure TGS, Tc=48.5 °C) and decrease in maximum permittivity has been observed for doped TGS when compared to pure TGS crystal. Pyroelectric studies on doped TGS were carried out to determine pyroelectric coefficient. The Vickers's hardness of the doped TGS crystals along (0 1 0) face is higher than that of pure TGS crystal for the same face. Domain patterns on b-cut plates were observed using scanning electron microscope. The low dielectric constant, higher pyroelectric coefficient and higher value of hardness suggest that doped TGS crystals could be a potential material for IR detectors.

  10. Maximum likelihood positioning algorithm for high-resolution PET scanners

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gross-Weege, Nicolas, E-mail: nicolas.gross-weege@pmi.rwth-aachen.de, E-mail: schulz@pmi.rwth-aachen.de; Schug, David; Hallen, Patrick

    2016-06-15

    Purpose: In high-resolution positron emission tomography (PET), lightsharing elements are incorporated into typical detector stacks to read out scintillator arrays in which one scintillator element (crystal) is smaller than the size of the readout channel. In order to identify the hit crystal by means of the measured light distribution, a positioning algorithm is required. One commonly applied positioning algorithm uses the center of gravity (COG) of the measured light distribution. The COG algorithm is limited in spatial resolution by noise and intercrystal Compton scatter. The purpose of this work is to develop a positioning algorithm which overcomes this limitation. Methods:more » The authors present a maximum likelihood (ML) algorithm which compares a set of expected light distributions given by probability density functions (PDFs) with the measured light distribution. Instead of modeling the PDFs by using an analytical model, the PDFs of the proposed ML algorithm are generated assuming a single-gamma-interaction model from measured data. The algorithm was evaluated with a hot-rod phantom measurement acquired with the preclinical HYPERION II {sup D} PET scanner. In order to assess the performance with respect to sensitivity, energy resolution, and image quality, the ML algorithm was compared to a COG algorithm which calculates the COG from a restricted set of channels. The authors studied the energy resolution of the ML and the COG algorithm regarding incomplete light distributions (missing channel information caused by detector dead time). Furthermore, the authors investigated the effects of using a filter based on the likelihood values on sensitivity, energy resolution, and image quality. Results: A sensitivity gain of up to 19% was demonstrated in comparison to the COG algorithm for the selected operation parameters. Energy resolution and image quality were on a similar level for both algorithms. Additionally, the authors demonstrated that the performance

  11. 21 CFR 882.1925 - Ultrasonic scanner calibration test block.

    Code of Federal Regulations, 2011 CFR

    2011-04-01

    ... 21 Food and Drugs 8 2011-04-01 2011-04-01 false Ultrasonic scanner calibration test block. 882.1925 Section 882.1925 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES (CONTINUED) MEDICAL DEVICES NEUROLOGICAL DEVICES Neurological Diagnostic Devices § 882.1925...

  12. Development of Great Lakes algorithms for the Nimbus-G coastal zone color scanner

    NASA Technical Reports Server (NTRS)

    Tanis, F. J.; Lyzenga, D. R.

    1981-01-01

    A series of experiments in the Great Lakes designed to evaluate the application of the Nimbus G satellite Coastal Zone Color Scanner (CZCS) were conducted. Absorption and scattering measurement data were reduced to obtain a preliminary optical model for the Great Lakes. Available optical models were used in turn to calculate subsurface reflectances for expected concentrations of chlorophyll-a pigment and suspended minerals. Multiple nonlinear regression techniques were used to derive CZCS water quality prediction equations from Great Lakes simulation data. An existing atmospheric model was combined with a water model to provide the necessary simulation data for evaluation of the preliminary CZCS algorithms. A CZCS scanner model was developed which accounts for image distorting scanner and satellite motions. This model was used in turn to generate mapping polynomials that define the transformation from the original image to one configured in a polyconic projection. Four computer programs (FORTRAN IV) for image transformation are presented.

  13. Quantitative image reconstruction for total-body PET imaging using the 2-meter long EXPLORER scanner

    NASA Astrophysics Data System (ADS)

    Zhang, Xuezhu; Zhou, Jian; Cherry, Simon R.; Badawi, Ramsey D.; Qi, Jinyi

    2017-03-01

    The EXPLORER project aims to build a 2 meter long total-body PET scanner, which will provide extremely high sensitivity for imaging the entire human body. It will possess a range of capabilities currently unavailable to state-of-the-art clinical PET scanners with a limited axial field-of-view. The huge number of lines-of-response (LORs) of the EXPLORER poses a challenge to the data handling and image reconstruction. The objective of this study is to develop a quantitative image reconstruction method for the EXPLORER and compare its performance with current whole-body scanners. Fully 3D image reconstruction was performed using time-of-flight list-mode data with parallel computation. To recover the resolution loss caused by the parallax error between crystal pairs at a large axial ring difference or transaxial radial offset, we applied an image domain resolution model estimated from point source data. To evaluate the image quality, we conducted computer simulations using the SimSET Monte-Carlo toolkit and XCAT 2.0 anthropomorphic phantom to mimic a 20 min whole-body PET scan with an injection of 25 MBq 18F-FDG. We compare the performance of the EXPLORER with a current clinical scanner that has an axial FOV of 22 cm. The comparison results demonstrated superior image quality from the EXPLORER with a 6.9-fold reduction in noise standard deviation comparing with multi-bed imaging using the clinical scanner.

  14. Quantitative Image Reconstruction for Total-Body PET Imaging Using the 2-meter Long EXPLORER Scanner

    PubMed Central

    Zhang, Xuezhu; Zhou, Jian; Cherry, Simon R.; Badawi, Ramsey D.

    2017-01-01

    The EXPLORER project aims to build a 2-meter long total-body PET scanner, which will provide extremely high sensitivity for imaging the entire human body. It will possess a range of capabilities currently unavailable to state-of-the-art clinical PET scanners with a limited axial field-of-view. The huge number of lines-of-response (LORs) of the EXPLORER poses a challenge to the data handling and image reconstruction. The objective of this study is to develop a quantitative image reconstruction method for the EXPLORER and compare its performance with current whole-body scanners. Fully 3D image reconstruction was performed using time-of-flight list-mode data with parallel computation. To recover the resolution loss caused by the parallax error between crystal pairs at a large axial ring difference or transaxial radial offset, we applied an image domain resolution model estimated from point source data. To evaluate the image quality, we conducted computer simulations using the SimSET Monte-Carlo toolkit and XCAT 2.0 anthropomorphic phantom to mimic a 20-minute whole-body PET scan with an injection of 25 MBq 18F-FDG. We compare the performance of the EXPLORER with a current clinical scanner that has an axial FOV of 22 cm. The comparison results demonstrated superior image quality from the EXPLORER with a 6.9-fold reduction in noise standard deviation comparing with multi-bed imaging using the clinical scanner. PMID:28240215

  15. SU-F-SPS-10: The Dosimetric Comparison of GammaKnife and Cyberknife Treatment Plans for Brain SRS Treatment

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sanli, E; Mabhouti, H; Cebe, M

    Purpose: Brain stereotactic radiosurgery (SRS) involves the use of precisely directed, single session radiation to create a desired radiobiologic response within the brain target with acceptable minimal effects on surrounding structures or tissues. In this study, the dosimetric comparison of GammaKnife perfection and Cyberknife M6 treatment plans were made. Methods: Treatment plannings were done for GammaKnife perfection unit using Gammaplan treatment planning system (TPS) on the CT scan of head and neck randophantom simulating the treatment of sterotactic treatments for one brain metastasis. The dose distribution were calculated using TMR 10 algorithm. The treatment planning for the same target weremore » also done for Cyberknife M6 machine using Multiplan (TPS) with Monte Carlo algorithm. Using the same film batch, the net OD to dose calibration curve was obtained using both machine by delivering 0- 800 cGy. Films were scanned 48 hours after irradiation using an Epson 1000XL flatbed scanner. Dose distribution were measured using EBT3 film dosimeter. The measured and calculated doses were compared. Results: The dose distribution in the target and 2 cm beyond the target edge were calculated on TPSs and measured using EBT3 film. For cyberknife treatment plans, the gamma analysis passing rates between measured and calculated dose distributions were 99.2% and 96.7% for target and peripheral region of target respectively. For gammaknife treatment plans, the gamma analysis passing rates were 98.9% and 93.2% for target and peripheral region of target respectively. Conclusion: The study shows that dosimetrically comparable plans are achievable with Cyberknife and GammaKnife. Although TMR 10 algorithm predicts the target dose.« less

  16. The airborne infrared scanner as a geophysical research tool

    USGS Publications Warehouse

    Friedman, Jules D.

    1970-01-01

    The infrared scanner is proving to be an effective anomaly-mapping tool, albeit one which depicts surface emission directly and heat mass transfer from depths only indirectly and at a threshold level 50 to 100 times the normal conductive heat flow of the earth. Moreover, successive terrain observations are affected by time-dependent variables such as the diurnal and seasonal warming and cooling cycle of a point on the earth's surface. In planning precise air borne surveys of radiant flux from the earth's surface, account must be taken of background noise created by variations in micrometeorological factors and emissivity of surface materials, as well as the diurnal temperature cycle. The effect of the diurnal cycle may be minimized by planning predawn aerial surveys. In fact, the diurnal change is very small for most water bodies and the emissivity factor for water (e) =~ 1 so a minimum background noise is characteristic of scanner records of calm water surfaces.

  17. Operation of the Preclinical Head Scanner for Proton CT.

    PubMed

    Sadrozinski, H F-W; Geoghegan, T; Harvey, E; Johnson, R P; Plautz, T E; Zatserklyaniy, A; Bashkirov, V; Hurley, R F; Piersimoni, P; Schulte, R W; Karbasi, P; Schubert, K E; Schultze, B; Giacometti, V

    2016-09-21

    We report on the operation and performance tests of a preclinical head scanner developed for proton computed tomography (pCT). After extensive preclinical testing, pCT is intended to be employed in support of proton therapy treatment planning and pre-treatment verification in patients undergoing particle-beam therapy. In order to assess the performance of the scanner, we have performed CT scans with 200 MeV protons from both the synchrotron of the Loma Linda University Medical Center (LLUMC) and the cyclotron of the Northwestern Medicine Chicago Proton Center (NMCPC). The very high sustained rate of data acquisition, exceeding one million protons per second, allowed a full 360° scan to be completed in less than 7 minutes. The reconstruction of various phantoms verified accurate reconstruction of the proton relative stopping power (RSP) and the spatial resolution in a variety of materials. The dose for an image with better than 1% uncertainty in the RSP is found to be close to 1 mGy.

  18. Towards the Experimental Assessment of the DQE in SPECT Scanners

    NASA Astrophysics Data System (ADS)

    Fountos, G. P.; Michail, C. M.

    2017-11-01

    The purpose of this work was to introduce the Detective Quantum Efficiency (DQE) in single photon emission computed tomography (SPECT) systems using a flood source. A Tc-99m-based flood source (Eγ = 140 keV) consisting of a radiopharmaceutical solution of dithiothreitol (DTT, 10-3 M)/Tc-99m(III)-DMSA, 40 mCi/40 ml bound to the grains of an Agfa MammoRay HDR Medical X-ray film) was prepared in laboratory. The source was placed between two PMMA blocks and images were obtained by using the brain tomographic acquisition protocol (DatScan-brain). The Modulation Transfer Function (MTF) was evaluated using the Iterative 2D algorithm. All imaging experiments were performed in a Siemens e-Cam gamma camera. The Normalized Noise Power spectra (NNPS) were obtained from the sagittal views of the source. The higher MTF values were obtained for the Flash Iterative 2D with 24 iterations and 20 subsets. The noise levels of the SPECT reconstructed images, in terms of the NNPS, were found to increase as the number of iterations increase. The behavior of the DQE was influenced by both MTF and NNPS. As the number of iterations was increased, higher MTF values were obtained, however with a parallel, increase of magnitude in image noise, as depicted from the NNPS results. DQE values, which were influenced by both MTF and NNPS, were found higher when the number of iterations results in resolution saturation. The method presented here is novel and easy to implement, requiring materials commonly found in clinical practice and can be useful in the quality control of SPECT scanners.

  19. Very high-energy gamma rays from gamma-ray bursts.

    PubMed

    Chadwick, Paula M

    2007-05-15

    Very high-energy (VHE) gamma-ray astronomy has undergone a transformation in the last few years, with telescopes of unprecedented sensitivity having greatly expanded the source catalogue. Such progress makes the detection of a gamma-ray burst at the highest energies much more likely than previously. This paper describes the facilities currently operating and their chances for detecting gamma-ray bursts, and reviews predictions for VHE gamma-ray emission from gamma-ray bursts. Results to date are summarized.

  20. Computer-aided analysis of Skylab multispectral scanner data in mountainous terrain for land use, forestry, water resource, and geologic applications

    NASA Technical Reports Server (NTRS)

    Hoffer, R. M. (Principal Investigator)

    1975-01-01

    The author has identified the following significant results. One of the most significant results of this Skylab research involved the geometric correction and overlay of the Skylab multispectral scanner data with the LANDSAT multispectral scanner data, and also with a set of topographic data, including elevation, slope, and aspect. The Skylab S192 multispectral scanner data had distinct differences in noise level of the data in the various wavelength bands. Results of the temporal evaluation of the SL-2 and SL-3 photography were found to be particularly important for proper interpretation of the computer-aided analysis of the SL-2 and SL-3 multispectral scanner data. There was a quality problem involving the ringing effect introduced by digital filtering. The modified clustering technique was found valuable when working with multispectral scanner data involving many wavelength bands and covering large geographic areas. Analysis of the SL-2 scanner data involved classification of major cover types and also forest cover types. Comparison of the results obtained wth Skylab MSS data and LANDSAT MSS data indicated that the improved spectral resolution of the Skylab scanner system enabled a higher classification accuracy to be obtained for forest cover types, although the classification performance for major cover types was not significantly different.

  1. Aerial thermal scanner data for monitoring rooftop temperatures

    NASA Technical Reports Server (NTRS)

    Bjorkland, J.; Schmer, F. A.; Isakson, R. E.

    1975-01-01

    Four Nebraska communities and one South Dakota community were surveyed. Thermal scanner data were converted to a film format and the resultant imagery was successfully employed to monitor rooftop temperatures. The program places emphasis on heat losses resulting from inadequate home insulation, offers CENGAS customers the opportunity to observe a thermogram of their rooftop, and assists homeowners in evaluating insulation needs.

  2. A new high-resolution PET scanner dedicated to brain research

    NASA Astrophysics Data System (ADS)

    Watanabe, M.; Shimizu, K.; Omura, T.; Takahashi, M.; Kosugi, T.; Yoshikawa, E.; Sato, N.; Okada, H.; Yamashita, T.

    2002-06-01

    A high-resolution positron emission tomography (PET) scanner dedicated to brain studies has been developed and its physical performance was evaluated. The block detector consists of a new compact position-sensitive photomultiplier tube (PS-PMT, Hamamatsu R7600-C12) and an 8/spl times/4 bismuth germanate (BGO) array. The size of each crystal is 2.8 mm/spl times/6.55 mm/spl times/30 mm. The system has a total of 11 520 crystals arranged in 24 detector rings 508 mm in diameter (480 per ring). The field of view (FOV) is 330 mm in diameter/spl times/163 mm, which is sufficient to measure the entire human brain. The diameter of the scanner's opening is equal to the transaxial FOV (330 mm). The system can be operated in three-dimensional (3-D) data acquisition mode, when the slice septa are retracted. The mechanical motions of the gantry and bed are specially designed to measure the patient in various postures; lying, sitting, and even standing postures. The spatial resolution of 2.9 mm in both the transaxial and axial directions is obtained at the center of the FOV. The total system sensitivity is 6.4 kc/s/kBq/ml in two-dimensional (2-D) mode, with a 20-cm-diameter cylindrical phantom. The imaging capabilities of the scanner were studied with the Hoffman brain phantom and with a normal volunteer.

  3. A Prototype High-Resolution Small-Animal PET Scanner Dedicated to Mouse Brain Imaging.

    PubMed

    Yang, Yongfeng; Bec, Julien; Zhou, Jian; Zhang, Mengxi; Judenhofer, Martin S; Bai, Xiaowei; Di, Kun; Wu, Yibao; Rodriguez, Mercedes; Dokhale, Purushottam; Shah, Kanai S; Farrell, Richard; Qi, Jinyi; Cherry, Simon R

    2016-07-01

    We developed a prototype small-animal PET scanner based on depth-encoding detectors using dual-ended readout of small scintillator elements to produce high and uniform spatial resolution suitable for imaging the mouse brain. The scanner consists of 16 tapered dual-ended-readout detectors arranged in a 61-mm-diameter ring. The axial field of view (FOV) is 7 mm, and the transaxial FOV is 30 mm. The scintillator arrays consist of 14 × 14 lutetium oxyorthosilicate elements, with a crystal size of 0.43 × 0.43 mm at the front end and 0.80 × 0.43 mm at the back end, and the crystal elements are 13 mm long. The arrays are read out by 8 × 8 mm and 13 × 8 mm position-sensitive avalanche photodiodes (PSAPDs) placed at opposite ends of the array. Standard nuclear-instrumentation-module electronics and a custom-designed multiplexer are used for signal processing. The detector performance was measured, and all but the crystals at the very edge could be clearly resolved. The average intrinsic spatial resolution in the axial direction was 0.61 mm. A depth-of-interaction resolution of 1.7 mm was achieved. The sensitivity of the scanner at the center of the FOV was 1.02% for a lower energy threshold of 150 keV and 0.68% for a lower energy threshold of 250 keV. The spatial resolution within a FOV that can accommodate the entire mouse brain was approximately 0.6 mm using a 3-dimensional maximum-likelihood expectation maximization reconstruction. Images of a hot-rod microphantom showed that rods with a diameter of as low as 0.5 mm could be resolved. The first in vivo studies were performed using (18)F-fluoride and confirmed that a 0.6-mm resolution can be achieved in the mouse head in vivo. Brain imaging studies with (18)F-FDG were also performed. We developed a prototype PET scanner that can achieve a spatial resolution approaching the physical limits of a small-bore PET scanner set by positron range and detector interaction. We plan to add more detector rings to extend the axial

  4. A high resolution prototype small-animal PET scanner dedicated to mouse brain imaging

    PubMed Central

    Yang, Yongfeng; Bec, Julien; Zhou, Jian; Zhang, Mengxi; Judenhofer, Martin S; Bai, Xiaowei; Di, Kun; Wu, Yibao; Rodriguez, Mercedes; Dokhale, Purushottam; Shah, Kanai S.; Farrell, Richard; Qi, Jinyi; Cherry, Simon R.

    2017-01-01

    A prototype small-animal PET scanner was developed based on depth-encoding detectors using dual-ended readout of very small scintillator elements to produce high and uniform spatial resolution suitable for imaging the mouse brain. Methods The scanner consists of 16 tapered dual-ended readout detectors arranged in a ring of diameter 61 mm. The axial field of view is 7 mm and the transaxial field of view is 30 mm. The scintillator arrays consist of 14×14 lutetium oxyorthosilicate (LSO) elements, with a crystal size of 0.43×0.43 mm2 at the front end and 0.80×0.43 mm2 at the back end, and the crystal elements are 13 mm long. The arrays are read out by 8×8 mm2 and a 13×8 mm2 position-sensitive avalanche photodiodes (PSAPDs) placed at opposite ends of the array. Standard nuclear instrumentation module (NIM) electronics and a custom designed multiplexer are used for signal processing. Results The detector performance was measured and all except the very edge crystals could be clearly resolved. The average detector intrinsic spatial resolution in the axial direction was 0.61 mm. A depth of interaction resolution of 1.7 mm was achieved. The sensitivity of the scanner at center of the field of view was 1.02% for a lower energy threshold of 150 keV and 0.68% for a lower energy threshold of 250 keV. The spatial resolution within a field of view that can accommodate the entire mouse brain was ~0.6 mm using a 3D Maximum Likelihood-Expectation Maximization (ML-EM) reconstruction algorithm. Images of a micro hot-rod phantom showed that rods with diameter down to 0.5 mm could be resolved. First in vivo studies were obtained using 18F-fluoride and confirmed that 0.6 mm resolution can be achieved in the mouse head in vivo. Brain imaging studies with 18F-fluorodeoxyglucose were also acquired. Conclusion A prototype PET scanner achieving a spatial resolution approaching the physical limits for a small-bore PET scanner set by positron range and acolinearity was developed. Future

  5. Do we really need to thank the Beatles for the financing of the development of the computed tomography scanner?

    PubMed

    Maizlin, Zeev V; Vos, Patrick M

    2012-01-01

    It is commonly believed that the revenues from the selling of the Beatles' records by Electric and Musical Industries (EMI) allowed the company to develop the computed tomography (CT) scanner. Some went to define this as the Beatles' gift to medicine. However, significant controversies and discrepancies arise from analysis of this statement, making its correctness doubtful. The details of financing required for the CT development and the part of EMI in financial input have never been publicly announced. This work analyzes the financial contributions to the CT development and investigates if the revenues received from the sales of the Beatles' records were used for the creation of the CT scanner. Timeline of the development of the EMI CT scanner and the financial inputs of EMI and British Department of Health and Social Security (DHSS) were assessed. Without salary expenses to Godfrey Hounsfield and his team, the development of the CT scanner cost EMI approximately £100,000. The British DHSS's expenses were £606,000. Hence, the financial contribution of DHSS into the development of the CT scanner was significantly bigger than that of EMI. Accordingly, British tax payers and officials of British DHSS are to be thanked for the CT scanner. The Beatles' input into the world's culture is valuable and does not require decoration by nonexistent connection to the development of CT. A positive aspect to this misconception is that it keeps in public memory the name of the company that developed the CT scanner.

  6. A machine learning method for fast and accurate characterization of depth-of-interaction gamma cameras

    NASA Astrophysics Data System (ADS)

    Pedemonte, Stefano; Pierce, Larry; Van Leemput, Koen

    2017-11-01

    Measuring the depth-of-interaction (DOI) of gamma photons enables increasing the resolution of emission imaging systems. Several design variants of DOI-sensitive detectors have been recently introduced to improve the performance of scanners for positron emission tomography (PET). However, the accurate characterization of the response of DOI detectors, necessary to accurately measure the DOI, remains an unsolved problem. Numerical simulations are, at the state of the art, imprecise, while measuring directly the characteristics of DOI detectors experimentally is hindered by the impossibility to impose the depth-of-interaction in an experimental set-up. In this article we introduce a machine learning approach for extracting accurate forward models of gamma imaging devices from simple pencil-beam measurements, using a nonlinear dimensionality reduction technique in combination with a finite mixture model. The method is purely data-driven, not requiring simulations, and is applicable to a wide range of detector types. The proposed method was evaluated both in a simulation study and with data acquired using a monolithic gamma camera designed for PET (the cMiCE detector), demonstrating the accurate recovery of the DOI characteristics. The combination of the proposed calibration technique with maximum- a posteriori estimation of the coordinates of interaction provided a depth resolution of  ≈1.14 mm for the simulated PET detector and  ≈1.74 mm for the cMiCE detector. The software and experimental data are made available at http://occiput.mgh.harvard.edu/depthembedding/.

  7. A large-scan-angle piezoelectric MEMS optical scanner actuated by a Nb-doped PZT thin film

    NASA Astrophysics Data System (ADS)

    Naono, Takayuki; Fujii, Takamichi; Esashi, Masayoshi; Tanaka, Shuji

    2014-01-01

    Resonant 1D microelectromechanical systems (MEMS) optical scanners actuated by piezoelectric unimorph actuators with a Nb-doped lead zirconate titanate (PNZT) thin film were developed for endoscopic optical coherence tomography (OCT) application. The MEMS scanners were designed as the resonance frequency was less than 125 Hz to obtain enough pixels per frame in OCT images. The device size was within 3.4 mm × 2.5 mm, which is compact enough to be installed in a side-imaging probe with 4 mm inner diameter. The fabrication process started with a silicon-on-insulator wafer, followed by PNZT deposition by the Rf sputtering and Si bulk micromachining process. The fabricated MEMS scanners showed maximum optical scan angles of 146° at 90 Hz, 148° at 124 Hz, 162° at 180 Hz, and 152° at 394 Hz at resonance in atmospheric pressure. Such wide scan angles were obtained by a drive voltage below 1.3 Vpp, ensuring intrinsic safety in in vivo uses. The scanner with the unpoled PNZT film showed three times as large a scan angle as that with a poled PZT films. A swept-source OCT system was constructed using the fabricated MEMS scanner, and cross-sectional images of a fingertip with image widths of 4.6 and 2.3 mm were acquired. In addition, a PNZT-based angle sensor was studied for feedback operation.

  8. Varifocal MOEMS fiber scanner for confocal endomicroscopy.

    PubMed

    Meinert, Tobias; Weber, Niklas; Zappe, Hans; Seifert, Andreas

    2014-12-15

    Based on an advanced silicon optical bench technology with integrated MOEMS (Micro-Opto-Electro-Mechanical-System) components, a piezo-driven fiber scanner for confocal microscopy has been developed. This highly-miniaturized technology allows integration into an endoscope with a total outer probe diameter of 2.5 mm. The system features a hydraulically-driven varifocal lens providing axial confocal scanning without any translational movement of components. The demonstrated resolutions are 1.7 μm laterally and 19 μm axially.

  9. Developing patient-specific dose protocols for a CT scanner and exam using diagnostic reference levels.

    PubMed

    Strauss, Keith J

    2014-10-01

    The management of image quality and radiation dose during pediatric CT scanning is dependent on how well one manages the radiographic techniques as a function of the type of exam, type of CT scanner, and patient size. The CT scanner's display of expected CT dose index volume (CTDIvol) after the projection scan provides the operator with a powerful tool prior to the patient scan to identify and manage appropriate CT techniques, provided the department has established appropriate diagnostic reference levels (DRLs). This paper provides a step-by-step process that allows the development of DRLs as a function of type of exam, of actual patient size and of the individual radiation output of each CT scanner in a department. Abdomen, pelvis, thorax and head scans are addressed. Patient sizes from newborns to large adults are discussed. The method addresses every CT scanner regardless of vendor, model or vintage. We cover adjustments to techniques to manage the impact of iterative reconstruction and provide a method to handle all available voltages other than 120 kV. This level of management of CT techniques is necessary to properly monitor radiation dose and image quality during pediatric CT scans.

  10. A noble refractive optical scanner with linear response

    NASA Astrophysics Data System (ADS)

    Mega, Yair J.; Lai, Zhenhua; DiMarzio, Charles A.

    2013-03-01

    Many applications in various fields of science and engineering use steered optical beam systems. Currently, many methods utilize mirrors in order to steer the beam. However, this approach is an off-axis solution, which normally increases the total size of the system as well as its error and complexity. Other methods use a "Risely Prisms" based solution, which is on-axis solution, however it poses some difficulties from an engineering standpoint, and therefore isn't widely used. We present here a novel technique for steering a beam on its optical axis with a linear deflection response. We derived the formulation for the profile required of the refractive optical component necessary for preforming the beam steering. The functionality of the device was simulated analytically using Matlab, as well as using a ray-tracing software, Zemax, and showed agreement with the analytical model. An optical element was manufactured based on the proposed design and the device was tested. The results show agreement with our hypothesis. We also present some proposed geometries of the several other devices, all based on the same concept, which can be used for higher performance applications such as two-dimensional scanner, video rate scanner etc.

  11. Drawing and Landscape Simulation for Japanese Garden by Using Terrestrial Laser Scanner

    NASA Astrophysics Data System (ADS)

    Kumazaki, R.; Kunii, Y.

    2015-05-01

    Recently, many laser scanners are applied for various measurement fields. This paper investigates that it was useful to use the terrestrial laser scanner in the field of landscape architecture and examined a usage in Japanese garden. As for the use of 3D point cloud data in the Japanese garden, it is the visual use such as the animations. Therefore, some applications of the 3D point cloud data was investigated that are as follows. Firstly, ortho image of the Japanese garden could be outputted for the 3D point cloud data. Secondly, contour lines of the Japanese garden also could be extracted, and drawing was became possible. Consequently, drawing of Japanese garden was realized more efficiency due to achievement of laborsaving. Moreover, operation of the measurement and drawing could be performed without technical skills, and any observers can be operated. Furthermore, 3D point cloud data could be edited, and some landscape simulations that extraction and placement of tree or some objects were became possible. As a result, it can be said that the terrestrial laser scanner will be applied in landscape architecture field more widely.

  12. Peripheral Quantitative CT (pQCT) Using a Dedicated Extremity Cone-Beam CT Scanner

    PubMed Central

    Muhit, A. A.; Arora, S.; Ogawa, M.; Ding, Y.; Zbijewski, W.; Stayman, J. W.; Thawait, G.; Packard, N.; Senn, R.; Yang, D.; Yorkston, J.; Bingham, C.O.; Means, K.; Carrino, J. A.; Siewerdsen, J. H.

    2014-01-01

    Purpose We describe the initial assessment of the peripheral quantitative CT (pQCT) imaging capabilities of a cone-beam CT (CBCT) scanner dedicated to musculoskeletal extremity imaging. The aim is to accurately measure and quantify bone and joint morphology using information automatically acquired with each CBCT scan, thereby reducing the need for a separate pQCT exam. Methods A prototype CBCT scanner providing isotropic, sub-millimeter spatial resolution and soft-tissue contrast resolution comparable or superior to standard multi-detector CT (MDCT) has been developed for extremity imaging, including the capability for weight-bearing exams and multi-mode (radiography, fluoroscopy, and volumetric) imaging. Assessment of pQCT performance included measurement of bone mineral density (BMD), morphometric parameters of subchondral bone architecture, and joint space analysis. Measurements employed phantoms, cadavers, and patients from an ongoing pilot study imaged with the CBCT prototype (at various acquisition, calibration, and reconstruction techniques) in comparison to MDCT (using pQCT protocols for analysis of BMD) and micro-CT (for analysis of subchondral morphometry). Results The CBCT extremity scanner yielded BMD measurement within ±2–3% error in both phantom studies and cadaver extremity specimens. Subchondral bone architecture (bone volume fraction, trabecular thickness, degree of anisotropy, and structure model index) exhibited good correlation with gold standard micro-CT (error ~5%), surpassing the conventional limitations of spatial resolution in clinical MDCT scanners. Joint space analysis demonstrated the potential for sensitive 3D joint space mapping beyond that of qualitative radiographic scores in application to non-weight-bearing versus weight-bearing lower extremities and assessment of phalangeal joint space integrity in the upper extremities. Conclusion The CBCT extremity scanner demonstrated promising initial results in accurate pQCT analysis from

  13. Comparison of solid shapes geometry derived by a laser scanner and a total station

    NASA Astrophysics Data System (ADS)

    Sidiropoulos, Andreas; Lakakis, Konstantinos

    2016-08-01

    The laser scanning technology has become a common method for the daily applications of a large variety of scientists and professionals. Even for more sophisticated projects, laser scanners have been proved a very useful tool at researchers' and engineers' disposal. In this paper, we investigated the ability of a laser scanner compared to the ability of a total station to provide the geometry of solids. The tests were made in the laboratory facilities of the Aristotle University of Thessaloniki, in a variety of distances between the measuring instrument and the object. The solids that were used differ in shape, material and color. The objects are a wooden cube, a metal cube and a wooden pyramid. The absolute dimensions of the solid shapes were provided by the use of a caliper and were compared to the dimensions that were calculated by the coordinates produced by the total station and laser scanner measurements.

  14. Pediatric radiation dose and risk from bone density measurements using a GE Lunar Prodigy scanner.

    PubMed

    Damilakis, J; Solomou, G; Manios, G E; Karantanas, A

    2013-07-01

    Effective radiation doses associated with bone mineral density examinations performed on children using a GE Lunar Prodigy fan-beam dual-energy X-ray absorptiometry (DXA) scanner were found to be comparable to doses from pencil-beam DXA devices, i.e., lower than 1 μSv. Cancer risks associated with acquisitions obtained in this study are negligible. No data were found in the literature on radiation doses and potential risks following pediatric DXA performed on GE Lunar DXA scanners. This study aimed to estimate effective doses and associated cancer risks involved in pediatric examinations performed on a GE Lunar Prodigy scanner. Four physical anthropomorphic phantoms representing newborn, 1-, 5-, and 10-year-old patients were employed to simulate DXA exposures. All acquisitions were carried out using the Prodigy scanner. Dose measurements were performed for spine and dual femur using the phantoms simulating the 5- and 10-year-old child. Moreover, doses associated with whole-body examinations were measured for the four phantoms used in the current study. The gender-average effective dose for spine and hip examinations were 0.65 and 0.36 μSv, respectively, for the phantom representing the 5-year-old child and 0.93 and 0.205 μSv, respectively, for the phantom representing the 10-year-old child. Effective doses for whole-body examinations were 0.25, 0.22, 0.19, and 0.15 μSv for the neonate, 1-, 5-, and 10-year old child, respectively. The estimated lifetime cancer risks were negligible, i.e., 0.02-0.25 per million, depending on the sex, age, and type of DXA examination. A formula is presented for the estimation of effective dose from examinations performed on GE Lunar Prodigy scanners installed in other institutions. The effective doses and potential cancer risks associated with pediatric DXA examinations performed on a GE Lunar Prodigy fan-beam scanner were found to be comparable to doses and risks reported from pencil-beam DXA devices.

  15. Sensitivity booster for DOI-PET scanner by utilizing Compton scattering events between detector blocks

    NASA Astrophysics Data System (ADS)

    Yoshida, Eiji; Tashima, Hideaki; Yamaya, Taiga

    2014-11-01

    In a conventional PET scanner, coincidence events are measured with a limited energy window for detection of photoelectric events in order to reject Compton scatter events that occur in a patient, but Compton scatter events caused in detector crystals are also rejected. Scatter events within the patient causes scatter coincidences, but inter crystal scattering (ICS) events have useful information for determining an activity distribution. Some researchers have reported the feasibility of PET scanners based on a Compton camera for tracing ICS into the detector. However, these scanners require expensive semiconductor detectors for high-energy resolution. In the Anger-type block detector, single photons interacting with multiple detectors can be obtained for each interacting position and complete information can be gotten just as for photoelectric events in the single detector. ICS events in the single detector have been used to get coincidence, but single photons interacting with multiple detectors have not been used to get coincidence. In this work, we evaluated effect of sensitivity improvement using Compton kinetics in several types of DOI-PET scanners. The proposed method promises to improve the sensitivity using coincidence events of single photons interacting with multiple detectors, which are identified as the first interaction (FI). FI estimation accuracy can be improved to determine FI validity from the correlation between Compton scatter angles calculated on the coincidence line-of-response. We simulated an animal PET scanner consisting of 42 detectors. Each detector block consists of three types of scintillator crystals (LSO, GSO and GAGG). After the simulation, coincidence events are added as information for several depth-of-interaction (DOI) resolutions. From the simulation results, we concluded the proposed method promises to improve the sensitivity considerably when effective atomic number of a scintillator is low. Also, we showed that FI estimate

  16. Effect of different CT scanners and settings on femoral failure loads calculated by finite element models.

    PubMed

    Eggermont, Florieke; Derikx, Loes C; Free, Jeffrey; van Leeuwen, Ruud; van der Linden, Yvette M; Verdonschot, Nico; Tanck, Esther

    2018-03-06

    In a multi-center patient study, using different CT scanners, CT-based finite element (FE) models are utilized to calculate failure loads of femora with metastases. Previous studies showed that using different CT scanners can result in different outcomes. This study aims to quantify the effects of (i) different CT scanners; (ii) different CT protocols with variations in slice thickness, field of view (FOV), and reconstruction kernel; and (iii) air between calibration phantom and patient, on Hounsfield Units (HU), bone mineral density (BMD), and FE failure load. Six cadaveric femora were scanned on four CT scanners. Scans were made with multiple CT protocols and with or without an air gap between the body model and calibration phantom. HU and calibrated BMD were determined in cortical and trabecular regions of interest. Non-linear isotropic FE models were constructed to calculate failure load. Mean differences between CT scanners varied up to 7% in cortical HU, 6% in trabecular HU, 6% in cortical BMD, 12% in trabecular BMD, and 17% in failure load. Changes in slice thickness and FOV had little effect (≤4%), while reconstruction kernels had a larger effect on HU (16%), BMD (17%), and failure load (9%). Air between the body model and calibration phantom slightly decreased the HU, BMD, and failure loads (≤8%). In conclusion, this study showed that quantitative analysis of CT images acquired with different CT scanners, and particularly reconstruction kernels, can induce relatively large differences in HU, BMD, and failure loads. Additionally, if possible, air artifacts should be avoided. © 2018 Orthopaedic Research Society. © 2018 The Authors. Journal of Orthopaedic Research® Published by Wiley Periodicals, Inc. on behalf of the Orthopaedic Research Society. J Orthop Res. © 2018 The Authors. Journal of Orthopaedic Research® Published by Wiley Periodicals, Inc. on behalf of the Orthopaedic Research Society.

  17. Three-Dimensional Accuracy of Facial Scan for Facial Deformities in Clinics: A New Evaluation Method for Facial Scanner Accuracy.

    PubMed

    Zhao, Yi-Jiao; Xiong, Yu-Xue; Wang, Yong

    2017-01-01

    In this study, the practical accuracy (PA) of optical facial scanners for facial deformity patients in oral clinic was evaluated. Ten patients with a variety of facial deformities from oral clinical were included in the study. For each patient, a three-dimensional (3D) face model was acquired, via a high-accuracy industrial "line-laser" scanner (Faro), as the reference model and two test models were obtained, via a "stereophotography" (3dMD) and a "structured light" facial scanner (FaceScan) separately. Registration based on the iterative closest point (ICP) algorithm was executed to overlap the test models to reference models, and "3D error" as a new measurement indicator calculated by reverse engineering software (Geomagic Studio) was used to evaluate the 3D global and partial (upper, middle, and lower parts of face) PA of each facial scanner. The respective 3D accuracy of stereophotography and structured light facial scanners obtained for facial deformities was 0.58±0.11 mm and 0.57±0.07 mm. The 3D accuracy of different facial partitions was inconsistent; the middle face had the best performance. Although the PA of two facial scanners was lower than their nominal accuracy (NA), they all met the requirement for oral clinic use.

  18. Comparison of Intraoperative Portable CT Scanners in Skull Base and Endoscopic Sinus Surgery: Single Center Case Series

    PubMed Central

    Conley, David B.; Tan, Bruce; Bendok, Bernard R.; Batjer, H. Hunt; Chandra, Rakesh; Sidle, Douglas; Rahme, Rudy J.; Adel, Joseph G.; Fishman, Andrew J.

    2011-01-01

    Precise and safe management of complex skull base lesions can be enhanced by intraoperative computed tomography (CT) scanning. Surgery in these areas requires real-time feedback of anatomic landmarks. Several portable CT scanners are currently available. We present a comparison of our clinical experience with three portable scanners in skull base and craniofacial surgery. We present clinical case series and the participants were from the Northwestern Memorial Hospital. Three scanners are studied: one conventional multidetector CT (MDCT), two digital flat panel cone-beam CT (CBCT) devices. Technical considerations, ease of use, image characteristics, and integration with image guidance are presented for each device. All three scanners provide good quality images. Intraoperative scanning can be used to update the image guidance system in real time. The conventional MDCT is unique in its ability to resolve soft tissue. The flat panel CBCT scanners generally emit lower levels of radiation and have less metal artifact effect. In this series, intraoperative CT scanning was technically feasible and deemed useful in surgical decision-making in 75% of patients. Intraoperative portable CT scanning has significant utility in complex skull base surgery. This technology informs the surgeon of the precise extent of dissection and updates intraoperative stereotactic navigation. PMID:22470270

  19. The economic potential of CT scanners for hardwood sawmills

    Treesearch

    Donald G. Hodges; Walter C. Anderson; Charles W. McMillin

    1990-01-01

    Research has demonstrated that a knowledge of internal log defects prior to sawing could improve lumber value yields significantly. This study evaluated the potential economic returns from investments in computerized tomographic (CT) scanners to detect internal defects in hardwood logs at southern sawmills. The results indicate that such investments would be profitable...

  20. Test of a single module of the J-PET scanner based on plastic scintillators

    NASA Astrophysics Data System (ADS)

    Moskal, P.; Niedźwiecki, Sz.; Bednarski, T.; Czerwiński, E.; Kapłon, Ł.; Kubicz, E.; Moskal, I.; Pawlik-Niedźwiecka, M.; Sharma, N. G.; Silarski, M.; Zieliński, M.; Zoń, N.; Białas, P.; Gajos, A.; Kochanowski, A.; Korcyl, G.; Kowal, J.; Kowalski, P.; Kozik, T.; Krzemień, W.; Molenda, M.; Pałka, M.; Raczyński, L.; Rudy, Z.; Salabura, P.; Słomski, A.; Smyrski, J.; Strzelecki, A.; Wieczorek, A.; Wiślicki, W.

    2014-11-01

    A Time of Flight Positron Emission Tomography scanner based on plastic scintillators is being developed at the Jagiellonian University by the J-PET collaboration. The main challenge of the conducted research lies in the elaboration of a method allowing application of plastic scintillators for the detection of low energy gamma quanta. In this paper we report on tests of a single detection module built out from the BC-420 plastic scintillator strip (with dimensions of 5×19×300 mm3) read out at two ends by Hamamatsu R5320 photomultipliers. The measurements were performed using collimated beam of annihilation quanta from the 68Ge isotope and applying the Serial Data Analyzer (Lecroy SDA6000A) which enabled sampling of signals with 50 ps intervals. The time resolution of the prototype module was established to be better than 80 ps (σ) for a single level discrimination. The spatial resolution of the determination of the hit position along the strip was determined to be about 0.93 cm (σ) for the annihilation quanta. The fractional energy resolution for the energy E deposited by the annihilation quanta via the Compton scattering amounts to σ(E) / E ≈ 0.044 /√{ E(MeV) } and corresponds to the σ(E) / E of 7.5% at the Compton edge.

  1. Learning and teaching with a computer scanner

    NASA Astrophysics Data System (ADS)

    Planinsic, G.; Gregorcic, B.; Etkina, E.

    2014-09-01

    This paper introduces the readers to simple inquiry-based activities (experiments with supporting questions) that one can do with a computer scanner to help students learn and apply the concepts of relative motion in 1 and 2D, vibrational motion and the Doppler effect. We also show how to use these activities to help students think like scientists. They will conduct simple experiments, construct different explanations for their observations, test their explanations in new experiments and represent their ideas in multiple ways.

  2. Basic Performance Test of a Prototype PET Scanner Using CdTe Semiconductor Detectors

    NASA Astrophysics Data System (ADS)

    Ueno, Y.; Morimoto, Y.; Tsuchiya, K.; Yanagita, N.; Kojima, S.; Ishitsu, T.; Kitaguchi, H.; Kubo, N.; Zhao, S.; Tamaki, N.; Amemiya, K.

    2009-02-01

    A prototype positron emission tomography (PET) scanner using CdTe semiconductor detectors was developed, and its initial evaluation was conducted. The scanner was configured to form a single detector ring with six separated detector units, each having 96 detectors arranged in three detector layers. The field of view (FOV) size was 82 mm in diameter. Basic physical performance indicators of the scanner were measured through phantom studies and confirmed by rat imaging. The system-averaged energy resolution and timing resolution were 5.4% and 6.0 ns (each in FWHM) respectively. Spatial resolution measured at FOV center was 2.6 mm FWHM. Scatter fraction was measured and calculated in a National Electrical Manufacturers Association (NEMA)-fashioned manner using a 3-mm diameter hot capillary in a water-filled 80-mm diameter acrylic cylinder. The calculated result was 3.6%. Effect of depth of interaction (DOI) measurement was demonstrated by comparing hot-rod phantom images reconstructed with and without DOI information. Finally, images of a rat myocardium and an implanted tumor were visually assessed, and the imaging performance was confirmed.

  3. Teach Your Computer to Read: Scanners and Optical Character Recognition.

    ERIC Educational Resources Information Center

    Marsden, Jim

    1993-01-01

    Desktop scanners can be used with a software technology called optical character recognition (OCR) to convert the text on virtually any paper document into an electronic form. OCR offers educators new flexibility in incorporating text into tests, lesson plans, and other materials. (MLF)

  4. OCR Scanners Facilitate WP Training in Business Schools and Colleges.

    ERIC Educational Resources Information Center

    School Business Affairs, 1983

    1983-01-01

    Optical Character Recognition Scanners (OCR) scan typed text and feed it directly into word processing systems, saving input time. OCRs are valuable in word processing training programs because they allow more students access to classes and more time for skill training. (MD)

  5. Logic gate scanner focus control in high-volume manufacturing using scatterometry

    NASA Astrophysics Data System (ADS)

    Dare, Richard J.; Swain, Bryan; Laughery, Michael

    2004-05-01

    Tool matching and optimal process control are critical requirements for success in semiconductor manufacturing. It is imperative that a tool"s operating conditions are understood and controlled in order to create a process that is repeatable and produces devices within specifications. Likewise, it is important where possible to match multiple systems using some methodology, so that regardless of which tool is used the process remains in control. Agere Systems is currently using Timbre Technologies" Optical Digital Profilometry (ODP) scatterometry for controlling Nikon scanner focus at the most critical lithography layer; logic gate. By adjusting focus settings and verifying the resultant changes in resist profile shape using ODP, it becomes possible to actively control scanner focus to achieve a desired resist profile. Since many critical lithography processes are designed to produce slightly re-entrant resist profiles, this type of focus control is not possible via Critical Dimension Scanning Electron Microscopy (CDSEM) where reentrant profiles cannot be accurately determined. Additionally, the high throughput and non-destructive nature of this measurement technique saves both cycle time and wafer costs compared to cross-section SEM. By implementing an ODP daily process check and after any maintenance on a scanner, Agere successfully enabled focus drift control, i.e. making necessary focus or equipment changes in order to maintain a desired resist profile.

  6. Validation of a three-dimensional body scanner for body composition measures.

    PubMed

    Harbin, Michelle M; Kasak, Alexander; Ostrem, Joseph D; Dengel, Donald R

    2017-12-29

    The accuracy of an infrared three-dimensional (3D) body scanner in determining body composition was compared against hydrostatic weighing (HW), bioelectrical impedance analysis (BIA), and anthropometry. A total of 265 adults (119 males; age = 22.1 ± 2.5 years; body mass index = 24.5 ± 3.9 kg/m 2 ) had their body fat percent (BF%) estimated from 3D scanning, HW, BIA, skinfolds, and girths. A repeated measures analysis of variance (ANOVA) indicated significant differences among methods (p < 0.001). Multivariate ANOVA indicated a significant main effect of sex and method (p < 0.001), with a non-significant interaction (p = 0.101). Bonferroni post-hoc comparisons identified that BF% from 3D scanning (18.1 ± 7.8%) was significantly less than HW (22.8 ± 8.5%, p < 0.001), BIA (20.1 ± 9.1%, p < 0.001), skinfolds (19.7 ± 9.7%, p < 0.001), and girths (21.2 ± 10.4%, p < 0.001). The 3D scanner decreased in precision with increasing adiposity, potentially resulting from inconsistences in the 3D scanner's analysis algorithm. A correction factor within the algorithm is required before infrared 3D scanning can be considered valid in measuring BF%.

  7. Feasibility study using MRI and two optical CT scanners for readout of polymer gel and PresageTM

    NASA Astrophysics Data System (ADS)

    Svensson, H.; Skyt, P. S.; Ceberg, S.; Doran, S.; Muren, L. P.; Balling, P.; Petersen, J. B. B.; Bäck, S. Å. J.

    2013-06-01

    The aim of this study was to compare the conventional combination of three-dimensional dosimeter (nPAG gel) and readout method (MRI) with other combinations of three-dimensional dosimeters (nPAG gel/PresageTM) and readout methods (optical CT scanners). In the first experiment, the dose readout of a gel irradiated with a four field-box technique was performed with both an Octopus IQ scanner and MRI. It was seen that the MRI readout agreed slightly better to the TPS. In another experiment, a gel and a PresageTM sample were irradiated with a VMAT field and read out using MRI and a fast laser scanner, respectively. A comparison between the TPS and the volumes revealed that the MRI/gel readout had closer resemblance to the TPS than the optical CT/PresageTM readout. There are clearly potential in the evaluated optical CT scanners, but more time has to be invested in the particular scanning scenario than was possible in this study.

  8. Using mid-range laser scanners to digitize cultural-heritage sites.

    PubMed

    Spring, Adam P; Peters, Caradoc; Minns, Tom

    2010-01-01

    Here, we explore new, more accessible ways of modeling 3D data sets that both professionals and amateurs can employ in areas such as architecture, forensics, geotechnics, cultural heritage, and even hobbyist modeling. To support our arguments, we present images from a recent case study in digital preservation of cultural heritage using a mid-range laser scanner. Our appreciation of the increasing variety of methods for capturing 3D spatial data inspired our research. Available methods include photogrammetry, airborne lidar, sonar, total stations (a combined electronic and optical survey instrument), and midand close-range scanning.1 They all can produce point clouds of varying density. In our case study, the point cloud produced by a mid-range scanner demonstrates how open source software can make modeling and disseminating data easier. Normally, researchers would model this data using expensive specialized software, and the data wouldn't extend beyond the laser-scanning community.

  9. Subjective perception of safety in healthy individuals working with 7 T MRI scanners: a retrospective multicenter survey.

    PubMed

    Fatahi, Mahsa; Demenescu, Liliana Ramona; Speck, Oliver

    2016-06-01

    To retrospectively assess perception of safety of healthy individuals working with human 7 Tesla (T) magnetic resonance imaging (MRI) scanners. A total of 66 healthy individuals with a mean age of 31 ± 7 years participated in this retrospective multicentre survey study. Nonparametric correlation analysis was conducted to evaluate the relation between self-reported perception of safety and prevalence of sensory effects while working with 7 T MRI scanners for an average 47 months. The results indicated that 98.5 % of the study participants had a neutral or positive feeling about safety aspects at 7 T MRI scanners. 45.5 % reported that they feel very safe and none of the participants stated that they feel moderately or very unsafe while working with 7 T MRI scanners. Perception of safety was not affected by the number of hours per week spent in the vicinity of the 7 T MRI scanner or the duration of experience with 7 T MRI. More than 50 % of individuals experienced vertigo and metallic taste while working with 7 T MRI scanners. However, participants' perceptions of safety were not affected by the prevalence of MR-related symptoms. The overall data indicated an average perception of a moderately safe work environment. To our knowledge, this study delineates the first attempt to assess the subjective safety perception among 7 T MRI workers and suggests further investigations are indicated.

  10. Quantitative comparison of noise texture across CT scanners from different manufacturers.

    PubMed

    Solomon, Justin B; Christianson, Olav; Samei, Ehsan

    2012-10-01

    To quantitatively compare noise texture across computed tomography (CT) scanners from different manufacturers using the noise power spectrum (NPS). The American College of Radiology CT accreditation phantom (Gammex 464, Gammex, Inc., Middleton, WI) was imaged on two scanners: Discovery CT 750HD (GE Healthcare, Waukesha, WI), and SOMATOM Definition Flash (Siemens Healthcare, Germany), using a consistent acquisition protocol (120 kVp, 0.625∕0.6 mm slice thickness, 250 mAs, and 22 cm field of view). Images were reconstructed using filtered backprojection and a wide selection of reconstruction kernels. For each image set, the 2D NPS were estimated from the uniform section of the phantom. The 2D spectra were normalized by their integral value, radially averaged, and filtered by the human visual response function. A systematic kernel-by-kernel comparison across manufacturers was performed by computing the root mean square difference (RMSD) and the peak frequency difference (PFD) between the NPS from different kernels. GE and Siemens kernels were compared and kernel pairs that minimized the RMSD and |PFD| were identified. The RMSD (|PFD|) values between the NPS of GE and Siemens kernels varied from 0.01 mm(2) (0.002 mm(-1)) to 0.29 mm(2) (0.74 mm(-1)). The GE kernels "Soft," "Standard," "Chest," and "Lung" closely matched the Siemens kernels "B35f," "B43f," "B41f," and "B80f" (RMSD < 0.05 mm(2), |PFD| < 0.02 mm(-1), respectively). The GE "Bone," "Bone+," and "Edge" kernels all matched most closely with Siemens "B75f" kernel but with sizeable RMSD and |PFD| values up to 0.18 mm(2) and 0.41 mm(-1), respectively. These sizeable RMSD and |PFD| values corresponded to visually perceivable differences in the noise texture of the images. It is possible to use the NPS to quantitatively compare noise texture across CT systems. The degree to which similar texture across scanners could be achieved varies and is limited by the kernels available on each scanner.

  11. Clinical acceptance testing and scanner comparison of ultrasound shear wave elastography.

    PubMed

    Long, Zaiyang; Tradup, Donald J; Song, Pengfei; Stekel, Scott F; Chen, Shigao; Glazebrook, Katrina N; Hangiandreou, Nicholas J

    2018-03-15

    Because of the rapidly growing use of ultrasound shear wave elastography (SWE) in clinical practices, there is a significant need for development of clinical physics performance assessment methods for this technology. This study aims to report two clinical medical physicists' tasks: (a) acceptance testing (AT) of SWE function on ten commercial ultrasound systems for clinical liver application and (b) comparison of SWE measurements of targets across vendors for clinical musculoskeletal application. For AT, ten GE LOGIQ E9 XDclear 2.0 scanners with ten C1-6-D and ten 9L-D transducers were studied using two commercial homogenous phantoms. Five measurements were acquired at two depths for each scanner/transducer pair by two operators. Additional tests were performed to access effects of different coupling media, phantom locations and operators. System deviations were less than 5% of group mean or three times standard deviation; therefore, all systems passed AT. A test protocol was provided based on results that no statistically significant difference was observed between using ultrasound gel and salt water for coupling, among different phantom locations, and that interoperator and intraoperator coefficient of variation was less than 3%. For SWE target measurements, two systems were compared - a Supersonic Aixplorer scanner with a SL10-2 and a SL15-4 transducer, and an abovementioned GE scanner with 9L-D transducer. Two stepped cylinders with diameters of 4.05-10.40 mm were measured both longitudinally and transaxially. Target shear wave speed quantification was performed using an in-house MATLAB program. Using the target shear wave speed deduced from phantom specs as a reference, SL15-4 performed the best at the measured depth. However, it was challenging to reliably measure a 4.05 mm target for either system. The reported test methods and results could provide important information when dealing with SWE-related tasks in the clinical environment. © 2018 The Authors

  12. Gamma-sky.net: Portal to the gamma-ray sky

    NASA Astrophysics Data System (ADS)

    Voruganti, Arjun; Deil, Christoph; Donath, Axel; King, Johannes

    2017-01-01

    http://gamma-sky.net is a novel interactive website designed for exploring the gamma-ray sky. The Map View portion of the site is powered by the Aladin Lite sky atlas, providing a scalable survey image tesselated onto a three-dimensional sphere. The map allows for interactive pan and zoom navigation as well as search queries by sky position or object name. The default image overlay shows the gamma-ray sky observed by the Fermi-LAT gamma-ray space telescope. Other survey images (e.g. Planck microwave images in low/high frequency bands, ROSAT X-ray image) are available for comparison with the gamma-ray data. Sources from major gamma-ray source catalogs of interest (Fermi-LAT 2FHL, 3FGL and a TeV source catalog) are overlaid over the sky map as markers. Clicking on a given source shows basic information in a popup, and detailed pages for every source are available via the Catalog View component of the website, including information such as source classification, spectrum and light-curve plots, and literature references. We intend for gamma-sky.net to be applicable for both professional astronomers as well as the general public. The website started in early June 2016 and is being developed as an open-source, open data project on GitHub (https://github.com/gammapy/gamma-sky). We plan to extend it to display more gamma-ray and multi-wavelength data. Feedback and contributions are very welcome!

  13. Risks of exposure to ionizing and millimeter-wave radiation from airport whole-body scanners.

    PubMed

    Moulder, John E

    2012-06-01

    Considerable public concern has been expressed around the world about the radiation risks posed by the backscatter (ionizing radiation) and millimeter-wave (nonionizing radiation) whole-body scanners that have been deployed at many airports. The backscatter and millimeter-wave scanners currently deployed in the U.S. almost certainly pose negligible radiation risks if used as intended, but their safety is difficult-to-impossible to prove using publicly accessible data. The scanners are widely disliked and often feared, which is a problem made worse by what appears to be a veil of secrecy that covers their specifications and dosimetry. Therefore, for these and future similar technologies to gain wide acceptance, more openness is needed, as is independent review and regulation. Publicly accessible, and preferably peer-reviewed evidence is needed that the deployed units (not just the prototypes) meet widely-accepted safety standards. It is also critical that risk-perception issues be handled more competently.

  14. People counting and re-identification using fusion of video camera and laser scanner

    NASA Astrophysics Data System (ADS)

    Ling, Bo; Olivera, Santiago; Wagley, Raj

    2016-05-01

    We present a system for people counting and re-identification. It can be used by transit and homeland security agencies. Under FTA SBIR program, we have developed a preliminary system for transit passenger counting and re-identification using a laser scanner and video camera. The laser scanner is used to identify the locations of passenger's head and shoulder in an image, a challenging task in crowed environment. It can also estimate the passenger height without prior calibration. Various color models have been applied to form color signatures. Finally, using a statistical fusion and classification scheme, passengers are counted and re-identified.

  15. Performance comparison of two commercial BGO-based PET/CT scanners using NEMA NU 2-2001.

    PubMed

    Bolard, Grégory; Prior, John O; Modolo, Luca; Delaloye, Angelika Bischof; Kosinski, Marek; Wastiel, Claude; Malterre, Jérôme; Bulling, Shelley; Bochud, François; Verdun, Francis R

    2007-07-01

    Combined positron emission tomography and computed tomography (PET/CT) scanners play a major role in medicine for in vivo imaging in an increasing number of diseases in oncology, cardiology, neurology, and psychiatry. With the advent of short-lived radioisotopes other than 18F and newer scanners, there is a need to optimize radioisotope activity and acquisition protocols, as well as to compare scanner performances on an objective basis. The Discovery-LS (D-LS) was among the first clinical PET/CT scanners to be developed and has been extensively characterized with older National Electrical Manufacturer Association (NEMA) NU 2-1994 standards. At the time of publication of the latest version of the standards (NU 2-2001) that have been adapted for whole-body imaging under clinical conditions, more recent models from the same manufacturer, i.e., Discovery-ST (D-ST) and Discovery-STE (D-STE), were commercially available. We report on the full characterization both in the two- and three-dimensional acquisition mode of the D-LS according to latest NEMA NU 2-2001 standards (spatial resolution, sensitivity, count rate performance, accuracy of count losses, and random coincidence correction and image quality), as well as a detailed comparison with the newer D-ST widely used and whose characteristics are already published.

  16. Development of a compact optical MEMS scanner with integrated VCSEL light source and diffractive optics

    NASA Astrophysics Data System (ADS)

    Krygowski, Thomas W.; Reyes, David; Rodgers, M. Steven; Smith, James H.; Warren, Mial E.; Sweatt, William C.; Blum-Spahn, Olga; Wendt, Joel R.; Asbill, Randolph E.

    1999-09-01

    In this work the design and initial fabrication results are reported for the components of a compact optical-MEMS laser scanning system. This system integrates a silicon MEMS laser scanner, a Vertical Cavity Surface Emitting Laser (VCSEL) and passive optical components. The MEMS scanner and VCSEL are mounted onto a fused silica substrate which serves as an optical interconnect between the devices. Two Diffractive Optical Elements (DOE's) are etched into the fused silica substrate to focus the VCSEL beam and increase the scan range. The silicon MEMS scanner consists of an actuator that continuously scans the position of a large polysilicon gold- coated shuttle containing a third DOE. Interferometric measurements show that the residual stress in the 50 micrometer X 1000 micrometer shuttle is extremely low, with a maximum deflection of only 0.18 micrometer over an 800 micrometer span for an unmetallized case and a deflection of 0.56 micrometer for the metallized case. A conservative estimate for the scan range is approximately plus or minus 4 degrees, with a spot size of about 0.5 mm, producing 50 resolvable spots. The basic system architecture, optical and MEMS design is reported in this paper, with an emphasis on the design and fabrication of the silicon MEMS scanner portion of the system.

  17. Advanced scanners and imaging systems for earth observations. [conferences

    NASA Technical Reports Server (NTRS)

    1973-01-01

    Assessments of present and future sensors and sensor related technology are reported along with a description of user needs and applications. Five areas are outlined: (1) electromechanical scanners, (2) self-scanned solid state sensors, (3) electron beam imagers, (4) sensor related technology, and (5) user applications. Recommendations, charts, system designs, technical approaches, and bibliographies are included for each area.

  18. A simple way to higher speed atomic force microscopy by retrofitting with a novel high-speed flexure-guided scanner

    NASA Astrophysics Data System (ADS)

    Ouma Alunda, Bernard; Lee, Yong Joong; Park, Soyeun

    2018-06-01

    A typical line-scan rate for a commercial atomic force microscope (AFM) is about 1 Hz. At such a rate, more than four minutes of scanning time is required to obtain an image of 256 × 256 pixels. Despite control electronics of most commercial AFMs permit faster scan rates, default piezoelectric X–Y scanners limit the overall speed of the system. This is a direct consequence of manufacturers choosing a large scan range over the maximum operating speed for a X–Y scanner. Although some AFM manufacturers offer reduced-scan area scanners as an option, the speed improvement is not significant because such scanners do not have large enough reduction in the scan range and are mainly targeted to reducing the overall cost of the AFM systems. In this article, we present a simple parallel-kinematic substitute scanner for a commercial atomic force microscope to afford a higher scanning speed with no other hardware or software upgrade to the original system. Although the scan area reduction is unavoidable, our modified commercial XE-70 AFM from Park Systems has achieved a line scan rate of over 50 Hz, more than 10 times faster than the original, unmodified system. Our flexure-guided X–Y scanner can be a simple drop-in replacement option for enhancing the speed of various aging atomic force microscopes.

  19. Measurements agreement between low-cost and high-level handheld 3D scanners to scan the knee for designing a 3D printed knee brace

    PubMed Central

    2018-01-01

    Use of additive manufacturing is growing rapidly in the orthotics field. This technology allows orthotics to be designed directly on digital scans of limbs. However, little information is available about scanners and 3D scans. The aim of this study is to look at the agreement between manual measurements, high-level and low-cost handheld 3D scanners. We took two manual measurements and three 3D scans with each scanner from 14 lower limbs. The lower limbs were divided into 17 sections of 30mm each from 180mm above the mid-patella to 300mm below. Time to record and to process the three 3D scans for scanners methods were compared with Student t-test while Bland-Altman plots were used to study agreement between circumferences of each section from the three methods. The record time was 97s shorter with high-level scanner than with the low-cost (p = .02) while the process time was nine times quicker with the low-cost scanner (p < .01). An overestimation of 2.5mm was found in high-level scanner compared to manual measurement, but with a better repeatability between measurements. The low-cost scanner tended to overestimate the circumferences from 0.1% to 1.5%, overestimation being greater for smaller circumferences. In conclusion, 3D scanners provide more information about the shape of the lower limb, but the reliability depends on the 3D scanner and the size of the scanned segment. Low-cost scanners could be useful for clinicians because of the simple and fast process, but attention should be focused on accuracy, which depends on the scanned body segment. PMID:29320560

  20. Measurements agreement between low-cost and high-level handheld 3D scanners to scan the knee for designing a 3D printed knee brace.

    PubMed

    Dessery, Yoann; Pallari, Jari

    2018-01-01

    Use of additive manufacturing is growing rapidly in the orthotics field. This technology allows orthotics to be designed directly on digital scans of limbs. However, little information is available about scanners and 3D scans. The aim of this study is to look at the agreement between manual measurements, high-level and low-cost handheld 3D scanners. We took two manual measurements and three 3D scans with each scanner from 14 lower limbs. The lower limbs were divided into 17 sections of 30mm each from 180mm above the mid-patella to 300mm below. Time to record and to process the three 3D scans for scanners methods were compared with Student t-test while Bland-Altman plots were used to study agreement between circumferences of each section from the three methods. The record time was 97s shorter with high-level scanner than with the low-cost (p = .02) while the process time was nine times quicker with the low-cost scanner (p < .01). An overestimation of 2.5mm was found in high-level scanner compared to manual measurement, but with a better repeatability between measurements. The low-cost scanner tended to overestimate the circumferences from 0.1% to 1.5%, overestimation being greater for smaller circumferences. In conclusion, 3D scanners provide more information about the shape of the lower limb, but the reliability depends on the 3D scanner and the size of the scanned segment. Low-cost scanners could be useful for clinicians because of the simple and fast process, but attention should be focused on accuracy, which depends on the scanned body segment.

  1. Evaluation of eelgrass beds mapping using a high-resolution airborne multispectral scanner

    USGS Publications Warehouse

    Su, H.; Karna, D.; Fraim, E.; Fitzgerald, M.; Dominguez, R.; Myers, J.S.; Coffland, B.; Handley, L.R.; Mace, T.

    2006-01-01

    Eelgrass (Zostera marina) can provide vital ecological functions in stabilizing sediments, influencing current dynamics, and contributing significant amounts of biomass to numerous food webs in coastal ecosystems. Mapping eelgrass beds is important for coastal water and nearshore estuarine monitoring, management, and planning. This study demonstrated the possible use of high spatial (approximately 5 m) and temporal (maximum low tide) resolution airborne multispectral scanner on mapping eelgrass beds in Northern Puget Sound, Washington. A combination of supervised and unsupervised classification approaches were performed on the multispectral scanner imagery. A normalized difference vegetation index (NDVI) derived from the red and near-infrared bands and ancillary spatial information, were used to extract and mask eelgrass beds and other submerged aquatic vegetation (SAV) in the study area. We evaluated the resulting thematic map (geocoded, classified image) against a conventional aerial photograph interpretation using 260 point locations randomly stratified over five defined classes from the thematic map. We achieved an overall accuracy of 92 percent with 0.92 Kappa Coefficient in the study area. This study demonstrates that the airborne multispectral scanner can be useful for mapping eelgrass beds in a local or regional scale, especially in regions for which optical remote sensing from space is constrained by climatic and tidal conditions. ?? 2006 American Society for Photogrammetry and Remote Sensing.

  2. Electrical continuity scanner facilitates identification of wires for soldering to connectors

    NASA Technical Reports Server (NTRS)

    Boulton, H. C.; Diclemente, R. A.

    1966-01-01

    Electrical continuity scanner automatically scans 50 wires in 2 seconds to correlate all wires in a circuit with their respective known ends. Modifications made to the basic plan provide circuitry for scanning up to 250 wires.

  3. Speech Perception in MRI Scanner Noise by Persons with Aphasia

    ERIC Educational Resources Information Center

    Healy, Eric W.; Moser, Dana C.; Morrow-Odom, K. Leigh; Hall, Deborah A.; Fridriksson, Julius

    2007-01-01

    Purpose: To examine reductions in performance on auditory tasks by aphasic and neurologically intact individuals as a result of concomitant magnetic resonance imaging (MRI) scanner noise. Method: Four tasks together forming a continuum of linguistic complexity were developed. They included complex-tone pitch discrimination, same-different…

  4. γTools: A modular multifunction phantom for quality assurance in GammaKnife treatments.

    PubMed

    Calusi, Silvia; Noferini, Linhsia; Marrazzo, Livia; Casati, Marta; Arilli, Chiara; Compagnucci, Antonella; Talamonti, Cinzia; Scoccianti, Silvia; Greto, Daniela; Bordi, Lorenzo; Livi, Lorenzo; Pallotta, Stefania

    2017-11-01

    We present the γTools, a new phantom designed to assess geometric and dosimetric accuracy in Gamma Knife treatments, together with first tests and results of applications. The phantom is composed of two modules: the imaging module, a regular grid of 1660 control points to evaluate image distortions and image registration result and the dosimetry module for delivered dose distribution measurements. The phantom is accompanied by a MatLab routine for image distortions quantification. Dose measurement are performed with Gafchromic films fixed between two inserts and placed in various positions and orientations inside the dosimetry module thus covering a volume comparable to the full volume of a head. Tests performed to assess the accuracy and precision of the imaging module demonstrated sub-millimetric values. As an example of possible applications, the phantom was employed to measure image distortions of two MRI scanners and to perform dosimetric studies of single shots delivered to homogeneous and heterogeneous materials. Due to the phantom material, the measured absolute dose do not correspond to the planned dose; doses comparisons are thus carried out between normalized dose distributions. Finally, an end-to-end test was carried out in the treatment of a neuroma-like target which resulted in a 100% gamma passing rate (2% local, 2 mm) and a distance between the real target perimeter and the prescription isodose centroids of about 1 mm. The tests demonstrate that the proposed phantom is suitable to assess both the geometrical and relative dosimetric accuracy of Gamma Knife radiosurgery treatments. Copyright © 2017 Associazione Italiana di Fisica Medica. Published by Elsevier Ltd. All rights reserved.

  5. Validation of GATE Monte Carlo simulations of the GE Advance/Discovery LS PET scanners.

    PubMed

    Schmidtlein, C Ross; Kirov, Assen S; Nehmeh, Sadek A; Erdi, Yusuf E; Humm, John L; Amols, Howard I; Bidaut, Luc M; Ganin, Alex; Stearns, Charles W; McDaniel, David L; Hamacher, Klaus A

    2006-01-01

    The recently developed GATE (GEANT4 application for tomographic emission) Monte Carlo package, designed to simulate positron emission tomography (PET) and single photon emission computed tomography (SPECT) scanners, provides the ability to model and account for the effects of photon noncollinearity, off-axis detector penetration, detector size and response, positron range, photon scatter, and patient motion on the resolution and quality of PET images. The objective of this study is to validate a model within GATE of the General Electric (GE) Advance/Discovery Light Speed (LS) PET scanner. Our three-dimensional PET simulation model of the scanner consists of 12 096 detectors grouped into blocks, which are grouped into modules as per the vendor's specifications. The GATE results are compared to experimental data obtained in accordance with the National Electrical Manufactures Association/Society of Nuclear Medicine (NEMA/SNM), NEMA NU 2-1994, and NEMA NU 2-2001 protocols. The respective phantoms are also accurately modeled thus allowing us to simulate the sensitivity, scatter fraction, count rate performance, and spatial resolution. In-house software was developed to produce and analyze sinograms from the simulated data. With our model of the GE Advance/Discovery LS PET scanner, the ratio of the sensitivities with sources radially offset 0 and 10 cm from the scanner's main axis are reproduced to within 1% of measurements. Similarly, the simulated scatter fraction for the NEMA NU 2-2001 phantom agrees to within less than 3% of measured values (the measured scatter fractions are 44.8% and 40.9 +/- 1.4% and the simulated scatter fraction is 43.5 +/- 0.3%). The simulated count rate curves were made to match the experimental curves by using deadtimes as fit parameters. This resulted in deadtime values of 625 and 332 ns at the Block and Coincidence levels, respectively. The experimental peak true count rate of 139.0 kcps and the peak activity concentration of 21.5 k

  6. 3D WindScanner lidar measurements of wind and turbulence around wind turbines, buildings and bridges

    NASA Astrophysics Data System (ADS)

    Mikkelsen, T.; Sjöholm, M.; Angelou, N.; Mann, J.

    2017-12-01

    WindScanner is a distributed research infrastructure developed at DTU with the participation of a number of European countries. The research infrastructure consists of a mobile technically advanced facility for remote measurement of wind and turbulence in 3D. The WindScanners provide coordinated measurements of the entire wind and turbulence fields, of all three wind components scanned in 3D space. Although primarily developed for research related to on- and offshore wind turbines and wind farms, the facility is also well suited for scanning turbulent wind fields around buildings, bridges, aviation structures and of flow in urban environments. The mobile WindScanner facility enables 3D scanning of wind and turbulence fields in full scale within the atmospheric boundary layer at ranges from 10 meters to 5 (10) kilometers. Measurements of turbulent coherent structures are applied for investigation of flow pattern and dynamical loads from turbines, building structures and bridges and in relation to optimization of the location of, for example, wind farms and suspension bridges. This paper presents our achievements to date and reviews briefly the state-of-the-art of the WindScanner measurement technology with examples of uses for wind engineering applications.

  7. The Lick Observatory image-dissector scanner.

    NASA Technical Reports Server (NTRS)

    Robinson, L. B.; Wampler, E. J.

    1972-01-01

    A scanner that uses an image dissector to scan the output screen of an image tube has proven to be a sensitive and linear detector for faint astronomical spectra. The image-tube phosphor screen acts as a short-term storage element and allows the system to approach the performance of an ideal multichannel photon counter. Pulses resulting from individual photons, emitted from the output phosphor and detected by the image dissector, trigger an amplifier-discriminator and are counted in a 24-bit, 4096-word circulating memory. Aspects of system performance are discussed, giving attention to linearity, dynamic range, sensitivity, stability, and scattered light properties.

  8. Positron Scanner for Locating Brain Tumors

    DOE R&D Accomplishments Database

    Rankowitz, S.; Robertson, J. S.; Higinbotham, W. A.; Rosenblum, M. J.

    1962-03-01

    A system is described that makes use of positron emitting isotopes for locating brain tumors. This system inherently provides more information about the distribution of radioactivity in the head in less time than existing scanners which use one or two detectors. A stationary circular array of 32 scintillation detectors scans a horizontal layer of the head from many directions simultaneously. The data, consisting of the number of counts in all possible coincidence pairs, are coded and stored in the memory of a Two-Dimensional Pulse-Height Analyzer. A unique method of displaying and interpreting the data is described that enables rapid approximate analysis of complex source distribution patterns. (auth)

  9. Resonance production in. gamma gamma. collisions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Renard, F.M.

    1983-04-01

    The processes ..gamma gamma.. ..-->.. hadrons can be depicted as follows. One photon creates a q anti q pair which starts to evolve; the other photon can either (A) make its own q anti q pair and the (q anti q q anti q) system continue to evolve or (B) interact with the quarks of the first pair and lead to a modified (q anti q) system in interaction with C = +1 quantum numbers. A review of the recent theoretical activity concerning resonance production and related problems is given under the following headings: hadronic C = +1 spectroscopy (qmore » anti q, qq anti q anti q, q anti q g, gg, ggg bound states and mixing effects); exclusive ..gamma gamma.. processes (generalities, unitarized Born method, VDM and QCD); total cross section (soft and hard contributions); q/sup 2/ dependence of soft processes (soft/hard separation, 1/sup +- +/ resonances); and polarization effects. (WHK)« less

  10. 3D Laser Scanner for Underwater Manipulation.

    PubMed

    Palomer, Albert; Ridao, Pere; Youakim, Dina; Ribas, David; Forest, Josep; Petillot, Yvan

    2018-04-04

    Nowadays, research in autonomous underwater manipulation has demonstrated simple applications like picking an object from the sea floor, turning a valve or plugging and unplugging a connector. These are fairly simple tasks compared with those already demonstrated by the mobile robotics community, which include, among others, safe arm motion within areas populated with a priori unknown obstacles or the recognition and location of objects based on their 3D model to grasp them. Kinect-like 3D sensors have contributed significantly to the advance of mobile manipulation providing 3D sensing capabilities in real-time at low cost. Unfortunately, the underwater robotics community is lacking a 3D sensor with similar capabilities to provide rich 3D information of the work space. In this paper, we present a new underwater 3D laser scanner and demonstrate its capabilities for underwater manipulation. In order to use this sensor in conjunction with manipulators, a calibration method to find the relative position between the manipulator and the 3D laser scanner is presented. Then, two different advanced underwater manipulation tasks beyond the state of the art are demonstrated using two different manipulation systems. First, an eight Degrees of Freedom (DoF) fixed-base manipulator system is used to demonstrate arm motion within a work space populated with a priori unknown fixed obstacles. Next, an eight DoF free floating Underwater Vehicle-Manipulator System (UVMS) is used to autonomously grasp an object from the bottom of a water tank.

  11. Comparative dose levels between CT-scanner and slot-scanning device (EOS system) in pregnant women pelvimetry.

    PubMed

    Ben Abdennebi, A; Aubry, S; Ounalli, L; Fayache, M S; Delabrousse, E; Petegnief, Y

    2017-01-01

    To estimate fetal absorbed doses for pregnant women pelvimetry, a comparative study between EOS imaging system and low-dose spiral CT-scanner was carried out. For this purpose three different studies were investigated: in vivo, in vitro and Monte Carlo calculations. In vivo dosimetry was performed, using OSL NanoDot dosimeters, to determine the dose to the skin of twenty pregnant women. In vitro studies were established by using a cubic phantom of water, in order to estimate the out of field doses. In the latter study, OSLDs were placed at depths corresponding to the lowest, average and highest position of the uterus. Monte Carlo calculations of effective doses to high radio-sensitive organs were established, using PCXMC and CTExpo software suites for EOS imaging system and CT-scanner, respectively. The EOS imaging system reduces radiation exposure 4 to 8 times compared to the CT-scanner. The entrance skin doses were 74% (p-values <0.01) higher with the CT-scanner than with the EOS system. In the out of field region, the measured doses of the EOS system were reduced by 80% (p-values <0.02). Monte Carlo calculations confirmed that effective doses to organs are less accentuated for EOS than for CT pelvimetry. The EOS system is less irradiating than the CT exam. The out-of-field dose which is significant, is lower in the EOS than in the CT-scanner and could be reduced even further by optimizing the time used for image acquisition. Copyright © 2016 Associazione Italiana di Fisica Medica. Published by Elsevier Ltd. All rights reserved.

  12. The design of the CMOS wireless bar code scanner applying optical system based on ZigBee

    NASA Astrophysics Data System (ADS)

    Chen, Yuelin; Peng, Jian

    2008-03-01

    The traditional bar code scanner is influenced by the length of data line, but the farthest distance of the wireless bar code scanner of wireless communication is generally between 30m and 100m on the market. By rebuilding the traditional CCD optical bar code scanner, a CMOS code scanner is designed based on the ZigBee to meet the demands of market. The scan system consists of the CMOS image sensor and embedded chip S3C2401X, when the two dimensional bar code is read, the results show the inaccurate and wrong code bar, resulted from image defile, disturber, reads image condition badness, signal interference, unstable system voltage. So we put forward the method which uses the matrix evaluation and Read-Solomon arithmetic to solve them. In order to construct the whole wireless optics of bar code system and to ensure its ability of transmitting bar code image signals digitally with long distances, ZigBee is used to transmit data to the base station, and this module is designed based on image acquisition system, and at last the wireless transmitting/receiving CC2430 module circuit linking chart is established. And by transplanting the embedded RTOS system LINUX to the MCU, an applying wireless CMOS optics bar code scanner and multi-task system is constructed. Finally, performance of communication is tested by evaluation software Smart RF. In broad space, every ZIGBEE node can realize 50m transmission with high reliability. When adding more ZigBee nodes, the transmission distance can be several thousands of meters long.

  13. Design and development of the coaxial scanner as a compact high-performance thermal imager

    NASA Astrophysics Data System (ADS)

    Lettington, Alan H.

    1994-09-01

    This paper describes the original requirement of a light weight, high performance, low cost thermal imager which resulted in the design of the novel coaxial scanner. The early form of imager used a dedicated display to match the original cyclic scan sequence. With the advent of fast digital scan converters and the desire to use standard TV monitors the imager was redesigned and new TV compatible scan sequences devised. A version of this scanner is currently being manufactured by GEC Marconi Avionics, UK, and the paper concludes with examples of its application.

  14. Effect of echo spacing and readout bandwidth on basic performances of EPI-fMRI acquisition sequences implemented on two 1.5 T MR scanner systems.

    PubMed

    Giannelli, Marco; Diciotti, Stefano; Tessa, Carlo; Mascalchi, Mario

    2010-01-01

    Although in EPI-fMRI analyses typical acquisition parameters (TR, TE, matrix, slice thickness, etc.) are generally employed, various readout bandwidth (BW) values are used as a function of gradients characteristics of the MR scanner. Echo spacing (ES) is another fundamental parameter of EPI-fMRI acquisition sequences but the employed ES value is not usually reported in fMRI studies. In the present work, the authors investigated the effect of ES and BW on basic performances of EPI-fMRI sequences in terms of temporal stability and overall image quality of time series acquisition. EPI-fMRI acquisitions of the same water phantom were performed using two clinical MR scanner systems (scanners A and B) with different gradient characteristics and functional designs of radiofrequency coils. For both scanners, the employed ES values ranged from 0.75 to 1.33 ms. The used BW values ranged from 125.0 to 250.0 kHz/64pixels and from 78.1 to 185.2 kHz/64pixels for scanners A and B, respectively. The temporal stability of EPI-fMRI sequence was assessed measuring the signal-to-fluctuation noise ratio (SFNR) and signal drift (DR), while the overall image quality was assessed evaluating the signal-to-noise ratio (SNR(ts)) and nonuniformity (NU(ts)) of the time series acquisition. For both scanners, no significant effect of ES and BW on signal drift was revealed. The SFNR, NU(ts) and SNR(ts) values of scanner A did not significantly vary with ES. On the other hand, the SFNR, NU(ts), and SNR(ts) values of scanner B significantly varied with ES. SFNR (5.8%) and SNR(ts) (5.9%) increased with increasing ES. SFNR (25% scanner A, 32% scanner B) and SNR(ts) (26.2% scanner A, 30.1% scanner B) values of both scanners significantly decreased with increasing BW. NU(ts) values of scanners A and B were less than 3% for all BW and ES values. Nonetheless, scanner A was characterized by a significant upward trend (3% percentage of variation) of time series nonuniformity with increasing BW while NU

  15. Polarization characteristics of an altazimuth sky scanner

    NASA Technical Reports Server (NTRS)

    Garrison, L. M.; Blaszczak, Z.; Green, A. E. S.

    1980-01-01

    A theoretical description of the polarization characteristics of an altazimuth sky scanner optical system based on Mueller-Stokes calculus is presented. This computer-driven optical system was designed to perform laboratory studies of skylight and of celestial objects during day or night, and has no space limitations; however, the two parallel 45 deg tilt mirrors introduce some intrinsic polarization. Therefore, proper data interpretation requires a theoretical understanding of the polarization features of the instrument and accurate experimental determination of the Mueller-Stokes matrix elements describing the polarizing and depolarizing action of the system.

  16. Mapping soil features from multispectral scanner data

    NASA Technical Reports Server (NTRS)

    Kristof, S. J.; Zachary, A. L.

    1974-01-01

    In being able to identify quickly gross variations in soil features, the computer-aided classification of multispectral scanner data can be an effective aid to soil surveying. Variations in soil tone are easily seen as well as variations in features related to soil tone, e.g., drainage patterns and organic matter content. Changes in surface texture also affect the reflectance properties of soils. Inasmuch as conventional soil classes are based on both surface and subsurface soil characteristics, the technique described here can be expected only to augment and not replace traditional soil mapping.

  17. Ocean color imagery: Coastal zone color scanner

    NASA Technical Reports Server (NTRS)

    Hovis, W. A.

    1975-01-01

    Investigations into the feasibility of sensing ocean color from high altitude for determination of chlorophyll and sediment distributions were carried out using sensors on NASA aircraft, coordinated with surface measurements carried out by oceanographic vessels. Spectrometer measurements in 1971 and 1972 led to development of an imaging sensor now flying on a NASA U-2 and the Coastal Zone Color Scanner to fly on Nimbus G in 1978. Results of the U-2 effort show the imaging sensor to be of great value in sensing pollutants in the ocean.

  18. Automatic extraction of forward stroke volume using dynamic PET/CT: a dual-tracer and dual-scanner validation in patients with heart valve disease.

    PubMed

    Harms, Hendrik Johannes; Tolbod, Lars Poulsen; Hansson, Nils Henrik Stubkjær; Kero, Tanja; Orndahl, Lovisa Holm; Kim, Won Yong; Bjerner, Tomas; Bouchelouche, Kirsten; Wiggers, Henrik; Frøkiær, Jørgen; Sörensen, Jens

    2015-12-01

    The aim of this study was to develop and validate an automated method for extracting forward stroke volume (FSV) using indicator dilution theory directly from dynamic positron emission tomography (PET) studies for two different tracers and scanners. 35 subjects underwent a dynamic (11)C-acetate PET scan on a Siemens Biograph TruePoint-64 PET/CT (scanner I). In addition, 10 subjects underwent both dynamic (15)O-water PET and (11)C-acetate PET scans on a GE Discovery-ST PET/CT (scanner II). The left ventricular (LV)-aortic time-activity curve (TAC) was extracted automatically from PET data using cluster analysis. The first-pass peak was isolated by automatic extrapolation of the downslope of the TAC. FSV was calculated as the injected dose divided by the product of heart rate and the area under the curve of the first-pass peak. Gold standard FSV was measured using phase-contrast cardiovascular magnetic resonance (CMR). FSVPET correlated highly with FSVCMR (r = 0.87, slope = 0.90 for scanner I, r = 0.87, slope = 1.65, and r = 0.85, slope = 1.69 for scanner II for (15)O-water and (11)C-acetate, respectively) although a systematic bias was observed for both scanners (p < 0.001 for all). FSV based on (11)C-acetate and (15)O-water correlated highly (r = 0.99, slope = 1.03) with no significant difference between FSV estimates (p = 0.14). FSV can be obtained automatically using dynamic PET/CT and cluster analysis. Results are almost identical for (11)C-acetate and (15)O-water. A scanner-dependent bias was observed, and a scanner calibration factor is required for multi-scanner studies. Generalization of the method to other tracers and scanners requires further validation.

  19. PePSS - A portable sky scanner for measuring extremely low night-sky brightness

    NASA Astrophysics Data System (ADS)

    Kocifaj, Miroslav; Kómar, Ladislav; Kundracik, František

    2018-05-01

    A new portable sky scanner designed for low-light-level detection at night is developed and employed in night sky brightness measurements in a rural region. The fast readout, adjustable sensitivity and linear response guaranteed in 5-6 orders of magnitude makes the device well suited for narrow-band photometry in both dark areas and bright urban and suburban environments. Quasi-monochromatic night-sky brightness data are advantageous in the accurate characterization of spectral power distribution of scattered and emitted light and, also allows for the possibility to retrieve light output patterns from whole-city light sources. The sky scanner can operate in both night and day regimes, taking advantage of the complementarity of both radiance data types. Due to its inherent very high sensitivity the photomultiplier tube could be used in night sky radiometry, while the spectrometer-equipped system component capable of detecting elevated intensities is used in daylight monitoring. Daylight is a source of information on atmospheric optical properties that in turn are necessary in processing night sky radiances. We believe that the sky scanner has the potential to revolutionize night-sky monitoring systems.

  20. Utilization of a Terrestrial Laser Scanner for the Calibration of Mobile Mapping Systems

    PubMed Central

    Hong, Seunghwan; Park, Ilsuk; Lee, Jisang; Lim, Kwangyong; Choi, Yoonjo; Sohn, Hong-Gyoo

    2017-01-01

    This paper proposes a practical calibration solution for estimating the boresight and lever-arm parameters of the sensors mounted on a Mobile Mapping System (MMS). On our MMS devised for conducting the calibration experiment, three network video cameras, one mobile laser scanner, and one Global Navigation Satellite System (GNSS)/Inertial Navigation System (INS) were mounted. The geometric relationships between three sensors were solved by the proposed calibration, considering the GNSS/INS as one unit sensor. Our solution basically uses the point cloud generated by a 3-dimensional (3D) terrestrial laser scanner rather than using conventionally obtained 3D ground control features. With the terrestrial laser scanner, accurate and precise reference data could be produced and the plane features corresponding with the sparse mobile laser scanning data could be determined with high precision. Furthermore, corresponding point features could be extracted from the dense terrestrial laser scanning data and the images captured by the video cameras. The parameters of the boresight and the lever-arm were calculated based on the least squares approach and the precision of the boresight and lever-arm could be achieved by 0.1 degrees and 10 mm, respectively. PMID:28264457

  1. Transient beam oscillation with a highly dynamic scanner for laser beam fusion cutting

    NASA Astrophysics Data System (ADS)

    Goppold, Cindy; Pinder, Thomas; Herwig, Patrick

    2016-02-01

    Sheet metals with thicknesses >8 mm have a distinct cutting performance. The free choice of the optical configuration composed of fiber diameter, collimation, and focal length offers many opportunities to influence the static beam geometry. Previous analysis points out the limitations of this method in the thick section area. Within the present study, an experimental investigation of fiber laser fusion cutting of 12 mm stainless steel was performed by means of dynamical beam oscillation. Two standard optical setups are combined with a highly dynamic galvano-driven scanner that achieves frequencies up to 4 kHz. Dependencies of the scanner parameter, the optical circumstances, and the conventional cutting parameters are discussed. The aim is to characterize the capabilities and challenges of the dynamic beam shaping in comparison to the state-of-the-art static beam shaping. Thus, the trials are evaluated by quality criteria of the cut edge as surface roughness and burr height, the feed rate, and the cut kerf geometry. The investigation emphasizes promising procedural possibilities for improvements of the cutting performance in the case of fiber laser fusion cutting of thick stainless steel by means of the application of a highly dynamic scanner.

  2. A procedure for automated land use mapping using remotely sensed multispectral scanner data

    NASA Technical Reports Server (NTRS)

    Whitley, S. L.

    1975-01-01

    A system of processing remotely sensed multispectral scanner data by computer programs to produce color-coded land use maps for large areas is described. The procedure is explained, the software and the hardware are described, and an analogous example of the procedure is presented. Detailed descriptions of the multispectral scanners currently in use are provided together with a summary of the background of current land use mapping techniques. The data analysis system used in the procedure and the pattern recognition software used are functionally described. Current efforts by the NASA Earth Resources Laboratory to evaluate operationally a less complex and less costly system are discussed in a separate section.

  3. Surface-micromachined 2D optical scanners with optically flat single-crystalline silicon micromirrors

    NASA Astrophysics Data System (ADS)

    Su, John G.; Patterson, Pamela R.; Wu, Ming C.

    2001-05-01

    We have developed a novel wafer-scale single-crystalline silicon micromirror bonding process to fabricate optically flat micromirrors on polysilicon surface-micromachined 2D scanners. The electrostatically actuated 2D scanner has a mirror area of 450 micrometers x 450 micrometers and an optical scan angle of +/- +/-7.5 degree(s). Compared to micromirrors made with a standard polysilicon surface-micromachining process, the radius of curvature of the micromirror has been improved by 1 50 times from 1.8 cm to 265 cm, with surface roughness < 10 nm. Besides, single-crystalline honeycomb micromirrors derived from silicon on insulator (SOI) have been developed to reduce the mass of the bonded mirror.

  4. Survey of computed tomography scanners in Taiwan: Dose descriptors, dose guidance levels, and effective doses

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tsai, H. Y.; Tung, C. J.; Yu, C. C.

    2007-04-15

    The IAEA and the ICRP recommended dose guidance levels for the most frequent computed tomography (CT) examinations to promote strategies for the optimization of radiation dose to CT patients. A national survey, including on-site measurements and questionnaires, was conducted in Taiwan in order to establish dose guidance levels and evaluate effective doses for CT. The beam quality and output and the phantom doses were measured for nine representative CT scanners. Questionnaire forms were completed by respondents from facilities of 146 CT scanners out of 285 total scanners. Information on patient, procedure, scanner, and technique for the head and body examinationsmore » was provided. The weighted computed tomography dose index (CTDI{sub w}), the dose length product (DLP), organ doses and effective dose were calculated using measured data, questionnaire information and Monte Carlo simulation results. A cost-effective analysis was applied to derive the dose guidance levels on CTDI{sub w} and DLP for several CT examinations. The mean effective dose{+-}standard deviation distributes from 1.6{+-}0.9 mSv for the routine head examination to 13{+-}11 mSv for the examination of liver, spleen, and pancreas. The surveyed results and the dose guidance levels were provided to the national authorities to develop quality control standards and protocols for CT examinations.« less

  5. Performance comparison of two commercial BGO-based PET/CT scanners using NEMA NU 2-2001

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bolard, Gregory; Prior, John O.; Modolo, Luca

    2007-07-15

    Combined positron emission tomography and computed tomography (PET/CT) scanners play a major role in medicine for in vivo imaging in an increasing number of diseases in oncology, cardiology, neurology, and psychiatry. With the advent of short-lived radioisotopes other than {sup 18}F and newer scanners, there is a need to optimize radioisotope activity and acquisition protocols, as well as to compare scanner performances on an objective basis. The Discovery-LS (D-LS) was among the first clinical PET/CT scanners to be developed and has been extensively characterized with older National Electrical Manufacturer Association (NEMA) NU 2-1994 standards. At the time of publication ofmore » the latest version of the standards (NU 2-2001) that have been adapted for whole-body imaging under clinical conditions, more recent models from the same manufacturer, i.e., Discovery-ST (D-ST) and Discovery-STE (D-STE), were commercially available. We report on the full characterization both in the two- and three-dimensional acquisition mode of the D-LS according to latest NEMA NU 2-2001 standards (spatial resolution, sensitivity, count rate performance, accuracy of count losses, and random coincidence correction and image quality), as well as a detailed comparison with the newer D-ST widely used and whose characteristics are already published.« less

  6. Development of a Compact Optical-MEMS Scanner with Integrated VCSEL Light Source and Diffractive Optics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Krygowski, Thomas W.; Reyes, David; Rodgers, M. Steven

    1999-06-30

    In this work the design and initial fabrication results are reported for the components of a compact optical-MEMS laser scanning system. This system integrates a silicon MEMS laser scanner, a Vertical Cavity Surface Emitting Laser (VCSEL) and passive optical components. The MEMS scanner and VCSEL are mounted onto a fused silica substrate which serves as an optical interconnect between the devices. Two Diffractive Optical Elements (DOEs) are etched into the fused silica substrate to focus the VCSEL beam and increase the scan range. The silicon MEMS scanner consists of an actuator that continuously scans the position of a large polysiliconmore » gold-coated shuttle containing a third DOE. Interferometric measurements show that the residual stress in the 500 {micro}m x 1000 {micro}m shuttle is extremely low, with a maximum deflection of only 0.18{micro}m over an 800 {micro}m span for an unmetallized case and a deflection of 0.56{micro}m for the metallized case. A conservative estimate for the scan range is {approximately}{+-}4{degree}, with a spot size of about 0.5 mm, producing 50 resolvable spots. The basic system architecture, optical and MEMS design is reported in this paper, with an emphasis on the design and fabrication of the silicon MEMS scanner portion of the system.« less

  7. Engineering evaluation of 24 channel multispectral scanner. [from flight tests

    NASA Technical Reports Server (NTRS)

    Lambeck, P. F.

    1973-01-01

    The results of flight tests to evaluate the performance of the 24 channel multispectral scanner are reported. The flight plan and test site are described along with the time response and channel registration. The gain and offset drift, and moire patterns are discussed. Aerial photographs of the test site are included.

  8. A Test Strategy for High Resolution Image Scanners.

    DTIC Science & Technology

    1983-10-01

    for multivariate analysis. Holt, Richart and Winston, Inc., New York. Graybill , F.A., 1961: An introduction to linear statistical models . SVolume I...i , j i -(7) 02 1 )2 y 4n .i ij 13 The linear estimation model for the polynomial coefficients can be set up as - =; =(8) with T = ( x’ . . X-nn "X...Resolution Image Scanner MTF Geometrical and radiometric performance Dynamic range, linearity , noise - Dynamic scanning errors Response uniformity Skewness of

  9. Ultra-compact imaging plate scanner module using a MEMS mirror and specially designed MPPC

    NASA Astrophysics Data System (ADS)

    Miyamoto, Yuichi; Sasaki, Kensuke; Takasaka, Masaomi; Fujimoto, Masatoshi; Yamamoto, Koei

    2017-02-01

    Computed radiography (CR), which is one of the most useful methods for dental imaging and nondestructive testing, uses a phosphor imaging plate (IP) because it is flexible, reusable, and inexpensive. Conventional IP scanners utilize a galvanometer or a polygon mirror as a scanning device and a photomultiplier as an optical sensor. Microelectromechanical systems (MEMS) technology currently provides silicon-based devices and has the potential to replace such discrete devices and sensors. Using these devices, we constructed an ultra-compact IP scanner. Our extremely compact plate scanner utilizes a module that is composed of a one-dimensional MEMS mirror and a long multi-pixel photon counter (MPPC) that is combined with a specially designed wavelength filter and a rod lens. The MEMS mirror, which is a non-resonant electromagnetic type, is 2.6 mm in diameter with a recommended optical scanning angle up to +/-15°. The CR's wide dynamic range is maintained using a newly developed MPPC. The MPPC is a sort of silicon photomultiplier and is a high-sensitivity photon-counting device. To achieve such a wide dynamic range, we developed a long MPPC that has over 10,000 pixels. For size reduction and high optical efficiency, we set the MPPC close to an IP across the rod lens. To prevent the MPPC from detecting excitation light, which is much more intense than photo-stimulated light, we produced a sharp-cut wavelength filter that has a wide angle (+/-60°) of tolerance. We evaluated our constructed scanner module through gray chart and resolution chart images.

  10. Reproducibility of MRI-determined proton density fat fraction across two different MR scanner platforms.

    PubMed

    Kang, Geraldine H; Cruite, Irene; Shiehmorteza, Masoud; Wolfson, Tanya; Gamst, Anthony C; Hamilton, Gavin; Bydder, Mark; Middleton, Michael S; Sirlin, Claude B

    2011-10-01

    To evaluate magnetic resonance imaging (MRI)-determined proton density fat fraction (PDFF) reproducibility across two MR scanner platforms and, using MR spectroscopy (MRS)-determined PDFF as reference standard, to confirm MRI-determined PDFF estimation accuracy. This prospective, cross-sectional, crossover, observational pilot study was approved by an Institutional Review Board. Twenty-one subjects gave written informed consent and underwent liver MRI and MRS at both 1.5T (Siemens Symphony scanner) and 3T (GE Signa Excite HD scanner). MRI-determined PDFF was estimated using an axial 2D spoiled gradient-recalled echo sequence with low flip-angle to minimize T1 bias and six echo-times to permit correction of T2* and fat-water signal interference effects. MRS-determined PDFF was estimated using a stimulated-echo acquisition mode sequence with long repetition time to minimize T1 bias and five echo times to permit T2 correction. Interscanner reproducibility of MRI determined PDFF was assessed by correlation analysis; accuracy was assessed separately at each field strength by linear regression analysis using MRS-determined PDFF as reference standard. 1.5T and 3T MRI-determined PDFF estimates were highly correlated (r = 0.992). MRI-determined PDFF estimates were accurate at both 1.5T (regression slope/intercept = 0.958/-0.48) and 3T (slope/intercept = 1.020/0.925) against the MRS-determined PDFF reference. MRI-determined PDFF estimation is reproducible and, using MRS-determined PDFF as reference standard, accurate across two MR scanner platforms at 1.5T and 3T. Copyright © 2011 Wiley-Liss, Inc.

  11. Quantitative comparison of noise texture across CT scanners from different manufacturers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Solomon, Justin B.; Christianson, Olav; Samei, Ehsan

    2012-10-15

    Purpose: To quantitatively compare noise texture across computed tomography (CT) scanners from different manufacturers using the noise power spectrum (NPS). Methods: The American College of Radiology CT accreditation phantom (Gammex 464, Gammex, Inc., Middleton, WI) was imaged on two scanners: Discovery CT 750HD (GE Healthcare, Waukesha, WI), and SOMATOM Definition Flash (Siemens Healthcare, Germany), using a consistent acquisition protocol (120 kVp, 0.625/0.6 mm slice thickness, 250 mAs, and 22 cm field of view). Images were reconstructed using filtered backprojection and a wide selection of reconstruction kernels. For each image set, the 2D NPS were estimated from the uniform section ofmore » the phantom. The 2D spectra were normalized by their integral value, radially averaged, and filtered by the human visual response function. A systematic kernel-by-kernel comparison across manufacturers was performed by computing the root mean square difference (RMSD) and the peak frequency difference (PFD) between the NPS from different kernels. GE and Siemens kernels were compared and kernel pairs that minimized the RMSD and |PFD| were identified. Results: The RMSD (|PFD|) values between the NPS of GE and Siemens kernels varied from 0.01 mm{sup 2} (0.002 mm{sup -1}) to 0.29 mm{sup 2} (0.74 mm{sup -1}). The GE kernels 'Soft,''Standard,''Chest,' and 'Lung' closely matched the Siemens kernels 'B35f,''B43f,''B41f,' and 'B80f' (RMSD < 0.05 mm{sup 2}, |PFD| < 0.02 mm{sup -1}, respectively). The GE 'Bone,''Bone+,' and 'Edge' kernels all matched most closely with Siemens 'B75f' kernel but with sizeable RMSD and |PFD| values up to 0.18 mm{sup 2} and 0.41 mm{sup -1}, respectively. These sizeable RMSD and |PFD| values corresponded to visually perceivable differences in the noise texture of the images. Conclusions: It is possible to use the NPS to quantitatively compare noise texture across CT systems. The degree to which similar texture across scanners could be achieved varies and

  12. Lack of CT scanner in a rural emergency department increases inter-facility transfers: a pilot study.

    PubMed

    Bergeron, Catherine; Fleet, Richard; Tounkara, Fatoumata Korika; Lavallée-Bourget, Isabelle; Turgeon-Pelchat, Catherine

    2017-12-28

    Rural emergency departments (EDs) are an important gateway to care for the 20% of Canadians who reside in rural areas. Less than 15% of Canadian rural EDs have access to a computed tomography (CT) scanner. We hypothesized that a significant proportion of inter-facility transfers from rural hospitals without CT scanners are for CT imaging. Our objective was to assess inter-facility transfers for CT imaging in a rural ED without a CT scanner. We selected a rural ED that offers 24/7 medical care with admission beds but no CT scanner. Descriptive statistics were collected from 2010 to 2015 on total ED visits and inter-facility transfers. Data was accessible through hospital and government databases. Between 2010 and 2014, there were respectively 13,531, 13,524, 13,827, 12,883, and 12,942 ED visits, with an average of 444 inter-facility transfers. An average of 33% (148/444) of inter-facility transfers were to a rural referral centre with a CT scan, with 84% being for CT scan. Inter-facility transfers incur costs and potential delays in patient diagnosis and management, yet current databases could not capture transfer times. Acquiring a CT scan may represent a reasonable opportunity for the selected rural hospital considering the number of required transfers.

  13. LAPR: An experimental aircraft pushbroom scanner

    NASA Technical Reports Server (NTRS)

    Wharton, S. W.; Irons, J. I.; Heugel, F.

    1980-01-01

    A three band Linear Array Pushbroom Radiometer (LAPR) was built and flown on an experimental basis by NASA at the Goddard Space Flight Center. The functional characteristics of the instrument and the methods used to preprocess the data, including radiometric correction, are described. The radiometric sensitivity of the instrument was tested and compared to that of the Thematic Mapper and the Multispectral Scanner. The radiometric correction procedure was evaluated quantitatively, using laboratory testing, and qualitatively, via visual examination of the LAPR test flight imagery. Although effective radiometric correction could not yet be demonstrated via laboratory testing, radiometric distortion did not preclude the visual interpretation or parallel piped classification of the test imagery.

  14. Description of algorithms for processing Coastal Zone Color Scanner (CZCS) data

    NASA Technical Reports Server (NTRS)

    Zion, P. M.

    1983-01-01

    The algorithms for processing coastal zone color scanner (CZCS) data to geophysical units (pigment concentration) are described. Current public domain information for processing these data is summarized. Calibration, atmospheric correction, and bio-optical algorithms are presented. Three CZCS data processing implementations are compared.

  15. Quantitative PET/CT scanner performance characterization based upon the society of nuclear medicine and molecular imaging clinical trials network oncology clinical simulator phantom.

    PubMed

    Sunderland, John J; Christian, Paul E

    2015-01-01

    The Clinical Trials Network (CTN) of the Society of Nuclear Medicine and Molecular Imaging (SNMMI) operates a PET/CT phantom imaging program using the CTN's oncology clinical simulator phantom, designed to validate scanners at sites that wish to participate in oncology clinical trials. Since its inception in 2008, the CTN has collected 406 well-characterized phantom datasets from 237 scanners at 170 imaging sites covering the spectrum of commercially available PET/CT systems. The combined and collated phantom data describe a global profile of quantitative performance and variability of PET/CT data used in both clinical practice and clinical trials. Individual sites filled and imaged the CTN oncology PET phantom according to detailed instructions. Standard clinical reconstructions were requested and submitted. The phantom itself contains uniform regions suitable for scanner calibration assessment, lung fields, and 6 hot spheric lesions with diameters ranging from 7 to 20 mm at a 4:1 contrast ratio with primary background. The CTN Phantom Imaging Core evaluated the quality of the phantom fill and imaging and measured background standardized uptake values to assess scanner calibration and maximum standardized uptake values of all 6 lesions to review quantitative performance. Scanner make-and-model-specific measurements were pooled and then subdivided by reconstruction to create scanner-specific quantitative profiles. Different makes and models of scanners predictably demonstrated different quantitative performance profiles including, in some cases, small calibration bias. Differences in site-specific reconstruction parameters increased the quantitative variability among similar scanners, with postreconstruction smoothing filters being the most influential parameter. Quantitative assessment of this intrascanner variability over this large collection of phantom data gives, for the first time, estimates of reconstruction variance introduced into trials from allowing

  16. ADP of multispectral scanner data for land use mapping

    NASA Technical Reports Server (NTRS)

    Hoffer, R. M.

    1971-01-01

    The advantages and disadvantages of various remote sensing instrumentation and analysis techniques are reviewed. The use of multispectral scanner data and the automatic data processing techniques are considered. A computer-aided analysis system for remote sensor data is described with emphasis on the image display, statistics processor, wavelength band selection, classification processor, and results display. Advanced techniques in using spectral and temporal data are also considered.

  17. Method of incident low-energy gamma-ray direction reconstruction in the GAMMA-400 gamma-ray space telescope

    NASA Astrophysics Data System (ADS)

    Kheymits, M. D.; Leonov, A. A.; Zverev, V. G.; Galper, A. M.; Arkhangelskaya, I. V.; Arkhangelskiy, A. I.; Suchkov, S. I.; Topchiev, N. P.; Yurkin, Yu T.; Bakaldin, A. V.; Dalkarov, O. D.

    2016-02-01

    The GAMMA-400 gamma-ray space-based telescope has as its main goals to measure cosmic γ-ray fluxes and the electron-positron cosmic-ray component produced, theoretically, in dark-matter-particles decay or annihilation processes, to search for discrete γ-ray sources and study them in detail, to examine the energy spectra of diffuse γ-rays — both galactic and extragalactic — and to study gamma-ray bursts (GRBs) and γ-rays from the active Sun. Scientific goals of GAMMA-400 telescope require fine angular resolution. The telescope is of a pair-production type. In the converter-tracker, the incident gamma-ray photon converts into electron-positron pair in the tungsten layer and then the tracks are detected by silicon- strip position-sensitive detectors. Multiple scattering processes become a significant obstacle in the incident-gamma direction reconstruction for energies below several gigaelectronvolts. The method of utilising this process to improve the resolution is proposed in the presented work.

  18. Development and performance evaluation of an experimental fine pitch detector multislice CT scanner.

    PubMed

    Imai, Yasuhiro; Nukui, Masatake; Ishihara, Yotaro; Fujishige, Takashi; Ogata, Kentaro; Moritake, Masahiro; Kurochi, Haruo; Ogata, Tsuyoshi; Yahata, Mitsuru; Tang, Xiangyang

    2009-04-01

    The authors have developed an experimental fine pitch detector multislice CT scanner with an ultrasmall focal spot x-ray tube and a high-density matrix detector through current CT technology. The latitudinal size of the x-ray tube focal spot was 0.4 mm. The detector dimension was 1824 channels (azimuthal direction) x 32 rows (longitudinal direction) at row width of 0.3125 mm, in which a thinner reflected separator surrounds each detector cell coupled with a large active area photodiode. They were mounted on a commercial 64-slice CT scanner gantry while the scan field of view (50 cm) and gantry rotation speed (0.35 s) can be maintained. The experimental CT scanner demonstrated the spatial resolution of 0.21-0.22 mm (23.8-22.7 lp/cm) with the acrylic slit phantom and in-plane 50%-MTF 9.0 lp/cm and 10%-MTF 22.0 lp/cm. In the longitudinal direction, it demonstrated the spatial resolution of 0.24 mm with the high-resolution insert of the CATPHAN phantom and 0.34 mm as the full width at half maximum of the slice sensitivity profile. In low-contrast detectability, 3 mm at 0.3% was visualized at the CTDI(vol) of 47.2 mGy. Two types of 2.75 mm diameter vessel phantoms with in-stent stenosis at 25%, 50%, and 75% stair steps were scanned, and the reconstructed images can clearly resolve the stenosis at each case. The experimental CT scanner provides high-resolution imaging while maintaining low-contrast detectability, demonstrating the potentiality for clinical applications demanding high spatial resolution, such as imaging of inner ear, lung, and bone, or low-contrast detectability, such as imaging of coronary artery.

  19. Imaging performance of LabPET APD-based digital PET scanners for pre-clinical research

    NASA Astrophysics Data System (ADS)

    Bergeron, Mélanie; Cadorette, Jules; Tétrault, Marc-André; Beaudoin, Jean-François; Leroux, Jean-Daniel; Fontaine, Réjean; Lecomte, Roger

    2014-02-01

    The LabPET is an avalanche photodiode (APD) based digital PET scanner with quasi-individual detector read-out and highly parallel electronic architecture for high-performance in vivo molecular imaging of small animals. The scanner is based on LYSO and LGSO scintillation crystals (2×2×12/14 mm3), assembled side-by-side in phoswich pairs read out by an APD. High spatial resolution is achieved through the individual and independent read-out of an individual APD detector for recording impinging annihilation photons. The LabPET exists in three versions, LabPET4 (3.75 cm axial length), LabPET8 (7.5 cm axial length) and LabPET12 (11.4 cm axial length). This paper focuses on the systematic characterization of the three LabPET versions using two different energy window settings to implement a high-efficiency mode (250-650 keV) and a high-resolution mode (350-650 keV) in the most suitable operating conditions. Prior to measurements, a global timing alignment of the scanners and optimization of the APD operating bias have been carried out. Characteristics such as spatial resolution, absolute sensitivity, count rate performance and image quality have been thoroughly investigated following the NEMA NU 4-2008 protocol. Phantom and small animal images were acquired to assess the scanners' suitability for the most demanding imaging tasks in preclinical biomedical research. The three systems achieve the same radial FBP spatial resolution at 5 mm from the field-of-view center: 1.65/3.40 mm (FWHM/FWTM) for an energy threshold of 250 keV and 1.51/2.97 mm for an energy threshold of 350 keV. The absolute sensitivity for an energy window of 250-650 keV is 1.4%/2.6%/4.3% for LabPET4/8/12, respectively. The best count rate performance peaking at 362 kcps is achieved by the LabPET12 with an energy window of 250-650 keV and a mouse phantom (2.5 cm diameter) at an activity of 2.4 MBq ml-1. With the same phantom, the scatter fraction for all scanners is about 17% for an energy threshold of

  20. Pin-photodiode array for the measurement of fan-beam energy and air kerma distributions of X-ray CT scanners.

    PubMed

    Haba, Tomonobu; Koyama, Shuji; Aoyama, Takahiko; Kinomura, Yutaka; Ida, Yoshihiro; Kobayashi, Masanao; Kameyama, Hiroshi; Tsutsumi, Yoshinori

    2016-07-01

    Patient dose estimation in X-ray computed tomography (CT) is generally performed by Monte Carlo simulation of photon interactions within anthropomorphic or cylindrical phantoms. An accurate Monte Carlo simulation requires an understanding of the effects of the bow-tie filter equipped in a CT scanner, i.e. the change of X-ray energy and air kerma along the fan-beam arc of the CT scanner. To measure the effective energy and air kerma distributions, we devised a pin-photodiode array utilizing eight channels of X-ray sensors arranged at regular intervals along the fan-beam arc of the CT scanner. Each X-ray sensor consisted of two plate type of pin silicon photodiodes in tandem - front and rear photodiodes - and of a lead collimator, which only allowed X-rays to impinge vertically to the silicon surface of the photodiodes. The effective energy of the X-rays was calculated from the ratio of the output voltages of the photodiodes and the dose was calculated from the output voltage of the front photodiode using the energy and dose calibration curves respectively. The pin-photodiode array allowed the calculation of X-ray effective energies and relative doses, at eight points simultaneously along the fan-beam arc of a CT scanner during a single rotation of the scanner. The fan-beam energy and air kerma distributions of CT scanners can be effectively measured using this pin-photodiode array. Copyright © 2016 Associazione Italiana di Fisica Medica. Published by Elsevier Ltd. All rights reserved.

  1. A phantom study for the comparison of different brands of computed tomography scanners and software packages for endovascular aneurysm repair sizing and planning.

    PubMed

    Velu, Juliëtte F; Groot Jebbink, Erik; de Vries, Jean-Paul Pm; van der Palen, Job Am; Slump, Cornelis H; Geelkerken, Robert H

    2018-04-01

    Objectives Correct sizing of endoprostheses used for the treatment of abdominal aortic aneurysms is important to prevent endoleaks and migration. Sizing requires several steps and each step introduces a possible sizing error. The goal of this study was to investigate the magnitude of these errors compared to the golden standard: a vessel phantom. This study focuses on the errors in sizing with three different brands of computed tomography angiography scanners in combination with three reconstruction software packages. Methods Three phantoms with a different diameter, altitude and azimuth were scanned with three computed tomography scanners: Toshiba Aquilion 64-slice, Philips Brilliance iCT 256-slice and Siemens Somatom Sensation 64-slice. The phantom diameters were determined in the stretched view after central lumen line reconstruction by three observers using Simbionix PROcedure Rehearsal Studio, 3mensio and TeraRecon planning software. The observers, all novices in sizing endoprostheses using planning software, measured 108 slices each. Two senior vascular surgeons set the tolerated error margin of sizing on ±1.0 mm. Results In total, 11.3% of the measurements (73/648) were outside the set margins of ±1.0 mm from the phantom diameter, with significant differences between the scanner types (14.8%, 12.1%, 6.9% for the Siemens scanner, Philips scanner and Toshiba scanner, respectively, p-value = 0.032), but not between the software packages (8.3%, 11.1%, 14.4%, p-value = 0.141) or the observers (10.6%, 9.7%, 13.4%, p-value = 0.448). Conclusions It can be concluded that the errors in sizing were independent of the used software packages, but the phantoms scanned with Siemens scanner were significantly more measured incorrectly than the phantoms scanned with the Toshiba scanner. Consequently, awareness on the type of computed tomography scanner and computed tomography scanner setting is necessary, especially in complex abdominal aortic aneurysms

  2. NHEXAS PHASE I ARIZONA STUDY--STANDARD OPERATING PROCEDURE FOR OPERATION AND MAINTENANCE OF DATA SCANNERS (UA-D-32.1)

    EPA Science Inventory

    The purpose of this SOP is to define the steps needed to operate the data scanners that were used during the Arizona NHEXAS project and the "Border" study. Keywords: data; equipment; scanner.

    The National Human Exposure Assessment Survey (NHEXAS) is a federal interagency resear...

  3. A Hybrid Soft-computing Method for Image Analysis of Digital Plantar Scanners.

    PubMed

    Razjouyan, Javad; Khayat, Omid; Siahi, Mehdi; Mansouri, Ali Alizadeh

    2013-01-01

    Digital foot scanners have been developed in recent years to yield anthropometrists digital image of insole with pressure distribution and anthropometric information. In this paper, a hybrid algorithm containing gray level spatial correlation (GLSC) histogram and Shanbag entropy is presented for analysis of scanned foot images. An evolutionary algorithm is also employed to find the optimum parameters of GLSC and transform function of the membership values. Resulting binary images as the thresholded images are undergone anthropometric measurements taking in to account the scale factor of pixel size to metric scale. The proposed method is finally applied to plantar images obtained through scanning feet of randomly selected subjects by a foot scanner system as our experimental setup described in the paper. Running computation time and the effects of GLSC parameters are investigated in the simulation results.

  4. 21. View from south to southerly face of scanner building ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    21. View from south to southerly face of scanner building 104 showing building radius. Radius of building face matches radius of DR antenna systems. - Clear Air Force Station, Ballistic Missile Early Warning System Site II, One mile west of mile marker 293.5 on Parks Highway, 5 miles southwest of Anderson, Anderson, Denali Borough, AK

  5. 20. View from northeast to southwest side of scanner building ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    20. View from northeast to southwest side of scanner building 104 showing two waveguide termination faces (fiberglass light bands on left of photograph). - Clear Air Force Station, Ballistic Missile Early Warning System Site II, One mile west of mile marker 293.5 on Parks Highway, 5 miles southwest of Anderson, Anderson, Denali Borough, AK

  6. Inguinal hernia and airport scanners: an emerging indication for repair?

    PubMed

    Naraynsingh, Vijay; Cawich, Shamir O; Maharaj, Ravi; Dan, Dilip

    2013-01-01

    The use of advanced imaging technology at international airports is increasing in popularity as a corollary to heightened security concerns across the globe. Operators of airport scanners should be educated about common medical disorders such as inguinal herniae in order to avoid unnecessary harassment of travelers since they will encounter these with increasing frequency.

  7. Design and Performance of the GAMMA-400 Gamma-Ray Telescope for Dark Matter Searches

    NASA Technical Reports Server (NTRS)

    Galper, A.M.; Adriani, O.; Aptekar, R. L.; Arkhangelskaja, I. V.; Arkhangelskiy, A.I.; Boezio, M.; Bonvicini, V.; Boyarchuk, K. A.; Fradkin, M. I.; Gusakov, Yu. V.; hide

    2012-01-01

    The GAMMA-400 gamma-ray telescope is designed to measure the fluxes of gamma-rays and cosmic-ray electrons + positrons, which can be produced by annihilation or decay of the dark matter particles, as well as to survey the celestial sphere in order to study point and extended sources of gamma-rays, measure energy spectra of Galactic and extragalactic diffuse gamma-ray emission, gamma-ray bursts, and gamma-ray emission from the Sun. GAMMA-400 covers the energy range from 100 MeV to 3000 GeV. Its angular resolution is approx. 0.01 deg (E(sub gamma) > 100 GeV), the energy resolution approx. 1% (E(sub gamma) > 10 GeV), and the proton rejection factor approx 10(exp 6). GAMMA-400 will be installed on the Russian space platform Navigator. The beginning of observations is planned for 2018.

  8. Scanner K-line photometry of Orion stars

    NASA Technical Reports Server (NTRS)

    Hesser, J. E.; Mcclintock, W.; Henry, R. C.

    1977-01-01

    Results are presented for two-channel scanner measurements of calcium K-line strengths in 39 Orion sword and belt stars. Values of the calcium k index and its associated standard error are given for each observed star, and the K-line strengths are compared with those of K-line standard stars and Hyades stars. Plots of k index against reddening-corrected color and of k-index deviation against metal-strength index deviation are provided which show that the Orion sword and belt stars do not differ significantly in their calcium and metal abundances from general field stars.

  9. Influence of scanner, powder application, and adjustments on CAD-CAM crown misfit.

    PubMed

    Prudente, Marcel S; Davi, Letícia R; Nabbout, Kemilly O; Prado, Célio J; Pereira, Leandro M; Zancopé, Karla; Neves, Flávio D

    2018-03-01

    The manufacturers of computer-aided design and computer-aided manufacturing (CAD-CAM) systems emphasize that new technologies can improve the marginal fit of dental crowns. However, data supporting this claim are limited. The purpose of this in vitro study was to investigate the differences among the following fabrication methods on the marginal discrepancy of dental crowns: intraoral optical scanners, powder application, and adjustments of intaglio surface. A single human premolar was fixed on a typodont and prepared to receive crowns prepared by the CEREC CAD-CAM system. Three fabrication techniques were used: digital scans using the CEREC Bluecam scanner with titanium dioxide powder (TDP), digital scans using the CEREC Omnicam scanner without TDP, and digital scans using the Omnicam scanner with TDP. Five experimental groups (n=10) were designated: Bluecam (group B), Bluecam with adjustments (group BA), Omnicam (group O), Omnicam with adjustments (group OA), and Omnicam with TDP (group OP). The specimens were scanned using microcomputed tomography to measure the vertical, horizontal, and internal fit and volumetric 3-dimensional (3D) internal fit values of each luting space. The paired t test was used to evaluate mean marginal fit change after adjustments within the same group. One-way analysis of variance and post hoc tests were used to compare groups B, O, and OP (α=.05). Mean vertical fit values ±standard deviations of group B=29.5 ±13.2 μm; BA=26.9 ±7.7 μm; O=149.4 ±64.4 μm; OA=49.4 ±12.7 μm; and OP=33.0 ±8.3 μm. Adjustments in the intaglio surface and TDP application statistically influenced the vertical fit of group O (P<.001). The percentage of vertical fit values <75 μm in group B=89.3%, BA=92.7%, O=31.0%, OA=73.5%, and OP=92.0%. Mean horizontal fit values for group B=56.2 ±21.5 μm; 85.8 ±44.4 μm for group BA; 77.5 ±11.8 μm for group O; 102.5 ±16.2 μm for group OA; and 91.4 ±19.4 μm for group OP. Results from group B were

  10. High efficiency conical scanner for earth resources applications

    NASA Technical Reports Server (NTRS)

    Bates, J. C.; Dumas, H. J., Jr.

    1975-01-01

    A description is given of a six-arm conical scanner which was selected to provide a continuous line-of-sight scan. Two versions of the instrument are considered. The two versions differ in their weight. The weight of the heavy version is 600 lbs. A light weight design which employs beryllium and aluminum optical components weighs only 350 lbs. A multiplexer and analog-to-digital converter are to be incorporated into the design. Questions of instrument performance are also discussed.

  11. Evaluation Of Back Shape Using The ISIS Scanner

    NASA Astrophysics Data System (ADS)

    Turner-Smith, Alan R.; Thomas, David C.

    1989-04-01

    The Integrated Shape Investigation System (ISIS) is a structured light scanner and shape analysis system, developed as a safe alternative to follow-up radiographs for the clinical assessment of deformities of the human back. The system is described and results presented of several clinic studies. These show a significant correlation between ISIS measures and conventional radiographic measures of spinal curvature, such as the Cobb angle. The development of a predictor for deterioration in adolescent idiopathic scoliosis, based on surface shape weasures, is discussed.

  12. Accuracy and precision of 3 intraoral scanners and accuracy of conventional impressions: A novel in vivo analysis method.

    PubMed

    Nedelcu, R; Olsson, P; Nyström, I; Rydén, J; Thor, A

    2018-02-01

    To evaluate a novel methodology using industrial scanners as a reference, and assess in vivo accuracy of 3 intraoral scanners (IOS) and conventional impressions. Further, to evaluate IOS precision in vivo. Four reference-bodies were bonded to the buccal surfaces of upper premolars and incisors in five subjects. After three reference-scans, ATOS Core 80 (ATOS), subjects were scanned three times with three IOS systems: 3M True Definition (3M), CEREC Omnicam (OMNI) and Trios 3 (TRIOS). One conventional impression (IMPR) was taken, 3M Impregum Penta Soft, and poured models were digitized with laboratory scanner 3shape D1000 (D1000). Best-fit alignment of reference-bodies and 3D Compare Analysis was performed. Precision of ATOS and D1000 was assessed for quantitative evaluation and comparison. Accuracy of IOS and IMPR were analyzed using ATOS as reference. Precision of IOS was evaluated through intra-system comparison. Precision of ATOS reference scanner (mean 0.6 μm) and D1000 (mean 0.5 μm) was high. Pairwise multiple comparisons of reference-bodies located in different tooth positions displayed a statistically significant difference of accuracy between two scanner-groups: 3M and TRIOS, over OMNI (p value range 0.0001 to 0.0006). IMPR did not show any statistically significant difference to IOS. However, deviations of IOS and IMPR were within a similar magnitude. No statistical difference was found for IOS precision. The methodology can be used for assessing accuracy of IOS and IMPR in vivo in up to five units bilaterally from midline. 3M and TRIOS had a higher accuracy than OMNI. IMPR overlapped both groups. Intraoral scanners can be used as a replacement for conventional impressions when restoring up to ten units without extended edentulous spans. Copyright © 2017 The Authors. Published by Elsevier Ltd.. All rights reserved.

  13. Cosmic Gamma-Rays

    Science.gov Websites

    [Argonne Logo] [DOE Logo] Cosmic Gamma-Rays Home Publications Talks People Students Argonne > ; HEP > Cosmic Gamma-Rays Projects VERITAS Past Projects TrICE What's New CTA Cosmic Gamma-Rays The

  14. Design and Performance of the GAMMA-400 Gamma-Ray Telescope for Dark Matter Searches

    NASA Technical Reports Server (NTRS)

    Galper, A. M.; Adriani, O.; Aptekar, R. L.; Arkhangelskaja, I. V.; Arkhangelskiy, A. I.; Boezio, M.; Bonvicini, V.; Boyarchuk, K. A.; Fradkin, M. I.; Gusakov, Yu V.; hide

    2012-01-01

    The GAMMA-400 gamma-ray telescope is designed to measure the fluxes of gamma-rays and cosmic-ray electrons (+) positrons, which can be produced by annihilation or decay of the dark matter particles, as well as to survey the celestial sphere in order to study point and extended sources of gamma-rays, measure energy spectra of Galactic and extragalactic diffuse gamma-ray emission, gamma-ray bursts, and gamma-ray emission from the Sun. GAMMA-400 covers the energy range from 100 MeV to 3000 GeV. Its angular resolution is approximately 0.01deg (E(sub gamma) greater than 100 GeV), the energy resolution approximately 1% (E(sub gamma) greater than 10 GeV), and the proton rejection factor approximately 10(exp 6). GAMMA-400 will be installed on the Russian space platform Navigator. The beginning of observations is planned for 2018.

  15. Note: High-speed Z tip scanner with screw cantilever holding mechanism for atomic-resolution atomic force microscopy in liquid

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Reza Akrami, Seyed Mohammad; Miyata, Kazuki; Asakawa, Hitoshi

    High-speed atomic force microscopy has attracted much attention due to its unique capability of visualizing nanoscale dynamic processes at a solid/liquid interface. However, its usability and resolution have yet to be improved. As one of the solutions for this issue, here we present a design of a high-speed Z-tip scanner with screw holding mechanism. We perform detailed comparison between designs with different actuator size and screw arrangement by finite element analysis. Based on the design giving the best performance, we have developed a Z tip scanner and measured its performance. The measured frequency response of the scanner shows a flatmore » response up to ∼10 kHz. This high frequency response allows us to achieve wideband tip-sample distance regulation. We demonstrate the applicability of the scanner to high-speed atomic-resolution imaging by visualizing atomic-scale calcite crystal dissolution process in water at 2 s/frame.« less

  16. Regulatory effect of hydroquinone-tetraethylene glycol conjugates on zebrafish pigmentation.

    PubMed

    Le, Hoa Thi; Hong, Bin Na; Lee, Yeong Ro; Cheon, Ji Hyun; Kang, Tong Ho; Kim, Tae Woo

    2016-01-15

    We synthesized two hydroquinone-tetraethylene glycol conjugates (HQ-TGs) and investigated their logP, photophysical stability, and redox chemical stability. HQ-TGs are a little more hydrophilic than hydroquinone (HQ) and show an enhanced photophysical and redox chemical stability compared with HQ. In addition we studied the effect of HQ-TGs on cell viability and on zebrafish pigmentation. MTT assay in HF-16 cells showed HQ-TGs are less cytotoxic than HQ. The phenotype-based image analysis of zebrafish larvae suggests that HQ-TGs suppress the pigmentation of zebrafish in a dose-dependent manner. The comparative experiments on stability, cytotoxicity, and zebrafish pigmentation between HQ and HQ-TGs suggest that mono tetraethylene glycol-functionalization of HQ is an alternative solution to overcome the adverse effect of HQ. Copyright © 2015 Elsevier Ltd. All rights reserved.

  17. Inguinal Hernia and Airport Scanners: An Emerging Indication for Repair?

    PubMed Central

    Cawich, Shamir O.; Maharaj, Ravi; Dan, Dilip

    2013-01-01

    The use of advanced imaging technology at international airports is increasing in popularity as a corollary to heightened security concerns across the globe. Operators of airport scanners should be educated about common medical disorders such as inguinal herniae in order to avoid unnecessary harassment of travelers since they will encounter these with increasing frequency. PMID:24368923

  18. 9. View of back side of radar scanner building no. ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    9. View of back side of radar scanner building no. 106 showing passageway links to other buildings east and west, and DR 3 antenna in background. - Clear Air Force Station, Ballistic Missile Early Warning System Site II, One mile west of mile marker 293.5 on Parks Highway, 5 miles southwest of Anderson, Anderson, Denali Borough, AK

  19. 10. View of back side of radar scanner building no. ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    10. View of back side of radar scanner building no. 104 showing passageway links to other building to east and DR 1 antenna in background. - Clear Air Force Station, Ballistic Missile Early Warning System Site II, One mile west of mile marker 293.5 on Parks Highway, 5 miles southwest of Anderson, Anderson, Denali Borough, AK

  20. Prototype active scanner for nighttime oil spill mapping and classification

    NASA Technical Reports Server (NTRS)

    Sandness, G. A.; Ailes, S. B.

    1977-01-01

    A prototype, active, aerial scanner system was constructed for nighttime water pollution detection and nighttime multispectral imaging of the ground. An arc lamp was used to produce the transmitted light and four detector channels provided a multispectral measurement capability. The feasibility of the design concept was demonstrated by laboratory and flight tests of the prototype system.

  1. NREL Develops High-Speed Scanner to Monitor Fuel Cell Material Defects

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    2015-09-01

    This highlight describes results of recent work in which polymer electrolyte membrane fuel cell electrodes with intentionally introduced known defects were imaged and analyzed using a fuel cell scanner recently developed at NREL. The highlight is being developed for the September 2015 Alliance S&T Board meeting.

  2. Validity and reliability of intraoral scanners compared to conventional gypsum models measurements: a systematic review.

    PubMed

    Aragón, Mônica L C; Pontes, Luana F; Bichara, Lívia M; Flores-Mir, Carlos; Normando, David

    2016-08-01

    The development of 3D technology and the trend of increasing the use of intraoral scanners in dental office routine lead to the need for comparisons with conventional techniques. To determine if intra- and inter-arch measurements from digital dental models acquired by an intraoral scanner are as reliable and valid as the similar measurements achieved from dental models obtained through conventional intraoral impressions. An unrestricted electronic search of seven databases until February 2015. Studies that focused on the accuracy and reliability of images obtained from intraoral scanners compared to images obtained from conventional impressions. After study selection the QUADAS risk of bias assessment tool for diagnostic studies was used to assess the risk of bias (RoB) among the included studies. Four articles were included in the qualitative synthesis. The scanners evaluated were OrthoProof, Lava, iOC intraoral, Lava COS, iTero and D250. These studies evaluated the reliability of tooth widths, Bolton ratio measurements, and image superimposition. Two studies were classified as having low RoB; one had moderate RoB and the remaining one had high RoB. Only one study evaluated the time required to complete clinical procedures and patient's opinion about the procedure. Patients reported feeling more comfortable with the conventional dental impression method. Associated costs were not considered in any of the included study. Inter- and intra-arch measurements from digital models produced from intraoral scans appeared to be reliable and accurate in comparison to those from conventional impressions. This assessment only applies to the intraoral scanners models considered in the finally included studies. Digital models produced by intraoral scan eliminate the need of impressions materials; however, currently, longer time is needed to take the digital images. PROSPERO (CRD42014009702). None. © The Author 2016. Published by Oxford University Press on behalf of the European

  3. [Exposure to static magnetic field and health hazards during the operation of magnetic resonance scanners].

    PubMed

    Karpowicz, Jolanta; Gryz, Krzysztof; Politański, Piotr; Zmyślony, Marek

    2011-01-01

    Magnetic resonance imaging (MRI) scanners belong to the most modern imaging diagnostic devices, which involve workers' exposure to static magnetic fields (SMF) during the preparation and performance of MRI examinations. This paper presents the data on workers' exposure to SMF in the vicinity of MRI scanners and the analysis of SMF-related biological effects and health hazards to find out whether softening the legislative requirements concerning protection against SMF exposure of workers involved in MRI diagnostics is justified. Measurements in the vicinity of 1.5 T MRI magnets showed that exposure to SMF by various scanners depends on both SMF of magnets and scanners design, as well as on work organization. In a routine examination of one patient the radiographer is exposed to SMF exceeding 0.5 mT for app. 1.5-7 min, and up to 1.3 min to SMF exceeding 70 mT. In examinations of patients who need more attention, the duration of exposure may be significantly longer. The mean values (B mean) of exposure to SMF are 5.6-85 mT (mean 30 +/- 19 mT, N = 16). These data demonstrate that only well designed procedures, proper organization of workplace and awareness of workers how to attend the patients without being exposed to strong SMF allow for meeting the requirements of labor law concerning workers' exposure to SMF. The analysis of the available literature on biological effects of SMF has disclosed the lack of data on health effects of many years exposure of workers and the abundance of data demonstrating the biological activity of SMF. Therefore, a radical softening of legislative requirements concerning the exposure of workers' head or trunk is premature, and what is more, it is not indispensable for the development of MRI diagnostic. Such an action should be preceded by extensive international investigations on the health status of workers exposed to electromagnetic fields by MRI scanners.

  4. White light scanner-based repeatability of 3-dimensional digitizing of silicon rubber abutment teeth impressions

    PubMed Central

    Jeon, Jin-Hun; Lee, Kyung-Tak; Kim, Hae-Young; Kim, Ji-Hwan

    2013-01-01

    PURPOSE The aim of this study was to evaluate the repeatability of the digitizing of silicon rubber impressions of abutment teeth by using a white light scanner and compare differences in repeatability between different abutment teeth types. MATERIALS AND METHODS Silicon rubber impressions of a canine, premolar, and molar tooth were each digitized 8 times using a white light scanner, and 3D surface models were created using the point clouds. The size of any discrepancy between each model and the corresponding reference tooth were measured, and the distribution of these values was analyzed by an inspection software (PowerInspect 2012, Delcamplc., Birmingham, UK). Absolute values of discrepancies were analyzed by the Kruskal-Wallis test and multiple comparisons (α=.05). RESULTS The discrepancy between the impressions for the canine, premolar, and molar teeth were 6.3 µm (95% confidence interval [CI], 5.4-7.2), 6.4 µm (95% CI, 5.3-7.6), and 8.9 µm (95% CI, 8.2-9.5), respectively. The discrepancy of the molar tooth impression was significantly higher than that of other tooth types. The largest variation (as mean [SD]) in discrepancies was seen in the premolar tooth impression scans: 26.7 µm (95% CI, 19.7-33.8); followed by canine and molar teeth impressions, 16.3 µm (95% CI, 15.3-17.3), and 14.0 µm (95% CI, 12.3-15.7), respectively. CONCLUSION The repeatability of the digitizing abutment teeth's silicon rubber impressions by using a white light scanner was improved compared to that with a laser scanner, showing only a low mean discrepancy between 6.3 µm and 8.9 µm, which was in an clinically acceptable range. Premolar impression with a long and narrow shape showed a significantly larger discrepancy than canine and molar impressions. Further work is needed to increase the digitizing performance of the white light scanner for deep and slender impressions. PMID:24353885

  5. Reproducibility of MRI-Determined Proton Density Fat Fraction Across Two Different MR Scanner Platforms

    PubMed Central

    Kang, Geraldine H.; Cruite, Irene; Shiehmorteza, Masoud; Wolfson, Tanya; Gamst, Anthony C.; Hamilton, Gavin; Bydder, Mark; Middleton, Michael S.; Sirlin, Claude B.

    2016-01-01

    Purpose To evaluate magnetic resonance imaging (MRI)-determined proton density fat fraction (PDFF) reproducibility across two MR scanner platforms and, using MR spectroscopy (MRS)-determined PDFF as reference standard, to confirm MRI-determined PDFF estimation accuracy. Materials and Methods This prospective, cross-sectional, crossover, observational pilot study was approved by an Institutional Review Board. Twenty-one subjects gave written informed consent and underwent liver MRI and MRS at both 1.5T (Siemens Symphony scanner) and 3T (GE Signa Excite HD scanner). MRI-determined PDFF was estimated using an axial 2D spoiled gradient-recalled echo sequence with low flip-angle to minimize T1 bias and six echo-times to permit correction of T2* and fat-water signal interference effects. MRS-determined PDFF was estimated using a stimulated-echo acquisition mode sequence with long repetition time to minimize T1 bias and five echo times to permit T2 correction. Interscanner reproducibility of MRI determined PDFF was assessed by correlation analysis; accuracy was assessed separately at each field strength by linear regression analysis using MRS-determined PDFF as reference standard. Results 1.5T and 3T MRI-determined PDFF estimates were highly correlated (r = 0.992). MRI-determined PDFF estimates were accurate at both 1.5T (regression slope/intercept = 0.958/−0.48) and 3T (slope/intercept = 1.020/0.925) against the MRS-determined PDFF reference. Conclusion MRI-determined PDFF estimation is reproducible and, using MRS-determined PDFF as reference standard, accurate across two MR scanner platforms at 1.5T and 3T. PMID:21769986

  6. Technology as an Occasion for Structuring: Evidence from Observations of CT Scanners and the Social Order of Radiology Departments.

    ERIC Educational Resources Information Center

    Barley, Stephen R.

    1986-01-01

    New technologies such as the CT scanner are challenging traditional role relations among radiology workers and may be altering the organizational and occupational structure of radiological work. This paper expands recent sociological thought by showing how identical CT scanners occasion similar structuring processes and created divergent forms of…

  7. Temporal resolution measurement of 128-slice dual source and 320-row area detector computed tomography scanners in helical acquisition mode using the impulse method.

    PubMed

    Hara, Takanori; Urikura, Atsushi; Ichikawa, Katsuhiro; Hoshino, Takashi; Nishimaru, Eiji; Niwa, Shinji

    2016-04-01

    To analyse the temporal resolution (TR) of modern computed tomography (CT) scanners using the impulse method, and assess the actual maximum TR at respective helical acquisition modes. To assess the actual TR of helical acquisition modes of a 128-slice dual source CT (DSCT) scanner and a 320-row area detector CT (ADCT) scanner, we assessed the TRs of various acquisition combinations of a pitch factor (P) and gantry rotation time (R). The TR of the helical acquisition modes for the 128-slice DSCT scanner continuously improved with a shorter gantry rotation time and greater pitch factor. However, for the 320-row ADCT scanner, the TR with a pitch factor of <1.0 was almost equal to the gantry rotation time, whereas with pitch factor of >1.0, it was approximately one half of the gantry rotation time. The maximum TR values of single- and dual-source helical acquisition modes for the 128-slice DSCT scanner were 0.138 (R/P=0.285/1.5) and 0.074s (R/P=0.285/3.2), and the maximum TR values of the 64×0.5- and 160×0.5-mm detector configurations of the helical acquisition modes for the 320-row ADCT scanner were 0.120 (R/P=0.275/1.375) and 0.195s (R/P=0.3/0.6), respectively. Because the TR of a CT scanner is not accurately depicted in the specifications of the individual scanner, appropriate acquisition conditions should be determined based on the actual TR measurement. Copyright © 2016 Associazione Italiana di Fisica Medica. Published by Elsevier Ltd. All rights reserved.

  8. Non-laser-based scanner for three-dimensional digitization of historical artifacts

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hahn, Daniel V.; Baldwin, Kevin C.; Duncan, Donald D

    2007-05-20

    A 3D scanner, based on incoherent illumination techniques, and associated data-processing algorithms are presented that can be used to scan objects at lateral resolutions ranging from 5 to100 {mu}m (or more) and depth resolutions of approximately 2 {mu}m.The scanner was designed with the specific intent to scan cuneiform tablets but can be utilized for other applications. Photometric stereo techniques are used to obtain both a surface normal map and a parameterized model of the object's bidirectional reflectance distribution function. The normal map is combined with height information,gathered by structured light techniques, to form a consistent 3D surface. Data from Lambertianmore » and specularly diffuse spherical objects are presented and used to quantify the accuracy of the techniques. Scans of a cuneiform tablet are also presented. All presented data are at a lateral resolution of 26.8 {mu}m as this is approximately the minimum resolution deemed necessary to accurately represent cuneiform.« less

  9. Monitoring tree health with a dual-wavelength terrestrial laser scanner

    NASA Astrophysics Data System (ADS)

    Hancock, S.

    2013-12-01

    Steven Hancock1, Rachel Gaulton1, Mark Danson2 1School of Civil Engineering and Geosciences, Newcastle University, UK, steven.hancock@ncl.ac.uk, rachel.gaulton@ncl.ac.uk 2 School of Environment and Life Sciences, University of Salford, UK, F.M.Danson@salford.ac.uk Forests are a vital part of the Earth's carbon cycle and drive interactions between the land and atmosphere. Accurate and repeatable measurement of forests is essential for understanding the Earth system. Terrestrial laser scanning can be a powerful tool for characterising forests. However, there are a number of issues that have yet to be resolved. Commercial laser scanners are optimised for measuring buildings and other hard targets. Vegetation canopies are complex and porous, confounding standard interpretation techniques. Commercial systems struggle with partial hits and cannot distinguish leaf from wood (Danson et al 2007). A new generation of terrestrial laser scanners, optimised for vegetation measurement, are in development. The Salford Advanced Laser Canopy Analyser (SALCA, Gaulton et al 2013) aims to overcome these issues using full-waveform analysis and two wavelengths (1064 nm and 1545 nm), allowing the characterisation of a porous canopy, the identification of leaf and wood and derivation of information on leaf biochemistry. Gaulton et al (2013) showed that SALCA is capable of measuring the Equivalent Water Thickness (EWT) of individual leaves in laboratory conditions. In this study, the method was applied to complete tree canopies. A controlled experiment simulating a small 'forest' of potted broadleaved (Tilia cordata) and coniferous trees (Pinus nigra) was established and groups subjected to different moisture stresses over a one month period. Trees were repeatedly scanned by SALCA and regular measurements were made of leaf EWT, stomatal conductance, chlorophyll content, spectral properties (using an ASD field spectroradiometer) and, for a limited number of trees, leaf area (by destructive

  10. Frequency Mixing Magnetic Detection Scanner for Imaging Magnetic Particles in Planar Samples.

    PubMed

    Hong, Hyobong; Lim, Eul-Gyoon; Jeong, Jae-Chan; Chang, Jiho; Shin, Sung-Woong; Krause, Hans-Joachim

    2016-06-09

    The setup of a planar Frequency Mixing Magnetic Detection (p-FMMD) scanner for performing Magnetic Particles Imaging (MPI) of flat samples is presented. It consists of two magnetic measurement heads on both sides of the sample mounted on the legs of a u-shaped support. The sample is locally exposed to a magnetic excitation field consisting of two distinct frequencies, a stronger component at about 77 kHz and a weaker field at 61 Hz. The nonlinear magnetization characteristics of superparamagnetic particles give rise to the generation of intermodulation products. A selected sum-frequency component of the high and low frequency magnetic field incident on the magnetically nonlinear particles is recorded by a demodulation electronics. In contrast to a conventional MPI scanner, p-FMMD does not require the application of a strong magnetic field to the whole sample because mixing of the two frequencies occurs locally. Thus, the lateral dimensions of the sample are just limited by the scanning range and the supports. However, the sample height determines the spatial resolution. In the current setup it is limited to 2 mm. As examples, we present two 20 mm × 25 mm p-FMMD images acquired from samples with 1 µm diameter maghemite particles in silanol matrix and with 50 nm magnetite particles in aminosilane matrix. The results show that the novel MPI scanner can be applied for analysis of thin biological samples and for medical diagnostic purposes.

  11. Automatic Threshold Design for a Bound Document Scanner.

    DTIC Science & Technology

    1982-12-01

    IS k- A AL O. N J MJt A ,4. TITLE foodSublitOio ). TYP R F EPOAT A PERIOD COVEREO Automatic Threshold De~i~n - ’W::d 1)o, i ,-r THESIS /DASSET’T...due to data uncertainty and other shortcomings in the scanner L * rather than in the ATC scheme. (Page count: 224) * Thesis Supervisor: Dr. J. F...permission to reproduce and distribute copies of this thesis document in whole or in part. Signature of Author Certified b y_ ___ -F . Reites, Thesis

  12. Computerized literature reference system: use of an optical scanner and optical character recognition software.

    PubMed

    Lossef, S V; Schwartz, L H

    1990-09-01

    A computerized reference system for radiology journal articles was developed by using an IBM-compatible personal computer with a hand-held optical scanner and optical character recognition software. This allows direct entry of scanned text from printed material into word processing or data-base files. Additionally, line diagrams and photographs of radiographs can be incorporated into these files. A text search and retrieval software program enables rapid searching for keywords in scanned documents. The hand scanner and software programs are commercially available, relatively inexpensive, and easily used. This permits construction of a personalized radiology literature file of readily accessible text and images requiring minimal typing or keystroke entry.

  13. Evaluation of a laser scanner for large volume coordinate metrology: a comparison of results before and after factory calibration

    NASA Astrophysics Data System (ADS)

    Ferrucci, M.; Muralikrishnan, B.; Sawyer, D.; Phillips, S.; Petrov, P.; Yakovlev, Y.; Astrelin, A.; Milligan, S.; Palmateer, J.

    2014-10-01

    Large volume laser scanners are increasingly being used for a variety of dimensional metrology applications. Methods to evaluate the performance of these scanners are still under development and there are currently no documentary standards available. This paper describes the results of extensive ranging and volumetric performance tests conducted on a large volume laser scanner. The results demonstrated small but clear systematic errors that are explained in the context of a geometric error model for the instrument. The instrument was subsequently returned to the manufacturer for factory calibration. The ranging and volumetric tests were performed again and the results are compared against those obtained prior to the factory calibration.

  14. Optical gamma thermometer

    DOEpatents

    Koster, Glen Peter; Xia, Hua; Lee, Boon Kwee

    2013-08-06

    An optical gamma thermometer includes a metal mass having a temperature proportional to a gamma flux within a core of a nuclear reactor, and an optical fiber cable for measuring the temperature of the heated metal mass. The temperature of the heated mass may be measured by using one or more fiber grating structures and/or by using scattering techniques, such as Raman, Brillouin, and the like. The optical gamma thermometer may be used in conjunction with a conventional reactor heat balance to calibrate the local power range monitors over their useful in-service life. The optical gamma thermometer occupies much less space within the in-core instrument tube and costs much less than the conventional gamma thermometer.

  15. New concept high-speed and high-resolution color scanner

    NASA Astrophysics Data System (ADS)

    Nakashima, Keisuke; Shinoda, Shin'ichi; Konishi, Yoshiharu; Sugiyama, Kenji; Hori, Tetsuya

    2003-05-01

    We have developed a new concept high-speed and high-resolution color scanner (Blinkscan) using digital camera technology. With our most advanced sub-pixel image processing technology, approximately 12 million pixel image data can be captured. High resolution imaging capability allows various uses such as OCR, color document read, and document camera. The scan time is only about 3 seconds for a letter size sheet. Blinkscan scans documents placed "face up" on its scan stage and without any special illumination lights. Using Blinkscan, a high-resolution color document can be easily inputted into a PC at high speed, a paperless system can be built easily. It is small, and since the occupancy area is also small, setting it on an individual desk is possible. Blinkscan offers the usability of a digital camera and accuracy of a flatbed scanner with high-speed processing. Now, about several hundred of Blinkscan are mainly shipping for the receptionist operation in a bank and a security. We will show the high-speed and high-resolution architecture of Blinkscan. Comparing operation-time with conventional image capture device, the advantage of Blinkscan will make clear. And image evaluation for variety of environment, such as geometric distortions or non-uniformity of brightness, will be made.

  16. Multispectral scanner system parameter study and analysis software system description, volume 2

    NASA Technical Reports Server (NTRS)

    Landgrebe, D. A. (Principal Investigator); Mobasseri, B. G.; Wiersma, D. J.; Wiswell, E. R.; Mcgillem, C. D.; Anuta, P. E.

    1978-01-01

    The author has identified the following significant results. The integration of the available methods provided the analyst with the unified scanner analysis package (USAP), the flexibility and versatility of which was superior to many previous integrated techniques. The USAP consisted of three main subsystems; (1) a spatial path, (2) a spectral path, and (3) a set of analytic classification accuracy estimators which evaluated the system performance. The spatial path consisted of satellite and/or aircraft data, data correlation analyzer, scanner IFOV, and random noise model. The output of the spatial path was fed into the analytic classification and accuracy predictor. The spectral path consisted of laboratory and/or field spectral data, EXOSYS data retrieval, optimum spectral function calculation, data transformation, and statistics calculation. The output of the spectral path was fended into the stratified posterior performance estimator.

  17. Lanthanum halide scintillators for time-of-flight 3-D pet

    DOEpatents

    Karp, Joel S [Glenside, PA; Surti, Suleman [Philadelphia, PA

    2008-06-03

    A Lanthanum Halide scintillator (for example LaCl.sub.3 and LaBr.sub.3) with fast decay time and good timing resolution, as well as high light output and good energy resolution, is used in the design of a PET scanner. The PET scanner includes a cavity for accepting a patient and a plurality of PET detector modules arranged in an approximately cylindrical configuration about the cavity. Each PET detector includes a Lanthanum Halide scintillator having a plurality of Lanthanum Halide crystals, a light guide, and a plurality of photomultiplier tubes arranged respectively peripherally around the cavity. The good timing resolution enables a time-of-flight (TOF) PET scanner to be developed that exhibits a reduction in noise propagation during image reconstruction and a gain in the signal-to-noise ratio. Such a PET scanner includes a time stamp circuit that records the time of receipt of gamma rays by respective PET detectors and provides timing data outputs that are provided to a processor that, in turn, calculates time-of-flight (TOF) of gamma rays through a patient in the cavity and uses the TOF of gamma rays in the reconstruction of images of the patient.

  18. Implications of Gamma-Ray Transparency Constraints in Blazars: Minimum Distances and Gamma-Ray Collimation

    NASA Technical Reports Server (NTRS)

    Becker, Peter A.; Kafatos, Menas

    1995-01-01

    We develop a general expression for the gamma - gamma absorption coefficient, alpha(sub gamma(gamma)) for gamma-rays propagating in an arbitrary direction at an arbitrary point in space above an X-ray-emitting accretion disk. The X-ray intensity is assumed to vary as a power law in energy and radius between the outer disk radius, R(sub 0), and the inner radius, R(sub ms) which is the radius of marginal stability for a Schwarzschild black hole. We use our result for alpha(sub gamma(gamma)) to calculate the gamma - gamma optical depth, tau(sub gamma(gamma)) for gamma - rays created at height z and propagating at angle Phi relative to the disk axis, and we show that for Phi = 0 and z greater than or approx equal to R(sub 0), tau(sub gamma(gamma)) proportional to Epsilon(sup alpha)z(sup -2(alpha) - 3), where alpha is the X-ray spectral index and Epsilon is the gamma - ray energy. As an application, we use our formalism to compute the minimum distance between the central black hole and the site of production of the gamma-rays detected by EGRET during the 1991 June flare of 3C 279. In order to obtain an upper limit, we assume that all of the X-rays observed contemporaneously by Ginga were emitted by the disk. Our results suggest that the observed gamma - rays may have originated within less than or approx equal to 45 GM/sq c from a black hole of mass greater than or approx equal to 10(exp 9) solar mass, perhaps in active plasma located above the central funnel of the accretion disk. This raises the possibility of establishing a direct connection between the production of the observed gamma - rays and the accretion of material onto the black hole. We also consider the variation of the optical depth as a function of the angle of propagation Phi. Our results indicate that the "focusing" of the gamma - rays along the disk axis due to pair production is strong enough to explain the observed degree of alignment in blazar sources. If the gamma - rays are produced isotropically

  19. Design and performance of the GAMMA-400 gamma-ray telescope for dark matter searches

    NASA Astrophysics Data System (ADS)

    Galper, A. M.; Adriani, O.; Aptekar, R. L.; Arkhangelskaja, I. V.; Arkhangelskiy, A. I.; Boezio, M.; Bonvicini, V.; Boyarchuk, K. A.; Fradkin, M. I.; Gusakov, Yu. V.; Kaplin, V. A.; Kachanov, V. A.; Kheymits, M. D.; Leonov, A. A.; Longo, F.; Mazets, E. P.; Maestro, P.; Marrocchesi, P.; Mereminskiy, I. A.; Mikhailov, V. V.; Moiseev, A. A.; Mocchiutti, E.; Mori, N.; Moskalenko, I. V.; Naumov, P. Yu.; Papini, P.; Picozza, P.; Rodin, V. G.; Runtso, M. F.; Sparvoli, R.; Spillantini, P.; Suchkov, S. I.; Tavani, M.; Topchiev, N. P.; Vacchi, A.; Vannuccini, E.; Yurkin, Yu. T.; Zampa, N.; Zverev, V. G.; Zirakashvili, V. N.

    2013-02-01

    The GAMMA-400 gamma-ray telescope is designed to measure the fluxes of gamma-rays and cosmic-ray electrons + positrons, which can be produced by annihilation or decay of the dark matter particles, as well as to survey the celestial sphere in order to study point and extended sources of gamma-rays, measure energy spectra of Galactic and extragalactic diffuse gamma-ray emission, gamma-ray bursts, and gamma-ray emission from the Sun. GAMMA-400 covers the energy range from 100 MeV to 3000 GeV. Its angular resolution is ~0.01° (Eγ > 100 GeV), the energy resolution ~1% (Eγ > 10 GeV), and the proton rejection factor ~106. GAMMA-400 will be installed on the Russian space platform Navigator. The beginning of observations is planned for 2018.

  20. Box H/ACA snoRNAs are preferred substrates for the trimethylguanosine synthase in the divergent unicellular eukaryote Trichomonas vaginalis

    PubMed Central

    Simoes-Barbosa, Augusto; Chakrabarti, Kausik; Pearson, Michael; Benarroch, Delphine; Shuman, Stewart; Johnson, Patricia J.

    2012-01-01

    The 2,2,7-trimethylguanosine caps of eukaryal snRNAs and snoRNA are formed by the enzyme Tgs1, which catalyzes sequential guanine-N2 methylations of m7G caps. Atypically, in the divergent unicellular eukaryote Trichomonas vaginalis, spliceosomal snRNAs lack a guanosine cap and the recombinant T. vaginalis trimethylguanosine synthase (TvTgs) produces only m2,7G in vitro. Here, we show by direct metabolic labeling that endogenous T. vaginalis RNAs contain m7G, m2,7G, and m2,2,7G caps. Immunodepletion of TvTgs from cell extracts and TvTgs add-back experiments demonstrate that TvTgs produces m2,7G and m2,2,7G caps. Expression of TvTgs in yeast tgs1Δ cells leads to the formation of m2,7G and m2,2,7G caps and complementation of the lethality of a tgs1Δ mud2Δ strain. Whereas TvTgs is present in the nucleus and cytosol of T. vaginalis cells, TMG-containing RNAs are localized primarily in the nucleolus. Molecular cloning of anti-TMG affinity-purified T. vaginalis RNAs identified 16 box H/ACA snoRNAs, which are implicated in guiding RNA pseudouridylation. The ensemble of new T. vaginalis H/ACA snoRNAs allowed us to predict and partially validate an extensive map of pseudouridines in T. vaginalis rRNA. PMID:22847815